patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
abstract | A drawing apparatus performs drawing on a substrate with charged particle beams. The drawing apparatus includes an irradiation optical system including a collimator lens; an aperture array configured to split the charged particle beam into a plurality of charged particle beams; a converging lens array configured to form a plurality of crossovers of the plurality of charged particle beams; and a projection optical system including an element in which a plurality of apertures corresponding to the plurality of crossovers are formed, and a plurality of projection lenses corresponding to the apertures. The converging lens array includes converging lenses disposed such that each of the plurality of crossovers, which are formed by the converging lenses from the charged particle beam incident on the aperture array at incidence angles associated with aberration of the irradiation optical system, is aligned with corresponding one of the apertures in the element. |
|
abstract | An X-ray waveguide which: shows a small propagation loss of an X-ray; does not deteriorate owing to oxidation; and can be easily produced is realized with an X-ray waveguide, including: a core for guiding an X-ray in such a wavelength band that the real part of refractive index of materials is 1 or less; and a cladding for confining the X-ray in the core, in which: the cladding has a one-dimensional periodic structure consisting of at least two materials having different real parts of refractive index; one of the materials is inorganic one, and another one of materials is any of an organic material, a gas, or vacuum; and the core and the cladding are formed so that the critical angle for total reflection at the interface between the core and the cladding is smaller than a Bragg angle depending on the periodicity of the one-dimensional periodic structure. |
|
abstract | A gamma radiation source comprises 75Selenium wherein the 75Selenium is provided in the form of compounds, alloys or mixtures with one or more nonmetals which upon irradiation do not produce products capable of sustained emission of radiation which would unacceptably interfere with the gamma radiation of 75Selenium. A further gamma radiation source comprises 75Selenium wherein the 75Selenium is provided in the form of compounds, alloys or mixtures with one or more metals or nonmetals, the neutron irradiation of which does produce products capable of sustained emission of radiation which would acceptably complement the gamma radiation of 75Selenium. Further, the gamma radiation source may have components that are separately irradiated before being combined and the components may be of natural isotopic composition or of isotopically modified composition so that the subsequent radiation peaks may also be adjusted in relative frequency. |
|
051777746 | description | DETAILED DESCRIPTION OF THE INVENTION As previously indicated, the present invention is intended for use in the microscopy of biological elements and observation of very fine details of semiconductor elements, for example. However, its use is not meant to be so limited, and many other uses will occur to those of skill in the art. Various embodiments of the present invention are described below, all of which may be used in constructing commercial reflection soft X-ray microscopes. It is expected that such a refection soft X-ray microscope will permit the observation of surfaces of materials and thin (1-10 nm) layers near the surfaces with ten times higher resolution than that presently available from optical microscopes. The basis of the present invention is that reflection coefficients for soft X-ray radiation (around 10.0 to 20.0 nm) can be substantial, and significantly different for different materials. As described below, in the present reflection soft X-ray microscope, the source of soft X-ray radiation, and the detector or imaging system for the X-rays are on the same side of the specimen or object under observation. The detector or imaging system records only soft X-rays reflected from the surface or layers near the surface of the specimen or object under observation. In the preferred embodiment of the invention, as shown in FIG. 1, a soft X-ray generator 2, in this example a soft X-ray laser source 2 is shown, provides a soft X-ray beam 4 at an angle .alpha. of 30.degree. to 60.degree. to the horizontal plane of an object or specimen 6, for example. A Schwarzschild mirror condenser 8 is located between the source of soft X-rays 2 and the object or specimen 6 for focusing the soft X-ray beam 4 onto a predetermined region on the surface of the object 6, as shown. The condensed soft X-ray beams 10 illuminate a region under observation on object 6 and reflect off of this region as shown by the reflected soft X-ray beams 12. A Schwarzschild mirror objective 14 is placed at an appropriate angle to the normal and located for receiving the reflected soft X-ray beam 12, and focusing the same onto a detector 16 such as a CCD (charge coupled device) array or photographic film sensitive to soft X-ray beams, for forming an image. As would be known to one of skill in the art, the use of a CCD array for the detector 16 could provide electrical signals to a television system, for example, for permitting a television image to be produced of the region of the surface of the object 6 under observation. An optical microscope 18 is shown for permitting a user represented by eye 20 to visually align the object 6 for permitting observation of a desired region of the object. In certain applications, a bandpass filter 27 can be added between condenser 8 and object 6 for passing the soft X-ray beam 10 while substantially blocking other radiation (see below description for FIG. 5 for details of the filter). The Schwarzschild condenser 8 and objective 14, as tested in a laboratory configuration, are easy to match in that they have a common f or numerical aperture. Also, Schwarzschild mirrors are commercially available. Spatial resolutions of 0.2 to 0.5 micrometers have been demonstrated in a laboratory for such mirrors. In one experimental configuration for the present reflection soft X-ray microscope, Schwarzschild Optics manufactured by T. R. Optics, Ltd., of England, were used. The surfaces of the optics were highly polished for providing a surface finish of approximately 0.5 nm rms surface roughness. As shown in FIG. 1, the Schwarzschild mirrors 8 and 14 each include a convex mirror 24, and a concave mirror 22, which for the sake of simplicity is shown as two concave reflective surfaces 22. In the experimental system, the diameter of the convex mirror 22 was 66.3 millimeters, and its radius of curvature was 68.5 millimeters for example. The relatively smaller convex mirror had a diameter of 14.5 millimeters with a radius of curvature of 23 millimeters, for example. The Schwarzschild mirror systems 8 and 14 each had a numerical aperture of 0.4, and a focal length of 14.0 millimeters, in this example. The reflective surfaces of the concave mirror 22 and convex mirror 24 of the Schwarzschild configurations each included a multi-layer coating consisting of fifteen layer-pairs of molybdenum and silicon layers, having thicknesses of 3.0 nm and 9.0 nm, respectively. In the embodiment of the invention of FIG. 2, the Schwarzschild objective 14 is replace by an elliptical zone plate 28. With present technology, this embodiment of the invention is less preferred in that the elliptical zone plate is not commercially available and is presently a laboratory type device. Nonetheless, it is expected that the elliptical zone plate 28 will provide an effective objective for focusing the reflected soft X-ray laser beams 12 onto the detector 16, for providing good image resolution. In FIG. 3, a third embodiment of the invention includes an elliptical zone plate 30, and a Schwarzschild objective 14 as shown. As previously indicated, the elliptical zone plate 30 is a specialty item not commercially available at the present time. However, it is expected that the elliptical zone plate 30 will provide adequate condensing of the soft X-ray beam 4 for illuminating a predetermined region on the surface of the object 6. Another embodiment of the invention is shown in FIG. 4. In this embodiment elliptical zone plates are included for providing both the objective and condenser elements 28, 30, respectively. In FIG. 5, another embodiment of the invention includes an elliptical zone plate objective 28, and an ellipsoidal mirror 26, as shown. A filter 27, in this example an 80 nm thick aluminum filter with a coating of 10.0 nm of carbon film, is used in the soft X-ray laser beam path between mirror 26 and object 6 for blocking out VUV (vacuum ultraviolet), UV (ultraviolet) and visible light. In this example, an 18.2 nm soft X-ray laser beam was utilized, whereby the filter 27 had a transmission of about 56.0% at 18.2 nm. Accordingly, filter 27 acts as a bandpass filter for passing the soft X-ray laser beam, while blocking other radiation. If another type of laser source 2 was used having a wavelength other 18.2 nm, filter 27 would be adjusted for maximizing the transmission of the soft X-ray beam. In the configuration or embodiment of the invention shown in FIG. 6, the soft X-ray reflection microscope configuration includes an ellipsoidal mirror condenser 26, and a Schwarzschild objective 14, as shown. In this example, an 18.2 nm laser beam was aligned to a reflection object 6 via an ellipsoidal mirror 26. The reflection object 6 was constructed by evaporating gold onto a polished surface through a TEM number 200 grid. The angle of incidence of the 18.2 nm laser beam to the reflection object was limited by the vacuum chamber utilized to 70.degree., or an angle of 20.degree. between the reflection surface of object 6 and the incident beam 10. The image of the reflection object or grid on the surface of object 6 was recorded in this example on Kodak 101-07 X-ray film providing the detector 16. This configuration verified the operation of the Schwarzschild optics 14, in this example, using an 18.2 nm laser beam 4. A filter 27 is used as indicated above for this embodiment of FIG. 5. In the embodiments of the invention shown in FIGS. 5 and 6, each embodiment includes ellipsoidal mirror 26 for a condenser. Such a mirror has a small numerical aperture or a high f number. Note also that one would normally not use an ellipsoidal mirror on the imaging side, that is as an objective focusing means, due to the poor resolution of such mirrors resulting from their high f, and the present poor quality of optics available for such mirrors. Although various embodiments of the invention have been shown and described herein, they are not meant to be limiting. Different modifications may occur to those of skill in the art relative to the embodiments described herein, which modifications are meant to be covered by the spirit and scope of the claims appended hereto. For example, while the elliptical zone plates 28 and 30 in the embodiments of FIGS. 2 through 5 are described in the preferred, embodiment as being elliptical zone plates 28 and 30 can also each be circular zone plates. Also, future cavity based X-ray lasers may be sufficiently collimated so as to permit the elimination of condenser elements 8, 30 and 26 of FIGS. 1-6. |
abstract | Modifications to power plants for moderating climate warming and increasing safety combine a large compressed air energy storage (CAES) system with a thermal power plant such that free power plant waste heat replaces natural gas used at existing and planned CAES facilities. The system allows higher percentages of wind and solar energy on existing grids. The compressed air in a companion CAES can cool a nuclear reactor during an emergency. Also an inexpensive, add-on, external, Emergency Core Cooling System (ECCS) can cool a nuclear reactor after shutdown, even when all internal cooling water circulation has been disabled. All embodiments are installed outside the plant where they will not be damaged in the event of a plant accident. Both systems use environmentally friendly compressed air energy storage in new ways, and can be built and installed quickly around the world at existing plants using only proven infrastructure. |
|
043476210 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS As will be appreciated from the fact that several embodiments of apparatus are illustrated and described in which the concepts of the invention may be practiced, significant latitude exists in the designing of apparatus within the scope of the invention, and the apparatus illustrated and described herein is indicative of the structural relations and features considered most advisable in the practice of the invention. FIG. 1 is an elevational, diametrical, sectional view of crossed-field nuclear fusion apparatus in which the invention may be practiced, and wherein the configuration of the reaction chamber is linear. The illustrated apparatus includes a body 10 comprising a central cylindrical core 12 and end portions 14; throughout this body there are present lithium or a lithium-bearing material which in absorbing the high kinetic energy neutrons breeds atoms of the heavy hydrogen gas tritium for subsequent use as a fusion fuel, and accepts kinetic energy, converting it to heat, which on being recovered and removed by a circulating coolant becomes useful energy. The coolant, which circulates in coils or channels 16 through this body, may be hot liquid lithium, or it may be another fluid or gas, for example helium, if the material of the body is a solid substance consisting of or containing a compound of the lithium required to breed tritium. The cylindrical core 12 is encased within the inner cylindrical electrode 18 (which might alternatively be the positive electrode). Neutron-stopping substances other than lithium may be used. Body 10 is recessed at 20, between its end portions 14, to define the reaction chamber 22 in radial alignment with the length of the inner electrode 18, and the outer dimension of the chamber is defined by the annular cylindrical outer electrode 24 which is axially aligned with the inner electrode, and in spaced radial relationship thereto to form the chamber 22. The reaction chamber is terminated at its ends by disks 26 of electrically insulating material that fit inside the ends of the outer electrode 24 and have central openings such that the disks fit over the inner electrode 18. Vacuum pumping means, not shown, are provided to maintain an extremely high vacuum in the reaction chamber 22 so that the only gaseous substance present in significant degree is the fully ionized gas in which the fusion occurs. A neutron absorbing "blanket" 28 of cylindrical configuration surrounds the reaction chamber 22 adjacent the outer cylindrical electrode 24. The purpose of this blanket is to prevent high-energy neutrons from reaching and causing damage to the portions of the apparatus exterior to it and the blanket is of length greater than that of the reaction chamber and of significant radial dimension. The absorption material comprising the blanket must have a maximum neutron absorption capability, as provided by the metal gadolinium, in order to keep the overall outer diameter of the apparatus as small as possible. Fluid cooling and recirculation conduits also exist within the blanket 28, to recover and remove as useful heat the kinetic energy generated in the absorption of the neutrons. A thermal insulating material 30 surrounds the neutron absorption blanket 28, and an electric coil 32 is wound upon the insulation 30. A negative electrical potential is imposed upon the inner cylindrical electrode 18, while a positive electrical potential is connected to the outer electrode 24, or alternatively, these electrical polarities might be interchanged; the difference in the potentials between the electrodes 18 and 24 is several tens of thousands of volts. Thus, a strong and essentially radial electric field will exist within the nuclear reaction chamber 22. The coil 32 is also connected to an electric supply source wherein the coil will produce a very strong magnetic field within the chamber 22 having paths of magnetic flux which are at right angles to the electric field direction producing strong crossed electric and magnetic fields within the reaction chamber. The lines or tubes of magnetic flux are generally parallel to the axis of symmetry of the structure, within the reaction chamber 22. As shown by the arrows in FIG. 1, the stream of fusible ions and space charge-neutralizing electrons circulates generally circumferentially and is confined within the chamber 22 intermediate the electrodes 18 and 24. If the fusion reactions are such as to produce significant charged particle fusion products, as for example the deuterium-deuterium reaction and the lithium and boron-11 reaction, and the inner electrode is negative, the circulating stream would preferably be located closer to the more negative inner electrode than to the other positive electrode 24, as then positively charged fusion products originating within the stream and moving outwardly will penetrate against a substantially larger voltage than those moving inward will pass through, and since their initial generation will be random there will be a net direct electric power generation. Also, for such reactions resulting in positively charged fusion products, the ion and electron stream can be introduced and maintained, at a range of electric potentials substantially lower than the potentials of either of the two electrodes, so that all such positively charged fusion caused particles will, after production, move to one or the other electrode against a substantial electric potential, thus causing direct generation of electric power, as well as producing usable heat by being stopped at the electrode surfaces. For such positively charged particles do not penetrate through the electrodes to cause damage farther on, in contrast to neutrons which readily penetrate the electrodes and must be absorbed farther on. The stream of fusionable ions and space charge neutralizing electrons circulating within the chamber 22 are preferably introduced into the chamber by a plurality of channels 34, FIGS. 2 and 3, each channel having an outlet 36 substantially tangentially related to the nuclear reaction chamber 22. As later described, the channel outlets 36 are oriented in a circumferential or tangential direction in order to aid the entrance of the particles into the strong crossed electric and magnetic fields within the chamber, in that with this orientation of the outlet the charged particles emerge already moving under the crossed field influence within the channel in the direction of the circulation within the reaction chamber. Preferably, the channels 34 are each of a rectangular cross sectional configuration, as will be apparent from FIG. 6, having edge portions 38 formed of an insulating material. One of the elongated channel sides, as indicated at 40, is constructed in the form of a negative electrode, while the diametrically opposite elongated channel side 42 constitutes a positive electrode. Conductors, not shown, are connected to the channel wall electrodes whereby suitable polarities are imposed thereon. When, as in FIG. 3, a plurality of channels 34 is used, the channel side having the more negative potential, of any of the channels, will be at essentially the same potential as the channel side having the more positive potential of the adjacent channel inward from it, for the case where the outer fusion chamber electrode is the positive one. Thus there is established at stream outlet from the channels a potential gradient across the entire combination of streams, corresponding to the potential gradient required to produce the parallel-to-one-another crossed field advance circulation of the several streams. This sequence of potentials, and the potentials on the inner and outer electrodes, and other needed electrical provisions, will be such as to cause there to exist a strong essentially radial electric field in the region between the outer electrode 24 and the outer face of the outer stream, and a similarly strong radial electric field between the inner electrode 18 and the inner face of the inner stream. These strong radial electric fields between the electrodes and the faces of the streams well separated from them serve very important functions in the confining of the streams to their loctions of origin at the outlets from the entrance channels. Adjacent each edge 38 of the channel, and within the confines thereof, are located a pair of spaced wire or tubular grids 44. The inner grids or tubes 46 are provided with potentials positive to the potentials of the nearest adjacent stream region, in order to reverse random motions of ions causing the ions to be repelled back into the flowing stream of ions and electrons. A negatively charged grid 48 is also located in each channel end region, beyond the positively charged grid array, with grid wires given potentials negative to the potentials of the nearest adjacent stream, to repel electrons endeavoring to escape from the stream which have passed through the inner grid 46, causing the electrons to move back into the stream. The actual design details of these grids or arrays of tubes, and choices for voltages applied to the several grid wires or tubes, will depend on design details of the ion and electron stream passing along the channel, including matters of division of ion kinetic energy as between the crossed field advance velocity along the stream and other components of their motions, the kinetic energies of the electrons, collision rates with the stream as affected by particles densities, energies, and types of ions. FIG. 4 schematically illustrates the formation of the stream 50 of fusible ions and space charge neutralizing electrons injected into the nuclear fusion chamber 22. In producing this stream of particles it is necessary that the high energy ions have a high particle density as they enter into the circulation within the fusion chamber, and the ions must be accelerated to high values of kinetic energy before entering the entrance channel where they mix with electrons. The ions are generated by an ion source, actually ten separate ion sources, schematically represented at 52, which includes a grid 54 through which the ions pass, free of electrons. Various state-of-the-art sources exist that are adequate for use in this invention; all of them involve producing a gaseous conducting plasms at far below atmospheric pressures in which ionization results from the passage of electric current, in the form of a flow of electrons, between a positive and a negative electrode, and with use of a grid structure, possibly a multiple-grid structure, at one face of the plasma enclosure that encourages ion emergence while preventing electron emergence. One such ion source that has been used successfully in research toward controlled nuclear fusion employs a multiplicity of hot filaments to provide the electrons whose acceleration by the plasma entrance fields gives them requisite ionizing energy. In another type of ion source the ions are drawn electrically from magnetically collimated arcs in the appropriate gas, as for example deuterium gas. Whatever the particular ion source, the ion stream is accelerated to the desired kinetic energy of from 10,000 to 20,000, or perhaps more, electron volts by passage through the requisite accelerating fields assigned by state-of-the-art ion optical methods, and thence pass adjacent a plurality of electron sources 56 located at the entrance to the crossed field channels 34, with parallel to one another joining of ion streams from different ion sources at successfully different potentials, so that after being accelerated to a common kinetic energy of forward motion they will form a stream having a potential gradient as desired to produce the cross-field advance within the channel. As shown in FIG. 5, the electron sources 56 can consist of a plurality of charged hot filaments 58 and associated accelerating grids 59 wherein the electrons emerge from these sources at kinetic energies of a few hundred electron volts prior to merging with the passing ion stream thus providing the space charge neutralization for the stream. As represented by dotted line 62, the region in which the ions and electrons merge, is subjected to a magnetic field of conventional strength in engineering apparatus, being several thousand gauss, and as the stream of ions and electrons moves into and through the channel 34 the stream will be confirmed within appropriate boundaries, not reaching the edges of the channel, by the circumstances of its introduction into the channel, in particular the potentials of the stream portions at their locations of merging and channel entrance, as governed by accelerating grids 60 and the ion and electron optics of the stream environments. With reference to FIG. 1, a stream exit channel 64 communicates with the right end of the chamber 22, whereby the circulating stream of particles may be removed from the chamber after passing therethrough, or there may be at that location a plurality of such channels 64, comparable with the use of a plurality of entrance channels 34. The exit channels 64 may consist of a rectangular channel construction as shown in FIG. 6, having an entrance for receiving the ions and electrons which have reached the right end of the reaction chamber; if there are several channels their several potentials are maintained at the potentials corresponding to the portions of the stream they are to receive. In the preferred embodiment the ions and electrons pass through the injection channels 34 at a very high total kinetic energy of the ions, imparted to the ions by the ion optical system outside the region of the very strong magnetic field of the reaction chamber. Each channel stream contains space charge neutralizing electrons at a density equal to that of the ions. The electrons' energies must be high enough to prevent any significant recombination with the ions to create neutral gas particles, but the electrons will initially be introduced into the stream with kinetic energies very much lower than those of the ions. As the crossed-field advance velocity of the electrons is the same as that of the ions, the two kinds of particles will pass through the channels at equal rates, carrying equal and opposite electric currents, so that the net current is zero in each injection channel. It is the intent that for the portion of the injection channel 34 that lies within the strong magnetic field, passing through the neutron-absorption blanket 28 and at the point of orientation for proper delivery of the stream into the reaction chamber, the major portion of the kinetic energy of the ions will be in the sloping components of the tightly-looping trochoidal or quasi-trochoidal motions characteristic of charged particle advance when the kinetic energy corresponding to the crossed field advance velocity is a small fraction of the particle's total kinetic energy. The cross sectional extent of the channel, in the direction of the applied electric field, is made large enough so that the radius of the looping component is a small to moderate fraction of the extent of the channel in this direction, and so also to the channel extent, at the existing values of ion energies, magnetic field strength, and potential gradient in the stream. In the portion of the injection channel that lies outside the region of very strong magnetic field, where the magnetic flux density is relatively low, being a few thousand gauss, the crossed field advance velocity is high, with essentially all of the kinetic energy of the ions being in the crossed field advance velocity. Thus the kinetic energy in the looping component of the ion motion is very small, so that the departure from straight line motion along the channel is trivial. Where the channel passes between the turns of the main coil 32, it is exposed to an extremely steep increasing gradient in the magnetic flux density. By properly relating the geometry and dimensions of the channel to the voltage thereacross in the region of this steep gradient, the transition of the motion of the ions of the stream from essentially straight line advance motion to a much slower tightly looping motion can be accomplished without harmful enlargement of the stream cross section. As an example, at a strong magnetic field flux density of 200,000 gauss and an electric field strength of 10,000 volts per centimeter within the stream of charged particles in the portion of the channel 34 inside the main coil 32, the guiding centers of both kinds of particles have a crossed field advance velocity along the channel of 10.sup.6 volts per meter divided by 20 webers per square meter, giving 50,000 meters per second as this advance velocity along the channel. For deuterons, with an ion-to-electron mass ratio of 3669, the square root being 60.6, this gives a kinetic energy content in the crossed-field advance velocity of 26 electron volts. If the total kinetic energy per ion, given in its ion optical acceleration, is 20,000 electron volts per deuteron, an acceptable order of magnitude for fusion, this leaves substantially all of the kinetic energy in the looping component of the motion in this within-the-main-coil portion of the entrance channel. For deuterons the radius of the looping component of the motion at this kinetic energy and in this magnetic field is 1.44 millimeters. It is desirable to have the ion and electron density lower in this portion of the entrance channel than in the streams within the reaction chamber, both to avoid occurrence of fusion before passage through the neutron blanket is completed, and as an aid to controlling random motion movement of the charged particles in the magnetic field direction toward the ends where their escape is prevented by the grids 44. At an illustratively desirable ion and electron density of 2.times.10.sup.13 per cubic centimeter in this within the main coil portion of the entrance channel, the current flow carried by the ions is 2.times.10.sup.19 per cubic meter multiplied by 50,000 meters per second to give 10.sup.24 ions and electrons flowing along the stream per square meter per second; at 1.6.times.10.sup.-19 coulomb per ion this is 1.6.times.10.sup.5 amperes per square meter, or 16 amperes per square centimeter, of current carried along th channel by the ions, with an equal and opposite current carried by the negatively charged electrons, the net current in the channel being zero. At the point of injection into the circulating stream within the nuclear reaction chamber 22, with a plurality of channels 34 being used, the several channels will have differing average electric potentials. For the design in which the outer electrode 24 of the reaction chamber is the positive electrode, the channel 34 having the outermost radial position will be at the higher potential, the next one somewhat less positive, and so on. Also, as described elsewhere, within each channel's stream as it exits from the channel outlet 36 there is a potential gradient with the potential declining inwardly for this example. Thus as the several streams enter the reaction chamber 22 there appears in this annular chamber a radial potential distribution generally declining from a highest value at the outer electrode, which is at a potential substantially higher than that at the side of the outermost stream, to a lesser value at the inner electrode 18, which would be in this illustration at a potential substantially lower than that at the side of the innermost stream. There will be a considerable radial distance between the outer side of the stream 50 and the outer electrode 24, and similarly a considerable radial distance between the inner side of the stream 50 and the inner electrode 18; however, there need be no separation radially between the several stream of channels 34 after they enter the nuclear reaction chamber 22 and begin their circumferential circulation at right angles to both the magnetic field and the applied electric field. This illustrates a basic aspect of the invention, i.e., establishing the potential distribution within the stream at stream entry by design and operation of the apparatus, and maintaining this potential distrubution during the circulation within the reaction chamber, if necessary by control of charge distribution at or beyond stream edges, as for example by circulating streams of electrons outside the main stream or streams. By establishing and maintaining a potential distribution in which the potential gradient is less steep within the inner stream 66, FIG. 3, than within the outer stream 68, the crossed field circulational advance of the inner stream can be made slower than that in the outer stream so that time for circulation around the smaller inner stream path be made the same as for that around the longer outer stream path. Confinement of the stream of particles within the entrance channels 34 may be understood in terms of ion-optical perceptions which indicate the field forces exist that compel motion to be in the cross-field direction, with but little departure therefrom if the channel parameters are properly designed, or one can think in terms of "magnetic pressure," the concept being that the charged particles can cross magnetic flux lines only to a very limited extent in the absence of electric fields in the direction of the longer cross sectional dimension of the channel. Such fields do not exist outside of the ion and electron streams. The same considerations apply to the continuing existence of circumferential streams after emergence from the entrance channels 34 into the circulating streams in the reaction chamber. As to the circumferential circulation within the annular reaction chamber 22, provisions are made to assure that the stream 50 during each circulation around a circumferential path shifts axially to a limited extent in the direction of the magnetic field provided by the main coil 32, to provide an advancing of the stream circulation in that direction. Thus, the total path within the reaction chamber will correspond to a helix with a pitch small relative to its diameter and with the axis of the helix being the same as the axis common to the two electrodes 18 and 24; thus these helical paths of the charged particles' guiding centers lie in the annular region between the two electrodes and are concentric with the cylindrical shapes of those electrodes. This advance from turn to turn around the helical paths is provided by giving the magnetic field a small circumferential component. This can be accomplished in the disclosed embodiment by passing a direct current of appropriate strength along the length of the inner electrode 18 in the opposite direction to the small axial component of the current in the main coil 32 that produces the strong magnetic field. The combination of this circumferential component of the magnetic field with the basic strong field parallel to the axis of the electrodes is called a "poloidal" magnetic field. A variation in the apparatus wherein the invention concepts of the invention may be practised is shown in FIG. 7, wherein the apparatus is in the form of a two part toroid. Preferably, when the apparatus is in the form of a toroid it would comprise a complete toroid wherein an annular reaction chamber between two toroidal electrodes exists, whereby the stream of particles may continually move along curved axis helical paths about a 360.degree. elongated circuit of the helical axis. However, because of the need to support the inner electrodes such a construction is not practical. In FIG. 7 the two halves of the toroid are designated by the reference numerals 70 and 72, and are identical in construction and identical numeral references are utilized in the description thereof. The apparatus portions 70 and 72 include an arcuate inner small diameter tubular electrode 74 which is of substantially a 180.degree. configuration, and at its end is mounted upon the insulating-material mounting plates 76 radially disposed with respect to the general configuration of the apparatus. The tubular electrode 74 may be positively charged, and an annular reaction chamber 78 is defined by this electrode and the outer negatively charged tubular electrode 80 circumscribing the inner electrode. The outer electrode is also mounted on the plates 76. Alternatively, the inner electrode may be negatively charged and the outer electrode positively charged, as the basic requirement is that there exist between them a strong applied electric field having a direction radial to the circular axis common to the two electrodes. The outer confines of the apparatus are defined by the tubular housing 82, which is of considerably larger diameter than the outer electrode 80 wherein an annular radial space exists between the housing and the outer electrode, which is filled with a high neutron absorbing material 84, as for example gadolinium and containing circulating conduits, not shown, for removing therefrom the heat resulting from stopping the high-energy neutrons. The circulation removes the heat as useful output, or there can be provisions to circulate hot liquid lithium in this space, to breed tritium as well as accepting the kinetic energy of the neutrons and converting it to heat removed by the circulation to become useful energy. Or there can be used a solid substance consisting of or containing lithium to serve to breed tritium gas, with a liquid or a gas, for example helium, circulating as the coolant to remove and provide utility from the heat, and to remove the tritium gas as it is bred. Magnetic coils 86 encompass the housing 82 for producing the desired strong magnetic field within the reaction chamber between electrodes 74 and 80. The entrance channels 88 for introducing the stream of high kinetic energy fusible ions and space charge neutralizing electrons are located adjacent the plates 76, and exiting channels 90 are located at the opposite ends of the reaction chamber for permitting the particles to be removed therefrom. Preferably, the stream of ions and electrons is introduced into the reaction chamber 78 by a plurality of entrance channels 88 as described with respect to FIGS. 1-3, and the operation of the apparatus of FIG. 7 is functionally similar to that as described with respect to FIG. 1. Of course, it will be appreciated that the advantage of the toroid construction of FIG. 7 lies in the ability to locate a relatively long chamber in a minimum of space and there is also the advantage that with the toroidal shape of the coil producing the strong magnetic field there exists an absolute minimum of stray magnetic field outside the outer housing. The circular toroid configuration is particularly suitable for using apparatus of this type in cylindrical housings such as rocket engines and the like. In FIGS. 9 and 10 another embodiment of apparatus for producing nuclear fusion in accord with the inventive concepts is illustrated. In this embodiment the apparatus is of such construction as to permit the traveling streams of high kinetic energy fusionable ions and space charge neutralizing electrons to be recirculated through a pair of nuclear reaction chambers wherein the time of persistence of the stream may be prolonged indefinitely, and the ion density may be progressively increased, and the rate and duration of neutron generation elevated with respect to prior described apparatus. The apparatus illustrated in FIGS. 9 and 10 is of a linear configuration wherein annular reaction chambers have an elongated linear axis, as in the embodiment of FIG. 1, and it will be appreciated that there are a number of structural similarities between the embodiment of FIG. 1 and that of FIGS. 9 and 10. The body 92 is formed of a material which provides absorption of neutrons and breeding of tritium gas using lithium, and the body includes internal conduits or channels, not shown, for circulation for the purpose of removing therefrom the heat generated by the stopping of the neutrons and the removal of the tritium gas resulting from the breeding. The circulant might be hot liquid lithium, or in the case of use of a solid substance containing lithium or a lithium compound for tritium breeding, the circulant might be some other liquid or gas, for example helium gas. Centrally, the body is of a reduced cylindrical configuration surrounded by the inner negative electrode 94. A cylindrical positive electrode 96 of tubular configuration circumscribing electrode 94 in radially spaced relationship thereto defining an annular inner nuclear reaction chamber 98. A second outer annular nuclear reaction chamber 100 is defined in radial alignment with the chamber 98 by an annular cylindrical negative electrode 102 disposed adjacent electrode 96, and an annular outer cylindrical positive electrode 104 in spaced relationship to electrode 102 defines the outer confines of the outer reaction chamber 100. As the outer electrode 96 of the inner chamber 98 and the adjacent inner electrode 102 of the outer chamber 100 may be at very different electric potentials, electrical insulation must be provided between them. An alternative design might be one in which for both reaction chambers the outer electrodes are electrically positive, and the inner ones negative, or even a design in which for one chamber the outer electrode is positive relative to the inner, and for the other chamber the outer electrode is negative relative to the inner; any of these arrangements would provide the requisite radial electric fields in both chambers. Both of the chambers 98 and 100 are circumscribed by the cylindrical annular blanket of material 106, having high neutron-absorbing characterstics, such as gadolinium, and the blanket contains cooling conduits, not shown, for remvoing and usefully employing the heat therefrom generated by the stopping and absorption of the neutrons. The thickness required in the neutron absorbing blanket, and the need for tritium breeding in the inner core body 10 of FIG. 1 and 92 of FIGS. 9 and 10, also the need for tritium breeding and the thickness of the absorbing blanket 84 of the FIG. 7 embodiment, depend on the types of fusionable ions used in the reaction chamber. The embodiments here presented, emphasizing tritium breeding and a relatively thick blanket to protect the main coil from damage by exposure to neutrons, are described primarily with reference to the use of a mixture, in nearly equal proportions, of tritium and deuterium as the materials from which the ions are formed. Conceivably also there might be employed lithium and "boron 11" for which the fusion results only in the production of positively charged particles, no neutrons at all. The positively charged particles cannot penetrate the electrodes to pass beyond them into the main coil; therefore no neutron-absorbing blanket would be required, although the cooling of the metal surfaces where the high-energy charged particles are stopped becomes necessary; in principle, also, some of the energy can be recovered by direct electrical conversion resulting from penetration of the positively charged particles against a substantial potential. By the use of such materials that would greatly lessen the thickness of the neutron absorbing blanket, or possibly even eliminate it, the size required of the apparatus can be greatly reduced, and the demands for providing excitation of the strong magnetic field also greatly reduced, because of the lessened volume in which the strong magnetic field must be produced. Because of these reasons, the possibility of using the embodiment of FIG. 9 in a nuclear-fusion engine for space vehicle propulsion is attractive, in that with no requirement for tritium breeding the dimensions can become small, and for the space environment the existence of the extensive stray field of the linear configuration would be of less significance as a practical matter than for earth-bound applications. Such an application would become attractive in view of the capabilities of this invention for controlling the kinetic energies and densities of the ions of the stream rather straight-forwardly up to high values, and in the recirculating mode of FIGS. 9 and 10 to have the ions remain in the active state for long periods of time. With reference to FIGS. 9 and 10, a thermal insulation material 108 surrounds the neutron absorbing blanket 106, and the electric coil 110 is wound upon the insulation for providing a strong magnetic field within the chambers 98 and 100. A stream 112 of high kinetic energy fusible ions and space charge neutralizing electrons is introduced into the inner chamber 98 by channel 114, and the particles of the stream are generated in a manner identical to that previously described. The particles introduced into the left end of the inner chamber 98, FIG. 9, will move about the inner electrode 94, and move with helical travel toward the right. It is desired that the stream of ions and electrons be transferred from the inne chamber 98 to the outer chamber 100 adjacent the right end of the chamber 98, and for this purpose a transfer channel 116 or a plurality of transfer channels, establishes communication between the right ends of chambers. The transfer channel 116 is of a rectangular cross sectional configuration identical to that shown in FIG. 6, but is of an arcuate longitudinal shape, FIG. 10, and includes a tangential inlet end 118 for receiving the stream of particles within the chamber 98, and an outlet end 120 whereby the stream of particles is tangentially introduced into the chamber 100; in the transfer channel the particles of the stream have their guiding centers constrained by the requirement for crossed field advance to move while within the chamber from the point where they enter it from one chamber to where they exit into the other; the transfer channel is a crossed-field channel. The electric potential and the circumferential component of the magnetic field are such as to cause the stream of ions and electrons introduced into the right end of the chamber 100 to move toward the left end, and as will be noted in FIG. 9, a second crossed field transfer channel 122 is located at the left end of the chambers 98 and 100, establishing communication therebetween whereby particles within chamber 100 may be transferred inwardly into the chamber 98, and the travel cycle of the particles repeated. The channel 122 is of similar construction to channel 116, and is of a construction identical to FIG. 6, being a crossed field transfer channel, including a tangential inlet end within outer chamber 100, and a tangential exit end within chamber 98. The apparatus also includes a crossed field stream exit channel 124 disposed adjacent the entrance channel 114, and the exit channel is located adjacent the left end of the outer reaction chamber 100 whereby stream particles may be removed from the outer chamber as desired. The channels 114 and 124 merge into channel 122 to tangentially introduce and receive the stream particles. Thus, by controlling the rate at which particles exit the chamber 100, and the rate at which they enter the inner chamber 98, the density of particles within the stream 112 recirculating within the chambers can be regulated. The control of the particle flow through the various channels is controlled by electric potentials and other known means. The apparatus of the invention may be operated by introducing a stream of high kinetic energy fusible ions and space charge neutralizing electrons into the chamber 98 via entrance channels 114 for a sufficient time to permit particles to "fill" the chambers 98 and 100, and after the time that the chambers are filled the entrance of stream particles terminates and the stream continues to recycle through chambers 98 and 100, and no exiting of stream particles via the exit channel 124 occurs. However, if desired, during the recirculation of the stream, even though the stream is dispersed throughout both chambers, additional high kinetic energy ions and space charge neutralizing electrons may be introduced into the chambers via channels 114. It is possible after a desired portion of the stream exits through the exit channel 124, to substantially linearize the motion and then pass the stream through apparatus of a magnetohydrodynamic nature which will recover into electrical form a large part of the kinetic energy contained in the motions of the ions that have exited. Just as FIG. 7 illustrated an embodiment using toroidal geometry of the method and designs and operational functioning as described for FIG. 1, so there can be a toroidal embodiment of the recirculational method and designs and operational functioning as in FIGS. 9 and 10. Thus in such an embodiment there are two pairs of chambers, each 180.degree. semicircular in extent, thus together completing the 360.degree., of toroidal design annular reaction chambers, one entirely enclosing the other, and each with a toroidally designed outer electrode and inner electrode at opposite potentials. The stream circulation would be in basically helical form within one of these around a helix whose axis is bent to form a semicircle, to the end of this channel where it meets the mounting plates; then by a crossed field transfer channel the stream is transferred to the other reaction chamber where its travel brings it back to near the mounting plate where the entrance channel is located. The reversing of the direction of travel is due to reversal of the circumferential component of the magnetic field, and this is accomplished by proper choice of magnitudes and directions of current passage in the chamber-bounding electrodes along their semicircular lengths. In the foregoing description the movement of the ions within the annular reaction chamber is described as being of a tightly-looping quasi-trochoidal type. This phraseology is used to describe the looping action of the ions resulting from the fact that the kinetic energy in the small diameter looping components of the ion motions greatly exceeds the energy in the relatively slow crossed field advance motion. The kinetic energy in the looping component of the troichoidal motion is at least ten times greater than the kinetic energy in the crossed field advance motion, and as used in this description, the tightly looping quasi-trochoidal motion is to be understood in this context. Of course, the "tighter" the loops of the ion movement the greater the frequency of collisions of particles within the reaction chamber, and higher energy ratios than ten are of advantage. However, the concepts of the invention are present with an energy ratio of ten, and the phraseology employed in this description, and the claims, is to be understood to include the energy ratio of ten to one in respect to the ion movement. The high kinetic energy ions are given their energy before introduction into the annular reaction chamber which has a primarily axial strong magnetic field and an essentially radial electric field, and the ions assume in the reaction chamber a tightly looping quasi-trochiodal type of motion. The likelihood of fusion collisions occuring is significant in that the looping movement of the ion motions exceeds, at least by a factor of ten, and to factors of tens or tens of thousands, or more, the kinetic energies in the relatively slow crossed field advance motions with which the ions circulate circumferentially around the axis of the reaction chamber, and this motion of the ions distinguishes over prior fusion approaches. The word "quasi" as employed in defining a quasi-trochoidal ion motion signifies that the trajectories are trochoidal motions relative to guiding centers whose paths are arcuate rather than being along straight lines. The novel aspects of the invention include the introduction of the energetic ions into the reaction chamber as ions, with space-charge neutralization occuring by incorporating electrons into the stream as free electrons subsequent to giving the ions their energy. Further advantages result from the employment in the reaction chamber, by crossed field means, of the trochoidal motion of the ions in which the kinetic energy in the looping motion greatly exceeds that in the crossed field advance motion. Further distinction of the invention over known devices exists in the introduction into and retention of the ion and electron stream within a radially delimited region substantially separated from both walls of the reaction chamber providing a substantial confinement in spite of the presence of space-charge waves in the stream. Additionally, the provision, by means of the crossed field advance of the guiding centers along helical paths due to the slight poloidal component of the magnetic field for a continuous and controllable rate of flow of the ion and electron stream into, through and out of the reaction region, including provision for continuous recirculation through the chambers and return to the first chamber also provides a continuous operation not heretofore known. The disclosed method and apparatus make it possible for the operator of the equipment to determine independently of one another the three critical attributes of a magnetically confined stream of ions and electrons, namely, the average ion energy; the density of the charged particles in the stream; and the time of exposure of the ions to the conditions favorable to fusion. It is appreciated that various modifications to the inventive concepts may be apparent to those given the art without departing from the spirit and scope of the invention. |
048184764 | claims | 1. In combination with a nuclear reactor pressure vessel having a removable closure head, the closure head being sealingly engaged with the pressure vessel by a plurality of stud bolts, an upper end thereof having a threaded section for threadingly engaging a nut and a vertical bore being disposed within the stud bolt, the combination further including a reactor vessel stud thread protector which encloses the exposed upper portion of the bolt and associated nut projecting above the closure head, wherein the reactor vessel stud thread protector is comprised of: a tubular wall portion being opened at its lower end and substantially closed at its upper end; a drip pan associated with the outer surface of the protector, said drip pan being disposed radially inwardly with respect to the outer periphery of the vessel head, whereby the drip pan collects any fluid being emitted from the reactor vessel; and means for fastening the stud thread protector to an associated stud. 2. The combination as recited in claim 1, wherein the fastener means consists of a closure screw which passes through a hole in the upper end and is threadingly received within an internally threaded upper portion of the vertical bore. 3. The combination as recited in claim 1 wherein said protector is configured of a generally cylindrical wall portion disposed over a single stud bolt. 4. The combination as recited in claim 1, wherein said protector is configured of a curved box having a generally rectangular cross-section disposed over a plurality of said bolts. 5. The combination as recited in claim 2, wherein the protector is disposed over at least four of said stud bolts, a plurality of said closure screws being disposed at least within bores of alternating stud bolts. 6. The combination as recited in claim 1, wherein the lower end of the reactor vessel stud thread protector is adapted to be sealingly engaged against an upper surface of the closure head. 7. The combination as recited in claim 1, wherein the stud thread protector is made of stainless steel. 8. The combination as recited in claim 7, wherein the wall portion of the stud thread protector is between about 1/16 to 1/8 of an inch in thickness. 9. In combination with a nuclear reactor pressure vessel having a vessel head sealingly engaged with a flange portion of the vessel by a plurality of stud bolts each having an associated nut and washer, said stud bolts having a vertical bore therein, the pressure vessel further including a plurality of control rod drive mechanism housings projecting upward through the vessel head into which control rod drive mechanism are periodically inserted and withdrawn thereby causing a film of liquid coolant to be withdrawn therewith, whereby said liquid may drip from said control rod drive mechanism onto the pressure vessel, a reactor vessel stud thread protector comprising: a cap portion having a hole in its upper end for covering one or more stud bolts; a pan portion extending radially inwardly from the cap portion and having a wall portion which registers with the vessel head to form a drip pan for collecting said drips from said control rod drive mechanisms; and one or more closure screws for fastening the stud thread protector to one or more of said stud bolts, said closure screw passing through the hole in the cap portion and being threadingly received within an internally threaded upper portion of the vertical bore. 10. The combination as recited in claim 9, wherein the cap portion is configured of a generally cylindrical wall disposed over a single stud bolt. 11. The combination as recited in claim 9, wherein said protector is configured of a curved box having rectangular cross-section disposed over a plurality of said bolts. 12. The combination as recited in claim 11, wherein the protector is disposed over at least five of said stud bolts. 13. The combination as recited in claim 9, wherein the lower end of the reactor vessel stud thread protector is adapted to be sealingly engaged against an upper surface of the closure head. 14. The combination as recited in claim 9, wherein the stud thread protector is made of stainless steel. 15. The combination as recited in claim 14, wherein the wall portion of the stud thread protector is between about 1/16 to 1/8 of an inch in thickness. |
claims | 1. A method for cooling component cooling water in a nuclear power plant, the method comprising:providing a containment vessel defining containment space housing a nuclear reactor, a containment enclosure structure surrounding the containment vessel, and an annular water reservoir formed between the containment vessel and containment enclosure structure containing water to provide a heat sink for dissipating thermal energy;immersing a shell-less heat exchanger including heat transfer tube bundle in the water within the annular water reservoir; andcirculating heated component cooling water from the plant through the tube bundle; andcooling the heated component cooling water by transferring heat to the annular water reservoir. 2. The method of claim 1, wherein the tube bundle is comprised of a plurality of heat transfer tubes exposed directly to the water in the annular water reservoir. 3. The method of claim 2, wherein the tube bundle is U-shaped. 4. The method of claim 3, wherein a bottom end of the tube bundle is spaced vertically apart from a bottom of the annular water reservoir. 5. The method of claim 3, wherein the tube bundle is vertically oriented. 6. The method of claim 3, wherein the tube bundle is connected to a tube sheet supported by a channel defining an inlet flow chamber and an outlet flow chamber each fluidly coupled to the tube bundle. 7. The method of claim 6, wherein:the channel is structurally supported and restrained inside the annular water reservoir, andthe tube bundle is hung from the channel and unrestrained to grow and contract in length under thermal expansion. 8. The method of claim 6, further comprising in sequence flowing heated component cooling water into the inlet flow chamber, flowing the heated component cooling water through each tube of the tube bundle which cools the heated component cooling water producing cooled component cooling water, and flowing the cooled component cooling water into the outlet flow chamber. 9. The method of claim 8, further comprising a partition plate disposed in the channel which divides the channel into the inlet and outlet flow chambers. 10. The method of claim 2, further comprising positioning a discharge sparger below the tube bundle in the annular water reservoir, extracting water from the annular water reservoir with a pump in a recirculation piping loop fluidly coupled to the annular water reservoir, pumping the extracted water through the recirculation piping loop, and discharging the extracted water through the sparger and the tube bundle in the annular water reservoir for cooling the heated component cooling water. 11. The method of claim 10, wherein the sparger forms part of a pumped recirculation system fluidly coupled to the annular water reservoir. 12. The method of claim 1, further comprising flowing the component cooling water through a cooling water piping loop in the plant which is fluidly coupled to the heat exchanger for conveying the component cooling water to and from the heat exchanger. 13. The method of claim 12, further comprising recirculating component cooling water through the cooling water piping loop between the annular water reservoir and equipment in the plant. 14. The method of claim 13, further comprising pumping the component cooling water through the cooling water piping loop via at least one pump fluidly coupled to the cooling water piping loop. 15. The method of claim 1, further comprising a plurality of substantially radial fins protruding outwards from the containment vessel and located in the annular water reservoir, and further comprising a step of locating the heat exchanger in one of a plurality of bays formed in the annular water reservoir between spaced apart adjacent fins. 16. The method of claim 15, wherein the fins are obliquely oriented to the containment vessel. 17. The system of claim 15, wherein the heat exchanger is positioned in a location within the annular water reservoir which is in proximity to an inlet from a reservoir makeup water supply system that discharges water into the annular water reservoir thereby replacing water lost by evaporation. 18. The method of claim 17, wherein the inlet from the reservoir makeup water supply system and the heat exchanger are located in the same bay. 19. A method for cooling component cooling water in a nuclear power plant, the method comprising:providing a containment vessel defining containment space housing a nuclear reactor, a containment enclosure structure surrounding the containment vessel, and an annular water reservoir formed between the containment vessel and containment enclosure structure containing water to provide a heat sink for dissipating thermal energy;immersing a shell-less heat exchanger including heat transfer tube bundle in the water within the annular water reservoir, the tube bundle being exposed and wetted directly by the water;providing a recirculation piping loop fluidly coupled to the annular water reservoir at an inlet and an outlet;extracting water from the annular water reservoir with a pump in the recirculation piping loop;discharging the extracted water through the a sparger located below the tube bundle in the annular water reservoir, the sparger configured to discharged the extracted water upwards through the tube bundle;circulating heated component cooling water from the plant through the tube bundle; andcooling the heated component cooling water by transferring heat to the annular water reservoir. 20. A method for cooling component cooling water in a nuclear power plant, the method comprising:providing a containment vessel defining containment space housing a nuclear reactor, a containment enclosure structure surrounding the containment vessel, an annular water reservoir formed between the containment vessel and containment enclosure structure containing water to provide a heat sink for dissipating thermal energy, and a plurality of substantially radial fins protruding outwards from the containment vessel into the annular water reservoir to define a plurality of bays;immersing a shell-less heat exchanger in the water of a first bay within the annular water reservoir, the heat exchanger including heat transfer tube bundle having a plurality of bare tubes exposed directly by the water;providing a recirculation piping loop fluidly coupled to the annular water reservoir, the recirculation piping loop including an inlet fluidly coupled to a second bay of the annular water reservoir and an outlet including a sparger fluidly coupled to the annular reservoir and immersed in the water in the first bay;pumping water from the annular water reservoir in the recirculation piping loop between the first and second bays;circulating heated component cooling water from the plant through the tube bundle; andcooling the heated component cooling water by transferring heat to the annular water reservoir. |
|
abstract | Fuel bundles for a nuclear reactor are disclosed, and in some embodiments include a first fuel element including thorium dioxide; a second fuel element including uranium having a first fissile content; and a third fuel element including uranium having a second fissile content different from the first fissile content. Nuclear reactors using such fuel bundles are also disclosed, including pressurized heavy water nuclear reactors. The uranium having the different fissile contents can include combinations of natural uranium, depleted uranium, recycled uranium, slightly enriched uranium, and low enriched uranium. |
|
summary | ||
052079791 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention is discussed below on the basis of detailed examination of the properties of burnable poisons such as gadolinia. The result of such studies will be explained next. As well as gadolinium, other usable burnable poisons are hafnium, samarium, cadmium and europium. Burn-up dependency of core average axial power peaking in the first half of the operation cycle results primarily from the following two factors. Generally, several groups of fuel assemblies having different amounts of nuclear fission products and different amounts of burnable poisons exist together in the core. In a core which has experienced several cycles of operation, grouping of the fuel assemblies can be made by the number of operation cycles in which they stay inside the core. Hereinafter, the group of fuel assemblies having the greatest amount of nuclear fission products and the greatest amount of burnable poisons will be referred to as the "first group" and those groups of fuel assemblies which hardly contain the burnable poisons are put in order in accordance with the amount of the nuclear fission products contained therein and will be referred to as the "second and third groups", and so forth. Immediately before the start of operation of a certain operation cycle (after reloading of the fuel), the fuel assemblies of the first group are generally fresh fuel assemblies (exposure of 0 GWd/st) which have not yet experienced operation. The fuel assemblies of the second group have experienced one operation cycle and those of the third group two operation cycles. In a boiling water reactor, in which there are loaded fresh fuel assemblies having fuel rods of axial gadolinia distribution and number distribution shown in FIG. 10, FIG. 9 shows how the contribution of the fuel assemblies of each group to the relative power of the lower part of the core changes with burn-up. The solid line represents the characteristics of the fuel assemblies of the first group, one-dot-chain line represents those of the fuel assemblies of the second group and the dash line those of the fuel assemblies of the third group. FIG. 11 shows the change of the axial power peaking for the fuel assembly containing the gadolinia-containing fuel rods shown in FIG. 10. The axial power peaking is high at the initial stage of the operation cycle. The fuel assembly having the gadolinia-containing fuel rods shown in FIG. 10 is not a prior art example but is conceived by the inventors of the present invention in order to make it easier to understand application of the concept shown in FIGS. 1 and 2 of U.S. Pat. No. 5,008,070. In the core of a boiling water reactor, the effective H/U (hydrogen-to-uranium ratio) is different between the upper and lower portions of the core in the axial direction during operation. This arises because the void fraction changes over the height of the core. Since H/U is large and the neutron energy spectrum is soft at the lower portion of the core, the change of the neutron infinite multiplication factor with burn-up is steeper in the lower portion of the core (represented by the solid line in FIG. 12) than in the upper portion (represented by dash line in FIG. 12). In the fuel assembly of the first group (defined above), the neutron infinite multiplication factor increases as the gadolinia burns and since the increase is steeper in the lower region than in the upper region, the relative power in the lower region becomes gradually higher. After the gadolinia in the lower region has burnt up more rapidly, the neutron infinite multiplication factor starts dropping with burn-up of the nuclear fission materials. Accordingly, the relative power of the lower region starts dropping at this time. On the other hand, since the fuel assemblies of the second and third groups have previously experienced operation and do not contain gadolinia, their neutron infinite multiplication factor decreases monotonously. In the fuel assemblies of the second and third groups, too, the rate of decrease of the neutron infinite multiplication factor is higher in the lower region having large H/U and the relative power of the lower region drops gradually. The relative output of the lower region shown in FIG. 9 is determined by how much the reactivity is higher in the lower region of the fuel assembly of each group than in the upper region and how much the fuel assemblies of each group share the power in the core as a whole. The reason why the axial power peaking drops rapidly in the lower region of the core at the initial stage of the operation cycle is the rapid drop of the relative power in the lower region of the fuel assembly of the second group having the highest reaction rate at this stage. The first factor that causes the change of the axial power peaking with respect to the exposure is that a difference occurs in the changes of the neutron infinite multiplication factor in the upper and lower regions of the core due to the variation of H/U with the axial location in the core, and that the power sharing between the fuel assemblies of each group changes with burn-up inside the core. The change of the relative power in the lower region for each of the fuel assemblies of the second and third groups forms generally a concave curve. The relative power p of the lower region is the product of the power sharing ratio s of the fuel assembly of each group and the power peaking r in the axial direction in the lower region when normalized for each group. The power peaking r in the axial direction is a decreasing function of core exposure E when the axial power distribution is not extremely upwardly skewed. The power sharing ratio s in the decreasing function of the core exposure E in the second and third groups. Assuming that the axial power peaking r and the power sharing ratio s decrease linearly: EQU r=-a.E+b (1) EQU s=-c.E+d (2) (where a, b,c and d are constants, and a>0, c>0). The following relation can then be derived: EQU p=r.s=ac.E.sub.2 -(ad+bc)E+b.d (3) Therefore, it is a general phenomenon that in the fuel assemblies of the second and third groups, the exposure dependency curve of the relative power in the lower region is concave. In comparison with the characteristics described above, if the relative power in the lower region of the fuel assembly of the first group is sufficiently small and forms a convex curve at the initial stage of the operation cycle, the axial power peaking in the lower region can be kept constant in the core as a whole. In the conventional fuel assembly, however, the fuel assembly of the first group, too, shows a concave-shaped curve of relative power on the lower region at the initial stage of the operation cycle as shown in FIG. 9. This is because when H/U is large, the curve of the neutron infinite multiplication factor becomes concave in the lower region at the initial stage of the operation cycle as shown in FIG. 12. Incidentally, the curve of the neutron infinite multiplication factor for the upper region having H/U as small as 3 is almost linear at the initial stage of the operation cycle. FIG. 13 shows the change of the neutron absorption ratio of gadolinia with respect to the exposure separately for Gd-155 and Gd-157 which have large neutron absorption cross sections. Natural gadolinium contains substantially equal amounts of Gd-155 and Gd-157. The microscopic absorption cross section of Gd-157 for thermal neutrons is at least four times that of Gd-155. Therefore, the major proportion of the thermal neutrons are absorbed by Gd-157. There is thus an effect as if Gd-155 were shielded from neutrons by Gd-157. Neutron absorption by Gd-155 increases gradually with the decrease of Gd-157. After the neutron absorption rate of Gd-155 reaches almost the same level as that of Gd-157, both Gd-155 and Gb-157 decrease. Therefore, the neutron absorption curve as the sum of the effects of Gd-155 and Gd-157 is convex at first and concave later as represented by the solid line in FIG. 13. Due to this shielding effect between Gd-155 and Gd-157, the curve of the neutron infinite multiplication factor is concave at the initial stage of the operation cycle particularly when H/U is large and the neutron spectrum is soft. This phenomenon is the second factor that causes the change of the axial power peaking with respect to the exposure. These first and second factors occur in both fuel assemblies shown in FIGS. 2A and 3A of U.S. Pat. No. 4,587,090. It has been found that, due to the first and second factors described above, the axial power peaking tends to be high at the initial stage of the operation cycle. On the basis of the analysis described above, the present inventors of the present invention have reached the concept that the axial power peaking of the core at the initial stage of the operation cycle can be reduced and its change can be stabilized by mitigating the concavity of the neutron infinite multiplication factor curve for the fuel assembly of the first group or by making the curve convex particularly in the lower region of the fuel assembly. As shown in FIG. 13, the period in which the change of the neutron absorption rate by Gd-155 is not monotonous is before the mid-point of the time during which gadolinia in the assembly is almost burned out. To adjust the neutron absorption during this initial period, burnable poison which is burnt out during this period must be used. It is effective to use a burnable poison having a neutron absorption cross section at least equal to that of gadolinia. For this reason, in at least one fuel rod in the lower region of the core there is a low concentration of gadolinia, and the concentration of the low concentration gadolinia is preferably smaller than 1/2 of the average gadolinia concentration at the lower region of other gadolinia-containing fuel rods. FIG. 14 shows the relation between the neutron absorption rate of gadolinia and the exposure taking H/U as a parameter. The greater the value of H/U and the softer the neutron spectrum, the greater becomes non-monotony of the change of the neutron absoprtion rate for Gd-155 (i.e. the peak in FIG. 14 for Gd-155 is sharper). Therefore, the greater the value of H/U, the greater is the necessary degree of adjustment. At H/U of about 3, for example, no adjustment is necessary but at H/U of about 6, the neutron absorption rate at the initial stage of the operation cycle must be increased at least 2%. The greater the value H/U, the greater becomes the effect of adjustment achieved by the low concentration gadolinia. So the change of reaction rate in the upper and lower regions with respect to the exposure can be improved in a desired direction by use of fuel rods containing uniform low concentration gadolinia in the axial direction. When the number of gadolinia-containing fuel rods changes at different axial positions, the arrangement must be such that the number of the fuel rods containing the low concentration gadolinia does not become smaller in the region where effective H/U during operation is great. In the fuel assembly shown in FIG. 1 of Japanese Laid-Open Patent Specification No. 149588/1988, the fuel rods containing gadolinia in a high concentration and the fuel rods containing gadolinia in a low concentration are disposed adjacent to one another in the rows and columns of the array of fuel rods parallel to the side surfaces of the lower tie plate. If two gadolinia-containing fuel rods are disposed adjacent to each other, mutual interference occurs and the neutron absorption rate drops. From the viewpoint of power peaking restriction, it is undesirable to locate the fuel rod containing low concentration gadolinia adjacent to other gadolinia-containing rods. In consideration of above, the present inventors have found that the mitigation effect of concavity of the neutron infinite multiplication factor curve can be fully exhibited in a fresh fuel assembly (0 GWd/t) by not disposing fuel rods containing low concentration burnable poison (particularly those fuel rods which contain the low concentration burnable poison in the lower region) and fuel rods containing the high concentration burnable poison adjacent to one another in the fuel rod array. Instead these burnable poison-containing fuel rods are arranged in such a manner that fuel rods not containing burnable poison are interposed between them in the fuel rod array in the direction of the rows and columns. Consequently the rods containing burnable poison are adjacent to one another in the array only diagonally. In other words, since the distance between the fuel rods containing the burnable poison in a low concentration and the fuel rods containing the burnable poison in a high concentration is greater, the interference effect described above can be reduced or avoided. Hereinafter, specific embodiments of the present invention based on the studies described above will be explained. A first fuel assembly embodying the present invention, which is applied to a boiling water reactor will be described with reference to FIGS. 1 to 3. The fuel assembly 11 of this embodiment includes an upper tie plate 12 having a handle, a lower tie plate 13, a plurality of fuel rods 14, a plurality of fuel spacers 15 and two water rods W. The upper end of each of the fuel rods 14 and water rods W is supported by the upper tie plate 12 and its lower end is supported by the lower tie plate. Each fuel rod 14 contains fuel pellets of uranium dioxide. The fuel spacers 15 support each fuel rod 14 in such a manner as to maintain a predetermined width of the gaps between the fuel rods 14. A channel box 16 is fitted to the upper tie plate 12 and encompasses the bundle of fuel rods bundled by the fuel spacers 15. The fuel rods 14 are arranged in an 8-row by 8-column rectangular grid. In this rectangular grid arrangement of fuel rods, the lines of the fuel rods juxtaposed with one another in a certain direction (X--X direction in FIG. 1) are parallel with the side surface of the lower tie plate 13. The lines of fuel rods juxtaposed with one another in the direction orthogonal to this X--X direction (Y--Y direction shown in FIG. 1) are parallel with the other side surface of the lower tie plate 13. The cross-sectional shape of the lower tie plate at its portion for supporting the fuel rods is substantially equal to the shape defined by the inner surface of the channel box 16 and is rectangular. Two water rods W are disposed at the center of the cross-section of the fuel assembly and moreover, adjacent to each other on a diagonal line connecting an opposite pair of corners of the lower tie plate 13. Seven kinds of fuel rods 6-10, G1 and G2 are used for the fuel rods 14. Their arrangement can be seen in FIG. 1. The distribution of fuel enrichment and gadolinia concentration of these fuel rods in the axial direction is shown in FIG. 2. Natural uranium is packed in a lower end region which is 1/24 of the fuel effective length portion of the rods and in an upper end region of 1/24 of the fuel effective length portion. In FIG. 2 the hatched region represents these natural uranium regions. The term "fuel effective length portion" means the region where the fuel pellets are packed or in other words, the region where the nuclear fuel material is packed. The fuel rods 6-10 do not contain gadolinia. The fuel rods G1 and G2 contain gadolinia. The mean gadolinia concentration of each fuel rod G2 is 1.0 wt % and is smaller than that (4.5 wt %) of each fuel rod G1. In the fuel rods 6-10, G1 and G2, the range of 1/24 to 23/24 of the full length of the fuel effective length portion from the lower end of the fuel effective length portion is the enriched uranium package area. In the fuel rods 6,8,9,10,G1 and G2, enrichment in the axial direction in the enriched uranium package area is uniform. Enrichment in their enriched uranium package areas is 3.9 wt % for the fuel rod 6, 3.4 wt % for the fuel rod 8, 2.9 wt % for the fuel rod 9, 2.0 wt % for the fuel rod 10, 3.4 wt % for the fuel rod G1 and 3.4 wt % for the fuel rod G2. Enrichment of the fuel rod 7 in its enriched uranium region is 2.9 wt % within the range 1/24-11/24 of the full length of the fuel effective length portion in the axial direction from its lower end and 3.9 wt % within the range of 11/24-23/24 the full length of the effective fuel length portion in the axial direction. The gadolinia concentration distribution in the fuel rods G1 and G2 is uniform in the enriched uranium package areas as described above. The natural uranium package area does not contain gadolinia. The gadolinia is prepared from natural Gd. The gadolinia concentration of the two fuel rods G2 is the minimum concentration. The gadolinia concentration of the two fuel rods G2 is smaller than 1/2 of the mean gadolinia concentration of the other fuel rods G1 containing gadolinia. Enrichment and gadolinia concentrations described above are the values before the fuel assembly 11 is loaded into a core (at exposure of 0 GWd/t). This embodiment uses seven fuel rods G1 and two fuel rods G2. The two fuel rods G2 are disposed at positions adjacent the water rods W forming therein saturated water areas. The seven fuel rods G1 are aligned in the second rows and columns from the outermost periphery but are not arranged adjacent to the water rods W and to the channel box 16. The channel box 16 defines cooling water paths inside the fuel assembly 11 and defines also water gaps (saturated water areas) between the fuel assemblies when they are loaded into the core. In other words, the fuel rods Gl are not disposed in the proximity of the saturated water areas formed when the fuel assemblies 11 are loaded into the core. FIG. 4 shows a conventional fuel assembly 17 used for explaining the effect of the present invention. This fuel assembly 17 includes the aforementioned kinds of fuel rods 6-10 and G1. These fuel rods are disposed at the same positions as in the fuel assembly 11 of FIG. 1 except at the positions of the fuel rods G2 of the fuel assembly 11. Fuel rods 8 are disposed at the positions of the fuel rods G2. FIG. 5, too, shows another conventional fuel assembly used for explaining the effect of the present invention. This fuel assembly 18 applies the concept disclosed in Japanese Laid-Open Patent Specification No. 149588/1988 and uses all the fuel rods shown in FIG. 2. The fuel rods G1 and G2 are adjacent to one another in rows and columns of the array parallel to the side surfaces of the lower tie plate 13. FIG. 6 shows the relation between the neutron infinite multiplication factor and exposure in the operation cycle for the fuel assemblies 11, 17 and 18 starting in the fresh state (exposure 0 GWd/t). The characteristics are represented by the difference of the change ratios of the neutron infinite multiplication factor between when the void fraction is 0% and when it is 70%. In the boiling water reactor, the void fraction is from 0 to 20% in the lower region of the core and from 50 to 70% in its upper region. The neutron infinite multiplication factor of the upper region changes linearly as represented by dash line in FIG. 12. Therefore, the characteristics shown in FIG. 6 can be said as representing how much higher the neutron infinite multiplication factor is in the lower region of the core than in its upper region. When the characteristics change little and linearly, the axial power distribution of the core changes monotoneously and is relatively stable even when the exposure increases. In the fuel assembly 17 of FIG. 4, the change ratio of the neutron infinite multiplication factor changes from 4.0% to 3.0% at the initial stage of the operation cycle (0 to 2 GWd/st). It changes from 3.2% to 2.5% in the fuel assembly 18 of FIG. 5. The fuel assembly 11 of FIG. 1 embodying the invention changes at 2.5% on an average with the maximum being 2.6% and minimum being 2.4%. In comparison with the fuel assemblies 17 and 18, the fuel assembly 11 of this embodiment can more stabilize the power distribution in the axial direction at the initial stage of the operation cycle than the fuel assemblies 17 and 18. The small value of the change ratio of the neutron infinite multiplication factor means that the difference of the neutron infinite multiplication factors is small between the lower region and the upper region or, in other words, axial power peaking is small. Accordingly, the axial power peaking at the initial stage of the operation cycle is greatly reduced in the fuel assembly 11 compared with the fuel assemblies 17 and 18. The reason for this small improvement of the fuel assembly 11 of FIG. 1 over the fuel assembly 18 having the same fuel rods G1 and G2 will be explained. Immediately after the start of the operation of a certain operation cycle (near 0 GWd/st), the degree of improvement of the fuel assembly 18 over the fuel assembly 17 is about 3/5 of that of the fuel assembly 11 over the fuel assembly 17. This is because the change of the thermal neutron flux due to the void fraction is small at the positions of the fuel rods G2 adjacent to the fuel rods G1 having a higher gadolinia concentration as described already. In order to restrict the reaction rate at the low void fraction by the fuel rods G2, therefore, it is preferred to position fuel rods not containing the burnable poison between the fuel rods G1 and the fuel rod G2 as they are in this embodiment. In this embodiment, the tendency that the neutron infinite multiplication factor is the same in the upper and lower regions is stronger than in the fuel assembly 18 and the effect of mitigating the degree of concavity of the neutron infinite multiplication factor curve for the lower region becomes greater than in the fuel assembly 18. In other words, since the function of gadolinia of the fuel rods G2 can be exhibited fully, the degree of concavity that occurs at the initial stage of the operation cycle due to the characteristics of the neutron absorption ratio of Gd-155 in the fuel rod G1 can be sufficiently compensated for. FIG. 7 shows the change of the neutron infinite multiplication factor when the lower regions of the fuel assemblies 11 and 17 of FIGS. 1 and 4 are burnt up at the void fraction 0%. The fuel assemblies 11 and 17 have lower mean enrichment and softer neutron spectra than the fuel assembly 20 of FIG. 15 to be described later. Therefore, the shielding effect of the thermal neutrons by gadolinia itself which is a strong neutron absorber is great and the tendency that the reaction rate of gadolinia is restricted at the initial stage of the operation cycle is remarkable. The fuel assembly 11 of this invention provides a neutron infinite multiplication factor curve which changes substantially linearly to the exposure as represented by the solid 1 line in FIG. 7 by compensating for the reduction of the absorption rate by using the fuel rods G2. The characteristics of the fuel assembly 18 of FIG. 5 are not shown in FIG. 7; at the initial stage of the operation cycle, however, its characteristics shift to a mid-level between that of the fuel assembly 17 and that of the fuel assembly 11. FIG. 8 shows the change of the average axial power peaking of the core into which the fuel assembly 11 of FIG. 1 is loaded. For comparison, the drawing shows also the characteristics of the core into which the fuel assembly 17 of FIG. 4 is loaded. Each of these cores consists of 764 fuel assemblies, of which 212 are replaced by fresh fuel assemblies whenever one operation cycle is carried out. The control rod insertion pattern is constant from the initial stage of the operation cycle to the core average exposure of 5 GWd/st in the cycle and the operation conditions such as the re-circulating flow rate are the same for both cores in order to compare the burn-up characteristics. In the core into which the fresh fuel assembly 17 is loaded, the reaction rate of the lower region of the fresh fuel assembly 17 is considerably higher than that of the upper region at the initial stage of the operation cycle. Therefore, power peaking in the lower region of the core is extremely high. In contrast, when the fresh fuel assembly 11 of this invention is used, the reaction rate at the initial stage of the operation cycle is restricted by the rods of low concentration gadolinia of 1.0 wt %, and this effect is particularly strong in the lower region of the core having a low void fraction. Accordingly, the difference of the reaction rates between the upper and lower regions is relatively small. For this reason, the axial power peaking of the lower region of the core at the initial stage of the operation cycle is smaller by about 5% and the change of the axial power distribution with burn-up is smaller. The axial power peaking of the core to which the fuel assembly 18 is loaded at the initial stage of the operation cycle is greater than that of the fuel assembly 11. The fuel assembly 11 has a smaller number of fuel rods than that of the fuel assembly 20 described later. Therefore, the permissible level of axial power peaking is smaller, at the same linear heat generation rate limit. Even after 1.0 wt % of gadolinia is burnt up, therefore, the number of the high concentration gadolinia-containing fuel rods (G1) that remain is the same in the upper and lower regions. The axial power peaking is about 1.2 throughout the operation cycle. Since low concentration gadolinia is also added to the upper region, in the fuel assembly 11 there can be obtained the effect that the reactor shut down margin at the initial stage of the operation cycle is improved by about 0.5% .DELTA.K in comparison with the reactor to which the fuel assembly 17 is loaded. Since the fuel rods G2 having the low gadolinia concentration are arranged adjacent to the water rods W, high absorption value is obtained and the axial power peaking is effectively reduced. The fuel assembly 20 of another embodiment of the present invention will be explained with reference to FIGS. 15 and 16. The fuel assembly 20 also has the structure shown in FIG. 3. Unlike the fuel assembly 11 of FIG. 1, the fuel assembly 20 is equipped with eight kinds of fuel rods 14 shown in FIG. 16. The fuel rods 14 are fuel rods 1-5 and G3-G5. These fuel rods are arranged in a 9-row by 9-column rectangular grid arrangement. In the fuel rods 1, 3-5 and G3-G5, natural uranium is loaded within the lowermost 1/24 and the uppermost 2/24 of the fuel effective length portion. In the fuel rods 1, 3-5 and G3-G5, the portion between the natural uranium package areas of the upper and lower end portions is the enriched uranium package area. Enrichment of the enriched uranium package area in each of the fuel rods 1, 4, 5 and G3, G4 G5 is 4.8 wt %, 3.6 wt %, 2.8 wt %, 3.7 wt %, 3.7 wt % and 3.7 wt % respectively, and is uniform in the axial direction. In the fuel rod 3, the enriched uranium package area is divided into upper and lower regions at the point 11/24 of the fuel effective length portion from the lower end, and enrichment is 4.8 wt % in the upper region and 4.1 wt % in the lower region. The fuel rods G3-G5 contain gadolinia in their enriched uranium package area. The fuel rod G4 contains 4.5 wt % of gadolinia throughout its entire enriched uranium package area. In the fuel rods G3 and G5, the enriched uranium package area is divided into upper and lower regions at the same position as the fuel rod 3. The fuel rod G3 contains 4.0 wt % of gadolinia in its upper region and 5.0 wt % of gadolinia in its lower region. The fuel rod G5 contains 4.0 wt % of gadolinia in its upper region and 2.0 wt % of gadolinia in its lower region. The upper end of the fuel effective length portion of the fuel rod 2 is at the point 15/24 of the fuel effective length from the lower end thereof. The length of the fuel rod 2 in the axial direction is smaller than those of the other fuel rods. All the fuel rods 2 which have uniformly 4.8 wt % of enrichment are located in a second column or row from the outermost periphery. The gadolinia described above is prepared from natural Gd containing almost equal amounts of Gd-155 and Gd-157. The enrichment distribution and the gadolinia concentration distribution shown in FIG. 16 represent those of a fresh fuel assembly 20 having an exposure of 0 GWd/t. Two water rods W1 are arranged at the centre of the cross-section of the fuel assembly and are, adjacent to each other on a diagonal line connecting a pair of opposite corners of the lower tie plate 13. The outer diameter of each water rod W1 is greater than the fuel rod pitch. The two water rods W1 together occupy the area where seven fuel rods 14 could be disposed at their standard pitch. In other words, seven fuel rods 14 are excluded by the disposition of the two water rods W1. A fuel assembly having such an arrangement of water rods is shown in FIGS. 1, 7 and 8 of Japanese Laid-Open Patent Specification No. 217186/1987. In the fuel assembly 20, the gadolinia content can be expressed as 4.0 wt %.times.15 rods=60 in the upper region and (5.0 wt %.times.8)+(4.0 wt %.times.3)+(2 wt %.times.4) =60 in the lower region. Thus the average gadolinia content per rod containing gadolinia is the same in the upper and lower regions. The fuel rods G3-G5 are divided into upper and lower regions, and the maximum gadolinia concentration (5.0 wt %) and minimum gadolinia concentration (2.0 wt %) in the gadolinia-containing fuel rods are both in the lower region. In the lower region of the fuel assembly 20, the average gadolinia concentration of the fuel rods G3 and G4, i.e. excluding the fuel rod G5 having the minimum gadolinia concentration, is 4.7 wt %. The gadolinia concentration of the lower region of the fuel rod G5 (the minimum gadolinia concentration) is thus less than 1/2 of the average gadolinia concentration of the rods G3 and G4 described above. The maximum and minimum gadolinia concentrations described above are not present in the enriched uranium package area in the upper region of the fuel assembly 20. The fuel rods G5 containing the minimum concentration of gadolinia are disposed adjacent to the water rods W1 to maximize the gadolinia value. To reduce the maximum linear heat generation rate, the mean enrichment in the lower region of the fuel assembly is smaller by 0.2 wt % than that of the upper region in this embodiment. FIG. 17 shows a fuel assembly 21 conceived for the purpose of explaining the effect of the embodiment of FIG. 15. The fuel assembly 21 of FIG. 17 is one to which the concept shown in FIG. 10 is applied. This fuel assembly 21 includes the fuel rods 1-5, G3 and G4. The arrangement of these fuel rods is the same as that of the fuel assembly 20. The fuel assembly 21 uses the fuel rods G6 in place of the fuel rod G5. In the fuel rod G6, the gadolinia concentration in the lower region is 0 wt % as shown in FIG. 18. FIG. 19 shows comparatively the changes of the neutron infinite multiplication factors when the lower region of the fuel assembly 20 of FIG. 15 and that of the fuel assembly 21 of FIG. 17 are burnt at the void fraction of 0%, respectively. Since the neutron absorption by gadolinia is not sufficiently strong at the initial stage of the operation cycle in the lower region of the fuel assembly 21, the neutron infinite multiplication factor shows a concave curve. In contrast, in the lower region of the fuel assembly 20 of FIG. 15, since low concentration gadolinia is present (the fuel rods G5 is used), the neutron infinite multiplication factor rises almost linearly with respect to exposure at the initial stage of the operation cycle. FIG. 20 shows the axial power peaking in the core to which the fuel assembly 20 is loaded. For comparison, the characteristics of the core to which the fuel assembly 21 is loaded are also shown. The core consists of 764 fuel assemblies and 228 of them are replaced by fresh ones for each operation cycle. To eliminate the influences of the control rod insertion pattern and the re-circulating flow rate on the power distribution, the characteristics are compared with each other under the same operational conditions. In the core in which the fuel assembly 21 is loaded, since the reaction rate is high in the lower region of the fresh fuel assembly 21 at the initial stage of the operation, the power peaking of the lower region becomes extremely high in the same way as in the fuel assembly 17. In the fuel assembly 21, the number of the gadolinia-containing fuel rods is smaller in the lower region than in the upper region. Since the power in the lower region is great in the first half of the operation cycle in the core in which this fuel assembly 21 is loaded, the core average void fraction is high and the power of the lower region of the core becomes smaller at the final stage of the operation cycle so that the core average void fraction becomes low. Fuel economy can be improved by such an operation. To further improve this effect, the number of the gadolinia-containing fuel rods in the lower region of the fuel assembly is reduced, so that the axial power peaking at the very early stage of the operation cycle becomes extremely high as shown in FIG. 20. This causes an increase in the linear heat generation rate of the fuel rods and cannot be permitted from the viewpoint of integrity of the fuel. In the core to which the fuel assembly 20 of this embodiment is loaded, the reaction rate in the lower region of the fresh fuel assembly 20 is low at the initial stage of the operation cycle and hence, a high axial power peaking does not occur in the lower region of the core, as represented by solid line in FIG. 20. Furthermore, since the neutron infinite multiplication factor in the lower region of the fresh fuel assembly 20 changes almost linearly with respect to the exposure as shown in FIG. 19, the ratio of the reactivity between the upper and lower regions can be kept constant in the first half of the operation cycle. Accordingly, the change of the axial power peaking in the first half of the operation cycle is stable. The reason why axial power peaking can be kept stable at the lower region where the linear rise of the neutron infinite multiplication factor can be accomplished in the early stage of the operation cycle is because the fuel rods G5 having the lowest gadolinia concentration exist and they are not located adjacent to the fuel rods G3 or G4 having a higher gadolinia concentration in the rows or columns of the fuel rod array. The fuel rods G5 are adjacent the fuel rods G3 or G4 in the diagonal directions of the array (V--V direction). The distance between the fuel rods that are adjacent to one another in the V--V direction is greater than the distance between the fuel rods adjacent to one another in the X--X or Y--Y direction, i.e. the pitch of the fuel rods disposed in the rectangular grid form. Therefore, the fuel rods G5 are not affected by the fuel rods G3 or G4 and can reduce reactivity at a low void fraction state. This embodiment can reduce more greatly the axial power peaking at the initial stage of the operation cycle than when the fuel rods G5 are disposed adjacent to the fuel rods G3 or G4 in the X--X direction (or in the Y--Y direction) by applying the concept of Japanese Laid-Open Patent Specification No. 149588/1988 to the fuel assembly 20, and can reduce its variation as well. After the gadolinia (2.0 wt %) is rapidly burnt up in the lower region of the fuel rods G5, the number of gadolinia-containing fuel rods is effectively 15 in the upper region and 11 in the lower region so that the reactivity ratio between the upper and lower regions becomes analogous to that of the fuel assembly 21. In other words, the axial power peaking and the core average void fraction can be kept high from this point of time. At the final stage the axial power peaking increases in the upper region of the core and the core average void fraction decreases. When the fuel assembly 20 is used as described above, the effect of a spectral shift can be obtained, as with the fuel assembly 21. In the core in which the fuel assembly 21 is loaded, the maximum linear heat generation rate during the operation cycle reaches 14 kw/ft, whereas it can be limited to about 12 kw/ft in the core to which the fuel assembly 20 of this embodiment is loaded. In the core in which the fuel assembly 20 is loaded, the spectral shift effect is smaller than that of the core in which the fuel assembly 21 is loaded. For this reason, fuel economy is slightly lower. Under this thermal limitation, however, the embodiment realizes maximum fuel economy by the optimum control of the axial power distribution, as shown in FIG. 20. The natural uranium regions disposed at the upper and lower end portions of each fuel rod have the effect of reducing the neutron leakage and improve fuel economy. In this embodiment the fuel rods 14 are arranged in a ring from the outer periphery of the fuel assembly to its third layer inwards in such a manner as to encompass the two water rods W1. The two water rods W1 are disposed at the centre of the cross-section of the fuel assembly and in a centre region where the fuel rods 14 would be arranged in a 3-row by 3-column matrix. The thickness of the two water rods W1 is such that they can be disposed in the centre region described above. Accordingly, though the two water rods W1 are present, two fuel rods 14 can be disposed in this centre region one on each side of the water rods W1 and in the direction which is at right angles to the line connecting the centres of the two water rods W1. Therefore, the number of fuel rods 14 excluded by the water rods is seven as described already, and the fuel inventory that can be loaded can be increased by one fuel rod. Since the two water rods W1 are disposed at the centre of the fuel assembly 20, it is possible to moderate well the nuclear fission neutrons occurring at the centre of the fuel assembly 20, to increase the thermal neutron flux at the centre of the fuel assembly 20 and to make flat the thermal neutron flux distribution inside the fuel assembly 20. The arrangement of the fuel rods 14 in the fuel assembly 20 and that of the water rods W1 do not much deviate from the arrangement of symmetry of rotation with respect to the centre of the fuel assembly 20. Therefore, the fuel rods 14 having equal enrichment can be disposed at positions which are substantially the positions of symmetry of rotation. Furthermore, since fuel rods 2 having a small length in the axial direction are used, the pressure loss drops at the upper end portion of the fuel assembly and the reactor shut down margin can further be improved. Furthermore, this embodiment can obtain the effects brought forth by the embodiment described in the paragraphs from page 20, line 10 to page 32, line 4 and in FIGS. 1 and 2 of U.S. Pat. No. 5,008,070. In comparison with the embodiment of this U.S. Pat. No. 5,008,070, the fuel assembly 20 of the present embodiment can reduce the axial power peaking at the initial stage of the operation cycle. The fuel assembly disclosed in FIGS. 3A and 3B of U.S. Pat. No. 4,587,090 brings the problems described on page 27, line 12 to page 28, line 15 of this specification. The use of the fuel assembly 20 eliminates such problems. In this embodiment, it is possible to change the arrangement of the fuel rods G3, G4 and G5 and to dispose the fuel rods G5 and G3 or G4 in such a manner as to interpose those fuel rods which do not contain gadolinia between them. In the fuel assembly 10, the fuel rods G1 and G2 may be disposed inside the fuel rod arrangement in the V--V direction. The fuel assembly of still another embodiment of the present invention will be explained with reference to FIG. 21. The fuel assembly 22 in this embodiment includes the same fuel rods 1-5 and G3-G5 as the fuel assembly 20. The difference of this fuel assembly 22 from the fuel assembly 20 resides in that one fuel rod G5 is omitted and one extra fuel rod G4 is included instead. Therefore, the gadolinia content, expressed in the manner given above, in the upper region of the fuel assembly 22 is 60 and it is 62 in the lower region. The minimum gadolinia concentration in this embodiment is 2.0 wt % in the lower region of the fuel rods G5. This value is smaller than 1/2 of the mean gadolinia concentration of the fuel rods G3 and G4 in the lower region of the fuel assembly 20. The fuel rods G5 are adjacent to the fuel rods G3 or G4 only in the V--V direction. The fuel assembly 22 of this embodiment provides the same effect as that of the fuel assembly 20. However, the spectral shift effect is somewhat reduced in this embodiment in comparison with the fuel assembly 20 of FIG. 15 because the gadolinia content of the lower region is somewhat greater than that of the upper region. At the point of time when 2.0 wt % of gadolinia is burnt up, the number of gadolinia-containing fuel rods is 15 in the upper region and 12 in the lower region. The difference of the number of gadolinia-containing fuel rods between the upper and lower regions in this embodiment is smaller than that of the fuel assembly 20. Therefore, in the intermediate stage of the operation cycle where gadolinia at the portion having the minimum gadolinia concentration is burnt up, the reactivity in the lower region is less than that of the fuel assembly 20. This means that the axial power peaking of the core to which the fuel assembly 22 is loaded becomes smaller than that of the core to which the fuel assembly 20 is loaded. The fuel assembly of another embodiment of the present invention will be explained with reference to FIG. 22. The fuel assembly 23 of this embodiment includes the fuel rods 1-5, G3, G4 and G6 described above and also fuel rods G7. The fuel rod G7 is produced by changing the gadolinia concentration in the lower region of the fuel rod G5 from 2.0 wt % to 1.0 wt %. The minimum gadolinia concentration in this embodiment is 1.0 wt % in the lower region of the fuel rod G7. This value is smaller than the mean gadolinia concentration of the fuel rods G3, G4 and G6 in the lower region of the fuel assembly 23. The fuel rods G7 are adjacent to the fuel rods G3, G4 and G6 only in the V--V direction. The gadolinia content, expressed as above, in the upper region of the fuel assembly 23 is 68 and is lower than the value 58 of the gadolinia content in the lower region. The fuel assembly 23 of FIG. 22 can provide the same effect as the fuel assembly 20. The number of the gadolinia-containing fuel rods is 15 in the upper region and 14 in the lower region. Therefore, the reaction rate in the lower region at the initial stage of the operation cycle is greater than that of the fuel assembly 20. In the core to which the fuel assembly 23 of this embodiment is loaded, therefore, the axial power peaking in the lower region of the core at the initial stage of the operation cycle becomes greater than that of the core to which the fuel assembly 20 is loaded. For this reason, the spectral shift effect by the axial power shape control becomes greater and fuel economy becomes higher, too. In summary, the present invention can reduce the axial power peaking at the initial stage of the operation cycle and can restrict the change of the power peaking. |
abstract | Systems and process are provided to make X-ray radiographs sufficiently quantitative and standardized for bone and other biological material or non-biologic material density evaluations. The X-ray radiograph methodology and system provide a cost effective diagnostic tool that may be used with existing X-ray radiography sources already present in many clinics and hospitals to ultimately produce large volumes of scientifically valid data and useful diagnostic and prognostic information. A calibration bar is added to a conventional X-ray film cartridge and images thereof subsequently incorporated into radiographs for interpretation or a cartridge is designed to integrate a calibration function. The calibration standard affords a standard against which material density is measured. A software program is provided to interpret tissue densities (including bone) to ultimately identify values compared to preselected thresholds. |
|
050230468 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to the drawings, it is seen in FIG. 1-3 that the invention is generally referred to by the numeral 10. Drive unit 10 is generally comprised of housing 12, drive motor 14, spindle 16, and means 18 for gripping and rotating a fuel rod in response to rotation by drive motor 14. Housing 12 is essentially cylindrical in shape with its interior sized and adapted to receive spindle 16 and gripping and rotating means 18. Its first end is adapted to have drive motor 14 mounted thereon and also for mounting on additional related equipment to be further explained. Drive motor 14 may be of any type suitable for the application such as a synchronous stepping motor used in the preferred embodiment. Drive motor 14 is mounted at the first end of housing 12 through the use of adapter 74 and mounting plate 20 in conjunction with any suitable means such as bolting or welding for maintaining drive motor 14 in its mounted position. As best seen in FIG. 3, drive shaft 22 extends from drive motor 14 toward the interior of housing 12 where it is directly coupled to spindle 16 for rotation thereof in response to driving rotation by drive motor 14. Spindle 16 is cylindrical in shape and rotates on bearings 24. The outer races of bearings 24 are held in their installed position between shoulder 26 on the inner diameter of housing 12 and mounting plate 20. Spacer 28 between the races of bearings 24 serves to maintain bearings 24 in their proper position. Spindle 16 is restrained axially by the inner races of bearings 24 which abut shoulder 30 on spindle 16 and bearing locknut 32. Although not shown, a lockwasher may be used in conjunction with locknut 32. The forward end of spindle 16 is recessed and adapted to accept means 18 for gripping and rotating a fuel rod in response to driving rotation by drive motor 14. Means 18 is formed from a substantially donut shaped rubber bladder 34 having a single flanged port 36 that extends radially from rubber bladder 34. Flanged port 36 extends through a radial bore in spindle 16 and is locked in place by a threaded hollow plug 38. A washer may also be used between plug 38 and flanged port 36 to prevent twisting and deformation of flanged port 36 when plug 38 is threaded into spindle 16 and torqued down on flanged port 36. A set screw, not shown, may be used to prevent plug 38 from backing out of its installed position during operations. One end of rubber bladder 34, the rear side facing the interior of spindle 16 is constrained or prevented from moving longitudinally by first retaining ring 40. The opposite end of rubber bladder 34, the forward end facing exterior of spindle 16 and housing 12, is prevented from moving longitudinally by second retaining ring 42. Each retaining ring is provided with a central bore therethrough. The bore in first retaining ring 40 allows the end of fuel rod 44 to bear against plunger 46 which will be explained below. The bore in second retaining ring 42 is frustoconical in cross section and tapers inwardly toward rubber bladder 34. This serves as a lead-in for properly directing the end of fuel rod 44 through the center of rubber bladder 34 as seen in FIG. 3. Second retaining ring 42 is also provided with a thickened center portion or shoulder 48. It can be seen that rubber bladder 34 rotates in conjunction with spindle 16 in response to driving rotation by drive motor 14. Flanged port 36 rotates in annular space 50 between the inner diameter of housing 12 and the outer diameter of spindle 16. The interior 52 of rubber bladder 34 is thus in fluid communication with annular space 50 and is also in fluid communication with the atmosphere exterior to housing 12 or a fluid source such as compressed air by means of radial bore 54 in housing 12. Radial bore 54 may be of any suitable size but in the preferred embodiment is a 1/4 inch pipe tap convenient for attachment to a compressed air source not shown. In this manner, directing compressed air into interior 52 of rubber bladder 34 causes radial inward expansion of rubber bladder 34 which results in gripping of fuel rod 44. Rotation of spindle 16 by drive motor 14 is thus imparted to fuel rod 44 by rubber bladder 34. Air pressure is retained in annular space 50 by mechanical seals 56. Mechanical seal 56 adjacent the end of housing 12 is held in position against air pressure by end cap 58 on housing 12. Plunger 46 is slidably received in a longitudinal bore of spindle 16 and is biased against first retaining ring 40 by compression spring 60. The spring absorbs the overtravel as the drive unit completes its stroke, i.e., when the fuel rod contacts the retractable stop in the UT test tank. This provides a means of allowing a certain amount of overtravel by drive unit 10 as it is pushed into position to engage fuel rod 44. Any overtravel is absorbed by plunger 46 against compression spring 60, thus preventing damage to fuel rod 10 during inspection procedures. As best seen in FIG. 1 and 2, drive unit 10 is connected to push/pull unit 62 by means of mounting plate 20. The lower portion of mounting plate 20 has linear ball bushings 64 slidably received on tracks 66 and is operatively engaged with push/pull unit 62 such that push/pull unit 62 causes forward and backward movement of mounting plate 20 and drive unit 10 over tracks 66. In operation push/pull unit 62 positions drive unit 10 at its rearward position as seen in FIG. 4. After fuel rod 44 is positioned on transfer rack 68 in line with drive unit 10, push/pull unit 62 causes forward movement of drive unit 10 whereby the end fuel of fuel rod 44 is received in the center of rubber bladder 34 as seen in FIG. 3 and the opposite end of fuel rod 44 is pushed into UT test tank 70. Note a slight amount of overtravel is designed into the system to ensure positive contact between the fuel rod and the retractable stop in the UT tank. Overtravel of drive unit 10 is compensated for by plunger 46 and compression spring 60. Compressed air is directed from a source not shown through radial bore 54 into interior 52 of rubber bladder 34, causing it to expand radially inward and grip fuel rod 44. Drive motor 14 is then engaged, causing rotation of spindle 16, rubber bladder 34, and fuel rod 44 while ultrasonic inspection equipment inside UT test tank 70 performs the inspection of the weld at the end of fuel rod 44. The results of the inspection are displayed and recorded on associated test equipment 72. After the test cycle is completed, drive motor 14 is shut off and push/pull unit 62 causes backward movement of drive unit 10 and retraction of fuel rod 44 from UT test tank 70. During the retraction stroke air pressure is released from rubber bladder 34 so that fuel rod 44 is released and left on transfer rack 68. Fuel rod 44 is then transferred to the next processing station and another fuel rod is positioned on transfer rack 68 for the same inspection procedure. Because many varying and differing embodiments may be made within the scope of the inventive concept herein taught and because many variations may be made in the embodiment herein detailed in accordance with the descriptive requirement of the law, it is to be understood that the details herein are to be interpreted as illustrative and not in a limiting sense. |
053894731 | abstract | An X-ray grid is produced by exposing of a photosensitive glass with a differential of solubility not less than 25 and with a radiation having a wavelength shorter than a wavelength of ultraviolet radiation. |
summary | ||
description | The present application hereby claims priority under 35 U.S.C. §119 on German patent application number DE 10 2007 038 980.0 filed Aug. 17, 2007, the entire contents of which is hereby incorporated herein by reference. Embodiments of the invention generally relate to a detector module; and relate more specifically to a detector module for producing a directly converting radiation detector, to a radiation detector including at least one detector module, and/or to a radiation recording device comprising the radiation detector. For the recording of X- or gamma radiation, for example in X-ray computer tomography systems, so-called directly converting radiation detectors are known. Such radiation detectors generally comprise a plurality of detector modules, by which X-radiation is converted into electrical signals in a one-stage interaction process. To distinguish the terminology in this context, scintillator photodiode detectors for example are to be mentioned by which the radiation is converted into electrical signals in a two-stage interaction process: in a first interaction process, the radiation is converted into light by means of a scintillator. The light is in turn converted into electrical signals in a second interaction process by way of photodiodes. Known directly converting detector modules include for example a plurality of detector submodules arranged successively in the manner of tiles, which include a converter layer made of a semiconductor material. Charges, specifically electron-hole pairs, are generated by interaction of the radiation with the semiconductor material i.e. by quantum absorption events. For spatially resolved recording of the charges, and therefore of the quantum absorption events, a multiplicity of pixel electrodes are provided on a first side of the converter layer and a counter-electrode or cover electrode is provided on a second side opposite to the first side, a voltage being applied between the counter-electrode and the pixel contacts. The counter-electrode or cover electrode is also known by the term back contact. The voltage generates electrical fields in the converter layer, by which the charges are separated and—according to the type of charge—accelerated to the counter-electrode or to the pixel electrodes. The charges moved in this way induce currents on the corresponding electrodes, which can be recorded as electrical signals. With the aid of the electrical signals, it is possible to determine for example an attenuation image of an object to be examined. In such detector modules, it is thus necessary to supply the electrodes with the corresponding voltage. This is problematic in several regards: it is necessary to ensure that the voltage supply to all the electrodes is of consistent quality. A difficulty with this is that a scattered radiation collimator is generally arranged on the counter-electrode side of the detector module. The scattered radiation collimator impedes accessibility to the counter-electrodes, so that the technical possibilities for contacting the counter-electrodes are significantly restricted. Since the voltage may lie in the kilovolt range, it is likewise desirable to ensure sufficient electrical insulation from other components of the radiation detector. In at least one embodiment of the invention, a detector module is provided, with which at least one of the problems according to the prior art are resolved in a straightforward way, particularly in design terms. In at least one embodiment, a detector module is provided which allows high-quality and readily achievable contacting of the counter-electrodes. The intention is furthermore to provide a detector module in which the contacting of the counter-electrodes is configured so that subcomponents of the detector module can be replaced without problems. In at least one other embodiment, a radiation detector and a radiation recording device is provided. A first aspect of at least one embodiment of the invention relates to a detector module for producing a directly converting radiation detector. The irradiation may in particular be X- or gamma radiation. The detector module includes a plurality of detector submodules arranged successively, for example in the manner of tiles. Each detector submodule comprises a multiplicity of detector pixels or image point elements. Each detector submodule includes a multiplicity of pixel electrodes on a first side of the detector module. The pixel electrodes correspond essentially to the detector pixels. On a second side opposite to the first side, opposite the pixel electrodes, each detector submodule respectively includes a counter-electrode. The counter-electrodes are also referred to as so-called “back contacts”. The detector module of at least one embodiment furthermore includes a scattered radiation collimator which spans the detector submodules in the manner of a bridge and is fitted on the counter-electrode side. A contacting unit is provided between the scattered radiation collimator and the counter-electrodes. The contacting unit is electrically conductively connected to one or more, i.e. at least one, voltage-supplying contacting site. The contacting unit is provided and designed so that at least one contact connection is established between the contacting unit and the counter-electrodes of a detector module by way of assembly-related proximity of the scattered radiation collimator and the counter-electrodes. Here, “by way of assembly-related proximity” is to be understood as meaning that the contact connection is substantially necessarily established concomitantly with the assembly of the detector module, in particular mounting the scattered radiation collimator on the detector submodules. This means in particular that the contacting unit and counter-electrodes are pressed together. The contacting unit is preferably configured so that without further measures, apart from mounting the scattered radiation collimator, a contact pressure which ensures optimal electrical contacting of the contacting site to the counter-electrodes is produced between the counter-electrodes and the contacting unit. If the contacting unit is configured as an independent unit, for example mechanically separately from the scattered radiation collimator and the detector submodules, the contact pressure furthermore causes clamping retention of the contacting unit. As an alternative to the previous configuration, the contacting unit may be formed in an integral design with the scattered radiation collimator, in which case the contacting unit may be connected to the scattered radiation collimator for example by a force, form or material fit. It is thus clear that the counter-electrodes in the detector module according to at least one embodiment of the invention can be contacted in a straightforward way. In particular, the counter-electrodes can be contacted with consistent quality to the contacting unit. One or more contacting sites may be provided for the voltage supply of the contacting unit. Their number may for example be selected as a function of the size and total number of detector submodules, so that optimal electrical contacting of the counter-electrodes can be achieved for respective situations. Contact elements such as contact pins, plug-in contacts and/or contact surfaces, contact pads and the like may be provided for electrical connection of the contacting site to the contacting unit. The contacting unit may include an in particular flexible contacting layer which is electrically conductive at least on the counter-electrode side, and which is pressed onto the counter-electrodes by way of the scattered radiation collimator. The use of a contacting layer has the advantage of simple handling and ease of assembly. Furthermore, maximally large-area contact can be achieved between the contacting unit and the counter-electrodes. The contacting unit may include a contacting substrate designed conductively at least on the counter-electrode side. For electrical insulation of the scattered radiation collimator or other components of the detector module or components connected thereto from the contacting unit, the contacting substrate may include a substrate layer made of an electrical insulating material on the collimator side. With this configuration, the substrate layer may for example have metallization applied on the counter-electrode side. Coating with copper may for example be envisaged as metallization. It is also possible for the contacting layer to be made of an insulating material filled with conductive particles. For electrical insulation of the scattered radiation collimator from the contacting unit, the insulating material may be filled with the particles only in a restricted layer region on the counter-electrode side. The insulating material may be made of a flexible, compressible material. In particular resilient polymer materials, plastics such as polyimides and the like, as well as latex materials or rubber may be envisaged. The substrate layer may also be designed in the form of a foam of the aforementioned or other materials. The foam may be applied onto the side of the scattered radiation collimator facing the counter-electrodes. An integral design may thereby be implemented straightforwardly in manufacturing technology, so that in particular the subsequent assembly of the detector module is simplified. To improve the quality of the electrical contacts at least between the contacting unit and the counter-electrodes, a pressing element producing a contact pressure may be provided, by which the contacting unit is pressed onto the counter-electrodes. The contact pressure may in this case be produced by spring force, for example by way of springs or spring elements. The springs or spring elements may be provided between the scattered radiation collimator and the contacting unit. Instead of the contacting layer or in addition to the contacting layer, the contacting unit may include a number of resilient contact tabs corresponding at least to the number of counter-electrodes, which project in the direction of the counter-electrodes. The contact tabs are in this case provided and designed so that they are pressed onto respectively assigned counter-electrodes by means of the assembly-related proximity of the scattered radiation collimator and the counter-electrodes. The effect achievable by such contact tabs is that all the counter-electrodes are contacted with equal quality. A second aspect of an embodiment of the invention relates to a radiation detector having at least one detector module according to the first aspect of an embodiment of the invention. A third aspect of an embodiment of the invention relates to a radiation recording device, in particular an X-ray computer tomography device having at least one radiation detector according to the second aspect. Advantages and advantageous effects of the radiation detector and the radiation recording unit derive directly from the advantages and advantageous effects of the detector module according to an embodiment of the invention. It is thus possible to achieve in particular high-quality contacting of the counter-electrodes which is particularly simple in assembly technology. Various example embodiments will now be described more fully with reference to the accompanying drawings in which only some example embodiments are shown. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. The present invention, however, may be embodied in many alternate forms and should not be construed as limited to only the example embodiments set forth herein. Accordingly, while example embodiments of the invention are capable of various modifications and alternative forms, embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments of the present invention to the particular forms disclosed. On the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of the invention. Like numbers refer to like elements throughout the description of the figures. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments of the present invention. As used herein, the term “and/or,” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected,” or “coupled,” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected,” or “directly coupled,” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between,” versus “directly between,” “adjacent,” versus “directly adjacent,” etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments of the invention. As used herein, the singular forms “a,” “an,” and “the,” are intended to include the plural forms as well, unless the context clearly indicates otherwise. As used herein, the terms “and/or” and “at least one of” include any and all combinations of one or more of the associated listed items. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. Spatially relative terms, such as “beneath”, “below”, “lower”, “above”, “upper”, and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, term such as “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein are interpreted accordingly. Although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, it should be understood that these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are used only to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of the present invention. Throughout the figures, elements which are the same or have the same function are denoted by the same reference numerals. The representations in the figures are schematic and not necessarily true to scale, and scales may vary between the figures. The X-ray computer tomograph and the X-ray detector will be discussed below only as far as is deemed necessary in order to understand embodiments of the invention. FIG. 1 shows an X-ray computer tomography apparatus 1 according to the third aspect of the invention. The X-ray computer tomography apparatus 1 includes a patient support table 2 for supporting a patient 3 to be examined. The X-ray computer tomography apparatus 1 furthermore includes a gantry 4, the housing of which contains a tube-detector system mounted so that it can rotate about a system axis 5. The tube-detector system includes an X-ray tube 6, and an X-ray detector 7 arranged opposite the latter. During operation, the X-ray tube 6 emits X-radiation 8 in the direction of the X-ray detector 7, which can be recorded by way of the X-ray detector 7. The X-ray detector 7 includes a plurality of detector modules 9 according to the first aspect of the invention. The detector modules 9 are direct converter modules by which the X-radiation 8 is converted directly, i.e. in a one-stage interaction process, into electrical signals. FIG. 2 shows a first configuration of the detector module 9 in a partly exploded representation. The detector module 9 includes a support 10, on which mounting surfaces 11 are provided for mounting the detector module 9 on a frame (not shown) of the X-ray detector 7. A total of six detector submodules 12 are fitted successively on a bar of the support 10, which connects the mounting surfaces 11. It is to be pointed out that the number and arrangement of the detector submodules 12 may differ from FIG. 2. In particular, the detector submodules 12 may for example be arranged next to one another in the manner of tiles in two dimensions. Each detector submodule 12 includes a converter layer 13 made of a semiconductor material for converting the X-radiation 8 into electrical charges. In order to record the electrical charges in the form of electrical signals, a multiplicity of pixel electrodes (not shown) are provided on a lower side of the converter layer 13 and a counter-electrode 15 is provided on an upper side 14 of the converter layer 13. Electrical charges are generated in the converter layer 13 by quantum absorption events. Owing to the electrical charges as a result of an electrical voltage applied between the counter-electrode 15 and the pixel electrodes, electrical currents or signals are induced on the electrodes. With the aid of the electrical signals, it is possible to determine for example the number and/or energy of quanta of the X-radiation 8 absorbed in the converter layer, and on the basis of this an attenuation image. With respect to the function, reference is also made to the introductory description. The counter-electrode 15 may for example be a metallization of the upper side 14 of the converter layer 13. So that the X-radiation 8 can be recorded effectively and accurately, it is necessary for voltage to be supplied with equal quality to all the counter-electrodes 15 of the detector submodules 12. To this end, in particular, it is necessary to contact the counter-electrodes 15 with a voltage supply 20. There are in principle many conceivable possibilities for contacting the individual counter-electrodes 15. According to an embodiment of the invention, the contacting of the counter-electrodes 15 is achieved by a contacting unit 16 which is arranged between the upper side 14 and a scattered radiation collimator 17 connected in front of the detector submodules 12 on the counter-electrode side. When the scattered radiation collimator 17 is being mounted, it is fastened on the support 10 by way of screws 18. The contacting unit 16 is thereby pressed with its contact side 19 onto the upper side 14 of the counter-electrodes 15. The pressing force, with which the contact side 19 is pressed onto the counter-electrodes 15, depends here for example on the thickness of the contacting unit 16 and the type of fastening of the scattered radiation collimator 17 on the support 10. By suitable selection for example of the thickness and type of the fastening, such as screws, latch elements etc., it is readily possible to achieve optimal electrical contact for respective design situations. In other words, this means that the contacting unit 16 is provided and designed so that at least one contact connection is established between the contacting unit 16 and the counter-electrodes 15 by way of assembly-related proximity of the scattered radiation collimator 17 and the counter-electrodes 15. The contacting unit 16 represented in FIG. 2 may for example include a conductive rubber. The conductive rubber has an insulator layer 21 facing the scattered radiation collimator 17 and a conducting layer 22 facing the counter-electrodes 15, i.e. on the contact side 19. Instead of using a rubber, it is also possible to use any other, in particular foamed polymer or plastic material, for example polyimide, latex etc. The in particular foamed material may be provided on the contact side 19 with a metallization, for example of copper, which forms the conducting layer 22. As an alternative to this, it is also possible to fill the material with conductive particles so as to achieve a layer structure, corresponding to the previous configuration, with an insulator layer 21 and a conducting layer 22. The contacting of the contacting unit 16 with the voltage supply 20 is carried out in the present case via a contact lug which protrudes from the main body of the conductive rubber, is pressed onto the voltage supply 20 by way of the scattered radiation collimator 17 and is brought in contact therewith. As an alternative to this, two or more contact lugs 21 may also be provided. Instead of the contact lugs 21, it is also possible to provide other contacting devices, for example contact pins, plug-in connections, contact pads and the like. Advantages of the detector module are clear: as already mentioned, consistent-quality contacting of the counter-electrodes 15 can be achieved. The contacting according to an embodiment of the invention is furthermore particularly simple to implement in terms of manufacturing and assembly technology. The contacting according to an embodiment of the invention also allows simple, replacement, for example of an individual detector submodule 12. These advantages may also be achieved similarly with alternative configurations, which will be discussed in more detail in FIG. 3 to FIG. 6. In the first configuration as shown in FIG. 2 the contacting unit 16 is fitted on the scattered radiation collimator 17, i.e. the scattered radiation collimator 17 and the contacting unit 16 are configured in an integral design. Conversely, according to a second configuration, it is also possible for the contacting unit 16 to be designed as a separate unit. A contacting unit 16 designed in this way can be applied onto the counter-electrodes 15 when the detector module is being assembled. The contacting unit 16 can subsequently be pressed with a sufficient contact pressure by fitting the scattered radiation collimator 17 onto the counter-electrodes 15, and thereby simultaneously fixed. The second configuration is represented in FIG. 3. A third configuration of the detector module 9 is represented in FIG. 4. Basically, the structure of the third configuration does not differ from the first and second configurations. The exception is the contacting unit 16. In the third configuration, this includes a band-like flat conductor 24 and a foamed strip 25 corresponding to the flat conductor 24. The flat conductor 24 is placed onto the counter-electrodes 15. The flat conductor 24 is pressed onto the counter-electrodes 15 by way of the foamed strip 25 fitted on the scattered radiation collimator 17. The fourth configuration as shown in FIG. 5 differs from the first to third configurations on the one hand in that spring elements 26 arranged on the scattered radiation collimator side are provided. The effect of the spring elements 26 is that the conductive rubber or the flat conductor 24 in particular, and the contact side 19 in general, are pressed onto the counter-electrodes 15. The spring elements 26 comprise suitably selected spring constants, so that the respectively required contact pressure is achieved. Preferably, but without restriction of generality, at least one spring element 26 is provided for each detector submodule 12. The number of spring elements 26 may however be varied as desired, inter alia as a function of the type and stiffness of the respective insulator layer 21 and/or conducting layer 22. On the other hand, the fourth configuration differs from the first to third configurations in that electrical contacting between the voltage supply 20 and the contacting unit 16 is achieved by way of plug-in connectors 27, specifically by way of contact pins and corresponding plug-in holes. The contact pins may also be used as positioning aids for mounting the scattered radiation collimator 17 relative to the support 10. FIG. 6 shows a configuration of the detector module 9. In the fifth configuration, the contacting unit 16 includes resilient contact tabs 28 electrically conductively connected to one another. The contact tabs 28 are fitted on the scattered radiation collimator 17 and project from the lower side thereof in the direction of the detector submodules 12, or counter-electrodes 15. So that the scattered radiation collimator 17 or other components are insulated from the contact tabs 28, an insulation layer may be provided between the contact tabs 28 and the scattered radiation collimator 17. As in the fourth configuration, electrical contacting of the contact tabs 28 is carried out by means of contact pins and corresponding plug-in holes. When the scattered radiation collimator 17 is being mounted, the contact tabs 28 are pressed onto the counter-electrodes 15. Owing to the resilient properties of the contact tabs 28, essentially consistent-quality contacting can readily be achieved for all the counter-electrodes 15. Preferably, precisely one contact tab 28 is provided for each counter-electrode 15 and is approximately arranged centrally with respect to the contact surface of the counter-electrode 15. It is also possible to provide more contact tabs 28 per counter-electrode 15. As an alternative to this, it is also possible for a bearing surface of a contact tab 28 to be in contact with two counter-electrodes 15, for example in the region of adjacent edges. Further alternative configurations of the contacting unit are conceivable in the scope of the invention. In particular, combinations of the first to fifth configurations may be envisaged. In particular with the aid of the example embodiments, it is clear that simple contacting of the detector submodules 12 can be carried out with the detector module 9 according to at least one embodiment of the invention. The contacting may be made with essentially consistent quality for all the detector submodules 12. Furthermore it is possible to replace a faulty detector submodule 12 or other components, for example ones placed below the detector submodules 12, without great outlay and without damaging the detector module 9. Further, elements and/or features of different example embodiments may be combined with each other and/or substituted for each other within the scope of this disclosure and appended claims. Still further, any one of the above-described and other example features of the present invention may be embodied in the form of an apparatus, method, system, computer program and computer program product. For example, of the aforementioned methods may be embodied in the form of a system or device, including, but not limited to, any of the structure for performing the methodology illustrated in the drawings. Even further, any of the aforementioned methods may be embodied in the form of a program. The program may be stored on a computer readable media and is adapted to perform any one of the aforementioned methods when run on a computer device (a device including a processor). Thus, the storage medium or computer readable medium, is adapted to store information and is adapted to interact with a data processing facility or computer device to perform the method of any of the above mentioned embodiments. The storage medium may be a built-in medium installed inside a computer device main body or a removable medium arranged so that it can be separated from the computer device main body. Examples of the built-in medium include, but are not limited to, rewriteable non-volatile memories, such as ROMs and flash memories, and hard disks. Examples of the removable medium include, but are not limited to, optical storage media such as CD-ROMs and DVDS; magneto-optical storage media, such as MOs; magnetism storage media, including but not limited to floppy disks (trademark), cassette tapes, and removable hard disks; media with a built-in rewriteable non-volatile memory, including but not limited to memory cards; and media with a built-in ROM, including but not limited to ROM cassettes; etc. Furthermore, various information regarding stored images, for example, property information, may be stored in any other form, or it may be provided in other ways. Example embodiments being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
040100685 | abstract | A system for removing radioactive fission products from a liquid metal reactor coolant. Isotopic diluents and reacting compounds are added to hot liquid sodium. The reactants isotopically exchange or chemically react with the fission products and are precipitated out of solution in a first cold trap. When the supply of reactants is exhausted, the flow is reversed; the first trap then functions to supply the reactants and the precipitation occurs in a second cold trap. The process operates continuously and reversibly. |
abstract | The present disclosure relates to a radioactive material reduction facility, including a containment, a boundary section provided inside the compartment to partition an inner space of the containment into a first space for accommodating a reactor coolant system and a second space formed between the first space and the containment, and surround the reactor coolant system to prevent radioactive material discharged from the reactor coolant system or a line connected to the reactor coolant system inside the first space from being directly discharged into the second space during an accident, an in-containment refueling water storage tank (IRWST) installed between the first space and the second space and formed to accommodate refueling water, and a first discharge line formed to guide the flow of steam and radioactive material formed in the first space inside the boundary section into the in-containment refueling water storage tank. |
|
summary | ||
043138454 | claims | 1. An improved system for chemically digesting low level, radioactive, solid waste material comprising: an annular vessel constructed to be substantially filled with concentrated sulfuric acid; means for delivering the solid undigested waste into the annular vessel; means for adding concentrated nitric acid or nitrogen dioxide to the sulfuric acid within the annular vessel while the sulfuric acid is reacting with the solid waste; means for mixing the solid waste within the sulfuric acid so that the solid waste remains substantially fully immersed and fully dispersed; means for removing off gas from the annular vessel; and means for removing product slurry residue. confining the sulfuric acid within an annular vessel; delivering the solid waste into the sulfuric acid within the annular vessel; adding the nitric acid or nitrogen dioxide to the waste/sulfuric acid mixture; continuously mixing the solid waste within the sulfuric acid so that the solid waste remains substantially fully immersed and fully dispersed; removing off gas from the annular vessel; and removing product slurry from the annular vessel. 2. The chemical digestion system of claim 1 wherein the means for adding the nitric acid or nitrogen dioxide continually adds the nitric acid or nitrogen dioxide at a preestablished rate. 3. The chemical digestion system of claim 2 wherein the preestablished rate of nitric acid or nitrogen dioxide addition is dependent upon the nature and volume of the solid waste being fed into the vessel. 4. The chemical digestion system of claim 1 wherein the vessel is constructed to retain the heat of exothermic chemical reactions occurring in the digestion process. 5. The chemical digestion system of claim 1 wherein the means for mixing includes an airlift recirculator wherein mixing is provided by air used to oxidize the off gases and the nitric acid or nitrogen dioxide used to oxidize the carbon slurry. 6. An improved method for chemically digesting low level radioactive, solid undigested waste material wherein the solid waste is reacted with concentrated sulfuric acid at a temperature within the range of 220.degree. to 330.degree. C. and the reacting mixture is simultaneously contacted with concentrated nitric acid or nitrogen dioxide, wherein the improvement comprises: 7. The method of claim 6 wherein the off gas and product slurry are removed from the annular vessel substantially continuously as the waste is digested. 8. The method of claim 6 wherein the mixing is accomplished by airlift recirculation wherein mixing is provided by air used to oxidize the off gases and the nitric acid or nitrogen dioxide used to oxidize carbon product slurry. |
summary | ||
claims | 1. An operation method of a nuclear power plant for augmenting plant thermal power output of a second operation cycle above a predefined 100% power level of a first operation cycle, said nuclear power plant includinga reactor,a main steam line from a reactor outlet to a high pressure turbine inlet,a high pressure steam loop from the high pressure turbine inlet to an inlet of a moisture separator between the high pressure turbine and a low pressure turbine,a low pressure steam loop from the inlet of said moisture separator through said low pressure turbine to an inlet of a condenser for condensing steam discharged from the low pressure turbine,a feedwater heater for heating feedwater supplied from said condenser, anda feedwater loop for leading feedwater discharged from the feedwater heater to a reactor inlet,wherein steam generated in the reactor is supplied from the reactor outlet to the high pressure loop,comprising the steps of:operating the nuclear power plant in a first operation cycle at a first thermal power level with a first mass flow rate of steam extracted from said high pressure steam loop and supplied to said feedwater heater for heating feedwater; andafter completion of the first operation cycle, setting a second mass flow rate of extraction steam from the high pressure steam loop in a second operation cycle lower than the first mass flow rate of extraction steam such that an enthalpy of feedwater exiting the feedwater heater in the second operating cycle is lower than an enthalpy of feedwater exiting the feedwater heater in said first operation cycle. 2. An operation method of a nuclear power plant for augmenting plant thermal power output of a second operation cycle above a predefined 100% power level of a first operation cycle, said nuclear power plant includinga reactor,a main steam line from a reactor outlet to a high pressure turbine inlet,a high pressure steam loop from the high pressure turbine inlet to an inlet of a moisture separator between the high pressure turbine and a lower pressure turbine,a low pressure steam loop from the inlet of said moisture separator through said low pressure turbine to an inlet of a condenser for condensing steam discharged from the low pressure turbine,a feedwater heater for heating feedwater supplied from said condenser, anda feedwater loop for leading feedwater discharged from the feedwater heater to a reactor inlet,wherein steam generated in the reactor is supplied from the reactor outlet to the high pressure loop,comprising the steps of:operating the nuclear power plant in a first operation cycle at a first thermal power level with a first mass flow rate of steam extracted from said high pressure steam loop and supplied to said feedwater heater for heating feedwater and a first mass flow rate of steam extracted from said low pressure steam loop and supplied to said feedwater heater for heating feedwater; andafter completion of the first operation cycle, setting a second extraction steam mass flow rate from the high pressure steam loop and a second extraction steam mass flow rate from the low pressure steam loop in said second operation cycle smaller than said first mass flow rates of extraction steam,such that an enthalpy of feedwater exiting the feedwater heater in the second operating cycle is lower than an enthalpy of feedwater exiting the feedwater heater in said first operation cycle, and a ratio of decrease between said first high pressure extraction steam mass flow rate and said second high pressure extraction steam mass flow rate is larger than a ratio of decrease between said first low pressure extraction steam mass flow rate and said second low pressure extraction steam mass flow rate. |
|
abstract | A radiation source may include a radiation emitter for emitting radiation, a collector for collecting radiation emitted by the radiation emitter, and an outlet configured, in use, to introduce a cooled gas into the radiation source. |
|
claims | 1. A method of accessing a core having an axial dimension and a plurality of elongated fuel assemblies enclosed within a pressure vessel of a pressurized water reactor, wherein at least some of the fuel assemblies have at least one instrumentation tube axially extending there through for housing in-core instrumentation and the core is covered by an upper internals package that is sealed within the pressure vessel by a removable head, the upper internals package including an upper core plate positioned over the fuel assemblies and an upper support plate spaced above and positioned over the upper core plate with a plurality of support columns extending axially between the upper core plate and the upper support plate with at least some of the support columns having an axially extending internal channel aligned with a corresponding one of the instrumentation tubes, the support columns aligned with the instrumentation tubes having a slidable sleeve, through which the in-core instrumentation extend, that has one end of the slidable sleeve captured and axially moveable within the corresponding support column's axially extending channel and the slidable sleeve is extendable from the axially extending internal channel to a location above the upper support plate, wherein in extending above the upper support plate the slidable sleeve is configured in a manner that varies an axial length of the sleeve as the sleeve extends above the upper support plate a distance that withdraws the in-core instrumentation completely from the instrumentation tube without the sleeve leaving an upper end of the support column while continuing to shield a portion of the in-core instrumentation that was shielded from a reactor coolant during reactor operation, from the coolant above the upper support plate, the method comprising the steps of:removing the removable head from the pressure vessel;raising the slidable sleeves so that an upper portion thereof extends above the upper support plate the distance sufficient to shield the in-core instrumentation from the coolant above the upper support plate, over the portion of the in-core instrumentation that was shielded from the reactor coolant during reactor operation;withdrawing the in-core instrumentation from the instrumentation tubes in the fuel assemblies so a lower most extremity of the in-core instrumentation is approximately at or above a midpoint in a width of the upper core plate; andremoving the upper internals package to access the core. 2. The method of accessing a core of claim 1 wherein the step of raising the slidable sleeves raises the sleeves all at one time. 3. The method of claim 2 including an axially moveable instrumentation grid assembly positioned above the upper support plate and attached to an upper end of each of the slidable sleeves wherein the step of raising the slidable sleeves comprises raising the instrumentation grid assembly. |
|
claims | 1. A radioisotope generator, comprising an eluent reservoir and a chromatographic column connected to one another by a first eluent transmission duct, said chromatographic column having a stationary phase loaded with a parent radioisotope disintegrating spontaneously into a daughter radioisotope, said generator being characterized in that it comprises a second duct and a valve housed between an upstream part of the first eluent duct and a downstream part of the first eluent duct, and connecting said second duct to said upstream part of the first eluent duct and to the downstream part of the first eluent duct, said valve having a first position in which the second duct is in fluid communication with said upstream part of the first eluent duct and a second position in which the second duct is in fluid communication with said downstream part of the first eluent duct, said second duct having a bypass segment for a predetermined volume of eluent, said segment being defined directly between said valve and a segment end, said predetermined eluent volume being a sufficient volume to obtain, when said sufficient volume crosses through the chromatographic column, under the action of a driving force of the eluent, an eluate comprising a parent radioisotope activity comprised in a value range from 0.0% to 30.0% relative to a daughter radioisotope activity of said eluate, and wherein said generator comprises means for blocking the eluent in fluid communication with said bypass segment, so as to block the passage of said eluent volume past said segment end. 2. The generator according to claim 1, wherein said segment end is directly connected to a first sterile filter with a polarity opposite that of said eluent, said first sterile filter being said blocking means of the eluent. 3. The generator according to claim 2, comprising a pumping means arranged to be connected hermetically to an eluate outlet and designed to pump, once said valve is in its second position and after elution of the stationary phase of the chromatographic column by said sufficient volume of eluent, a fluid from the segment end or from the free end of the second duct toward the eluate outlet, said fluid being a remaining fraction of said sufficient volume of eluent present in the column or ambient air pumped from said free end or said segment end of said second duct. 4. The generator according to claim 3, wherein said pumping means is a vacuum container. 5. The generator according to claim 3, wherein the pumping means is an actuator comprising a piston mounted in a cylinder, said cylinder having a first end communicating with said eluate outlet of the chromatographic column, said piston being extended by an arm that extends outside said cylinder through an orifice present on a second cylinder end, opposite the first cylinder end, said piston having a first idle position and a fluid pumping position, said piston, when it is set in motion between said first idle position and said pumping position, generating a pumping force for the fluid. 6. The generator according to claim 1, wherein said free end is connected to a second sterile filter with an inverse polarity relative to that of said eluent. 7. The generator according to claim 1, wherein said segment end corresponds to a free end of the second duct. 8. The generator according to claim 1, wherein said reservoir is situated above said chromatographic column, said segment end being positioned at a sufficient height, measured from an apical end of the chromatographic column, such that the gravitational force has a sufficient intensity to allow a flow of the eluent through the segment. 9. The generator according to claim 8, wherein at least one bypass segment part connected to said valve is inclined relative to a horizontal plane by an angle a defined between said horizontal plane and a line secant to said horizontal plane, said angle α having a predetermined value such that its sine value is greater than 0 and less than or equal to 1, and its cosine value is between −1 and 1. 10. The generator according to claim 1, positioned in a shielded box, said box preferably being at least partially made from a dense material, for example tungsten or lead. 11. The generator according to claim 1, wherein the parent radioisotope activity is comprised in a value range from 0.0% to 20%, advantageously from 0.0% to 10%, more preferably from 0.0% to 5.0%, still more preferably from 0.0% to 2.0%, more advantageously from 0.0% to 1.0%, relative to the daughter radioisotope activity of said eluate. 12. The generator according to claim 11, wherein the parent radioisotope activity is equal to 0.0 mCi. 13. An elution method for a chromatographic column of a radioisotope generator comprising an eluent reservoir and connected to a chromatographic column by a first eluent duct, said chromatographic column having a stationary phase impregnated with eluent and loaded with a parent radioisotope disintegrating spontaneously into a daughter radioisotope, said method comprising the following steps: withdrawing a predetermined volume in a withdrawal segment a second eluent duct connected to an upstream part of the first eluent duct and to a downstream part of the first eluent duct by a valve, said withdrawal segment being defined directly between the valve and a segment end, the withdrawal being done when the valve is in a first position in which the second duct is in fluid communication with said upstream part of the first eluent duct; and an elution, under the action of a driving force of the eluent, of said predetermined volume of eluent from said withdrawal segment toward said chromatographic column when the valve is in a second position in which the second duct is in fluid communication with said downstream part of the first eluent duct, a step for drying the column by pumping sterilized ambient air from the segment end or from a free end of the second duct toward the eluent outlet, said predetermined eluent volume being a sufficient volume to obtain, when said sufficient volume crosses through the chromatographic column, an eluate comprising a parent radioisotope activity comprised in a value range from 0.0% to 30.0% relative to a daughter radioisotope activity of said eluate. 14. The method according to claim 13, comprising a step for blocking the eluent, after said injection step, so as to block the passage of said volume of eluent past said segment end. 15. The method according to claim 13, comprising a bleeding step, carried out before the drying step, when said valve is in its second position and after elution of the stationary phase of the chromatographic column by the sufficient eluent volume, consisting of pumping a remaining fraction of the sufficient volume of eluent present in the column toward a bleed container connected beforehand to a column outlet. 16. The method according to claim 13, wherein the parent radioisotope activity is comprised in a value range from 0.0% to 20%, advantageously from 0.0% to 10%, more preferably from 0.0% to 5.0%, still more preferably from 0.0% to 2.0%, more advantageously from 0.0% to 1.0%, relative to the daughter radioisotope activity of said eluate. 17. The method according to claim 16, where the parent radioisotope activity is equal to 0.0 mCi. |
|
summary | ||
claims | 1. A radiation scatter protection system designed to attach to an X-ray table to limit exposure to radiation for both medical staff and patient comprising;an arm board adapted to be disposed around an arm of the patient,an arm board shielding including one large sheet of shielding extending downward from the X-ray table and a plurality of additional sheets of shielding, removably mounted to the arm board,a sand bag shield including a plurality of sheets of top shielding and a plurality of sheets of bottom shielding which connect to an elongated, cylindrical sandbag;a side curtain shield hanging from the X-ray table, anda throw shield. 2. The radiation scatter protection system of claim 1, wherein the arm board is manufactured from Polyethylene Terephthalate Glycol (PETG). 3. The radiation scatter protection system of claim 2, wherein the arm board is formed of a lower horizontal leg, an upper horizontal leg, and a vertical leg which joins the bottom horizontal leg and the upper horizontal leg. 4. The radiation scatter protection system of claim 3, wherein the arm board is molded with a first longitudinal bend extending along the intersection of the upper horizontal leg and the vertical leg, and a second longitudinal bend extending along the intersection of the lower horizontal leg and the vertical leg. 5. The radiation scatter protection system of claim 4, wherein the vertical leg is canted inward at an angle x between 5 and 15 degrees between the lower horizontal leg to the vertical leg. 6. The radiation scatter protection system of claim 5, wherein the vertical leg is canted inward at an angle of about 10 degrees between the lower horizontal leg to the vertical leg. 7. The radiation scatter protection system of claim 6, wherein the arm board has a plurality of notches cut into a curvature formed along the first longitudinal bend. 8. The radiation scatter protection system of claim 2, wherein the arm board is formed of a lower horizontal leg, and a vertical leg formed of an “L” shape. 9. The radiation scatter protection system of claim 8, wherein the arm board is molded with a longitudinal bend extending along the intersection of the lower horizontal leg and the vertical leg. 10. The radiation scatter protection system of claim 9, wherein the vertical leg is canted inward at an angle x between 5 and 15 degrees between the lower horizontal leg to the vertical leg. 11. The radiation scatter protection system of claim 10, wherein the vertical leg is canted inward at an angle of about 10 degrees between the lower horizontal leg to the vertical leg. 12. The radiation scatter protection system of claim 2, wherein the plurality of additional sheets of shielding overlap each other and extend across the patient and above the X-ray table. 13. The radiation scatter protection system of claim 2, wherein the side curtain shield is removably secured to an elongated hanger strip connected to the X-ray table. 14. The radiation scatter protection system of claim 1, wherein the arm board shielding, the sand bag shield, the side curtain shield, and the throw shield are constructed of sheets of lead-impregnated rubber. 15. The radiation scatter protection system of claim 14, wherein the sheets of lead-impregnated rubber are covered on a back side and a front side with a sheet of cloth covering that are sewn together around circumference of each of the sheets. 16. The radiation scatter protection system of claim 15, wherein the sheet of cloth covering has a urethane coating on an inside surfaces against the sheet of lead-impregnated rubber for water proofing. 17. The radiation scatter protection system of claim 15, wherein the sand bag shield includes three independently moving sheets of top shielding and three independently moving sheets of bottom shielding which connect to an elongated, cylindrical sandbag. 18. A method for attaching a radiation scatter protection system to an X-ray table to limit exposure to radiation for both medical staff and patient comprising;disposing an arm board around an arm of the patient,removably mounting an arm board shielding including one large sheet of shielding and a plurality of additional sheets of shielding to the arm board,placing atop the patient a sand bag shield including a plurality of sheets of top shielding and a plurality of sheets of bottom shielding which connect to an elongated, cylindrical sandbag;hanging a side curtain shield from the X-ray table, andplacing a throw shield atop the patient. 19. The method of claim 18, further including selecting the arm board of Polyethylene Terephthalate Glycol (PETG). 20. The method of claim 19, further including selecting the arm board shielding, the sand bag shield, the side curtain shield, and the throw shield of sheets of lead-impregnated rubber. |
|
039393550 | abstract | Radioisotope camera lock, locking the source isotope in a safe, shielded position in the camera when not in use. The lock prevents the source isotope from being moved to an operative position outside of the camera, unless intentionally released by a key and reverse movement of source pigtail. A hollow lock casing is secured to and has communication with the interior of the radioisotope camera. The source isotope is at the end of a source pigtail and is cranked or pushed from the camera and pulled back into the camera by the cranking mechanism. A stop on the source pigtail cooperates with a lock spool movably mounted in the lock casing. A lock lever pivoted in the lock casing is provided to hold the source isotope in a shielded condition within the camera upon moving the lock to its locked condition. The locking arrangement is such that the source isotope will automatically be trapped in the camera as fully withdrawn into the camera prior to manual locking. The source isotope also cannot be removed from the camera after the key and key cylinder are moved to a release position until a pulling action is applied to the source pigtail and stop by the conventional cranking mechanism. |
description | 1. Field of the Invention The present invention relates to an annular fuel rod, and more particularly to a lower and upper end plug of an annular fuel rod, in which a filter for debris is installed in front of the inner channel main inlet of a lower end plug, thereby intercepting an inflow of debris in which at least one inner channel auxiliary inlet with a through-hole shape is formed in a cylindrical wall of said lower end plug, thereby supplying cooling water when the debris is caught up in the debris filter to thus prevent the inner surface temperature of a fuel rod from increasing excessively and in which the upper end plug is provided with a groove in which a tool for pulling the fuel rod is located, thereby making it easy to handle the fuel rod when a nuclear fuel assembly is being assembled or disassembled. 2. Description of the Prior Art In general, a commercial nuclear power plant is equipped with nuclear fuel assemblies. As illustrated in FIGS. 1 and 2, the nuclear fuel assembly 100 comprises fuel rods 101, guide tubes 103, grid spacers 105, a lower end fitting 106, and an upper end fitting 107. Each fuel rod 101 is provided with lower and upper end plugs 108 and 109 at lower and upper ends thereof. Here, each fuel rod 101 has cylindrical uranium pellets inserted into a cladding tube of zirconium alloy. These uranium pellets undergo nuclear fission to generate a high-temperature heat. Meanwhile, the support grids 105 support the fuel rods 101 and function to maintain a distance between the fuel rods 101. Each guide tube 103 is used as a passage for a control rod that moves up and down in order to control a heat flux of the fuel rods 101. The upper end fitting 107 and the lower end fitting 106 function to hold and support the nuclear fuel assembly 100 to the upper and lower structure of a reactor core. If necessary, the lower end fitting 106 includes a filter (not shown) for filtering debris floating in the reactor core. Meanwhile, the lower and upper end plugs 108 and 109 installed at the lower and upper ends of each fuel rod have a conical shape, are welded to the cladding tube (not shown), and prevent the internal gas charged into the cladding tube from leaking out. Generally, 150 or more nuclear fuel assemblies 100 are loaded into the reactor core. Meanwhile, the nuclear fuel assembly 100 has cylindrical fuel rods, and is designed so that the cooling water flows through subchannels, each of which are surrounded by four rods or a combination of three rods 101 and one guide tube 103, in an axial direction, or gaps between the rods 101. At this time, each subchannel 111, surrounded by the rods 101, refers to a channel, the circumference of which is typically partly open to allow fluid to freely move to an adjacent subchannel when used. As illustrated in FIGS. 3, 4 and 5, there has been disclosed an annular fuel rod 201 that is different from the cylindrical fuel rod has been reported (U.S. Pat. No. 3,928,132 (1975), title: Annular Fuel Element for High-Temperature Reactors, and inventor: Roko Bujas). Here, each annular fuel rod 201 comprises at least one annular pellet 203, an inner cladding tube 205 provided at an inner circumference of the pellet 203, and an outer cladding tube 207 provided at an outer circumference of a pellet 203. For this configuration, cooling water flows through the external subchannels 213 and inner channels 211 of the fuel rods 201 at the same time, and absorbs the heat generated from the fuel rods 201. However, an annular fuel rod 201 increases its heat area per bundle to decrease its heat flux, so that it can maintain a low inner surface temperature therein, when compared to a cylindrical fuel rod. In this manner, in the case in which a low inner surface temperature of each fuel rod 201 is maintained, the possibility of damaging a fuel surface due to an increase in the inner surface temperature is reduced, and thus the safety allowance limit of the fuel rods 201 can be increased. In the above-described cylindrical fuel rods and annular fuel rods, each cylindrical fuel rod is characterized in that, because the cooling water flows through the subchannels, the cooling water moves freely between the adjacent subchannels. This movement of the cooling water between the adjacent subchannels is based on various factors. The main factor is the loss of pressure generated in the subchannel region, and thus a movement of the cooling water occurs in order to maintain an equilibrium pressure. Meanwhile, in the case of the annular fuel rod, an external subchannel exchanges cooling water freely with the adjacent external auxiliary channels, because the inner channel makes it impossible for the cooling water to move between the channels because it is enclosed by the inner cladding tube. Therefore, the debris floating in the cooling water is caught in the inner channel, thereby blocking the inner channel. Further, in a case where the debris blocks the inner channel, it obstructs the flow of the cooling water to reduce the flow rate in the inner channel. For this reason, the heat generated from the wall of the inner channel cannot be sufficiently removed, and thus the temperature of the channel wall surface increases. Furthermore, when the temperature continues to increase, the wall surface of the inner channel is damaged which can cause accidents such as damage to the nuclear reactor. Accordingly, the advantages of the annular fuel rod are decreased. As described above, in order to prevent the temperature from excessively increasing at the surface wall of the channel, a smooth supply of cooling water to the channel is important when operating a nuclear reactor. To this end, it is important to secure a fluid channel to supply the cooling water, capable not only of minimizing the amount of debris flowing into the inner channel but also cooling the surface of the inner channel even if debris caught in the debris filter blocks the main inlet of the inner channel. To this end, a nuclear fuel assembly, to which a filter for removing the debris floating in the inner channel is applied, was proposed by Korean Patent No. 10-0074788 (1994), 10-0077453 (1994), 10-0074788 (1994), 10-0010878 (1999), 10-0453268 (2004), and so on. However, the existing debris filter is applied to an existing nuclear fuel assembly with cylindrical fuel rods, and is adapted to be integrally formed with a lower end fitting. For this reason, there is a possibility of debris flowing through a gap between the lower end fitting and the adjacent lower end fitting and through a gap between the lower end fitting and the wall of a reactor core, to thus enter into the subchannels. Further, the debris filter of the lower end fitting of the nuclear fuel assembly cannot completely filter the debris, and thus the debris flowing from the lower end fitting flows into the subchannel. Accordingly, the present invention has been established by bearing in mind the above problems occurring in a prior art, and an object of the present invention is to provide lower and upper plugs of an annular fuel rod, in which a filter for debris is installed in the inner channel main inlet of a lower end plug, thereby intercepting an inflow of debris, in which at least one inner channel auxiliary inlet with a through-hole shape is formed in the cylindrical wall of the lower end plug, thereby supplying cooling water when the debris is caught up in the debris filter to thus prevent the inner surface temperature of the fuel rod from increasing excessively and in which an upper end plug is provided with a groove in which a tool for pulling the fuel rod is located, thereby making it easy to handle the fuel rod when a nuclear fuel assembly is being assembled or disassembled. In order to achieve the above object, according to the present invention, lower and upper plugs of an annular fuel rod are provided, in which the plug assembly has a lower end plug, which is installed at a lower end of the fuel rod as an annular shape, which is provided, in the center thereof, with a lower inner channel into which cooling water flows, and which has an inner channel main inlet, and an upper end plug which is installed at an upper end of the fuel rod as a cylindrical shape, which is provided, in the center thereof, with an upper inner channel out of which the cooling water flows, and which has an inner channel main outlet, for a plug assembly comprising: an upper end plug including a filter for debris which has a plurality of pins intersecting each other at a proper position of the inner channel main inlet, through-holes into which the pins of the debris filter are fitted, and at least one inner channel auxiliary inlet through which the cooling water flows into the lower inner channel when the inner channel main inlet is blocked by debris, and which has a through-hole shape; and an upper end plug assembly including at least one with an upper handling groove and hole, into which a fuel rod handling tool is coupled, at a proper position of an inner circumference of the inner channel main outlet in a circumferential direction. Here, the pins of the debris filter may have a circular cross section, intersect each other in a crisscross shape in the middle of each thereof, be inserted into the through-holes, and be fixed by a welding. Further, the pins of the debris filter may have a circular cross section, intersect each other in a grid shape in the middle of each thereof, be inserted into the through-holes, and be fixed by a welding. Furthermore, the debris filter may include at least two pins with a circular cross section, one of which is disposed in a horizontal direction, and another of which is disposed in a horizontal direction and are bent downward to form a “V” shape. The two pins may intersect each other in a crisscross shape, be inserted into the through-holes, and be fixed by a welding. Further, the inner channel auxiliary inlets, into which the cooling water flows, may be radially formed in an upper wall of the lower end plug at predetermined intervals, and may be vertically arranged in at least one row. Furthermore, the inner channel auxiliary inlets of the lower end plug may be inclined at a predetermined angle. The lower end plug may include a lower handling groove into which a fuel rod handling tool is coupled at a proper position of an outer circumference thereof in a circumferential direction. Further, a lower end plug may include a lower inclined face that is inclined at a predetermined angle at a lower end thereof such that the outer diameter thereof is decreased in a downward direction. Furthermore, an upper end plug may include an upper inclined face that is inclined at a predetermined angle at a lower end thereof such that the outer diameter thereof is decreased in an upward direction. In addition, an upper handling hole, into which a fuel rod handling tool is coupled, is at least one in number. Reference will now be made in greater detail to an exemplary embodiment of the invention, an example of which is illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings and the descriptions, to refer to the same or like parts. FIG. 6 is a schematic perspective view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention. FIG. 7 is a schematic transverse sectional view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention. FIG. 8 is a schematic bottom view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention. FIG. 9 is a schematic longitudinal sectional view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention. FIG. 10 is a schematic perspective view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention, wherein the lower end plug is coupled to the annular fuel rod. FIG. 11 is a schematic cutaway view illustrating an upper end plug of the lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention. FIG. 12 is a schematic longitudinal sectional perspective view illustrating an upper end plug of lower and upper end plugs of an annular fuel rod according to an embodiment of the present invention. As illustrated, an annular fuel rod 10 comprises at least one annular pellet 11, inner and outer cladding tubes 13 and 15 provided inside and outside a pellet 11, and lower and upper end plugs 30b and 30a. The lower end plug 30b is installed at the lower end of the annular fuel rod 10, has a cylindrical shape, and includes an inner channel main inlet 33, in the center of which an inner channel (not numbered) is formed and into which cooling water flows. Here, the inner channel main inlet 33 of the lower end plug 30b is provided with a debris filter 31, which prevents an inflow of external debris at a proper position thereof. In order to insert and install the debris filter 31, the lower end plug 30b is provided with through-holes 32a at a proper position of the lower portion thereof. At this time, the debris filter 32, which is installed at a proper position of the inner channel main inlet 33 of the lower end plug 30b, is made up of a plurality of pins with a long rod shape, and has a crisscross shape in which the pins intersect with each other. More specifically, in order to prevent the debris from flowing from the outside into the inner channel through the inner channel main inlet 33 of the lower end plug 30b, the inner channel main inlet 33 of the lower end plug 30b is equipped with a debris filter 31, in which a plurality of pins 32 intersect with each other to from a crisscross shape. Each pin 32 of the debris filter 31 is fitted into a through-hole 32a, which is formed in the lower portion of the lower end plug 30b, and then it is fixed by a welding. Here, each pin 32 of the debris filter 31 preferably has a circular cross section, but it may have various shapes, such as a streamlined shape including an oval shape, a triangular shape, a quadrilateral shape, and so on. As described above, the debris filter 31 installed in the inner channel main inlet 33 of the lower end plug 30b allows only the cooling water excluding the debris to flow into the inter channel, so that the inside of the annular fuel rod 10 is prevented from being blocked by the debris. Here, the lower end of the lower end plug 30b has a lower inclined face 36 inclined at a predetermined angle such that the outer diameter thereof is decreased in a downward direction. In this manner, the lower inclined face 36, which is inclined at the lower end of the lower end plug 30b at a predetermined angle, allows the debris to slide easily to the outside of the lower end plug 30b when the debris comes into contact with the lower end of the lower end plug 30b, thereby preventing the debris from flowing into the inner channel main inlet 33 of the lower end plug 30b. Further, the lower end plug 30b is provided, at a proper position thereof, with at least one inner channel auxiliary inlet 34, into which the cooling water flows in a horizontal direction and passes through an upper wall of the lower end plug 30b. If the amount of cooling water flowing through the inner channel main inlet 33 of the lower end plug 30b is reduced, i.e. when the debris is caught up in the debris filter 31 installed in the inner channel main inlet 33 of the lower end plug 30b, and thereby obstructs the inflow of cooling water, which flows into the inner channel of the inner channel main inlet 33, to reduce the amount of-cooling water flowing into the inner channel of the annular fuel rod 10, the lower end plug 30b has a plurality of inner channel auxiliary inlets 34 passing through the upper wall thereof in a horizontal direction so as to allow for a flow the cooling water into the inner channel of the annular fuel rod 10. With the above-mentioned structure and geometry, when not flowing smoothly through the inner channel main inlet 33, the cooling water flows through the inner channel auxiliary inlets 34 passing through the upper wall of the lower end plug 30b, so that the inner surface of the annular fuel rod 10 is smoothly cooled to prevent the temperature of the fuel rod 10 from increasing excessively. In the embodiment of the present invention, the inner channel auxiliary inlets 34 are radially formed in the upper wall of the lower end plug 30b. Preferably, the inner channel auxiliary inlets 34 can be varied in size and number. Furthermore, the inner channel auxiliary inlets 34 are radially formed in the upper wall of the lower end plug 30b at predetermined intervals, and are vertically arranged in one or more rows. Furthermore, in the embodiment of the present invention, the inner channel auxiliary inlets 34, with the shape of a through hole, are horizontally formed in the upper wall of the lower end plug 30b. However, as illustrated in FIG. 9, each inner channel auxiliary inlet 34 can be formed in the upper wall of the lower end plug 30b so as to be inclined at a predetermined angle. As described above, because the inner channel auxiliary inlets 34 are formed in the upper wall of the lower end plug 30b so as to be inclined at a predetermined angle, these inclined inner channel auxiliary inlets 34 can reduce a pressure loss attributed to a specific shape, when compared to the horizontal ones. Here, a lower end plug 30b is provided with a lower handling groove 35, to which a fuel rod handling tool is coupled, at a proper position of an outer circumference thereof in a circumferential direction. In other words, in order to allow for a fuel rod handling tool for handling each fuel rod 10 of the nuclear fuel assembly to be coupled, the lower handling groove 35 is formed at a proper position of the outer circumference of the lower end plug 30b in the circumferential direction. In the embodiment of the present invention, the outer circumference of the lower end plug 30b has a lower handling groove 35, to which a fuel rod handling tool is coupled, in the circumferential direction. However, a lower end plug 30b may be preferably provided with at least one lower handling hole (not shown), which has a shape corresponding to at least one upper handling hole 38a into which a fuel rod handling tool is inserted at the proper position of an upper handling groove 38 of the upper end plug 30a. Due to this configuration, when the lower end plug 30b is transferred by using a fuel rod handling tool, the fuel rod handling tool is inserted into the lower handing holes of the lower handling groove 35 of the lower end plug 30b, and then the fuel rod is transferred. The upper end plug 30a is installed at the upper end of the annular fuel rod 10, has the shape of a hollow cylinder, and includes an inner channel main outlet 37, at the center of which an inner channel is formed and out of which the cooling water flows. Here, an upper end plug 30a is provided with an upper handling groove 38, to which a fuel rod handling tool (not shown) is coupled, at a proper position of an inner circumference thereof in a circumferential direction so as to handle each fuel rod 10 of the nuclear fuel assembly (not shown). At this time, the upper handling groove 38, which is formed at a proper position of the inner channel main outlet 37 of the upper end plug 30a, has a quadrilateral cross section. Although the upper handling groove 38, which is formed at a proper position of the inner channel main outlet 37 of the upper end plug 30a, is formed in a quadrilateral shape in the embodiment of the present invention, the upper handling groove 38 may be formed in various shapes, such as a polygonal shape, a semicircular shape, and so on. Meanwhile, an upper end plug 30a is provided with at least one upper handling hole 38a, into which a fuel rod handling tool is inserted at a proper position of the upper handling groove 38 of the upper end plug 30a. The fuel rod handling tool is coupled into the upper handling hole 38a, and then the fuel rod 10 is transferred. The upper end plug 30a is provided with an upper inclined face 39, which is inclined at a predetermined angle such that the outer diameter thereof is decreased in an upward direction. FIG. 13 is a schematic perspective view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to another embodiment of the present invention. FIG. 14 is a schematic transverse sectional view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to another embodiment of the present invention, wherein the structure of a debris filter installed in the lower end plug for the annular fuel rod is partly modified. As illustrated, the lower end plug 30b of the annular fuel rod 10 according to another embodiment of the present invention is provided with a debris filter 31, which functions to prevent debris from flowing from the outside, at the proper position of an inner channel main inlet 33 thereof. The debris filter 31 has the shape of a grid in which pins 32 with rod shapes intersect each other. In other words, the grid-like debris filter 31, in which the pins 32 intersect with each other, is installed at the proper position of the inner channel main inlet 33 of the lower end plug 30b, and thus prevents the introduction of debris. Thereby, only the cooling water can flow into the inter channel of the annular fuel rod 10. The pins 32 of the grid-like debris filter 31 are fitted into through-holes 32a formed in the lower portion of the lower end plug 30b, and are fixed by a welding. Here, the number of through-holes 32a of the lower end plug 30b is eight such that the pins 32 forming the debris filter 31 are fitted into the through-holes 32a. In this embodiment, the lower end plug 30b has eight through-holes 32a and four pins 32 fitted into the through-holes 32a. However, as long as the pins 32 maintain an intersecting grid shape and prevent the debris from being allowed to flow into the inner channel main inlet 33, they are not limited to that number. Preferably, the number of pins 32 corresponds to the number of through-holes 32a. Meanwhile, in this embodiment, each pin 32 constituting the debris filter 31 preferably has a circular cross section. However, the cross section of each pin 32 may have various shapes, such as a streamlined shape including an oval shape, a triangular shape, a quadrilateral shape, and so on. FIG. 15 is a schematic sectional perspective view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to yet another embodiment of the present invention. FIG. 16 is a schematic transverse sectional view illustrating a lower end plug of the lower and upper end plugs of an annular fuel rod according to yet another embodiment of the present invention, wherein the structure of a debris filter installed in the lower end plug of the annular fuel rod is partly modified. As illustrated, the lower end plug 30b of the fuel rod 10 according to yet another embodiment of the present invention is provided with a debris filter 31, which functions to prevent debris from being allowed to flow from the outside, at the proper position of an inner channel main inlet 33 thereof. Here, the debris filter 31 is constituted of at least two rod-like pins 32 and 32′, one 32 of which is disposed in a horizontal direction, and the other 32′ of which is disposed in a horizontal direction and are bent downward to form a “V” shape. Thereby, one pin 32 intersects with the other pin 32′ when viewed from the top. At this time, the angular point of the V-shaped pin 32′ is flush with the lower end of the lower end plug 30b, and serves as a support that prevent the debris from moving toward the inner channel of the lower end plug 30b when the debris is caught up at the lower end of the lower end plug 30b. Thereby, the V-shaped pin 32′ prevents the debris from flowing easily flowed into the inner channel through the inner channel main inlet 33. In this embodiment, the pins 32 and 32′ constituting the debris filter 31 intersect with each other in a manner such that the first one 32 thereof is disposed in a horizontal direction and that the second one 32′ thereof is disposed in a horizontal direction and are bent downward to form a “V” shape. Alternatively, the pins 32 and 32′ may intersect with each other in a manner such that the first one 32 thereof are bent downward to form a “V” shape, like the second one 32′. Meanwhile, the pins 32 and 32′ of the debris filter 31 are inserted into through-holes 32a, which are formed in the lower portion of the lower end plug 30b, and then they are fixed by a welding. In this embodiment, each of the pins 32 and 32′ constituting the debris filter 31 preferably has a circular cross section, but they may have various shapes such as a streamlined shape including an oval shape, a triangular shape, a quadrilateral shape, and so on. As described above, according to the lower and upper end plugs of the annular fuel rod, the debris filter is installed in the inner channel main inlet of the lower end plug, thereby intercepting an inflow of debris, and at least one inner channel auxiliary inlet with a through-hole shape is formed in a cylindrical wall of the lower end plug, thereby supplying cooling water when the debris is caught up in the filter to thus prevent the inner surface temperature of the fuel rod from increasing by excessively. Further, an upper end plug is provided with a groove in which a tool for pulling a fuel rod is located, thereby making it easy to handle a fuel rod when a nuclear fuel assembly is being assembled or disassembled. Although a preferred embodiment of the present invention has been described for illustrative purposes, those skilled in the art will appreciate that the various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. |
|
summary | ||
description | This application claims priority of U.S. Provisional Patent Application Ser. No. 62/076,340 filed Nov. 6, 2014, which is incorporated herein by reference. The present invention in general relates to the field of imaging and in particular to an improved system and method for providing X-ray radiographs with quantitative and standardized levels for bone and other tissue density evaluations. Bone density is an important measure of bone health, and in some cases, systemic health of a subject. Low bone density has been identified as a risk factor for fractures (especially long, spinal vertebrae and pelvic bones), degenerative joint disease (arthritis), pain, decreased activity levels, certain disease states (bone cancers, select endocrine diseases, obesity, etc.), medications that result in bone loss, dental disease (due in part to loosened teeth) and even as a measure of welfare. Bone density disorders are recognized in both humans and non-human animals. By identifying poor bone density, clinicians have the opportunity to recognize and diagnose certain diseases earlier (as opposed to waiting for more overt disease to develop) and develop risk assessment protocols and hopefully preventative measures. In addition, other tissue densities may also show promise for disease identification and serve as prognostic markers of certain diseases. This includes identifying the density of foreign materials that may have an impact on health. For example, by quantifying the density of ingested metals clinicians may be able to determine if conservative therapy results in successful dissolution of the item (by measuring decreasing density over a set period of time). Additionally, non-bone tissues that are more or less radiodense than ‘normal’ may indicate a disease process is present. As an example, hyperadrenocorticism, certain kidney disorders and select toxins can increase mineralization in soft tissues. Furthermore, low bone density also correlates with poor diet, lack of exercise, and lack of natural light exposure (especially for diurnal species), and may be compared to ‘normals’ to better determine welfare of animals kept in captivity. The ultimate goal would be to improve conditions for captive animals by improving nutrition, activity level and natural UV light exposure, especially for those animals that have restricted access to natural light, sufficient room to ambulate, and/or are on a poor diet. Bone density has been studied in laboratory animals and in poultry species, where low bone density has been found to be a common problem in captive production birds. Advanced cases may easily be recognized by strikingly poor bone density and sometimes folding type fractures on standard radiographs, as shown in the example in FIG. 1. However, studies in other animals are critically lacking primarily due to the cost of diagnostic equipment. As a result, large scale studies that correlate bone/tissue density with health and disease states are not possible without substantial funding. Advances in medical imaging technology have allowed noninvasive visualization and measurements of a wide variety of anatomy and functions of the body. Radiodensity or radiopacity refers to the relative inability of electromagnetic radiation, particularly X-rays, to pass through a particular material. Radiolucency indicates greater transparency or “transradiancy” to X-ray photons. Materials that inhibit the passage of electromagnetic radiation are called radiodense, while those that allow radiation to pass more freely are referred to as radiolucent. The term refers to the relatively opaque white appearance of dense materials or substances on radiographic imaging studies, compared with the relatively darker appearance of less dense materials. Because calcified tissues such as bone are radio-opaque, X-ray based imaging including projection radiography (or X-ray radiography) and computed tomography (CT) are the most commonly used modalities for assessing bone morphology. Although X-ray radiography offers the highest spatial resolution useful for detecting, for example, hairline fracture in a bone, due to the lack of calibration and the physics of image formation, X-ray radiography intensities are generally only qualitative in nature. Due to its qualitative nature, X-ray radiographs give clinicians only subjective, relative evaluation of tissue density. As a result, standard radiographs, which are common in private practice, cannot be used to provide scientifically meaningful data on bone/tissue density. In contrast, CT intensities are both quantitative and standardized across all scanners, and are the best (in terms of speed and resolution) for visualizing the skeletal system and some soft tissue structures. There are several reasons why existing X-ray radiography is not suited for quantitative intensity-based evaluations. Most X-ray radiography and CT instruments employ a “point source” for generating the X-ray. As the generated X-ray radiates away from the source, the intensity of the X-ray decreases as the inverse-square of the distance. Moreover, as the X-ray arrives at the detector, which is normally flat, unless the incident angle is perpendicular to the detector, the intensity of the X-ray is further diminished as the X-ray beam is spread across a bigger area. Combined, even when the point source is aimed directly and squarely at the detector, the “source-detector geometry” imposes an inherent variability on X-ray intensity across the detector. Whether a conventional film or digital detector is used, spontaneous processes in the detector (e.g., intrinsic electronic charges in the digital detector) contributes to baseline intensity in the X-ray image even when the source is completely turned off. Due to the properties of exposure-to-intensity conversion, the conversion might not be linear (i.e., doubling the exposure may not result in doubled brightness on the image). In addition to the baseline and nonlinear responses, all detectors have finite response “dynamic range”. Unless the exposure is optimized to the range, under-exposure can lead to patches of uniformly dense regions (regardless of variability of the underlying anatomy), whereas over-exposure can lead to apparent disappearance of low-density regions. In computed tomography (CT), all of the above issues with X-ray radiography are effectively addressed by the so-called “dark-light calibration” and “exposure optimization” procedures that are performed as part of the CT acquisition. The dark-light calibration essentially involves obtaining scans with and without the source turned on, and subtracts the obtained values from all subsequent acquisitions. Exposure optimization involves an iterative process of scans and intensity analysis to find the exposure setting that is just below the upper detector dynamic range. Separately, all CT-obtained intensities are standardized by normalizing the intensities to those for air and water, such that air and water will have exactly −1000 and 0 “Hounsfield Units”, respectively, in all scanners. Even though CT provides the best speed and resolution for visualizing the skeletal system, the cost of CT scans is prohibitive and the limited availability of CT equipment makes its wide usage impractical in most veterinary and human point-of-care practices. Thus, there exists a need for improved systems and methods that provide skeletal visualizations that are comparable to CT scans but at a lower cost and with lower dosimetry. A method for radiographic tissue density evaluation is provided that includes capturing a radiographic image of a material with an X-ray image collection cassette. The cassette includes components (either built in or attached to the cassette) that allow for performing intensity standardization of the captured radiographic image. A spatial homogenous backing alone, at least one calibration bar, or a combination thereof serve as a reference for such standardization through background subtraction and known absorption, respectively. The radiographic image is analyzed to determine spatially resolved tissue/subject density in the biological or non-biologic material. The biological material can be a biopsy, a microorganism, an organ, organelle, or a living subject such as a human or an animal, or a cadaver. The non-biologic subject can be any device, structure or other item not-composed of biologic material. A system for performing the method is also provided that includes a standard or specialized X-ray image recordation cassette and software for radiographic image analysis. The present invention has utility as a method and system to make X-ray radiographs sufficiently quantitative and standardized for bone, other tissue and non-biologic subject density evaluations. Embodiments of the inventive X-ray radiograph methodology and system provide a cost effective diagnostic tool that may be used in daily practice with existing X-ray radiography equipment already present in many clinics and hospitals to ultimately produce large volumes of scientifically valid data and useful diagnostic and prognostic information. Embodiments of the inventive radiograph based bone, tissue, non-biologic subject density determination system are designed to visually and numerically identify bone and other densities using digital radiographs. The values generated are based on a universal scale, different from Hounsfield units, that can be standardized from radiograph to radiograph and across machines assuming proper radiograph positioning and technique (for the subject in question) is used and radiographic equipment is functioning properly. The inventive system may be used as a low cost alternative to more expensive density imaging methods such as computerized tomography (CT) and Dual-energy X-ray absorptiometry (or DEXA) scans. Embodiments of the invention may be used in any situation where radiographs are taken-standard limb or whole body images, dental, clinical patient, research, and on potentially any animal including humans. Embodiments of the inventive X-ray radiograph methodology and system may also potentially be used on plants, minerals, metals, manmade materials and any other naturally occurring or foreign substance, industrial equipment, and other objects and structures, serving as an inexpensive way of collecting density information, with radiation dosing that is less than that of CT scanning Materials suitable for density interrogation according to the present invention illustratively include a whole multicellular organism, a microbe, a virus, or parts of an organism (as in a specific organ, organelle, or tissue), or non-biological materials such as castings. The applications include obvious health data but could be used as a screening tool for density variations in just about any material or object. A living human or animal or cadaver of the same are exemplary materials in a clinical setting. To form the inventive Radiograph Density Detection Device (RDDD) (hereinafter referred to as a radiographic device, or simply the device) a component may be added to a conventional X-ray film cartridge (internally as a part of the cartridge or peripherally attached to the cartridge) and subsequently incorporated into radiographs for interpretation. The incorporated device acts as a standard against which animal, human, non-biological, and other tissue densities can be measured. An inventive software based program is provided to interpret tissue densities (including bone) to ultimately identify low, normal, or high values compared to ‘normals’ densities. Densities created by the software may be presented in a variety of forms illustratively including density associated colors, absolute numerical values and can give local regional and whole subject value. In some inventive embodiments, orthogonal views of the same material can be used to by the software to mathematically generate volumetric color and numerical values for the material. The inventive device provides the diagnostician real data as to the density of normal and foreign body tissues to aid in disease diagnosis and prediction of health of a subject either human or animal. Non-biologic material densities can also be rapidly studied as with biologic materials to, for example identify internal porosity or voids in a casting. Embodiments of the inventive device and method provide a low cost and relatively accurate (within an acceptable tolerance or error) alternative to CT or other more expensive and generally unavailable diagnostic tests that evaluate bone and other tissue densities in patients, with generally lower radiation exposure. Embodiments of the device may be used on digital radiograph machines which are now commonplace in human and animal medical facilities with embodiments of the inventive software to convert the images obtained into tissue density scores. Embodiments of the invention require minimal modification or additional procedure to the effort involved in taking a conventional X-ray radiography. It is appreciated that an X-ray microscope is also used to obtain density information regarding materials small than a few millimeters. The following are non-limiting illustrative examples of specific types of disorders where evaluation using the above RDDD system may improve diagnosis and potentially treatment: Soft tissues: muscle contraction; myositis ossificans; vascular diseases (mineralization, atherosclerosis); tenosynovitis; tendon avulsion; inflammation (traumatic, parasitic, fungal, bacterial, neoplastic, autoimmune, toxins, thermal burns, freezing injury, idiopathic, nosocomial, exogenous drug induced, endogenous drug induced, etc.); general abnormal mineralization or mineral deposits; tissue disruption; duplicate, hypertrophied, atrophied, missing, reversed or misplaced organs/tissues; foreign bodies, granulomas, calculi formation, calcinosis cutis; panniculitis; intervertebral disk disease; periodontal disease; joint/tendon/ligament ruptures; retained cartilage cores; fibrotic myopathy; and general and organ specific neoplasia. Diagnosis of any disorder that alters the density of soft tissues may potentially benefit from the RDDD. Bone tissues: osteomalacia; osteoporosis; osteodystrophy; osteomyelitis (traumatic, parasitic, fungal, bacterial, neoplastic, autoimmune, toxins, thermal burns, freezing injury, idiopathic, nosocomial, exogenous drug induced, endogenous drug induced, etc); panosteitis; vitamin A toxicity; periosteal inflammation (traumatic, parasitic, fungal, bacterial, neoplastic, autoimmune, toxins, thermal burns, freezing injury, idiopathic, nosocomial, exogenous drug induced, endogenous drug induced, etc.); osteoarthritis/degenerative joint disease; rheumatoid arthritis; erosive arthritis (single or poly); non-erosive arthritis (single or poly) (traumatic, parasitic, fungal, bacterial, neoplastic, autoimmune, toxins, thermal burns, freezing injury, idiopathic, nosocomial, exogenous drug induced, endogenous drug induced, etc.) osteitis (traumatic, parasitic, fungal, bacterial, neoplastic, autoimmune, toxins, thermal burns, freezing injury, idiopathic, nosocomial, exogenous drug induced, endogenous drug induced, etc); periosteal bruising; fractures; multiple cartilaginous exostoses; diskospondylitis; Legg-Calvé-Perthes disease; osteochondrosis; septic arthritis (traumatic, parasitic, fungal, bacterial, neoplastic, autoimmune, toxins, thermal burns, freezing injury, idiopathic, nosocomial, exogenous drug induced, endogenous drug induced, etc.); craniomandibublar osteopathy; bone cysts; hypertrophic osteopathy; nutritional secondary hyperparathyroidism; renal secondary hyperparathyroidism; mucopolysaccharidosis; bone mutilation from injury, infection, self trauma, other; monitor bone biopsy and graft/implant sites, and more. Diagnosis of any disorder that alters the density of bone may potentially benefit from the RDDD. With respect to FIGS. 4A and 4B, in which like numeral have like meaning when ascribed to different drawings, a specific embodiment of the inventive device 40 or 50 in the form of a flat-bed cassette 42 is configured for placement under a a human subject or other material as part of the regular X-ray radiography exam, in order to make X-ray radiography more quantitative and standardized. The cassette 42 captures information to perform intensity normalization and standardization, at locations (e.g., field hospitals) where care is rendered. The cassette may have (a) a minimally radio-opaque backing 44 which may be made of acrylic polymer or other radiolucent material or a image receptive layer that directly converts X-ray information into a digital signal, of uniform thickness whose X-ray radiographic signature can be used to estimate the source-detector geometrical inhomogeneity, and (b) a calibration bar 46 consisting of known materials and known thickness whose radiographic signatures can serve as references for standardization. In inventive embodiments the calibration bar acting as the reference device may fit on top of or within a radiograph cartridge that is composed of a set of standard density items. In order to accommodate the wide range of X-ray exposures that may be encountered in the field, separate sets of the inventive cassette may be configured for use with low, medium and high exposures in part based on the size the subject. The standard X-ray cassette 42 is utilized. The cassette 42 may be square, rectangular or specially shaped and sized for the subject. A calibration bar 46, composed of multiple materials of known density and proper size to account for changes in the X-ray direct and incident angles and cassette and subject sizes, may be built into the cassette 42, simply placed on top of the cassette 42 or interchangeably inserted into the cassette 42. Specifically designed cassettes would house the interchangeable port or permanent location of the calibration bar. It is appreciated that a novel cassette is developed in which the entire cassette serves as the calibration bar such that additional components would not be required. The terms “low”, “medium”, and “high” in the context of X-ray exposure for a given X-ray source. It is appreciated that the thickness and radio-density of the material being investigated are important aspects in deciding the exposure. Additionally X-ray cassettes may have built in calibration bars or similar devices that cover a larger range than afforded by individual and interchangeable bars. The calibration bar on embodiments of the cassette is configured to have a sufficiently wide range and gradated radio-opacity (set of standard density items) for the entire dynamic range of exposure encountered in practice. This reference range provides densities which are compared to the subject's different tissues. In embodiments, software is configured to quickly interpret the information and provide real time data as to the densities of set and user defined points (a portion of a bone for example) as well as set and user defined regions (a whole bone for example). Embodiments of the inventive software are configured to perform numerical operations to convert raw X-ray radiographic intensity into standardized metrics to be used in, for example, evaluating bone density. The software may be installed on a server or computer that is located in the same hospital or location where the scans are performed, or the software may be on a remote server or offered as a software on-demand service in the cloud that is accessed over the Internet. The images may be transmitted to a central location to be processed and analyzed by the same persons, although the software for the analysis can also be distributed to the field locations. The inventive software includes capabilities to (a) estimate the background (created by the instrument source-detector geometry and baseline responses) and subtract it from the raw image, and (b) convert the grayscale images into color-coded images (an intuitive colormap) based on the reference materials, since the human eye can more readily discern different colors over different shades of grey. In a specific embodiment, the colormap scale follows the visible spectrum with normalized radiodensity values from 0-100 corresponding to a color progression from red to violet, or vice versa. Because of the inherent variation in background intensity generated in X-ray radiography (in part due to the physics of x-rays diverging from the perfect perpendicular orientation of the central ray and the receptor plate), another embodiment of the inventive software is to adjust for this variation and create a homogeneous background on the image. FIGS. 2A-C demonstrate the gradient naturally present in X-ray images. Without correction, this gradient affects the visual brightness of images and ultimately results in variation, of what may be the exact same density, from one side of the film to the other. The inventive software corrects for this gradient across the X-ray image creating density values consistent with the true density of the subject. In another embodiment of the inventive software enhancement tools added to the image, by the native digital image processing software, are accounted for and counteracted, minimized or otherwise adjusted for to reduce their effect on further density processing. Such digital imaging tools, such as sharpening type tools, are common with digital imaging software. These tools are designed to help the viewer discern subtleties in the greyscale of the image. Sharpening and shadowing can help define lines in between various grey scales and are often used to help the image visually ‘pop’. However, these shadows, sharpenings and other changes can artificially affect the density of certain subject attributes and must be considered. The inventive software also works to address some or all of these enhancement tools that may be present—especially those that may affect density readings. Correction may include working with the manufacturer of the native software to turn off these features or by adjusting for the changes created from the enhancement tool(s). The ability of the inventive software to convert the grayscale images into color-coded images allows for creation of density color maps that may be adjusted through a series of density ranges. This allows the image to be intensified (amplify the density signal) for specific regions as needed. The adjustable intensity range may be applied to any image and directly compared between images of different subjects. Embodiments of the inventive software generate real values that correspond to the density range (whether amplified or not), which assigns a ‘number’ on the density value that can also be compared with the subject and between subjects. Specific embodiments of software algorithms used for image correction create a correction methodology that will produce quantitative values of radio-opacity in X-ray radiography that are within 5-15% accuracy of the values measured by CT. In a preferred embodiment radiographic density information is within 95%, or greater, correlation of CT density values (Hounsfield units). The radiographic density score is consistent between any X-ray machine using the inventive flat-bed cassette and only requires proper patient/subject positioning and the use of the inventive operating software. The user would simply click a ‘button’ and get a color coded density map for an overview of density, regional, and even localized views. Real ‘density’ values may be collected regionally, locally, and even in very specific spots/pixels on a subject. These density values may be used to identify variations from ‘normal’ or expected values. In inventive embodiments identified combinations of materials and thicknesses that have discrete, gradated radio-opacity suitable for the construction of a radio-opacity calibration bar are formed. The identification of material includes the backing board and/or its thickness in order to accommodate the X-ray exposures that will be used for different-sized animals and subjects. FIGS. 2A-C show a radiograph of an ex vivo hamster (FIG. 2A) with an embodiment of the intensity calibration bar (top of image). In the radiograph of FIG. 2A, there is a conspicuous background that increases in intensity (dark to light) from left to right of the image. The non-uniform background is isolated (FIG. 2B), which contributes to approximately 10-15% of the intensity variation across the image in this specific example. After subtracting the background, the corrected image (FIG. 2C) shows visibly improved contrast and detail, especially in the animal head. Importantly, the intensities can now be assigned bone density values with more certainty by cross-referencing with the calibration bar. Validation of embodiments of hardware-software methodology in making X-ray radiography quantitative is obtained with radiographs of different objects as well as ex vivo animals of varying sizes, and performing post-analysis corrections, and compare the corrected radiographs directly with CTs of the identical objects and animals. The results may be used as feedback for improving the software algorithms of the correction. FIGS. 3A-3H are plain and corrected radiographs (X-ray image) and color density maps of a two cockatiels. FIGS. 3A through 3D refer to cockatiel 1, and FIGS. 3E through 3H refer to cockatiel 2. The animals are approximately the same size and radiographs were completed on the same machine with identical settings. FIGS. 3A and 3E represent the unprocessed radiograph and show the calibration bar (represented by circles of varying densities [gray scales]) and the natural gradient of the image (notice the variable ‘black’ of the background). FIGS. 3B and 3F show the same images post-processing to even the gradient. This step ensures that all, or at least most, points on the image have been adjusted for the gradient variation and is crucial to the next step of assigning density values to the tissues. FIGS. 3C and 3G show the same subjects with a color map and identical density scale. Each color corresponds to a density value that can also be represented with a numerical value. The reddish-brown color indicates the greatest density while blue the lowest density. Both subjects can be compared directly. The black arrows point to regions that are brighter in FIG. 3C compared to 3G. The density scale can also be narrowed which makes the density readings more sensitive for those areas with decreased density. FIGS. 3D and 3H demonstrate a narrowed density scale that increases subtleties in the images. Again, both subjects are shown at identical density scales. The arrows are placed to allow for direct comparison of color based densities between the two subjects. The arrows point to greater density readings (as shown with increased reddish-brown and yellow) in FIG. 3D compared to the same regions on FIG. 3H (more white and blue representing lower density). In this example, bird A (represented by FIGS. 3A-3D) has much greater bone density than the bird represented by FIGS. 3E-3H. However, this difference is not readily observable in the plain radiographs represented by FIGS. 3A and 3E. The color scheme is directly tied to numeric values and can be compared between subjects and machines. The color representation allows for quick assessment of density. Even if the scale (and corresponding colors) is changed, the numeric values are consistently reported (as long as the area being measured is not over or undersaturated). The numeric values allow for reporting that can be compared to ‘normals’ and ‘abnormals’ without the risk of over or under interpreting the color map, A validation study of hardware-software methodology is conducted to test how well it performs under various conditions of normal usage on different X-ray equipment. To provide a basis for comparison, “phantoms” (objects for test scans) are constructed that correspond to large, medium and small-size animals or human tissues. CT scans are performed on the phantoms to obtain absolute quantification of their radio-opacity values. Moreover, 4 duplicate sets of the backing boards, calibration bars, and phantoms are sent to 10-24 selected veterinary clinics around the country for trial scans on different equipment. The scans obtained from different sites are compared and the results may be used to improve the robustness of the post-analysis algorithm with a goal is to obtain quantitative X-ray radiograph values that are consistent within 5% across different scanners at different sites. Additional veterinary and human hospitals and other testing centers may be recruited to aid in product improvement. A study of 4 sets of birds (2 species, each under 2 sets of conditions) using the inventive Radiograph Density Detection Device (RDDD) and a micro computerized tomography (μCT). The μCT will serve as the gold standard. Data is collected on all birds at two separate times. The study is designed to accomplish two goals: correlate the RDDD to μCT and collect bone density readings between the different groups of birds. As a tertiary goal, the study serves as a model of how the RDDD can be used on a large scale basis. Data points collected are used to form a best fit model on the radiographs compared to μCT, to determine how reliable the RDDD data is at specific points on the radiographs and to provide a correlation coefficient with μCT. Clinical use of the Radiograph Density Detection Device (RDDD) to develop normal and abnormal density ranges for specific tissues/items, study individuals/single items and populations/groups. The test may be used as a typical component of X-ray testing whether for screening, diagnostic or monitoring purposes. The development of normal and abnormal density ranges may be used as a diagnostic tool and as a long term ongoing means to develop and refine what normal density is for the specific subject being studied. For example, the RDDD may be used to collect data on bone density values for a population of people living in a certain local. In an alternative example, the RDDD could be used to monitor environmental degradation of select minerals or metals after exposure to acids and other contaminants. Studies may be based on data gathered using the RDDD system. The data generated by embodiments of the RDDD system could provide a large amount of information relating to individuals (such as people), populations (such as captive animals), construction materials (as with weathering and mineral leaching of materials) and more. Examples of such studies in humans and animals include but are not limited to relating bone density to inactivity; obesity; cage or space confinement; subzero, zero or increased gravity; nutrition; whole organism or organ specific development, and much more. The foregoing description is illustrative of particular embodiments of the invention, but is not meant to be a limitation upon the practice thereof. The following claims, including all equivalents thereof, are intended to define the scope of the invention. |
|
description | The present invention relates to nuclear fuel assemblies. More specifically, the present invention provides a method and device to load a nuclear fuel rod for a nuclear fuel assembly. Production for nuclear fuel assemblies requires significant care during fabrication. The fabrication steps taken for such fuel assemblies is often costly and complicated due to the amount of precautionary steps that are required. Nuclear fuel rods are designed with several different components, wherein each of the components having a specific design purpose. The fissionable component of each nuclear fuel rod is generally a uranium enriched ceramic material (a uranium oxide) that is shaped in the form of a pellet. Individual pellets are placed end to end to form a fuel column. The fuel column is then inserted into an elongated rod made of corrosion resistant metal, such as a zirconium alloy, called a fuel clad. The fuel column is protected from mechanical and chemical wear by the fuel clad. The fuel clad protects the fuel column during operation of the reactor as well as handling of the fuel assembly. As an additional precaution, springs and/or other devices are also included inside the volume encapsulated by the fuel clad to allow the uranium fuel elements to swell and shift within prescribed limits in the fuel clad. This allows the fuel column to withstand several different loading scenarios without detrimental effects to the fuel column. The completed fuel rods are then stored. Completed fuel rods are then placed in a parallel arrangement, called a fuel assembly, to prevent the fuel rods from contacting each other during use. In current automated loading systems, nuclear fuel pellets are taken from a fuel pellet elevator and transferred by a conveyor in a tray to a segment make-up table. The fuel pellets are removed from the fuel pellet tray by a worker and placed on the table. The fuel pellets are placed in a parallel orientation and then compacted by a pusher device to form columns of uranium containing ceramic material. The pushing device is connected to a linear variable displacement transducer which is configured to provide an electrical output signal. The electrical output signal is then read by a computer and an overall length of the individual fuel element column is determined. A computer then compares an overall design specification for the fuel rod with the overall length determined from the output signal. If the difference between the expected design value of the nuclear fuel element column length and the measured value meets a predetermined threshold value, the fuel rod cladding is then loaded with the nuclear pellet column. If the overall length of the fuel pellet column is outside of the threshold value, the fuel pellets are then rejected from the segment make-up table. A top end cap is then welded on the existing open side of the fuel rod cladding thereby completing the nuclear fuel rod. There is a need to provide an apparatus and method which will enable an operator to perform additional quality assurance checks of the nuclear fuel elements during the manufacturing process of a nuclear fuel rod. There is also a need to provide a method and device to load nuclear fuel pellets into a nuclear fuel rod in a safe, economical and non-damaging manner. There is a further need to provide a method and device which will load cylindrical fuel pellets into an open fuel rod clad, i.e. a fuel rod clad without a lower plug welded to the fuel clad. There is a further need to provide a method and device which will allow cylindrical fuel pellets to be loaded into an open fuel rod clad to eliminate slow insertion speeds for pellet placement found in existing methods and systems. It is therefore an objective of the present invention to provide a method and device to load pellets into a nuclear fuel rod for a nuclear fuel assembly. It is also an objective of the present invention to provide a method and device to load nuclear fuel pellets into a nuclear fuel rod in a safe, economical and non-damaging manner. It is also an objective of the present invention to provide a method and device which will load cylindrical fuel pellets into an open fuel rod clad. It is a further objective of the present invention to provide a method and device which will allow cylindrical fuel pellets to be loaded into an open fuel rod clad to eliminate slow insertion speeds for pellet placement found in existing methods and systems. The objectives of the present invention are achieved as illustrated and described. The present invention provides a method to load a nuclear fuel rod, comprising the steps of providing nuclear fuel pellets in a fuel plate transfer unit, transferring the nuclear fuel pellets from the fuel plate transfer unit to a fuel pellet column through the use of a belt; indexing the nuclear fuel pellets in the fuel pellet column to a nuclear fuel pellet loading machine, and pushing the fuel pellet column into a fuel rod cladding. The indexing the nuclear fuel pellets in the fuel pellet column to a nuclear fuel pellet loading machine can be performed through a v-trough station. Referring to FIG. 1, a handling tray 10 is illustrated. The handling tray 10 provides a housing by which nuclear fuel elements, in the illustrated embodiment fuel pellets 12, are transported for ultimate incorporation into open end nuclear fuel clad. The handling tray 10 is made of a hardened corrosion resistant material, such as stainless steel compatible with nuclear materials. Referring to FIG. 2, a handling tray 10 enters an unloading area 38 where nuclear fuel pellets 12 are to be inserted into the fuel plate transfer unit 30. A hydraulic actuator 22 provides a motive force which causes supports 20 to extend in direction 24. The hydraulic actuator 22 is activated through the instruction of a computer 25, which has sensors 27 that indicate the presence of a handling tray 10. At a first end of the supports 20, a pushing blade 18 is positioned at a height which contacts the fuel pellets 12 in the handling tray 10 along the individual rows 40. The blade 18, in the example embodiment illustrated, is a flat bottom device. In an alternate embodiment of the present invention, the blade 18 has a scalloped edge. The blade 18 and the associated supports 20 move in direction 24 to push the fuel pellets 12 off of the tray 10. At the completion of the pushing of the fuel pellets 12 off of the tray 10, the blade 18 is then lowered by the hydraulic actuator 22 such that the edge contacts the tray 10. The actuator 22 may be any unit that provides movement of the supports 20 and the associated blade 18. As such, the actuator 22 may be an electromechanical device, a geared device or other similar arrangement. The actuator 22 may also be configured with a failsafe design to limit the imposition of force upon the fuel elements during pushing. To this end, the actuator 22 may be configured with a trip circuit that disconnects actuation of the power to the actuator if force is measured by the actuator to be above a predefined amount. The blade 18 is then moved in a tray removal direction 26. The impact of the blade 18 on the tray 10 removes the tray 10 from the conveyor belt 29. The tray 10 may then be stacked for further usage at another time. The conveyor belt 29 may then be indexed by the computer 25 to provide another handling tray 10 into the loading area The process of unloading fuel elements may then be repeated as often as desired. In the illustrated embodiment provided, a handling tray may be loaded every approximately 15 seconds. A new tray 10 may then be moved into place along the roller system such that the pushing blade 18 is in a renewed position to push additional fuel pellets into the fuel plate transfer unit 30. FIG. 3 is a top view of a fuel plate transfer unit 30. The fuel plate transfer unit 30 accepts fuel pellets 12 pushed by the combination of the hydraulic actuator 22 and the pushing blade 18. The fuel plate transfer unit 30 has fuel element rows 28 which correspond to the fuel elements placed upon the handling tray 10. Once the individual fuel elements are loaded into the fuel plate transfer unit rows 28, the individual fuel elements are then removed from the element rows 28 through indexing of the fuel plate transfer unit 30. The indexing of the fuel elements from the bottom of the fuel plate transfer unit 30 occurs along the indexing direction 32. The indexing occurs through the use of a motor 36 in conjunction with a rail 34. A slot 41 placed below the fuel plate transfer unit 30 allows the individual fuel elements rows to fall from the side of the fuel plate transfer unit 30 into the slot 41. The pellets are then transferred down the slot 41 through the use of a belt 42. The number of rows in the fuel plate transfer unit 30 and the tray 10 may be augmented such that larger or smaller batches of fuel elements 12 may be processed through the pellet loading apparatus. The fuel plate transfer unit 30 is indexed along direction 32 by the motor 36 through a ball screw connection. The ball screw is driven through motors controlled by a computer 37. Although illustrated as a chain driven motor arrangement, other methods of operation such as hydraulic movement of the fuel plate transfer unit 30 are possible. The length of the rail 34 is such that the fuel plate transfer unit 30 can index all rows of fuel pellets into the single slot 41. Referring to FIG. 4, a side elevational view of the fuel plate transfer unit 30 is illustrated. The fuel plate transfer unit 30 indexes along direction 32 such that individual fuel elements 46 fall from the underside of the fuel plate transfer unit 30. The rotational belt 42 accepts fuel pellets 46 falling from the fuel plate transfer unit from the slot 41. A fuel pellet block 48 protects the alternate side of the slot 41 such that the individual fuel elements 46 directly drop into the slot 41 and onto the rotational belt 42. The individual fuel elements 46 are housed in openings 31 placed in the fuel plate transfer unit 30. The openings 31 are sized to allow the fuel elements 46 to roll along an interfacing surface 33, but also keep individual fuel pellets from interacting with other fuel pellets during the indexing. Both the surface of the openings 31 and the interfacing surface 33 are configured as smooth surfaces to limit damage to the fuel elements 46. The width of the slot 41 is minimized to restrict the amount of movement of the fuel element 46 along the indexing direction 32. The depth of the slot 41 is also chosen such that fuel elements which transfer to the belt surface do not interfere with the indexing of the fuel plate transfer unit 30 during further indexing operations. Additionally, the speed of the rotational belt is maintained at levels that do not cause the fuel element 46 which transfers to the belt surface to jump do to sudden impartation of force. Although illustrated as a rectangular slot configuration, the slot 41 may also have an hourglass design to limit the possibility of fuel elements from being ejected from the surface of the belt 42 and back to the fuel plate transfer unit 30. The illustrated embodiment is intended for use on a level floor. If the pellet loading apparatus is to be used on a non-level surface, the belt 42 can be provided with protection for fuel element slide back by increasing the coefficient of friction between the fuel element and the belt. An example of this is placing a rubber coating on the belt 42. The belt 42 can be configured to assess material weight placed on the belt 42. Additionally, the belt 42 may have a belt speed sensor and a microprocessor-based integrator to continuously compute the rate of materials transferred along the entire length of the conveyor per unit time. The belt 42 surface can be maintained in a taught configuration through a screw take-up system 51 which maintains a desired spacing between the individual rollers 52. Although the loads handled by the belt 42 are anticipated to be light, impact idlers may be added in the impact area of the slot 41 to minimize belt deflection during loading. To additionally keep the belt surface taught, carrying idlers 53 can be accommodated along the entire space between the rollers 52. A take up weight 55 is also located along the belt 42 to keep the top surface of the belt 42 in a taught condition under increasing and decreasing speeds as well as changes in temperature and humidity. Referring to FIG. 5, the rotational belt 42 is illustrated in more detail. The rotational belt 42 is an endless loop of material 50 which is driven by motor driven rollers 52. The motor driven rollers 52 are controlled through a controller, such as a computer. The speed of the motor driven rollers 52 and the rotational belt 42 may be a constant speed or may be variable according to the needs of the process. The endless loop of material 50 is provided to safely transfer ceramic tile fuel elements along the length of the rotational belt 42 without damage. In the example embodiment illustrated, the rotational belt may be a PVC matrix belt, a rubber track belt, or other arrangement which provides a non-maring surface to contact the individual fuel elements. Referring to FIGS. 6 and 7, individual fuel elements are stacked to make up the full fuel rod pellet column by the rotational belt 42 and are then loaded into a fuel element transfer apparatus 70. The fuel element transfer apparatus 70 accepts the individual fuel elements in a line progression to form a fuel column. The fuel column is then transported from the fuel element transfer apparatus 70 into an open ended fuel clad 72 placed in the fuel element transfer apparatus 70. A blade 74 operated by a motor 76 pushes the fuel column down the fuel element transfer apparatus 70 and into the fuel clad 72. The blade 74 and the motor 76 are controlled by a computer 80 which has positional sensors 77 indicating the amount of fuel elements within the fuel element transfer apparatus 70. Instructed by the computer 80, the motor 76 is activated and the blade 74 transfers the fuel column into the open ended fuel clad 72. The fuel element transfer apparatus 70 is constructed such that the fuel column can be pushed into the fuel clad 72 without damage or need for lifting of the fuel elements. The blade 74 travels along guides 82 thereby allowing the blade 74 to maintain a perpendicular orientation to the fuel column placed within the fuel element transfer apparatus 70. The method and apparatus of the present invention provide significant advantages over conventional methods and apparatus to load nuclear fuel pellets into fuel rod cladding. The present method and apparatus of the present invention allow an individual fuel rod to be loaded with nuclear fuel material with a typical cycle time of 30 seconds. Conventional cycle times for pellet loaders have a typical cycle time of approximately 45 seconds. The method and apparatus of the present invention provide for loading of nuclear fuel rods such the elements making up the fuel column are not damaged by hard loading. Furthermore, the present invention reduces radiation exposure for workers constructing nuclear fuel rods due to the increased loading speed. Airborne contamination is reduced since open rod loading eliminates pressure buildup as the pellet column is inserted (gas escape over fuel column.) In the foregoing specification, the invention has been described with reference to specific exemplary embodiments thereof. It will, however, be evident that various modifications and changes may be made thereunto without departing from the broader spirit and scope of the invention as set forth in the appended claims. The specification and drawings are accordingly to be regarded in an illustrative rather than in a restrictive sense. |
|
summary | ||
description | The present invention is described with respect to a radiographic imaging system such as the CT system shown in FIGS. 1-2 and the x-ray system shown in FIGS. 3-4. However, it will be appreciated by those skilled in the art that the present invention is equally applicable for use with other radiographic imaging systems. Moreover, the present invention will be described with respect to the emission and detection of x-rays. However, one skilled in the art will further appreciate, that the present invention is equally applicable for the emission and detection of other high frequency electromagnetic energy. Referring to FIGS. 1 and 2, a xe2x80x9cthird generationxe2x80x9d CT imaging system 10 is shown as including a gantry 12. The present invention, however, is applicable with other CT systems. Gantry 12 has an x-ray source 14 that projects a beam of x-rays 16 through filter 15 toward a detector array 18 on the opposite side of the gantry 12. Detector array 18 is formed by a plurality of detectors 20 which together sense the projected x-rays that pass through a medical patient 22. Each detector 20 produces an electrical signal that represents the intensity of an impinging x-ray beam and hence the attenuated beam as it passes through the patient 22. During a scan to acquire x-ray projection data, gantry 12 and the components mounted thereon rotate about a center of rotation 24. Rotation of gantry 12 and the operation of x-ray source 14 are governed by a control mechanism 26 of CT system 10. Control mechanism 26 includes an x-ray controller 28 that provides power and timing signals to an x-ray source 14, a gantry motor controller 30 that controls the rotational speed and position of gantry 12, and filter controller 33 that controls filter 15. A data acquisition system (DAS) 32 in control mechanism 26 samples analog data from detectors 20 and converts the data to digital signals for subsequent processing. An image reconstructor 34 receives sampled and digitized x-ray data from DAS 32 and performs high speed reconstruction. The reconstructed image is applied as an input to a computer 36 which stores the image in a mass storage device 38. Computer 36 also receives commands and scanning parameters from an operator via console 40 that has a keyboard. An associated cathode ray tube display 42 allows the operator to observe the reconstructed image and other data from computer 36. The operator supplied commands and parameters are used by computer 36 to provide control signals and information to DAS 32, x-ray controller 28 and gantry motor controller 30. In addition, computer 36 operates a table motor controller 44 which controls a motorized table 46 to position patient 22 and gantry 12. Particularly, table 46 moves portions of patient 22 through a gantry opening 48. Referring now to FIGS. 3-4, an x-ray system 50 incorporating the present invention is shown. The x-ray system 50 includes an oil pump 52, an anode end 54, and a cathode end 56. A central enclosure 58 is provided and positioned between the anode end 54 and the cathode end 56. Housed within the central enclosure 58 is an x-ray generating device or x-ray tube 60. A fluid chamber 62 is provided and housed within a lead lined casing 64. Fluid chamber 62 is typically filled with coolant 66 that will be used to dissipate heat within the x-ray generating device 60. Coolant 66 is typically a dielectric oil, but other coolants including air may be implemented. Oil pump 52 circulates the coolant through the x-ray system 50 to cool the x-ray generating device 60 and to insulate casing 64 from high electrical charges found within vacuum vessel 68. To cool the coolant to proper temperatures, a radiator 70 is provided and positioned at one side of the central enclosure 58. Additionally, fans 72, 74 may be mounted near the radiator 70 to provide cooling air flow over the radiator 70 as the dielectric oil circulates therethrough. Electrical connections are provided in anode receptacle 76 and cathode receptacle 78 that allow electrons 79 to flow through the x-ray system 50. Casing 64 is typically formed of an aluminum-based material and lined with lead to prevent stray x-ray emissions. A stator 70 is also provided adjacent to vacuum vessel 68 and within the casing 64. A window 82 is provided that allows for x-ray emissions created within the system 50 to exit the system and be projected toward an object, such as, a medical patient for diagnostic imaging. Typically, window 82 is formed in casing 64. Casing 64 is designed such that most generated x-rays 84 are blocked from emission except through window 82. Referring now to FIGS. 5-9, a number of filter embodiments will be described. It should be noted that each of the embodiments described may be implemented as a pre-patient bowtie filter in a CT imaging system similar to filter 15 shown in FIGS. 1-2 or as a pre-patient filter 86 for an x-ray system similar to that shown in FIGS. 3-4. Specifically, a number of filter embodiments will be described wherein each of the filters may be modulated or xe2x80x9cmorphedxe2x80x9d to define a desired attenuation profile specific to the particular imaging needs of an imaging session. For example, the attenuation profile of the filter may be modulated such that radiation exposure to particular organs is reduced without sacrificing or jeopardizing radiation exposure to other particular regions of interest. As a result, organs or regions of interest either sensitive to radiation exposure or not subject of the imaging session are not unnecessarily subjected to radiation exposure. Additionally, the attenuation profile of the filter may be modulated as a function of viewing angle. For example, the attenuation profile of the filter may be manipulated to filter radiation for a wider region of interest for a top view data acquisition position and likewise be manipulated to have a more narrow profile for a side view data acquisition position. The attenuation profile of the filter may also be modulated as a function of filter position along an imaging axis. For example, the attenuation profile of the filter may be dynamically manipulated during translation of the subject and/or filter to reduce radiation exposure in dose avoidance or reduction regions located between regions of interest. xe2x80x9cDose avoidancexe2x80x9d and xe2x80x9cdose reductionxe2x80x9d refers to certain organs or anatomical regions where reduced radiation exposure is desired during an imaging session. While complete blockage of radiation to these areas is desired, reducing but not eliminating radiation exposure to these regions is acceptable. Therefore, it remains desirable to develop an attenuation profile that reduces if not eliminates radiation exposure to certain anatomical regions of the subject but SNR may be sacrificed with respect to these xe2x80x9cavoidancexe2x80x9d or xe2x80x9creductionxe2x80x9d regions. Referring now to FIG. 5, one embodiment of the present invention is shown. In this embodiment, filter 100 includes a body 102 defined by a plurality of hollow tubes 104. Hollow tubes 104 are configured to receive attenuating fluid such as a contrast agent. As shown, a selected number of the hollow tubes have been flooded with the attenuating fluid to define an attenuation profile. The attenuation profile defined by the attenuating fluid flooded into the hollow tubes is only one example. That is, any number of the hollow tubes may be filled with attenuating fluid to define a desired attenuation profile. The attenuating fluid is stored in a reservoir (not shown) and a computer or control mechanism floods the tubes to define the desired attenuation profile needed for the imaging session or for a moment in the imaging session. That is, depending upon the needs of the imaging session, the tubes may be filled and flushed dynamically throughout the imaging session to vary the attenuation profile during data acquisition. A number of techniques of removing or flushing attenuating fluid from a tube are contemplated including a computer controlled system of valves (not shown) that apply compressed gas to the chambers. Alternately, a series of honeycombed cavities may be equivalently implemented in place of the hollow tubes. Referring now to FIG. 6, another embodiment of the filter in accordance with the present invention is shown. In this embodiment, filter 106 includes a body 108 defined by a number of attenuating rods 110. Operation of filter 106 is similar to operation of filter 100 of FIG. 5. With filter 106, each attenuating rod 110 is positioned within the body such that the plurality of attenuating rods as a whole defines the desired attenuation profile. Filter 106 may be used to filter radiation in a couple of ways. First, that portion of the plurality of attenuating rods 110 having attenuating rods removed may be placed in the x-ray beam path or, conversely, the attenuating rods 110 disposed from the rest of the attenuating rods may be slid into the x-ray beam path. A control and/or computer may be programmed to reposition the attenuating rods to define the desired attenuation profile. Referring now to FIG. 7, another preferred embodiment of a filtering apparatus 112 includes a flexible bladder 114 containing attenuating fluid positioned between an upper plate 116 and a lower plate or base 117. Bladder 114 is sufficiently flexible such that the attenuating fluid contained therein may be modulated or manipulated to define the desired attenuation profile. Bladder 114 may contain attenuating liquid, gelatin, beads, or the like. Upper plate 116 is fabricated from a flexible x-ray transparent material such as plastic that, in response to an applied force, alters the shape of the flexible bladder 114. In one embodiment, the upper plate responds to a force applied by at least one of a number of moveable rods 118. The moveable rods 118 are controlled by a computer to distort the upper plate such that the flexible bladder is likewise distorted. Base plate 118 supports the flexible bladder and is fabricated from a solid x-ray transparent material. Alternatively, base plate 117 could be fabricated to contain x-ray spectral filtration material. It should be noted that flexible bladder 114, upper plate 116, and base plate 117 are each fabricated from an x-ray transparent material so that x-rays are attenuated primarily by the attenuating fluid rather than the bladder or plates. Referring now to FIG. 8, another embodiment of a filtering apparatus in accordance with the present invention is shown. In this embodiment, filter 120 includes a first bladder 122 and a second bladder 124. Each bladder 122, 124 is designed to contain attenuating fluid such as attenuating liquid, gelatin, or beads. Filter 120 further includes an intermediary plate 126 disposed between bladder 122 and bladder 124. Filter 120 further includes an upper plate 128 and a lower plate 130. Each plate 128, 130 is formed from a plurality of parallelly aligned slots 132, 134. The slots 132 and 134 of each plate 128 and 130, respectively, impart or release a force applied to bladders 122 and 124. That is, each slot 132 of plate 128 moves perpendicularly with respect to plate 126 to impart a desired force onto bladder 122 such that the attenuating fluid contained within bladder 122 defines a desired attenuation profile. Slots 134 of plate 130 operate in a similar fashion to define a desired attenuation profile for bladder 124. For example, slots 132 may be moved by a computer controlled mechanism such as step actuators to impart a force on bladder 122 to define an attenuation profile along an x axis whereas slots 130 of plate 134 respond to another set of step actuators to define an attenuation profile along a z axis. Collectively, slots 132 and 134 cooperatively define a desired attenuation profile that mirrors a dual-axes attenuation pattern of the subject. The attenuation pattern of the subject may be determined from a scout scan of the subject. Additionally, filter 120 may be implemented with only one of the bladders 122, 124 and only one of the plates 128-130 of slots 132, 134. In this alternate single bladder embodiment, an attenuation profile is defined only along one axis. Moreover, in accordance with another embodiment, the flexible bladders 122,124 may be manipulated by step actuators (not shown) directly without plates 128 and 130. Shown in FIG. 9 is a representation of a filtering apparatus in accordance with another aspect of the present invention during translation in a first direction. In this embodiment, filtering apparatus 136 comprises an x axis filter 138 and a z axis filter 140. Filtering apparatus 136 is designed to filter x-ray beams 142 projected toward a subject 144 by an x-ray source 146. Filters 138 and 140 may comprise any one of the dynamic filters described with respect of FIGS. 5-8. Accordingly, an attenuation profile of filter 138 and an attenuation profile of filter 140 are defined for a moment of x-ray projection. Preferably, the attenuation profiles are defined prior to the imaging session based on the attenuation pattern of the subject 144 determined from a scout scan, but, alternately, the attenuation profiles may be defined during x-ray projection or from a data base of patient demographic information. As shown in FIG. 9, the attenuation profile of filter 138 is set as is the attenuation profile of filter 140. Collectively, attenuation profiles will mirror the attenuation patterns of the subject 144 in both the x and z axis. In operation, as the subject 144 is translated in a first direction by a moveable table filter 138 is synchronously translated in the first direction as well. As a result, the collective attenuation profile of filters 138 and 140 mirror the attenuation pattern of the subject 144 during translation of the patient in the first direction along the z axis. As such, the dosage applied to various anatomical regions of the patient may be optimized to eliminate over exposure of radiation to the patient. While FIG. 9 shows translation of the z axis filter 140, the x axis filter 138 could likewise be translated with patient movement. Referring now to FIG. 10, a perspective view of a pre-subject filter in accordance with another aspect of the present invention is shown. In this embodiment, the pre-subject filter 148 includes a first end 150 and a second end 152. A body region 154 is disposed therebetween to connect first end 150 and second end 152 to one another. As shown, filter 148 has a cross-section that narrows from the second end 152 to the first end 150. That is, first end 150 has a filtering region that is narrower than the filtering region of second end 152. Additionally, the attenuation profile of first end 150 is larger than the attenuation profile of second end 152. That is, the filtering material is thicker at the first end 150 than at the second end 152. In the illustrated embodiment, the filtering material thickness changes linearly from the first end 150 to the second end 152. Filter 148 is designed such that it may be translated in a direction along the z-axis of a radiographic imaging system. That is, filter 148 may be translated such that the attenuation achieved by filter 148 generally complements the attenuation pattern of the subject to be scanned. As a result, anatomical regions or organs sensitive to radiation exposure may be protected against unnecessary radiation exposure. Furthermore, filter 148 is configured to be translated in a transverse direction as well. As a result, filtration with respect to the attenuation pattern of the subject may be achieved. To further reduce radiation exposure to the subject, filter 148 may be repositioned as a function of view angle. The filter can be easily calibrated prior to patient scanning by collecting and storing data representing the filter attenuation at two or more filter positions. During patient scanning, the appropriate attenuation profile is determined for correction during image reconstruction by interpolation and/or extrapolation. Referring now to FIG. 11, a schematic representation of a pair of cam filters configured to operate in tandem to manipulate an x-ray beam projected toward a subject in accordance with the present invention is illustrated. As shown, a pair of cam filters 156, 158 is configured to operate in tandem to manipulate an x-ray beam 159, schematically shown as a dashed line about a vertical axis between the filters, to limit radiation exposure outside the desired region-of-interest (ROI) of a subject. By operating filters 156, 158 in tandem, the profile of an x-ray may be manipulated. For example, the filter 156, 158 may be spaced closer to the beam path 159 to create a narrow beam profile 160 and spaced apart to create a wider beam profile 162. Additionally, one filter 156 may be moved away from the beam 159 and the other filter 158 moved closer to the beam 159 to cause the beam profile to be off-center. Filters 156, 158 are configured to be oriented along an x-axis of the subject to be scanned and may also be translated along the length of the subject to manipulate the beam profile with respect to the attenuation pattern of the subject to reduce radiation exposure to radiation sensitive or dose reduction regions of the subject. In a further embodiment, the intensity of the x-ray beam along the x-axis may be manipulated by configuring the filters to have a varying attenuation profile. For example, each filter may be configured such that the thickness of the attenuation material varies with the length of the filter. As such, different portions of the filter may be placed in the x-ray beam path to alter the filtering of the x-ray beam. Alternately, the filters could be formed to have a constant thickness but the density of the filtering material varies along the length of the filter. Other embodiments are contemplated including fabricating the filters to have different sections or cores wherein each section has a different filtering power and depending upon the clinical needs of the imaging session, different sections are placed in the beam path. In another embodiment, each filter has an elliptical shape to reduce x-ray intensity drop off rate. As is indicated previously, a scout scan may be performed of the subject to determine a filter contour that best fits the complement of the patient""s attenuation pattern. Accordingly, special needs of the imaging session for the patient such as dose avoidance or reduction regions or regions of increased x-ray necessity may be accounted for in defining the patient""s attenuation pattern. Also, as indicated previously, the attenuation profile of filters may be preset prior to the imaging session or dynamically modulated during the imaging session to mirror or complement the attenuation pattern of the subject. In a further embodiment of the present invention, one or more dynamic filters may be used to filter radiation during the acquisition of imaging data of a subject. A set of images can then be reconstructed according to well known reconstruction techniques of the subject based on the filtered imaging data. However, the imaging data is susceptible to the presence of artifacts and the set of images associated with the one or more filters itself. Accordingly, the patient is removed from the scanning bay and another set of scan data is acquired wherein the one or more filters are dynamically defined as they were during the imaging of the patient. As a result, a set of calibration data is obtained attributable to the one or more dynamically configured filters. Therefore, a set of images of the of the patient can be reconstructed using the calibration data and usual correction methods. The present invention has been described with respect to a number of embodiments of a dynamic filter to be implemented in a radiographic imaging system. The various embodiments may be utilized to dynamically modulate the attenuation profile of the filter prior to and/or during the imaging session to mirror the attenuation pattern of the subject and thereby reduce radiation exposure to the patient. Accordingly, in accordance with one embodiment of the present invention, a method of diagnostic imaging comprises the steps of positioning a subject to be scanned into a scanning bay and projecting a radiation beam along a beam path toward the subject. The method further includes positioning a filter having an attenuation profile in the beam path. The attenuation profile of the filter is then modulated to define a desired attenuation profile. The method further includes acquiring diagnostic data of the subject and reconstructing an image of the subject from the diagnostic data. In accordance with another embodiment of the present invention, a method of acquiring diagnostic data of a subject comprises the steps of determining an attenuation pattern for acquiring diagnostic data of a subject to be scanned and presetting a first filter to a desired attenuation profile. The method further includes the step of projecting high frequency electromagnetic energy toward the subject to acquire diagnostic data of the subject. During the projection of high frequency electromagnetic energy, a second filter having an attenuation profile is translated such that the attenuation profiles of the first filter and the second filter is a function of the attenuation pattern of the subject. In accordance with a further embodiment of the present invention, a method of diagnostic imaging includes the steps of positioning a subject to be scanned on a table in a scanning bay and projecting high frequency electromagnetic energy toward the subject. The method further includes dynamically filtering the high frequency electromagnetic energy with at least one filter and acquiring imaging data of the subject. A set of images of the subject from the imaging data are then reconstructed. With the subject removed from the scanning bay, high frequency electromagnetic energy is again projected toward the detector absent the subject and table and dynamically filtered with the at least one filter. As a result, a set of calibration data is obtained attributable to the one or more dynamically configured filters. Therefore, a set of images of the patient can be reconstructed using the calibration data and usual correction methods. In accordance with yet another embodiment of the present invention, a radiation emitting system comprises a scanning bay configured to position the subject to be scanned in a path of radiation as well as a radiation projection source configured to project radiation toward the subject. The system further includes a radiation filter having a variable attenuation profile. A computer is also provided and programmed to determine an attenuation pattern of the subject and modulate the variable attenuation profile of the radiation filter as a function of the attenuation pattern of the subject. In accordance with a further embodiment of the present invention, a radiation emitting imaging system is provided. The imaging system includes a scanning bay and a moveable table configured to move a subject to be scanned fore and aft along a first direction within the scanning bay. The system further includes an x-ray projection source configured to project x-rays toward the subject. A first attenuator is provided and configured to attenuate x-rays along a first axis. A second attenuator is also provided and configured to attenuate x-rays along a second axis. Both the first attenuator and second attenuator are translatable in the first direction. The imaging system further includes a computer programmed to calibrate the first attenuator to have a desired attenuation profile and calibrate the second attenuator to have a desired attenuation profile. The computer is further programmed to move the subject along the first direction and simultaneously therewith, translate at least one of the first attenuator and the second attenuator in the first direction. In accordance with yet another embodiment of the present invention, a computer readable storage medium is provided and has stored thereon a computer program representing a set of instructions that when executed by a computer causes the computer to move a subject to be scanned into a scan position. The set of instructions further causes the computer to determine an attenuation pattern of the subject and manipulate an attenuation profile of a filter configured to filter x-rays projected toward a subject. The computer is also instructed to acquire imaging data of the subject and reconstruct at least one image therefrom. In accordance with another embodiment of the present invention, a filtering apparatus to filter radiation projected toward a subject to be scanned is provided. The filtering apparatus includes a body having a plurality of hollow tubes parallelly arranged and configured to receive and discharge attenuating fluid to define an attenuation profile as a function of an attenuation pattern of the subject. In accordance with a further embodiment of the present invention, a filtering apparatus to filter radiation projected toward a subject to be scanned includes a body constructed to be capable of having a plurality of attenuating rods. Each of the attenuating rods is placeable in the body such that an attenuation profile as function of an attenuation pattern of the subject is defined. In accordance with yet another embodiment of the present invention, a filtering apparatus to filter radiation projected toward a subject to be scanned comprises a flexible bladder containing attenuating fluid. The flexible bladder is configured to be manipulated to modulate the attenuating fluid such that an attenuation profile as a function of an attenuation pattern of the subject is defined. In accordance with yet another embodiment of the present invention, a pre-subject filter having variable attenuation for a radiographic imaging system is provided. The filter includes a first end having a first attenuation profile and a second end having a second attenuation profile. The second attenuation profile is larger than the first attenuation profile. The pre-subject filter continuously varies the attenuation profile in the z-axis between the first end and the second end. In accordance with a further embodiment of the present invention, a CT system includes a rotatable gantry having an opening defining a scanning bay. This system also includes a movable table configured to translate a subject to be scanned along a first axis within the scanning bay. An x-ray projection source and configured to project x-rays toward the subject. The system further includes a pre-subject filter configured to filter x-rays projected toward the subject. The system also includes a computer programmed to determine attenuation pattern of the subject and translate the filter along the first axis with respect to the attenuation pattern of the subject. The computer is then programmed to acquire imaging data of the subject. In accordance with yet a further embodiment of the present invention, a method of diagnostic imaging comprises the steps of positioning a subject to be scanned and to a scanning bay and projecting a radiation beam along a beam path toward the subject. The method also includes positioning a filter having variable attenuation in the beam path and translating a filter in at least one direction to reduce radiation exposure to sensitive anatomical regions of the subject. The method further includes acquiring imaging data of the subject and reconstructing an image of the subject from the imaging data. In accordance with another embodiment of the present invention, a radiographic imaging system is provided and includes a scanning bay with a movable table configured to move a subject to be scanned fore and aft along a first direction within the scanning bay. The imaging system further includes an x-ray projection source configured to project x-rays in an x-ray beam toward the subject. A pair of cam filters formed of attenuating matter is also provided and controlled by a computer programmed to determine a region-of-interest of the subject and position the pair of cam filters to limit x-ray exposure to the patient area outside the region-of-interest. In accordance with yet another embodiment of the present invention, a cam filter assembly for use with a radiation emitting imaging system is provided. The cam filter assembly includes a pair of cam filters wherein each cam filter has an attenuation power that varies with thickness of the filter. The pair of cam filters is also configured to operate in tandem to manipulate a beam of radiation projected toward a subject to limit radiation exposure to a region-of-interest of the subject. The present invention has been described in terms of the preferred embodiment, and it is recognized that equivalents, alternatives, and modifications, aside from those expressly stated, are possible and within the scope of the appending claims. |
|
summary | ||
abstract | A noncontact type signal transmission device performs noncontact transmission of signals between a first member and a second member by using light. The second member moves along a predetermined orbit relative to the first member. A plurality of light-emitting devices are arranged on one of the first and second members. A plurality of light-receiving devices are arranged on the other of the first and second members. Light emitted from the light-emitting devices is received by the light-receiving devices. Beam condensing devices are disposed between the light-emitting devices and the light-receiving devices. Each beam condensing device has a function of condensing light from the light-emitting device in a direction substantially perpendicular to the orbit. The function of each beam condensing device is to increase the amount of light received by each light-receiving device and to improve light reception sensitivity. |
|
claims | 1. A method of estimating when dryout may occur in a nuclear light water reactor of the boiling water reactor kind, which reactor includes a nuclear fuel arrangement, which method is characterised in that it includes:receiving data that indicates operation parameters for the reactor; and processing the data by the use of a formula which expresses the local dryout property of the nuclear reactor,wherein said formula includes at least a first and a second factor, wherein the first factor is a first function that describes how the dryout property depends on the flow of the cooling medium through the nuclear fuel arrangement andthe second factor is a second function that describes how the dryout property depends on the axial power profile of the nuclear fuel arrangement,wherein said formula also includes a third function, wherein the third function describes how the dryout property depends on the R-factor of the nuclear fuel arrangement,wherein the R-factor is the weighted local power influence from the neighbour nuclear fuel rods,wherein said third function is a factor in the formula,wherein said formula also includes a fourth function, wherein the fourth function describes how the dryout property depends on the pressure of the cooling medium in the nuclear fuel arrangement, andwherein said fourth function is a factor in the formula,wherein the first, second, third and fourth functions independently of each other describe said flow dependence said axial power profile dependence, said R-factor dependence and said pressure dependence respectively. 2. A method according to claim 1, wherein the fourth function includes an entity hƒg, where hƒg is the latent heat for evaporation of the cooling medium. 3. A method according to claim 1, wherein the fourth function includes an entity proportional to the density of the vapour of the cooling medium at the actual pressure. 4. A method according to claim 1, wherein said formula includes an exponential function, which, in the exponent, includes another exponential function. 5. A method according to claim 1, wherein the formula includes a number of variables, a number of constants, and a number of fitting coefficients, wherein said fitting coefficients are considered as constants for the used nuclear fuel arrangement within certain limited variations of the operation conditions for the nuclear reactor. 6. A method according to claim 5, wherein the formula is such that only the fitting coefficients have to be adjusted in order for the formula to be applicable to a particular nuclear fuel arrangement. 7. A method according to claim 5, comprising using fewer than 10 fitting coefficients that play an important role in order for the formula to express the dryout property. 8. A method of estimating when dryout may occur in a nuclear light water reactor of the boiling water reactor kind, which reactor includes a nuclear fuel arrangement, which method is characterised in that it includes receiving data that indicates operation parameters for the reactor, and processing of the data by the use of a formula which expresses the local dryout property of the nuclear reactor,wherein said formula includes at least a first and a second factor, wherein the first factor is a first function that describes how the dryout property depends on the flow of the cooling medium through the nuclear fuel arrangement, andthe second factor is a second function that describes how the dryout property depends on the axial power profile of the nuclear fuel arrangement,wherein said formula also includes a third function, wherein the third function describes how the dryout property depends on the R-factor of the nuclear fuel arrangement,wherein the R-factor is the weighted local power influence from the neighbour nuclear fuel rods,wherein said third function is a factor in the formula,wherein said formula also includes a fourth function, wherein the fourth function describes how the dryout property depends on the pressure of the cooling medium in the nuclear fuel arrangement, andwherein said fourth function is a factor in the formula,wherein the first, second, third and fourth functions independently of each other describe said flow dependence said axial power profile dependence, said R-factor dependence and said pressure dependence respectively, andwherein said second function comprises a single mathematical transformation to the axial power profile which includes at least one variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends. 9. A method according to claim 8, wherein the transformation is of the following form: I 2 = 1 x ( Z DO ) ∫ ZXO Z DO x ( z ) ⅆ z where x(z) is the steam quality profile along the fuel bundle,z is a local spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends, andwherein the integration extends from the onset of net boiling, ZX0 and the integration ends at ZDO, which is defined as the axial position for dryout. 10. A method according to claim 8, wherein the transformation takes transient phenomena into account and has the following form: I 2 ( t DO ) = 1 x ( Z DO ( t DO ) ) ∫ ZXO ( t in ) Z DO ( t DO ) x ( t ( t in , z ) , z ) ⅆ z where z is a local spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends,t is the time during the transient,tin is the time during the transient when the particle experiencing dryout at tDO entered the bundle of fuel rods,tDO is the time when a fluid particle is experiencing dryout,ZDO(tDO) is the time-dependent axial position for dryout for this fluid particle, andZX0(tin) is the point where this fluid particle reaches the point of net boiling. 11. A method according to claim 8, wherein said formula includes an exponential function, which, in the exponent, includes another exponential function, and wherein the transformation forms part of the exponent in the first mentioned exponential function. 12. A method according to claim 1, wherein said first function includes an entity G, where G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time, wherein G is constant in a stationary state of the operation of the nuclear reactor. 13. A method according to claim 12, wherein said formula includes an exponential function, which, in the exponent, includes another exponential function, and wherein the entity G, appears in the exponential function that appears in the exponent of the first mentioned exponential function. 14. A method according to claim 1, wherein the formula has exactly, or at least substantially, the following form: X DO = e ( 1 1 + e ( α 1 + α 2 G ) + α 3 I 2 + f ( Acc ) + α 4 R ) ρ rel α 5 h fg α 6 where XDO is the predicted local steam quality at dryout,G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time,I2 is the transformation of the axial power distribution,ƒ(Acc) is a function of a flow acceleration term, which function describes transient effects captured through the flow acceleration term,R is the weighted local power influence from the neighbour nuclear fuel rods,ρrel is the relative steam density,hƒg is the latent heat for evaporation of the cooling medium,α1 to α6 are fitting coefficients specific for the specific fuel arrangement used. 15. A method according to claim 14, whereinƒ(Acc)=α7Acc2 where the acceleration term Acc is defined as Acc = ∫ 0 Z DO 1 u f 2 ( t , z ) ∂ u f ∂ t ⅆ z where uƒ is the axial velocity of the liquid film on the nuclear fuel rods or its approximation,t is the time during the transient,z is a local spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends,ZDO is defined as the time dependent axial position for dryout, andα7 is a fitting coefficient specific for the specific fuel arrangement used. 16. A method of operating a nuclear energy plant comprising a nuclear light water reactor of the boiling water reactor kind, wherein said method comprising:receiving information concerning operation parameters of the nuclear reactor,using this information to estimate the dryout time of the reactor in a method according to claim 1, andcontrolling the operation of the nuclear reactor in dependence on the result of the estimate. 17. A method according to claim 8, wherein said first function includes an entity G, where G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time, wherein G is constant in a stationary state of the operation of the nuclear reactor. 18. A method according to claim 8, wherein the formula has exactly, or at least substantially, the following form: X DO = e ( 1 1 + e ( α 1 + α 2 G ) + α 3 I 2 + f ( Acc ) + α 4 R ) ρ rel α 5 h fg α 6 where XDO is the predicted local steam quality at dryout,G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time,I2 is the transformation of the axial power distribution,ƒ(Acc) is a function of a flow acceleration term, which function describes transient effects captured through the flow acceleration term,R is the weighted local power influence from the neighbour nuclear fuel rods,ρrel is the relative steam density,hƒg is the latent heat for evaporation of the cooling medium,α1 to α6 are fitting coefficients specific for the specific fuel arrangement used. |
|
summary | ||
claims | 1. A melting apparatus comprising:a melting tank having an outside plate constructed by metal material and a fireproof member covering the outside plate; anda traveling magnetic field generating unit arranged outside the outside plate of the melting tank, generating a magnetic field traveling along the outside plate in the melting tank so as to agitate a molten metal stored in the melting tank,wherein a melted matter is introduced to the molten metal stored in a melting chamber for melting the melted matter,wherein the traveling magnetic field generating unit comprises:a permanent magnet facing the sidewall of the melting tank;a support member supporting the permanent magnet; anda motor rotatively driving the support member centering on a rotational shaft perpendicular to an outer plate surface of the outside plate,wherein a resistance band having larger electric resistance than the metal material constructing the outside plate is formed at a part of the outside plate of the melting tank facing the permanent magnet,wherein the middle part of the resistance band is arranged at a position in the outside plate of the melting tank facing the rotational shaft, andwherein an end of the resistance band is arranged at a position far from the position in the outside plate of the melting tank facing the rotational shaft. 2. The melting apparatus according to claim 1, whereina north pole and a south pole of the permanent magnet are arranged at positions whose phases are shifted mutually for 180° centering on the rotational shaft when viewed from the axial direction of the rotational shaft. 3. The melting apparatus according to claim 1, wherein the resistance band is a slot formed in the outside plate of the melting tank. 4. The melting apparatus according to claim 1, wherein an auxiliary resistance band having larger electric resistance than the metal material constructing the outside plate of the melting tank and arranged separately from the resistance band is formed at a part of the outside plate of the melting tank facing the permanent magnet. 5. The melting apparatus according to claim 4, wherein the auxiliary resistance band is a slot formed in the outside plate of the melting tank. 6. A melting method using a melting apparatus introducing a melted matter to a molten metal stored in a melting chamber for melting the melted matter, the melting apparatus comprising a melting tank having an outside plate constructed by metal material and a fireproof member covering the outside plate, and a traveling magnetic field generating unit arranged outside the outside plate of the melting tank and generating a magnetic field traveling along the outside plate in the melting tank for agitating the molten metal stored in the melting tank,wherein the traveling magnetic field generating unit comprises:a permanent magnet facing the sidewall of the melting tank;a support member supporting the permanent magnet; anda motor rotatively driving the support member centering on a rotational shaft perpendicular to an outer plate surface of the outside plate,wherein a resistance band having larger electric resistance than the metal material constructing the outside plate is formed at a part of the outside plate of the melting tank facing the permanent magnet,wherein the middle part of the resistance band is arranged at a position in the outside plate of the melting tank facing the rotational shaft, andwherein an end of the resistance band is arranged at a position far from the position in the outside plate of the melting tank facing the rotational shaft. 7. The melting method according to claim 6, whereina north pole and a south pole of the permanent magnet are arranged at positions whose phases are shifted mutually for 180° centering on the rotational shaft when viewed from the axial direction of the rotational shaft. 8. The melting method according to claim 6, wherein the resistance band is a slot formed in the outside plate of the melting tank. 9. The melting method according to claim 6, wherein an auxiliary resistance band having larger electric resistance than the metal material constructing the outside plate of the melting tank, arranged separately from the resistance band is formed at a part of the outside plate of the melting tank facing the permanent magnet. 10. The melting method according to claim 9, wherein the auxiliary resistance band is a slot formed in the outside plate of the melting tank. |
|
claims | 1. An installation (1) for sterilizing objects (8) by means of a radiation source (50), with:a) an irradiation zone (5) in which the radiation source (50) is arranged;b) an entry zone (3), which is in front of the irradiation zone (5);c) a feed zone (2), which is in front of the entry zone (3);d) an exit zone (4), which adjoins the irradiation zone (5) and which is followed by a subsequent processing zone (9);e) a transportation line (6) which passes through the installation (1) and is used to convey the objects (8);f) a shield (7) which is assigned to the entry zone (3); andg) a shield (7) which is assigned to the exit zone (4), characterized in thath) the entry zone (3) has a first inlet opening (31) with a passage to the feed zone (2) and a second inlet opening (32) with a passage to the irradiation zone (5);i) the exit zone (4) has a first outlet opening (41) with a passage to the irradiation zone (5) and a second outlet opening (42) with a passage to the subsequent processing zone (9);j) the transportation line (6) extends flush through the first inlet opening (31), the second inlet opening (32), the first outlet opening (41) and the second outlet opening (42);k) the shields (7) are movable and in every situation with regard to position of the shields (7) in which one of the two inlet openings (31,32) or one of the two outlet openings (41,42) permits the passage of the objects (8) transported through the installation (1), the other inlet opening (32,31) and the other outlet opening (42, 41) are covered by the respectively assigned shield (7);l) one shield (7) is composed of two plate-shaped, displaceable or pivotable elements (71,72), a connection (73) extending between the two;m) the elements (71,72) have material regions (75); andn) the shield (7) is connected to a drive (74). 2. The installation (1) as claimed in claim 1, characterized in thatthe radiation source (50) acts into a channel (103) through which the transportation line (6) for conveying the objects (8) passes and which firstly extends to the second inlet opening (32) of the entry zone (3) and secondly extends to the first outlet opening (41) of the exit zone (4). 3. The installation (1) as claimed in claim 2, characterized in thata) the housing of the sterilizing installation (1) is manufactured from sandwich elements (10) which adjoin one another and are sealed off against one another;b) a sandwich element (10) has a radiation absorbing inner layer (100), preferably composed of lead, between two outer layers (101), preferably composed of a stainless steel plate; andc) a seal (102) is provided between adjacently arranged sandwich elements (10). 4. The installation (1) as claimed in claim 3, characterized in that the treatment space acted upon by the radiation source (50) is filled with an inert gas. |
|
summary | ||
050874098 | summary | BACKGROUND OF THE INVENTION This invention relates generally to pressure vessels and in particular to pressure vessels for holding high temperature material under high pressure that require periodic inspection of welds and internal structural members. Reference is made to inventor's prior patent No. 4,767,593, for a multiple shell pressure vessel with interspace metallic fillers of which the invention disclosed and claimed herein is an improvement. For pressure vessels containing toxic material at high pressures and temperatures, such as, nuclear reactors or containment vessels for toxic chemical reactions, safety requirements, such as those required by the American Association of Mechanical Engineers and other organizations both public and private, dictate periodic inspection and re-examination of all pressure loaded joints. The joints, such as, shell-to-flange girth welds and all other welds, must be exposed for non-destructive testing, such as, by ultra-sound, X-ray or other methods for determining soundness of a structure. For a single wall pressure vessel of the prior art, assembly and disassembly of the vessel was fairly straightforward. All welds were generally readily available for inspection. For a multiple-shell pressure vessel, the welded assembly of pressure vessel shells rendered it difficult, if not impossible, to gain ready access to the welded joints of the inner shells of the vessel without breaking or cutting the vessel apart. For a single wall pressure vessel of the prior art, a crack in the shell could easily propagate through the wall causing a catastrophic failure. For a multiple shell pressure vessel, such as, the present invention, a crack in one shell-layer cannot propagate to the other layers. For the present invention, overpressure may cause "gapping" of the modules as the tendons stretch, with subsequent "leak-before-break" failure mode. In this failure mode the vessel fluid will leak out into the external (water) coolant thus relieving the overpressure. In addition, the prior art pressure vessels could fail due to excessive creep, or creep buckling. For the present invention, the tendons may be tightened and filler introduced in the outermost filler space, while the pressure vessel is in service, so that the main vessel shell layers will not be able to creep. Furthermore, the single or multilayer pressure vessels of the prior art cannot be cooled through the vessel wall. Therefore, internal thermal insulation, or cooling of the internal vessel wall surface is necessary. For prior art pressure vessels, this posed an awkward problem. The insulation would be exposed to the vessel fluid and maintenance and repair was cumbersome. For the present invention, the thermal insulation is located inside the wall and its outer surface and the outer vessel shells can be kept cool, due to good thermal bonding from metallic fillers in the interspaces between the outer pressure vessel shells, so that they can carry a larger pressure. SUMMARY OF THE INVENTION The multiple shell pressure vessel of the present invention eliminates these problems by comprising three modular sections, namely, a top head modular section, a nozzle course modular section and a bottom shell course modular section. The three modular sections each comprise at least an inner pressure vessel shell and an outer pressure vessel shell telescopically enclosing the inner pressure vessel shell. The rim or rims of each shell are provided with separate flanges having upper and lower bearing surfaces. When telescopically assembled, the lower bearing surface of one shell flange is adapted to engage the upper bearing surface of the adjacent shell flange or flange of an adjacent vessel module either directly or through an intermediate spacer plate or ring. Top and bottom tendon skirts are adapted to respectively engage the outer flange of the top head modular section and the outer flange of the bottom shell course modular section and compress the bearing flanges together by means of tension members or "tendons" linking the top and bottom tendon skirts. The "tendons" are hydraulically or mechanically tensioned to create the necessary force on the vessel flanges to seal the vessel. All the tendons are located outside the vessel where they are kept cool and not subjected to heat or radiation. Being located outside the pressure vessel, the tendon stresses can be monitored during service. It is, therefore, an object of the present invention to provide a large scale multiple shell pressure vessel that can be disassembled for inspection. It is another object of the present invention to provide a large scale multiple shell pressure vessel that can be assembled in two or more prefabricated modules for ease of transport and assembly. It is a further object of the present invention to provide a multiple shell pressure vessel in which the individual shells can be disassembled for inspection, testing and repair. It is yet another object of the present invention to provide a multiple shell pressure vessel where all shells can be stressed simultaneously to their design limit during normal operation. It is also an object of the present invention to provide a multiple shell pressure vessel which can tolerate large differences in temperature across its walls so that the inner shell layers may be very hot while the outer shell layers are kept cool in order to carry the pressure load. It is a further object of the present invention to provide a multiple shell pressure vessel in which the hoop and axial stresses are continuously monitored. It is another object of the present invention to provide a multiple shell pressure vessel in which the torsional forces on the flanges are reduced or eliminated. It is yet a further object of the present invention to provide a multiple shell pressure vessel in which the hoop and axial stresses can be adjusted while the vessel is operating. These and other objects of the present invention will be manifest upon study of the following detailed description when taken together with the drawings. |
abstract | Disclosed herein is a partial implantation method for manufacturing semiconductor devices. The method involves implantation of dopant ions at different densities into a plurality of wafer regions, including first and second regions, defined in a wafer by means of a boundary line. In the method, first, second and third implantation zones are defined. The first implantation zone is the remaining part of the first region except for a specific part of the first region close to the boundary line, the second implantation zone is the remaining part of the second region except for a specific part of the second region close to the boundary line, and the third implantation zone is the remaining part of the wafer except for the first and second implantation zones. Then, dopant ions are implanted into the first implantation zone at a first density, into the second implantation zone at a second density different from the first density, and into the third implantation zone at a third density that is a midway value between the first and second densities. |
|
abstract | This device utilizes UV light to cure gel nail preparations as applied to finger and toe nails. The device can be used to treat one or both hands or one or both feet with no changes or adjustments required. A housing has three horizontal openings in the front wall, each opening accessing a separate compartment containing a UV light source which is situated so as to provide radiation directly to the areas where the nails are placed. The compartment walls are coated with reflective material and specifically shaped to maximize the radiation to those areas. The index and three fingers of one or both hands are inserted into the uppermost compartment where the UV lamp is situated in the ceiling and the thumbs are inserted into the middle compartment where the UV lamp is situated in the floor. This arrangement permits all fingers to lie flat eliminating any distortion or pooling of the gel material and so each nail receives maximum radiation. A longitudinal hand rest is disposed between the uppermost and middle openings. The feet are placed in the lowermost compartment where the UV lamp is situated in the ceiling. The device can be powered by line current or a rechargeable battery enabling the device to be used near water or in areas where there is no accessible electric outlet. A control panel and timer enable selecting the correct lamps and time for treatment. |
|
062630377 | description | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS FIG. 1 shows a cutting zone 10 formed in accordance with the teachings of this invention. In the described embodiment, the cutting zone 10 is placed in a reactor pool cavity of a nuclear power plant, and provides a barrier for isolating radioactive material generated during the decommissioning or repair of various reactor equipment or components. The cutting zone 10 includes a cutting zone barrier 12 and an isolated transfer zone 14. The cutting zone barrier 12 and the transfer zone 14 include several common elements. It will be understood that common reference numerals are used to describe common features of the embodiment of the cutting zone shown in FIGS. 1-2. The cutting zone barrier 12 includes a submergible upper rig 16, an inflatable upper ring 22 and an inflatable lower ring 24. The submergible upper rig 16 is a plastic, submergible curtain. In the preferred embodiment, the submergible upper rig 16 is fabricated of polyurethane, the polyurethane being of a type that can be disposed of by insinuation. The submergible rig 16 is installed in the reactor pool cavity from the bottom-up. Typically, the submergible rig 16 is installed remotely using tools and techniques known in the industry. As the installation normally takes place under water, the submergible rig 16 is rolled into a tube-like shape and lowered into the pool cavity. During the installation process, the lower portion 18 of the submergible rig 16 is coupled to an anchor support 30. Preferably, the anchor support 30 is coupled to the support superstructure forming the pool cavity. In the embodiment described, the anchor support 30 includes a slot 32 in the top surface 34. The slot 32 receives the lower portion 18 of the submergible rig 16. The lower portion 18 of the submergible rig 16 supports an inflatable lower ring 24. The lower inflatable ring 24 is integrally formed with the submergible rig 16. The lower inflatable ring 24 may be constructed as one continuous ring surrounding the entire perimeter of the lower portion 18 or as a plurality of individual inflatable rings. In the preferred embodiment, the lower inflatable ring 24 is one continuous ring. Once the lower portion 18 is coupled to the anchor support 30, the lower inflatable ring 24 is filled with water. A hose (not shown) is coupled to the lower ring 24, and the lower ring 24 is filled with water. As the lower ring 24 fills with water, the lower ring 24 expands, locking the lower ring 24 in position in the anchor support 30. The submergible rig 16, at the upper end 20, supports an upper inflatable ring 22. Preferably, the upper inflatable ring 22 is integrally formed with the submergible rig 16. The upper ring 22 may be one continuous ring surrounding the upper perimeter of the submergible rig 16 or a plurality of individual inflatable rings. In the preferred embodiment, the upper inflatable ring 22 is one continuous ring. The upper inflatable ring 22 is coupled to a source of air pressure (not shown) and inflated. The upper ring 22 is sized appropriately to cause the submergible rig 16 to float to the top of the reactor pool cavity upon filling the upper ring 22 with air. By appropriately inflating or deflating the upper ring 22, the submergible rig 16 may be raised or lowered as necessary. The left and right ends of the submergible rig 16 are coupled together to form a cutting area 26 having enclosed sidewalls and an open top. In the preferred embodiment, a zipper 28 couples the left and rights sides of the submergible rig 16 together. The zipper 28 is fabricated of a plastic material, wherein the zipper 28 includes two rows of interlocking teeth forced together or apart by a movable guide. Alternatively, the zipper 28 includes a recessed channel, which receives a mating outwardly projecting ridge supported by the other half of the zipper 28. To close the zipper 28, the outwardly projecting ridge is inserted into the recessed channel. The submergible rig 16 also supports a second isolated zone, which forms the transfer zone 14. The transfer zone 14 may be integrally formed with the submergible rig 16 or coupled thereto using a plurality of the zippers 28. The transfer zone 14 is coupled to the exterior perimeter of the cutting zone barrier 12 so as to form a structure having enclosed sidewalls and an open top. The transfer zone 14 includes a submergible rig 16 supporting upper and lower inflatable rings 22, 24. The transfer zone submergible rig 16 is installed in the manner previously described for the cutting zone barrier 12. To secure the transfer zone 14 submergible rig 16 in place, the submergible rig 16 is coupled to the lower support 30 as previously described. The lower inflatable ring 24 is filled with water, locking the lower portion 18 of the submergible rig 16 to the support 30. The upper ring 20 is inflated with air. As the upper ring 20 is inflated with air, the transfer zone 14 submergible rig 16 floats to the top of the reactor pool. In the preferred embodiment, the zipper 28' provides access to the transfer zone 14 from the cutting zone 26. As shown in FIG. 2, the zipper 28' is located on the cutting zone barrier 12 submergible rig 16 at a location between the cutting zone barrier 12 and the transfer zone 14. Consequently, once the cutting operation is completed, the zipper 28' is opened, and the part being decommissioned is transferred to the transfer zone for disposal. A preferred embodiment of the present invention has been disclosed. A person of ordinary skill in the art would realize, however, that certain modifications would come within the teachings of this invention. Therefore, the following claims should be studied to determine the true scope and content of the invention. |
062326791 | claims | 1. A cogeneration system for providing heat and electric power to a vehicle such as a truck having an engine cooled by a liquid engine coolant when said engine is not operating comprising: a working fluid a turbine having a turbine inlet and a turbine outlet, an electric generator coupled with said turbine for being driven thereby, a fuel burning heater for vaporizing said working fluid for supplying vapor to said turbine inlet, a condenser for receiving spent said working fluid vapor from said turbine outlet and condensing it to be liquid working fluid, a first pump for pumping said liquid working fluid from said condenser to said heater be heated and vaporized, a second pump for pumping said engine coolant through said condenser for heating said coolant with heat from said spent working fluid vapor, and a control means for controlling said fuel burning heater, said first pump and said second pump to operate at certain times. said heater comprises a catalytic combustor. said means for driving said first pump is an electric motor. said first pump is a gerotor pump. said means for driving said second pump is an electric motor. said second pump is a centrifugal pump. said working fluid when vaporized and entering said turbine inlet is pressurized to a pressure below atmospheric pressure. said working fluid is decane. said working fluid is aniline. said generator is a permanent magnet generator, and said control means also controls said generator. 2. The invention as define d by claim 1 wherein: 3. The invention as defined by claim 1 wherein: 4. The invention as defined by claim 1 wherein: 5. The invention as defined by claim 1 wherein: 6. The invention as defined by claim 1 wherein: 7. The invention as defined by claim 1 wherein: 8. The invention as defined by claim 1 wherein: 9. The invention as defined by claim 1 wherein: 10. The invention as defined by claim 1 wherein: |
abstract | According to one embodiment, a product includes an array of three dimensional structures, where each of the three dimensional structure includes a semiconductor material; a cavity region between each of the three dimensional structures; and a first material in contact with at least one surface of each of the three dimensional structures, where the first material is configured to provide high energy particle and/or ray emissions. |
|
047073268 | summary | CROSS REFERENCE TO RELATED APPLICATIONS Reference is hereby made to the following copending applications dealing with related subject matter and assigned to the assignee of the present invention: 1. "Reconstitutabale Nuclear Reactor Fuel Assembly With Unitary Removable Top Nozzle Subassembly" by John M. Shallenberger, assigned U.S. Ser. No. 673,681 and filed Nov. 20, 1984, a continuation-in-part of copending U.S. patent application Ser. No. 457,790 filed Jan. 13, 1983, now abandoned. 2. "Improved Removable Top Nozzle Subassembly For A Reconstitutable Nuclear Fuel Assembly" by John F. Wilson et al, assigned U.S. Ser. No. 701,049 and filed Feb. 12, 1985. 3. "Device And Method For Unfastening And Lifting A Top Nozzle Subassembly From A Reconstitutable Fuel Assembly" by John F. Wilson et al, assigned U. S. Ser. No. 720,208 and filed Apr. 4, 1985, new U.S. Pat. No. 4,646,415. 4. "Integral Reusable Locking Arrangement For A Removable Top Nozzle Subassembly Of A Reconstitutable Nuclear Fuel Assembly" by Robert K. Gjertsen et al, assigned U.S. Ser. No. 857,675 and filed Apr. 30, 1986. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to reconstitutable fuel assemblies for nuclear reactors and, more particularly, is concerned with an arrangement and method for attaching and reattaching a top nozzle in a reconstitutable fuel assembly. 2. Description of the Prior Art Conventional designs of fuel assemblies include a multiplicity of fuel rods held in an organized array by grids spaced along the fuel assembly length. The grids are attached to a plurality of control rod guide thimbles. Top and bottom nozzles on opposite ends of the fuel assembly are secured to the control rod guide thimbles which extend above and below the opposite ends of the fuel rods. At the top end of the fuel assembly, the guide thimbles are attached in openings provided in the top nozzle. Conventional fuel assemblies also have employed a fuel assembly hold-down device to prevent the force of the upward coolant flow from lifting a fuel assembly into damaging contact with the upper core support plate of the reactor, while allowing for changes in fuel assembly length due to core induced thermal expansion and the like. Such hold-down devices have included the use of springs surrounding the guide thimbles, such as seen in U.S. Pat. Nos. 3,770,583 and 3,814,667 to Klumb et al and 4,269,661 to Kmonk et al, and in the first patent application cross-referenced above. Due to occasional failure of some fuel rods during normal reactor operation and in view of the high cost associated with replacing fuel assemblies containing failed fuel rods, the trend is currently toward making fuel assemblies reconstitutable in order to minimize operating and maintenance expenses. Conventional reconstitutable fuel assemblies incorporate design features arranged to permit the removal and replacement of individual failed fuel rods. Reconstitution has been made possible by providing a fuel assembly with a removable top nozzle. The top nozzle is mechanically fastened usually by a threaded arrangement to the upper end of each control rod guide thimble, and the top nozzle can be removed remotely from an irradiated fuel assembly while it is still submerged in a neutron-absorbing liquid. Once removal and replacement of the failed fuel rods have been carried out on the irradiated fuel assembly submerged at a work station and after the top nozzle has been remounted on the guide thimbles of the fuel assembly, the reconstituted assembly can then be reinserted into the reactor core and used until the end of its useful life. One recently proposed design for a reconstitutable fuel assembly top nozzle is described and illustrated in the second patent application cross-referenced above. The proposed top nozzle overcomes certain problems associated with the removable top nozzle designs of the above-cited U.S. patents and first cross-referenced application. Specifically, it includes improved structures which eliminate relative sliding engagement between the upper core support plate and the hold-down structure of the top nozzle while providing removable mounting of the top nozzle as a unitary subassembly on the guide thimbles of the reconstitutable fuel assembly. The fourth cross-referenced application builds on the highly satisfactory design of the second application by providing an integral reusable locking arrangement for the removable top nozzle which requires no special tooling to actuate it and, as a result, greatly reduces the complexity of the fuel assembly reconstitution operation. While the proposed removable top nozzle designs of the second and fourth cross-referenced patent applications, as just briefly described, were considered to be highly satisfactory solutions to the problems existing previously with regard to earlier designs, it has been recently recognized that even these do not provide optimum solutions to some of the earlier as well as other problems. Specifically, it has been found that protection of the individual hold-down springs of the top nozzle is inadequately provided for by the designs of either of the second and fourth cross-referenced applications. Further, in view that a fuel assembly typically will not be reconstituted more than two times (one time being a realistic estimate), the reusable looking arrangement of the fourth application is now considered to involve fabrication costs which are too high. Consequently, a need exists for a different approach to spring protection from coolant cross flow and to top nozzle attachment and reattachment, one with the objective of retaining the beneficial design features of the top nozzle disclosed in the cross-referenced applications while avoiding their short-comings. SUMMARY OF THE INVENTION The present invention provides an arrangement and method for attaching and reattaching a top nozzle in a reconstitutable fuel assembly which is designed to satisfy the aforementioned needs. The arrangement of the present invention eliminates the costs of machining threads and of providing a reusable locking structure on each of the alignment sleeves and guide thimble upper end portions. It also provides individual spring protection from cross flow present in a transition core between coil spring and leaf spring fuel assembly designs. (inter-assembly cross flow in the top nozzle region could occur in a core with both coil spring and leaf spring fuel assembly designs.) The changes introduced by the present invention are compatiable with the basic design of the top nozzle of the cross-referenced applications and, thus, can be readily implemented without affecting the upper hold-down plate and lower adapter plate castings. Accordingly, the present invention is set forth in a reconstitutable fuel assembly having at least one control rod guide thimble and a top nozzle wherein the guide thimble includes an upper end portion and the top nozzle includes a lower adapter plate and an upper hold-down plate. The lower and upper plates have respectively an opening and passageway defined therethrough and aligned with one another. The opening in the lower plate receives the guide thimble therethrough with its upper end portion extending above the lower plate and toward the passageway of the upper plate. The present invention provides an improved arrangement for mounting the top nozzle on the guide thimble comprising; (a) alignment means extending between the plates and receiving the guide thimble upper end portion, the alignment means at an upper end being inserted into the passageway of the upper hold-down plate and at a lower end resting on the adapter plate; and (b) complementary means formed on and interconnecting the alignment means and the guide thimble upper end portion so as to connect the alignment means and the guide thimble together, the complementary means including a primary interior annular groove formed on the alignment means and a primary exterior bulge formed on the guide thimble upper end portion and extending into the primary annular groove. Furthermore, the complementary means includes a secondary interior annular groove formed on the alignment means at a location spaced below the primary annular groove. The secondary groove is adapted to receive a secondary exterior bulge, which is formed on the guide thimble upper end portion after severance of an upper segment of the guide thimble upper end portion containing the primary bulge followed by removal and receipt of the severed guide thimble upper end portion from and back in the alignment means, for reconnection of the alignment means and the severed guide thimble together. Additionally, the complementary means also includes a primary interior section on the alignment means which contains the primary annular groove, and a secondary interior section on the alignment means which contains the secondary annular groove. The secondary section is disposed below the primary section and has an interior diameter larger than that of the primary section for facilitating receiving of the severed guide thimble upper end portion back into the alignment means for reconnection of the alignment means and the severed guide thimble together. Still further, the arrangement includes an elongated shroud having a lower portion resting on the adapter plate and underlying a hold-down coil spring of the top nozzle. Also, the shroud has an upper portion extending along and surrounding a portion of the spring for protecting the spring from damage by coolant cross flow from adjacent fuel assemblies. Also, in a method of making a fuel assembly reconstitutable, the present invention comprises the steps of (a) providing the alignment sleeve of the top nozzle with at least a pair of internal upper and lower annular grooves; (b) inserting the upper end portion of the guide thimble into the sleeve such that the upper end portion thereof extends adjacent the upper annular groove; and (c) bulging an annular part of the guide thimble upper end portion outwardly into the upper annular groove in the alignment sleeve so as to connect the sleeve and guide thimble together. Further, the present invention includes the steps of: (d) circumferentially cutting the guide thimble upper end portion at a location below the level of its annular part bulged into the upper annular groove in the alignment sleeve and above the level of the lower annular groove in the alignment sleeve to sever an upper segment of guide thimble upper end portion containing the bulged annular part from the remainder thereof; and (e) removing the top nozzle, including the alignment sleeve with the upper guide thimble segment connected thereto, from the severed guide thimble upper end portion for facilitating reconstitution of the fuel assembly. Finally, the present invention includes the steps of; (f) reinserting the severed upper end portion of the guide thimble into the sleeve such that the severed upper end portion thereof extends adjacent the lower annular groove; and (g) bulging another annular part of the severed guide thimble upper end portion outwardly into the lower annular groove in the alignment sleeve so as to connect the sleeve and guide thimble together. |
summary | ||
description | This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2004-217397, filed Jul. 26, 2004, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a laser scanning microscope for observing a specimen by emitting a laser beam onto the specimen marked with a plurality of fluorescent probes, scanning an observing plane of the specimen in two dimensions, and receiving a fluorescent light from the specimen; and in particular to a spectral data acquisition technique for such a laser scanning microscope. 2. Description of the Related Art There is a laser scanning microscope which enables an observation by displaying in such as a monitor, through a process of generating an electric signal corresponding to a received fluorescent light back from a specimen by emitting a laser beam onto the specimen marked with a fluorescent probe and creating an image data corresponding to the fluorescent light back from the specimen based on the electric signal. In order to observe a fluorescent light back from a specimen introduced by a fluorescent probe by using a laser scanning microscope, it is necessary to use a pump laser, photometric dichroic mirror and absorption filter, all of which match with an excitation wavelength and fluorescent peak wavelength of the fluorescent probe. FIG. 1 is a block diagram showing a configuration of such conventional laser scanning microscope. As shown by FIG. 1, depending on categories of fluorescent probes for marking a specimen, a plurality of following components, i.e., laser sources 87 through 89 for emitting pump lasers, photometric dichroic mirrors 91 and absorption filters 92, are furnished. Let it first describe an operation of the laser scanning microscope shown by FIG. 1. First, the laser sources 87 through 89 emit pump lasers which are focused and synthesized by way of condenser apparatuses 85 and synthesis mirror 86, and which are then emitted onto a specimen (plane) after being transmitted by way of a total reflection mirror 84, excitation dichroic mirror 83, deflection unit 82 and object lens 81. The fluorescent light back from the specimen corresponding to the emission of pump laser transmits itself by way of the object lens 81 and the deflection unit 82 to reach at the excitation dichroic mirror 83. The fluorescent light back from the specimen is reflected by the excitation dichroic mirror 83 and converted into electric signals at photoelectric conversion units 93, respectively, after going by way of the photometric dichroic mirror 91, which selects and disperses the fluorescent light from the fluorescent probe, and absorption filters 92 so that a display monitor (not shown herein) displays an image corresponding to the specimen (e.g., cell) as a subject of observation based on the electric signal. And a selective switching of the combination between the above described photometric dichroic mirror 91 and absorption filter 92 enables a detection of fluorescent light from among the plurality of fluorescent probes. In the meantime, a patent document noted below has disclosed a technique for automatically setting the optimum combination among an optimal pump laser, photometric dichroic mirror and absorption filter based on a pump laser equipped in a microscope system, spectral data of various filters, excitation wavelength data of fluorescent probes introduced to a test sample (i.e., specimen) and fluorescent wavelength data. [Patent document 1] Japanese patent laid-open application publication No. 2000-39563: “Method and system configuration for adjusting equipment arrangement for confocal microscope” The purpose of the present invention is to provide a laser scanning microscope capable of automatically or semi-automatically setting an acquirable range of spectral data for a specimen in the case of acquiring a fluorescent light from one or a plurality of fluorescent probes for marking the specimen as a continuous data (i.e., λ stack data) through measuring by the unit of arbitrary wavelength by continuously changing the photometric wavelength. In a first aspect of the present invention, a laser scanning microscope, in the one enabling an observation of a specimen through emitting a laser beam onto the specimen marked by a plurality of fluorescent probes and receiving a fluorescent light back from the specimen corresponding to the emission, comprises a laser source for generating a laser beam in an excitation wavelength corresponding to the plurality of fluorescent probes, a deflector unit for scanning the generated laser beam over an observation plane of the specimen, a dispersion unit for dispersing a fluorescent light from the specimen to extract it by an arbitrary wavelength interval, a spectral data acquisition condition setting unit for setting a condition for the dispersion unit acquiring a spectral data based on a spectrum characteristic of the plurality of fluorescent probes, a dispersion control unit for controlling the dispersion unit based on the defined spectral data acquisition condition, and a photoelectric conversion unit for receiving a dispersed fluorescent light to convert into an electrical signal. In a second aspect of the present invention, vis-à-vis the above described first aspect, a laser scanning microscope further comprises a spectrum characteristic data storage unit for storing a spectrum characteristic of a plurality of fluorescent probes marked for the specimen so that the spectral data acquisition condition setting unit sets a condition for the dispersion unit acquiring a spectral data based on the stored spectrum data. In a third aspect of the present invention, a laser scanning microscope in the above described first aspect lets the dispersion unit comprise a diffraction mirror for dispersing a fluorescent light back from a specimen into a spectrum and selecting a wavelength, and a slit for selecting a wavelength range of fluorescent light to be received, wherein the spectral data acquisition condition setting unit sets an amount of incrementing of wavelength in relation to a rotation angle of the diffraction mirror and a spectral resolution in relation to width of the slit which are applicable to carrying out a wavelength scanning for the specimen based on a spectrum characteristic of a plurality of fluorescent probes marked for the specimen. In a fourth aspect of the present invention, a laser scanning microscope in the above described third aspect lets the spectral data acquisition condition definition unit comprise a proximate inter-peak distance calculation unit for calculating the distance between proximate peak wavelengths among a plurality of peak wavelengths based on peak fluorescent wavelengths from the plurality of fluorescent probes, an incrementing amount setting unit for setting a wavelength incrementing amount based on the calculated distance between the proximate peak wavelengths, and a spectral resolution setting unit for setting a spectral resolution based on the calculated distance between proximate peak wavelengths, wherein the dispersion control unit controls the dispersion unit based on the spectral resolution and the wavelength incrementing amount. In a fifth aspect of the present invention, a laser scanning microscope in the above described fourth aspect lets the spectral data acquisition condition setting unit comprise a section judgment unit for judging whether or not a section is set so as to include two among the peak fluorescent wavelength from each fluorescent probe marked for a specimen and each excitation laser wavelength emitted onto the specimen, wherein the dispersion control unit controls the dispersion unit based on the set section, if it is judged that one among a peak fluorescent wavelength from the each fluorescent probe marked for a specimen and the each excitation laser wavelength emitted onto the specimen is included, or that neither is included. In a sixth aspect of the present invention, a laser scanning microscope in the above described fifth aspect lets the spectral data acquisition condition definition unit comprise a section division unit for further dividing the set section into a prescribed number if the section is set up so as to include two among the peak fluorescent wavelength from each fluorescent probe marked for a specimen and each said excitation laser wavelength emitted onto the specimen, wherein the section judgment unit judges whether or not the section also set by the section division unit includes two among the peak fluorescent wavelength from each fluorescent probe marked for a specimen and the each excitation laser wavelength emitted onto the specimen. The present invention makes it possible to lighten a load on an observer who observes a specimen by using a laser scanning microscope. The present invention also makes it possible to avoid an increase in the number of acquisitions of spectral data unnecessarily within an acquisition range of spectral data. The following is a detailed description of the preferred embodiment of the present invention while referring to the accompanying drawings. FIG. 2 is a block diagram showing a configuration of laser scanning microscope according to a first embodiment of the present invention. In FIG. 2, the laser scanning microscope enables an observation of a specimen through emitting a laser beam onto the specimen marked by a plurality of fluorescent probes and receiving a fluorescent light back from the specimen corresponding to the emission. And as shown by FIG. 2, the laser scanning microscope comprises a laser unit 10 including laser sources 7 through 9 for generating laser beams in excitation wavelengths corresponding to the plurality of fluorescent probes, a deflector unit 2 for scanning the generated laser beam over an observation plane of the specimen, for example, in two-dimensions, a dispersion unit 15 for dispersing a fluorescent light from the specimen by acquiring by an arbitrary wavelength interval, a spectral data acquisition condition setting unit 16 for setting a condition for the dispersion unit 15 acquiring a spectral data, a spectrum characteristic data storage unit 17 for storing known spectrum characteristics of a plurality of fluorescent probes marked for the specimen, such as a peak wavelength of fluorescent light emitted by the each fluorescent probe, a dispersion control unit 18 for controlling the dispersion unit 15 based on the set spectral data acquisition condition, and a photoelectric conversion unit 14 for receiving a dispersed fluorescent light to convert into an electrical signal. The spectral data acquisition condition setting unit 16, spectrum characteristic data storage unit 17 and dispersion control unit 18 can be comprised as software or hardware in a computer 19 connected with the dispersion unit 15 for example. As shown by FIG. 2, the dispersion unit 15 comprises a diffraction mirror 13 for dispersing a fluorescent light back from a specimen into a spectrum and selecting a wavelength, and a slit 11 for selecting a wavelength range of fluorescent light to be received so as to be able to acquire an arbitrary fluorescent wave length in an arbitrary wavelength interval by controlling the diffraction mirror 13 and the slit 11 and thereby a λ stack data can easily be obtained. The spectral data acquisition condition setting unit 16 sets an amount of incrementing wavelength in relation to a rotation angle of the diffraction mirror 13 and a spectral resolution in relation to a width of the slit 11 which are applicable to carrying out a wavelength scanning for the specimen based on the known spectrum characteristics of a plurality of fluorescent probes marked for the specimen. FIG. 3 is a block diagram showing a configuration of spectral data acquisition condition setting unit 16 according to the first embodiment. In FIG. 3, the spectral data acquisition condition setting unit 16 comprises a proximate inter-peak distance calculation unit 21 for calculating the distance between proximate peak wavelengths among a plurality of peak wavelengths based on peak fluorescent wavelengths from the plurality of fluorescent probes marked for a specimen, an incrementing amount setting unit 22 for setting the above described amount of incrementing of wavelength based on the calculated distance between proximate peak wavelengths, a spectral resolution setting unit 23 for setting the above described spectral resolution based on the calculated distance between the proximate peak wavelengths, and an acquisition start & end positions specification unit 24 as a user interface capable of specifying acquisition start wavelength and acquisition end wavelength of spectral data. The dispersion control unit 18 controls the dispersion unit 15, to acquire a spectral data, based on the amount of incrementing of wavelength set by the incrementing amount setting unit 22, spectral resolution set by the spectral resolution setting unit 23 so that the respective borders of adjacent sections (i.e., one acquisition range) are contacting with each other, and acquisition start and end wavelengths of spectral data specified by the user operating the acquisition start & end positions specification unit 24. Now let it go back to the description about FIG. 2 and about the operation thereof. First, the laser sources 7 through 9 emit pump lasers which are focused and synthesized by going by way of a condenser apparatus 5 and synthesis mirror 6, and which are then emitted onto a specimen (plane) after being transmitted by way of a total reflection mirror 4, excitation dichroic mirror 3, deflection unit 2 and object lens 1. The fluorescent light back from the specimen corresponding to the emission of the pump laser transmits itself by way of the object lens 1 and the deflection unit 2 to reach the excitation dichroic mirror 3. Here, the excitation dichroic mirror 3 is designed in advance so as to transmit a plurality of pump laser beams such as three pump laser beams and reflect a fluorescent light back from the specimen corresponding to the emission of these laser beams onto the specimen. Because of this, the fluorescent light back from the specimen is dispersed by the excitation dichroic mirror 3, led to a total reflection mirror 12 and thereby further turned, and incident onto the diffraction mirror 13 which is set at a predetermined angle by the dispersion control unit 18. And the diffraction mirror 13 carries out a spectral factorization of the fluorescent light to select a wavelength. The slit 11 set at a prescribed width by the dispersion control unit 18 then removes fluorescent light components outside a receiving wavelength range from the fluorescent light coming in from the diffraction mirror 13. The photoelectric conversion unit 14 converts the fluorescent light of the receiving wavelength range into an electric signal, followed by a monitor (not shown herein) displaying an image corresponding to the specimen as a subject of observation (e.g., cell) based on the electric signal. Here, an angle of the diffraction mirror 13 and width of the slit 11 are both respectively settable by the dispersion control unit 18. For example, a repetition of changing the angle of the diffraction mirror 13 by an increment of prescribed angle and one acquisition of spectral data corresponding to the according width of the slit 11 enables an acquisition of spectral data for a necessary range. Note here that the present embodiment is configured to enable an observation of corresponding specimen, such as a plurality of observation sites within a cell, by marking the specimen with a plurality of fluorescent probes; in the following description, an operation of the laser scanning microscope according to the present embodiment is explained using sample data. Note also that, while the present embodiment adopts an apparatus comprising three laser sources 7, 8 and 9 for emitting three pump lasers corresponding to three fluorescent probes, it goes without saying that the number of used fluorescent probes does not always identify with that of the corresponding laser sources and that such corresponding relationship is variable depending on the number of used fluorescent probes and/or the sites in a specimen (e.g., cell) marked thereby, et cetera. For instance, one laser source may correspond to a plurality of fluorescent probes marked for a specimen. FIG. 4 exemplifies wavelength characteristics of fluorescent lights from respective fluorescent probes in the case of emitting three pump lasers simultaneously onto a specimen marked by the three fluorescent probes. In FIG. 4, a specimen (e.g., cell) is marked by three fluorescent probes which work on different sites of the cell depending on the type of probe so as to emit a fluorescent light in response to an emission of pump laser thereto, thus enabling an observation thereof. Each of the fluorescent probes is usually configured to emit a fluorescent light of mutually different peak wavelength as shown by FIG. 4 which exemplifies wavelength characteristics relating to three fluorescent probes whose peak wavelength are given by λ1, λ2 and λ3, respectively. FIG. 5A through 5C each exemplifies a wavelength characteristic of fluorescent light from a fluorescent probe corresponding to a pump laser in the case of emitting either one of three pump lasers at a necessary timing onto a specimen marked by three fluorescent probes. That is, FIGS. 5A, 5B and 5C respectively show wavelength characteristics corresponding to the fluorescent probes whose peak wavelength are λ1, λ2 and λ3, respectively. Incidentally, let it assume that the present embodiment is configured so that the laser sources 7, 8 and 9 emit respective pump lasers corresponding to the fluorescent probes whose peak wavelength are λ1, λ2 and λ3, respectively. FIG. 6 is a flow chart of processing for setting a wavelength incrementing amount for a dispersion control unit. The processing of the flow chart is carried out by the proximate inter-peak distance calculation unit 21 and incrementing amount setting unit 22 shown by FIG. 3. In FIG. 6, first, the proximate inter-peak distance calculation unit 21 obtains, from the spectrum characteristic data storage unit 17, fluorescent peak wavelengths emitted by a plurality of fluorescent probes marked for a specimen (step S101) (simply “S101” hereinafter). Here, the assumption is that the following values are stored by the spectrum characteristic data storage unit 17 as the fluorescent peak wavelengths emitted by the respective fluorescent probes for example:λ1=510 nmλ2=560 nmλ3=580 nm Then, the proximate inter-peak distance calculation unit 21 acquires the difference in proximate peak wavelengths from the acquired peak wavelengths λ1, λ2 and λ3 to store in a variance λGapMin (S102). In this example, the difference between the λ2 and λ3 is the minimum and therefore the λGapMin is calculated as follows:λGapMin=|λ2−λ3|=|560−580|=20 nm Then, the incrementing amount setting unit 22 sets the value of the λGapMin for the dispersion control unit 18 as the rotation angle of the diffraction mirror (i.e., wavelength incrementing amount) (S103), such as a rotation angle of 0.5 degrees for a wavelength incrementing amount of 20 nm if one (1) degree corresponds to 40 nm of incrementing amount. Through such processing, the incrementing amount setting unit 22 sets the amount of incrementing of wavelength to be identified with a proximate inter-peak distance, so it is possible to avoid plurality of fluorescent peaks being included within an acquisition section of one spectral data and it is possible to avoid increase in the number of unnecessary acquisitions of spectral data within an acquisition range thereof. The number of acquisitions can be increased, for example, by trying not to include a plurality of fluorescent peaks in the acquisition section of one spectral data. Also, it is possible for the dispersion control unit 18 to acquire an arbitrary fluorescent wavelength by an arbitrary wavelength interval, and accordingly a λ stack data, through controlling the dispersion unit. The spectral data acquisition condition setting unit 16 is also enabled to define a condition for the dispersion unit acquiring a spectral data based on the known spectrum characteristics of a plurality of fluorescent probes marked for a specimen, thus making it possible to set the range of acquiring a valid spectral data automatically or semi-automatically for a sample (i.e., specimen) introduced by a plurality of fluorescent probes. This in turn makes it possible to lighten a load on the observer who observes a specimen by using a laser scanning microscope. FIG. 7 is a flow chart of processing for acquiring a spectral data by controlling a dispersion unit according to the first embodiment. The spectral resolution setting unit 23 and dispersion control unit 18, et cetera, shown by FIG. 3 carry out the processing of the flow chart. In FIG. 7, first the user specifies an acquisition start wavelength, λStart, of spectral data by operating the acquisition start & end positions specification unit 24 (S201); and specifies an acquisition end wavelength, λEnd, by likewise operating the acquisition start & end positions specification unit 24 (S202). Then, the dispersion control unit 18 divides the acquisition range defined by the acquisition start and end wavelengths by the already set wavelength incrementing amount, thereby calculating the number of acquisitions (i.e., the number of repetitions of the acquisitions) N of one spectral data which is required for acquiring a spectral data for the acquisition range, by the following expression (S203):N=(λEnd−λStart)/λGapMin Then, the spectral resolution setting unit 23 sets a slit width (i.e., spectral resolution), λResolution, based on the above calculated λGapMin (S204). In this step the slit width λResolution is set so as to identify with the λGapMin (i.e., λResolution=λGapMin), that is, the borders of the adjacent acquisition ranges of one acquisition are in contact with each other. Then, a spectral data of one acquisition will be acquired in sequence by repeating the ensuing loop processing in the steps S205 through S208. Before starting the loop processing, a counter I is initialized as “0”, followed by judging whether or not the already calculated number of repetition N is larger than the counter I (i.e., N>1) (S205). If the N is equal to, or smaller than, I in the step S205, the series of processing ends. If the N is larger than I in the step S205, proceed to the step S206, in which the dispersion control unit 18 rotates the diffraction mirror to the following position:λStart+(I*λGapMin) Then carry out an acquisition processing of one acquisition of spectral data (S207), that is, as described above, the pump laser emitted by the laser sources 7 through 9, followed by being condensed and synthesized, is bi-dimensionally scanned (i.e., emitted) on the specimen (plane) so that a fluorescent light back from the specimen corresponding to the emission goes by way of the object lens 1, deflector unit 2, excitation dichroic mirror 3 to arrive at the total reflection mirror 12, to be turned thereby, then to be incident on the diffraction mirror 13 which has been angled (i.e., positioned) by the dispersion control unit 18 in the step S206. Then the diffraction mirror 13 carries out a spectrum factorization of the fluorescent light and a wavelength selection. Furthermore, the dispersion control unit 18 removes a fluorescent component outside the receiving wavelength range from the incident fluorescent light by way of the diffraction mirror 13 using the slit 11 where its width is set in step S204. The photoelectric conversion unit 14 converts the fluorescent light within the receiving wavelength range, which passed through the slit 11, into an electric signal. Such is how one acquisition of spectral data is acquired. Then, increments the counter variable I by one (“1”) followed by returning to the step S205 (S208), in which the incremented counter I will be compared with the number of repetition N. FIG. 8 exemplifies an acquisition range of spectral data set in relation to a wavelength characteristic of fluorescent light from the three fluorescent probes shown by FIG. 4 (No 1). In FIG. 8, the user operates the acquisition start & end positions specification unit to specify an acquisition start wavelength λStart and acquisition end wavelength λEnd of spectral data as follows:λStart=500 nmλEnd=600 nm Since the proximate inter-peak distance λ GapMin is given by 20 nm as described above, one acquisition of spectral data will be done through five intervals in this example as follows: 500 to 520 nm, 520 to 540 nm, 540 to 560 nm, 560 to 580 nm and 580 to 600 nm. Note that, if the acquired spectral data includes a fluorescent peak wavelength and a peak wavelength of pump laser corresponding to the peak wavelength, it is actually difficult to observe a site within a specimen (e.g., cell) corresponding to the peak wavelength among the acquired spectral data, but such an acquisition range may be discarded, instead of using it, in the actual usage. Therefore, a setting method for acquisition range with no consideration of wavelength position of the pump laser, as with the above described first embodiment, is also useful. In a second embodiment to be described in the following, an acquisition range is set in consideration of such wavelength position of a pump laser. Incidentally, the second embodiment also adopts basically the configuration of the laser scanning microscope shown by FIG. 2. FIG. 9 is a block diagram showing a configuration of the spectral data acquisition condition setting unit 16 according to the second embodiment. In FIG. 9, vis-à-vis FIG. 3, the spectral data acquisition condition setting unit 16 comprises a section judgment unit 31 for judging whether or not a section is set so as to include two among a peak wavelength of fluorescent light from each fluorescent probe marked for a specimen and each pump laser wavelength emitted onto the specimen. And if the section judgment unit 31 judges that the section includes one among a peak wavelength of fluorescent light from the each fluorescent probe marked for a specimen and the each pump laser wavelength emitted onto the specimen, or that the section includes neither, then the dispersion control unit 18 acquires a spectral data by controlling the dispersion unit based on the set section. Incidentally, a section division unit may be comprised for dividing the section if the condition defined by the section judgment unit 31 is not satisfied. FIG. 10 is a block diagram showing a variation of the spectral data acquisition condition setting unit 16 according to the second embodiment. In FIG. 10, vis-à-vis FIG. 9, the spectral data acquisition condition setting unit 16 further comprises a section division unit 32 for dividing a set section further into a prescribed number of sections if the section judgment unit 31 judges that the section is set so as to include two among a peak wavelength of fluorescent light from each fluorescent probe marked for a specimen and each pump laser wavelength emitted onto the specimen. And the section judgment unit 31 judges also for a section divided by the section division unit 32 as to whether or not the section is set so as to include two among a peak wavelength of fluorescent light from each fluorescent probe marked for a specimen and each pump laser wavelength emitted onto the specimen. Such configuration makes it possible to set a section satisfying the condition set forth by the section judgment unit 31 through a repetition of dividing by using the section division unit 32. Meanwhile, in the present second embodiment, a spectrum characteristic data storage unit 27 stores peak wavelengths of respective fluorescent probes and pump laser wavelengths corresponding to the respective peak wavelengths by correlating with one another. For example, if three fluorescent probes are used for marking a specimen, with the fluorescent peak wavelengths of the respective fluorescent probes being λ1 (=510 nm), λ2 (=560 nm) and λ3 (=580 nm), and with the pump laser wavelength corresponding to the respective fluorescent peak wavelengths being Exλ1 (=492 nm), Exλ2 (=542 nm) and Exλ3 (=575 nm), then the spectrum characteristic data storage unit 27 stores the data as follows:λ1=510 nm Exλ1=492 nmλ2=560 nm Exλ2=542 nmλ3=580 nm Exλ3=575 nm The following description is about an operation of the laser scanning microscope according to the second embodiment. First, through the same processing as the flow chart shown by FIG. 6 of the first embodiment, the proximate inter-peak distance calculation unit 21 sets the distance between proximate peak wavelengths set for a variable λGapMin, and the incrementing amount setting unit 22 sets the distance between proximate peak wavelengths as a wavelength incrementing amount in the dispersion control unit. FIG. 11 is a flow chart of processing for acquiring a spectral data by controlling a dispersion unit according to the second embodiment. The spectral resolution setting unit 23, section judgment unit 31, and dispersion unit 18, et cetera, shown by FIG. 9 or 10 carry out the processing of the flow chart. The flow chart shown by FIG. 11 has actually added the processing of steps S301 and S302 to the flow chart shown by FIG. 7. The added step S301 is to obtain a set λGapMin, and pump laser wavelengths Exλ1, Exλ2 and Exλ3, all of which are stored by the spectrum characteristic data storage unit 27. And the step S302 is to carry out the processing of re-setting the once set wavelength incrementing amount, such as dividing it into a prescribed number, by referring to the obtained λGapMin and each wavelength of pump laser by the processing of step S301. FIG. 12 is a flow chart showing the processing of the step S302 (for re-setting the wavelength incrementing amount) shown by FIG. 11 in more details. In FIG. 12, first initialize the counter value at “0” (S401). Then calculate a spectral data acquisition start wavelength λSectionStart (=λStart+I*λGapMin) of the current (i.e., I-th number) section based on the already set acquisition start wavelength λStart and λGapMin and judges whether or not the spectral data acquisition start wavelength λSectionStart of the current section is smaller than the already set acquisition end wavelength λEnd (S402). If the spectral data acquisition start wavelength λSectionStart of the current section is judged to be equal to or greater than the λEnd in the step S402, the series of processing ends. On the other hand, if the spectral data acquisition start wavelength λSectionStart of the current section is judged to be smaller than the λEnd in the step S402, proceed to the step S403 which calculates a spectral data acquisition end wavelength λSectionEnd (=λStart+(I+1)*λGapMin) of the current section. As is usually the case, the spectral data acquisition end wavelength of the current section identifies with a spectral data acquisition start wavelength of the next section. Then judges whether or not two among the peak wavelengths Exλ1, Exλ2 and Exλ3 of the respective pump lasers emitted onto a specimen and fluorescent peak wavelengths λ1, λ2 and λ3 from the respective fluorescent probes marked for the specimen, all of which are stored by the spectrum characteristic data storage unit 17, are included in the current section, that is, between the λSectionStart and λSectionEnd (S404). If two among the Exλ1, Exλ2, Exλ3, λ1, λ2 and λ3 are judged to be included between the λSectionStart and λSectionEnd in the step S404, the value of the λGapMin is re-set (i.e., divided) at a half (i.e., λGapMin=λGapMin/2) (S405), followed by returning to the step S401 for repeating the above described processing. On the other hand, if one among the Exλ1, Exλ2, Exλ3, λ1, λ2 and λ3 is judged to be included, or either is judged not to be included, between the λSectionStart and λSectionEnd in the step S404, the counter I is incremented by one (i.e., I=I+1) (S406), followed by returning to S402 for carrying out the processing for the step S402 and thereafter. Then the processing of the step S203 shown by FIG. 11 and thereafter will be carried out based on the section set as described above. FIG. 13 exemplifies an acquisition range of spectral data set in relation to a wavelength characteristic of fluorescent light from the three fluorescent probes shown by FIG. 4 (No 2). In FIG. 13, the user operates the acquisition start & end positions specification unit to specify an acquisition start wavelength λStart and acquisition end wavelength λEnd as follows:λStart=500 nmλEnd=600 nm Since the proximate inter-peak distance λGapMin is given by 20 nm, the acquisition of spectral data has initially been supposed to be carried out in five times in the increment of 500 to 520 nm, 520 to 540 nm, 540 to 560 nm, and 580 to 600 nm. However, since a pump laser peak wavelength Exλ3 (=575 nm) and a fluorescent peak wavelength λ3 (=580 nm) are included in a section 560 to 580 nm, for instance, in the width of initial section (20 nm), two among the Exλ1, Exλ2, Exλ3, λ1, λ2 and λ3 are judged to be included between the λSectionStart (=560 nm) and λSectionEnd (=580 nm) in the case of the current section being a section, 560 to 580 nm, in the step S404 shown by FIG. 12 and consequently the value of λGapMin will be re-set (i.e., divided) at 10 nm, i.e., a half of 20 nm, in the ensuing step S405. However, even with the re-setting, resulting in being divided to 10 sections, i.e., 500 to 510 nm—and so on—590 to 600 nm, a pump laser peak wavelength Exλ3 (=575 nm) and fluorescent peak wavelength λ3 (=580 nm) are included in the section, 570 to 580 nm, and therefore two among the Exλ1, Exλ2, Exλ3, λ1, λ2 and λ3 are judged to be included between the λSectionStart (=570 nm) and λSectionEnd (=580 nm) in the case of the current section being a section, 570 to 580 nm, in the step S404 shown by FIG. 12 and consequently the value of λGapMin will be re-set (i.e., divided) at 5 nm, a half of 10 nm, in the ensuing step S405. Then, as a result, divided to 20 sections, i.e., 500 to 505 nm—and so on—595 to 600 nm and one among the Exλ1, Exλ2, Exλ3, λ1, λ2 and λ3 is then judged to be included, or either is judged not to be included, between the λSectionStart and λSectionEnd for either section in the step S404 shown by FIG. 12, and therefore the dispersion control unit will obtain a spectral data by controlling the dispersion unit based on this section. In the above description, the user operates the acquisition start & end positions specification unit 24 shown by FIGS. 3, 9, and 10 to specify an acquisition start and end wavelengths of the spectral data, the acquisition start and end wavelengths, that is, an acquisition range, can be specified automatically. A third embodiment to be described below specifies an acquisition range automatically. The third embodiment also adopts basically a configuration of laser scanning microscope shown by FIG. 2. FIG. 14 is a block diagram showing a configuration of spectral data acquisition condition setting unit 16 according to the third embodiment. The spectral data acquisition condition setting unit 16 shown by FIG. 14, vis-à-vis FIG. 3, comprises an acquisition range setting unit 34 in place of the acquisition start & end positions specification unit 24. The acquisition range setting unit 34 sets an acquisition start and end wavelengths of spectral data based on the known spectrum characteristics, stored by a spectrum characteristic data storage unit 37, of a plurality of fluorescent probes marked for a specimen. The acquisition range setting unit 34 sets an acquisition range of spectral data so as to include all the peak wavelengths corresponding to all fluorescent probes marked for the specimen, and, in this event, sets a wavelength position being moved toward an edge where a value of distribution curve corresponding to a right most or left most peak wavelengths decreases by a prescribed ratio from its peak as an acquisition start or end positions for a spectral data. In the third embodiment, the spectrum characteristic data storage unit 37 stores a peak wavelength of each fluorescent probe and its half-value section (i.e., a displacement from a peak wavelength to a wavelength position where a value of the distribution curve decreases to a half of the peak value), with the aforementioned two being related with each other. For example, in the case of three fluorescent probes marking a specimen, with the peak wavelength of each fluorescent probe being λ1 (=510 nm), λ2 (=560 nm) and λ3 (=580 nm), and the half-value sections corresponding to the respective peak wavelengths being Δλ1 (=±20 nm), Δλ2 (=±15 nm) and Δλ3 (=±10 nm), then the spectrum characteristic data storage unit 37 stores the data as follows:λ1=510 nm Δλ1=±20 nmλ2=560 nm Δλ2=±15 nmλ3=580 nm Δλ3=±10 nm The following description is about an operation of the laser scanning microscope according to the third embodiment while referring to the flow chart shown by FIGS. 15 and 16. FIG. 15 is a flow chart of processing for setting an acquisition start wavelength of spectral data. The processing as per the flow chart is carried out by the acquisition range setting unit 34 shown by FIG. 14. In FIG. 15, the acquisition range setting unit 34 first obtains a peak wavelength (λ1 in this case) of the fluorescent light in the shortest wavelength range (i.e., left side) out of the spectrum characteristic data storage unit 37 to set it for a variable λMin; and likewise obtains its half-peak interval (i.e., a displacement from the peak wavelength; Δλ1 in this case) to set it for a variable λH1 (S501). Then the acquisition range setting unit 34 acquires an acquisition start position λ Start for a spectral data based on the following expression (S502):λStart=λMin−λH1 Here in the step S502, the displacement amount λH1 is subtracted from the left end peak wavelength λMin in order to acquire a wavelength position moving toward an end where the value of the distribution curve corresponding to the peak wavelength decreases by a prescribed ratio (i.e., a half in this case). FIG. 16 is a flow diagram of processing for setting an acquisition end wavelength of spectral data. The processing as per the flow chart is carried out by the acquisition range setting unit 34 shown by FIG. 14. In FIG. 16, the acquisition range setting unit 34 first obtains a peak wavelength (λ3 in this case) of the fluorescent light in the longest wavelength range (i.e., right side) out of the spectrum characteristic data storage unit 37 to set it for a variable λMax; and likewise obtains its half-peak interval (i.e., a displacement from the peak wavelength; Δλ3 in this case) to set it for a variable λH2 (S601). Then the acquisition range setting unit 34 acquires an acquisition end position, λEnd, for a spectral data based on the following expression (S602):λEnd=λMax+λH2 Here in the step S602, the displacement amount λH2 is added to the right end peak wavelength λMax in order to acquire a wavelength position being moved toward an end where the value of the distribution curve corresponding to the peak wavelength decreases by a prescribed ratio (i.e., a half in this case). Note that the processing of FIGS. 15 and 16 for the above described spectrum characteristic data stored by the spectrum characteristic data storage unit 37 will set the following values for the acquisition start position λStart and acquisition end position λEnd, respectively:λStart=λMin−λH1=510 nm−20 nm=490 nmλEnd=λMax+λH2=580 nm+10 nm=590 nm As described above, the third embodiment makes it possible to set a range of acquiring a valid spectral data automatically for a sample (i.e., specimen) introduced by a plurality of fluorescent probes, thereby lightening a load on the observer who observes the specimen by using a laser scanning microscope. The following description is about a fourth embodiment in which a setting method for a spectrum resolution is dealt with. The spectrum resolution setting method is the one carried out in the step S204 contained by the flow chart shown by FIG. 7 associated with the first embodiment for example. In the step S204 shown by FIG. 7, the spectral resolution setting unit 23 sets a slit width (i.e., spectral resolution) λResolution as per the following expression based on a proximate inter-peak wavelength distance λGapMin:λResolution=λGapMin (A1) In the present fourth embodiment, a spectral resolution is calculated (i.e., set) by the following expressions (A2) or (A3), vis-à-vis the above described expression, so that the borders of adjacent sections overlap with each other by a prescribed section. First, in the expression (A2), a spectral resolution λResolution is calculated by a variable λGapMin multiplied by a constant β larger than one (i.e., β>1):λResolution=β*λGapMin (A2) Next, in the expression (A3), the spectral resolution is calculated by the right side of the above expression (A2) further multiplied by a decreasing function f(I) (where f(I1)>f(I2), if I1<I2) for a fluorescent intensity I:λResolution=β*f(I)*λGapMin (A3) Here, since f(I) is a decreasing function for a fluorescent intensity I, with its value increasing as a fluorescent intensity of fluorescent probe decreases, resulting in a fluorescent probe having less fluorescent intensity gaining a larger λResolution (i.e., lower spectral resolution), hence gaining more brightness. The present fourth embodiment has a notable advantage of acquiring a spectral data from a fluorescent probe with a weak fluorescent intensity. The above described embodiments have a configuration without a limitation about section for one acquisition of spectral data, which is faced with a problem of difficulty in detecting a fluorescent peak if for instance a section of one acquisition becomes very small, however. In order to avoid such situation, the configuration shown by FIGS. 3, 9, 10 or 14 may further comprise a lowest limit resolution value storage unit for storing a lowest limit of spectral resolution which makes a measure for enabling a detection of fluorescent peak and a section width judgment unit for judging whether or not a section width of a section either set or divided into is equal to, or smaller than, the aforementioned lowest limit. And, if the section width of a section set or divided into is larger than the above described lowest value, the dispersion control unit acquires a spectral data by controlling the dispersion unit based on the section set or divided into. FIG. 17 is a block diagram with the lowest limit resolution value storage unit 42 and section width judgment unit 41 being added to the block diagram shown by FIG. 3 according to the first embodiment. Incidentally, the lowest limit resolution value storage unit 42 and section width judgment unit 41 may be added to the block diagram according to the third embodiment shown by FIG. 14 as with the one shown by FIG. 17. Meanwhile, for the block diagram shown by FIGS. 9 and 10 of the second embodiment, the function of the section width judgment unit 41 may be combined with that of the section judgment unit 31, in which case the section judgment unit 31 compares with the lowest value of section width by referring to the lowest resolution limit value stored by the lowest limit resolution value storage unit 42. FIG. 18 is a flow chart with a processing for comparing a section width with a lowest limit being added to the one shown by FIG. 6 according to the first embodiment. In FIG. 18, vis-à-vis FIG. 6, added after the step S103 is the step S104 in which the variable λGapMin set in the step S103 is compared with the lowest limit spectral resolution value that indicates the settable minimum value. Then, if the set λGapMin is judged to be larger than the lowest limit spectral resolution value in the judgment step of S104, the processing following that shown by the flow charts of FIG. 7 or 11 will continue and acquire a spectral data based on the set section. FIG. 19 is a flow chart with a processing for comparing a section width with a lowest limit value being added to the one shown by FIG. 11 according to the second embodiment. In FIG. 19, vis-à-vis FIG. 11, added between the steps S302 and S203 is the step S303 in which the variable λ GapMin re-set in the step S302 is compared with the lowest limit spectral resolution value which indicates the settable minimum value. And, if the re-set λGapMin is judged to be larger than the lowest limit spectral resolution value in the judgment step of S303, the following processes (step S203 etc.) [p1]will continue and acquire a spectral data based on the set section. FIG. 20 exemplifies a storage medium storing a program for making a computer carry out the respective processing according to the present embodiment. As shown by FIG. 20, the above noted storage medium comprehends a portable storage medium 66, such as CD-ROM, flexible disk (or, may be an MO, DVD, removable hard disk, et cetera) which are detachable with a media drive apparatus 67, a storage unit (e.g., data base) 62 within an external apparatus for transmitting the program by way of a network line 63, and a memory (RAM or hard disk) 65 within a main body 64 of an information processing apparatus 61. The program for carrying out the respective processing according to the present embodiment is executed by loading onto the memory 65 within the main body 64 from the above described storage medium. |
|
047611073 | claims | 1. Apparatus for transferring components to and from containers therefor, which apparatus includes: a stationary support frame defining a pathway; a carriage movable along the pathway and having at least one container compartment for receiving and holding a container and at least one component compartment for receiving and holding a component, said container compartment being spaced from said component compartment along the pathway; two stationary stops located to delimit first and second ends of the pathway; a movable stop located along the pathway between said stationary stops; abutment means carried by said carriage, and disposed to cooperate with said stops so that contact between said abutment means and said stationary stops defines repective first and second end operating positions of said carriage along said pathway and contact between said abutment means and said movable stop defines at least one further operating position of said carriage along said pathway, which further position is spaced from said second end position by a distance equal to the spacing between said container compartment and said component compartment; component transfer means for transferring a component vertically to and from a respective one of said compartments when said carriage is in a respective one of said second end and further operating positions; signal controllable drive means connected to said carriage for moving said carriage to a selected position along said pathway; signal controllable movable stop displacing means coupled to said movable stop for displacing said movable stop between a raised position in which said movable stop can be contacted by said abutment means and a lowered position in which said movable stop can not be contacted by said abutment means; and electronic data processing means connected to receive signals indicative of the desired operating position of said carriage and connected to said drive means and movable stop displacing means for operating said drive means and said movable stop displacing means for automatically displacing said carriage to the desired operating position. 2. Apparatus as defined in claim 1 wherein: said carriage has two said container compartments spaced apart along the pathway and separated from one another by said component compartment; and said abutment means are constructed so that there are two said further operating positions of said carriage, said two further operating positions being spaced from one another by a distance equal to the distance between one of said container compartments and said component compartment such that when said carriage is in each of said further operating positions, said component transfer means can transfer a component to and from a respective one of said compartments. 3. Apparatus as defined in claim 1 further comprising carriage position monitoring means operatively connected to said drive means for producing an indication of the position of said carriage along the pathway and to said data processing means for causing said drive means to apply a driving force to said carriage until said position monitoring means produce an indication that said carriage has reached the desired position. 4. Apparatus as defined in claim 3 wherein said signal controllable drive means comprise a drive motor controlled by said data processing means, a rotatable member driven by said motor, and a cable connected to said carriage and connected to be driven by said rotatable member for displacing said carriage along said pathway, and said carriage position monitoring means are connected directly to said rotatable member. 5. Apparatus as defined in claim 3 wherein said carriage position monitoring means are set for causing said drive means to apply a driving force to said carriage for a short time after said abutment means have contacted that one of said stops which defines that position. 6. Apparatus as defined in claim 1 wherein said component transfer means comprise gripping means for gripping a component and hoist means connected to said gripping means for moving said gripping means over a vertical path between a raised position and a lowered position, said raised position being at a height to cause a component gripped by said gripping means to be completely above said compartments and said lowered position being at a height such that a component gripped by said gripping means is fully inserted into a given compartment when said carriage is in a position such that said given compartment is located directly below said component transfer means. 7. Apparatus as defined in claim 6 wherein said data processing means operate to receive indications of the position of said gripping means along the vertical path and of whether said gripping means are gripping a component and utilize such indication to prevent movement of said carriage when a component extends into a said compartment and is being gripped by said gripping means. 8. Apparatus as defined in claim 7 wherein said gripping means are movable between an engaged position for gripping a component and a disengaged position for releasing a component, and further comprising manually actuable control signal generating means connected to said data processing means for generating and supplying to said data processing means the signals indicative of desired positions of said carriage, and said hoist and the desired operating state of said gripping means, said manually actuable control signal generating means comprising: a first plurality of manually actuable elements each associated with a respective one of said carriage positions; a second plurality of manually actuable elements each associated with a respective one of said hoist positions; a third plurality of actuable elements each associated with a respective operating state of said gripping means; and a plurality of light emitting elements each associated with a respective manually actuable element, said manually actuable elements and said light emitting elements being electrically connected to said data processing means so that said data processing means are responsive to actuation of a said manually actuable element for causing said light emitting element which is associated with the actuated manually actuable element to initially emit a blinking light signal and to subsequently emit a steady light signal when the desired position associated with the actuated manually actuable element has been reached. 9. Apparatus as defined in claim 1 wherein said movable stop is pivotable between said raised and lowered positions, said movable stop displacing means comprise an electrically controllable, movable drive member and a cable connected between said drive member and said movable stop for moving said movable stop between its said positions, and said drive member is connected to be controlled by said data processing means for moving said movable stop to its said lowered position each time said carriage is to be moved through said further operating position. 10. Apparatus as defined in claim 1 further comprising manually actuable control signal generating means connected to said data processing means for generating and supplying to said data processing means the signals indicative of the desired position of said carriage. 11. Apparatus as defined in claim 10 further comprising indicator means associated with said control signal generating means and connected for displaying an indication of a parameter associated with transferring a component to or from a compartment. 12. Apparatus as defined in claim 10 wherein said manually actuable control signal generating means comprise a plurality of manually actuable elements each associated with a respective one of said carriage positions and a plurality of light emitting elements each associated with a respective manually actuable element, said manually actuable elements and said light emitting elements being electrically connected to said data processing means so that said data processing means are responsive to actuation of a said manually actuable element for causing said light emitting element which is associated with the actuated manually actuable element to initially emit a blinking light signal and to subsequently emit a steady light signal when the desired position associated with the actuated manually actuable element has been reached. 13. Apparatus as defined in claim 1 constituting a changing fixture in a nuclear reactor installation, wherein each container is a reactor core fuel assembly and each component is a rod control cluster. |
abstract | An instrument removal system for removing detector cables from a nuclear reactor includes a removal cart and a disposal cask. in an exemplary embodiment, the removal cart includes a base including a plurality of wheels coupled thereto, a motor mounted on the base, and a drive shaft operatively coupled to the motor. A disposal spool is removably mounted on the drive shaft, and the disposal spool includes a notch sized to receive the detector cable. A housing is mounted on the base, with the housing enclosing the disposal spool. Also, an entrance port is located in the housing to permit the detector cable to enter the housing. |
|
claims | 1. A method to shield radiation, comprising:adding a bitumen substance to radioactive waste to create a bitumen-radioactive waste mixture;filling a container with the bitumen-radioactive waste mixture; andcoating the container with a sealant or paint that includes leaded glass particles which have diameter sizes of less than or equal to 2 millimeters. 2. A method for providing a radiation shield, comprising:acquiring leaded glass particles having diameter sizes of less than or equal to 2 millimeters;mixing the glass particles with a bitumen substance to produce a bitumen-glass particle mixture; andcoating a product with the bitumen-glass particle mixture. 3. The method of claim 2 wherein mixing further includes mixing the bitumen-glass particle mixture with at least one of paint, a sealant, cement, adhesive, and plastic. 4. The method of claim 2 wherein coating further includes covering radioactive waste with the bitumen-glass particle mixture, wherein the radioactive waste is the product. 5. The method of claim 2 wherein coating further includes covering a surface of a container with the bitumen-glass particle mixture, wherein the container houses radioactive waste and wherein the container is the product. 6. The method of claim 5 wherein covering further includes spraying or brushing the bitumen-glass particle mixture onto the surface. 7. The method of claim 5 wherein covering further includes dipping the container into a bath of the bitumen-glass particle mixture. 8. A radiation shielding system comprising:a bitumen substance;radioactive waste, wherein the bitumen substance is mixed with the radioactive waste to create a bitumen-radioactive mixture; andleaded glass particles having diameter sizes of less than or equal to 2 millimeters, wherein the glass particles are coated onto an outer surface of the bitumen-radioactive mixture. 9. The radiation shielding system of claim 8 wherein the leaded glass particles are coated onto a surface of a container that houses the bitumen-radioactive waste mixture. 10. The radiation shielding system of claim 9 wherein the leaded glass particles are treated to remove surface heavy metals before the surface of the container is coated with the leaded glass particles. |
|
abstract | In an ion implantation method, ion implantation into a substrate is performed while changing a relative positional relation between an ion beam and the substrate. A first ion implantation process in which a uniform dose amount distribution is formed within the substrate and a second ion implantation process in which a non-uniform dose amount distribution is formed within the substrate are performed in a predetermined order. Moreover, a cross-sectional size of an ion beam irradiated on the substrate during the second ion implantation process is set smaller than a cross-sectional size of an ion beam irradiated on the substrate during the first ion implantation process. |
|
description | This application is a divisional of U.S. application Ser. No. 11/506,580, filed Aug. 18, 2006, which is a divisional of U.S. patent application Ser. No. 10/938,044, filed Sep. 10, 2004, which claims the benefit of U.S. Provisional Application No. 60/503,149, entitled Process For Production of Thorium-229, filed Sep. 15, 2003, all of which are incorporated herein in their entirety by reference. The United States Government has rights in this invention pursuant to Contract No. DE-AC05-00OR22725 between the United States Department of Energy and UT-Battelle, LLC. The invention relates to methods for producing thorium-229. The goal in the treatment of cancerous tumors and micrometastases has long been to kill the cancerous cells without killing healthy cells. Today, in the development of new short-range, site-specific therapies, there is increasing interest in using radioisotopes which decay with the emission of alpha particles. Indeed, recent clinical trials have shown the effectiveness of the alpha-emitter bismuth-213 in killing cancer cells in patients with acute myeloid leukemia. In addition, lung tumors in mice have been effectively treated for the first time by using an antibody radiolabeled with bismuth-213, targeting the lung vascular endothelial cells. Alpha-particles are of interest in site-specific therapy because of their short range. Bismuth-213 emits an 8 MeV alpha particle which penetrates only 6 to 10 cell layers nearby, killing the cells in its short path (˜80 μm), including cancer cells. In addition to bismuth-213, there are only eight other known alpha-emitters with potential for this type of application, namely, astatine-211, bismuth-212, lead-212, radium-223, radium-224, radium-225, actinium-225, and fermium-255. There are a number of factors that need to be considered in using any radioisotope in humans, especially those radioisotopes emitting alpha particles. These factors include availability, cost, nuclear characteristics, chemistry, and in vitro and in vivo stability of the biomolecules labeled with alpha-emitters. The first two alpha-emitters to be used in human trials are bismuth-213 and astatine-211; the other seven radioisotopes mentioned above are under more preliminary investigations. Bismuth-213 is currently being used in human trials at Memorial Sloan-Kettering Cancer Center (New York) and is generated in-house from the decay of actinium-225. This radioisotope is produced from the decay of radium-225, which is the daughter of thorium-229, which, in turn is the alpha decay daughter of uranium-233. Currently, uranium-233 is the only viable source for high purity thorium-229. However, the anticipated growth in demand for actinium-225 may soon exceed the levels of thorium-229 present in the aged uranium-233 stockpile (in fact, there have been occasions that supply has not been able to keep up with the current demand). It is estimated that only ˜45 g or ˜9 curies of thorium-229 (229Th specific activity is 0.2 mCi/mg) can be extracted from entire uranium-233 stockpile at the Oak Ridge National Laboratory (hereinafter “ORNL”). The uranium-233 stockpile at ORNL is about 50% of the high quality uranium-233 available in the world which provides reasonably low quantities of both Th-228 and Th-232. This stockpile is only about eighty times the current thorium stock. Large quantities of Th-228 or Th-232 can make the use of a uranium-233 stockpile impractical. Considering the rather low annual production rate of thorium-229 from uranium-233 (0.92 mCi/kg) and the increasing difficulties associated with uranium-233 safeguards, large-scale routine processing of uranium-233 is, at a minimum, problematic. A number of approaches have been identified as alternative routes for the production of 229Th(t1/2=7340 y), or for direct production of 225Ra(t1/2=15 d), and 225Ac (t1/2=10 d). These approaches include a) production of 229Th in a nuclear reactor by thermal neutron transmutation of 226Ra targets, b) direct production of 225Ac from proton and deuteron irradiation of 226Ra targets via the [p,2n] and [d,3n] reactions, respectively, at accelerators, and c) indirect production of 225Ac from the decay of 225Ra which in turn is produced by high energy γ-ray irradiation of a 226Ra target, [γ,n] reactions. The alternate route (a) noted above produces a low yield of 229Th. A method for producing 229Th includes the steps of providing 226Ra as a target material, and bombarding the target material with alpha particles, helium-3, or neutrons to form 229Th. When the energetic particles comprise neutrons, the neutrons preferably include an epithermal neutron flux of at least 1×1013n s−1·cm−2. When alpha particles are used an energy of the alpha particles can be between 15 MeV and 25 MeV, such as about 20 MeV, and when helium-3 particles are used an energy of the helium-3 particles can be 8 MeV to 20 MeV, such as about 16 MeV. A method for producing 229Th includes the steps of providing 228Ra as a target material, and bombarding the target material with neutrons to produce a neutron capture reaction of the 228Ra to form 229Th. The neutrons can be thermal and/or epithermal neutrons. In another embodiment of the invention, a method for producing 229Th includes the steps of providing 230Th as a target material, and bombarding the target material with energetic particles to form 229Th. The energetic particles can comprise neutrons sufficient to result in a 230Th[n,2n]229Th reaction to form 229Th. The energetic particles can comprise gamma rays having energies sufficient to result in 230Th[γ,n]229Th reaction to form 229Th, such as having an energy of from 8 MeV to about 12 MeV. The energetic particles can comprise protons or deuterons having energies sufficient to result in 229Pa, the 229Pa decaying or transmuting into 229Th. When protons are used, the energy of the protons can be from 8 MeV to about 16 MeV. When deuterons are used, the energy of the deuterons can be from 16 MeV to about 28 MeV. The invention provides methods for the production of thorium-229. The methods have good yields and generally lower contamination levels as compared to known methods for production of thorium-229 other than by decay of U-233. In a first embodiment, thorium-229 is produced via alpha particle bombardment of a radium-226 target, such as using a cyclotron. Radium-226 is a by-product of uranium processing and significant quantities of 226Ra can be readily made available if a use for this isotope is identified. The amount of 226Ra in naturally occurring uranium is about 0.33 g per ton of uranium. No excitation function for the 226Ra[α,n]229Th reaction is currently known. However, from the excitation functions known for 209Bi[α,xn] reactions, a threshold energy of about 8 MeV can be expected, and a maximum cross section of ˜2 barns at ˜15 MeV. From systematics, the optimum incident energy of alpha particles for this reaction is about 20 MeV, and the maximum cross section for [α,n] is expected to be at least tenfold larger than that of the [p,n] reaction. The above assumptions translate to a production rate of ˜1 μCi of 229Th per day at a 20 μA current of alpha particles with incident energy of ˜20 MeV. By preferably controlling the incident α-particle energy just below the threshold of the [α,2n] reaction which is about 20 MeV, the production of unwanted 228Th can be minimized. For example, the energy of the alpha particles can be 15 to about 20 MeV, such as about 16 MeV. The excitation function is preferably obtained to permit fine adjustment of the incident alpha particle energy such that the 229Th yield is maximized and 228Th contamination level is minimized. Very thin targets of 226Ra (1-2 μg/cm2 by electrodeposition) can be used for excitation function measurements by a stacked foil technique. Preparation of very thin targets of 226Ra(1-2 μg/cm2) by the electrodeposition method is known. Carrier-free 226Ra can be electroplated on Pt foil from 0.1 M HNO3 under 8 volt of direct current. Yields of better than 80% have been obtained within 2 hours. Modification of this procedure could be used for preparation of thin targets of 226Ra using high purity Al foils having a thickness of about 0.1 mm. Each Ra deposited foil can then be covered with another Al foil and sealed by epoxy. The Al foils serve as energy degraders. For the excitation function measurements, the irradiation time could be limited to 10-60 minutes at a current of ˜1 μA. The incident alpha particle energy will be about 20 MeV. Under these conditions, the level of activity in target foils will range from 0.1-1 pCi of 229Th per foil. After irradiation, the target will be allowed to cool for several days, then will be analyzed by gamma-ray spectroscopy. Thorium-229 emits two predominant gamma rays at 193 and 213 keV with intensities of 4.4 and 3.0%, respectively. At equilibrium with its daughter products, however, more intense gamma rays from 4.8-min 218Fr at 218 keV (11.6%) and from 46.5-min 213Bi at 440 keV (26.1%) can be used for quantitation of 229Th. Accordingly, about 100 days should be allowed for 99.9% equilibrium. Th-228 can be quantitated by measuring the activity of 212Pb and 212Bi at 238 keV (43.9%) and 583 keV (31.1%), respectively. Th-228 reaches equilibrium within two weeks. It is anticipated that both 226Ra and 229Th undergo fission during alpha bombardment or indirectly by secondary neutrons. The expected fission cross sections are rather small and in the millibarn (mb) range. Helium-3 (3He) bombardment can be used instead of alpha (4He or α) particle bombardment to produce thorium-229 from radium-226. The reaction in this case would be 226Ra[3He,γ]229Th, with a threshold of about 8 MeV, but the maximum of the cross-section is expected to be about ten fold smaller than α-induced reaction, and thus about a ten fold lower yield. As in the case of alpha particle bombardment, excitation functions for this and competing reactions are not currently known. In another embodiment of the invention, thorium-229 is produced via multiple-neutron capture by a radium-226 target in the epithermal region. A known approach for the production of 229Th is by thermal neutron irradiation of a radium-226 target in a reactor. This approach consists of a number of neutron captures and beta decays. As it implies, the thermal cross section is the probability of interaction of a nuclide with thermal neutrons while the resonance integral is the probability of interaction of the same nuclide with higher energy (epithermal) neutrons. FIG. 1 shows neutron capture cross sections for the irradiation of radium-226 with the accompanying table below summarizing the data. In all the pathways shown leading to 229Th starting from a 226Ra target, the resonance integrals are far greater (in some cases an order of magnitude greater) than the thermal cross sections. For example, neutron capture by 226Ra has a thermal cross section of 13 b while the resonance integral is 290 b, more than an order of magnitude greater. Thus, production of 229Th from neutron irradiation of a 226Ra target is much more efficient with higher energy neutrons as compared to irradiation with thermal neutrons. It is estimated that the contribution of epithermal neutrons to the total yield of 229Th is 99.2% in the case where a 226Ra target is irradiated in the core of a high flux isotope reactor (i.e., production due to thermal neutrons is only 0.8% of the total). Accordingly, much more 229Th can be produced by epithermal neutrons than using conventional thermal neutrons. The most common sources of epithermal neutrons are research nuclear reactors. For example, in the flux trap region of the High Flux Isotope Reactor (HFIR) at ORNL, the epithermal neutron flux per unit lethargy is greater than 1×1013n s−1·cm−2 (generally ranging from 2×1013 to 8×1013 n s−1·cm−2). Note that lower neutron fluxes will generally be of little use for this approach, because a 10 fold lower neutron flux results in ˜1000-fold reduction in the 229Th yield. Alternatively, epithermal neutrons can be produced by slowing down fast neutrons available from charged particle accelerators where the fast neutrons are generated through a number of nuclear reactions such as fusion, fission, pick-up, spallation reactions, and others. The significance of the contribution of epithermal neutrons to the total reaction rate, which is disclosed herein, can also be extended to the production of thorium-228 (and its daughters radium-224, lead-212, bismuth-212, and other daughter isotopes in this decay chain) and actinium-227 (and its daughters radium-223, and other daughter isotopes in this decay chain), two other radionuclides which may also prove useful for medical applications. It is noted that radium-226 is the target for the production of thorium-228 and actinium-227. In another embodiment, thorium-229 can be produced via a neutron capture reaction of radium-228. Radium-228 with a half-life of 5.75 y, is the first alpha decay product of naturally occurring thorium-232, and can be made available through the chemical processing of natural thorium. The amount of 228Ra in 30-y old thorium is about 0.4 mg per ton of thorium. The reported cross section for neutron capture of radium-228, 228Ra[n,γ]229Ra is about 36 barns for thermal neutrons available from nuclear reactors. The cross section for epithermal neutrons is not currently known. The product of 228Ra neutron capture, 229Ra, has a half-life of only 4 min and decays with 100% β− to 62.7-min 229Ac, which in turn decays with 100% β− to 229Th. At a thermal neutron flux of 1×1015 n/s·cm2, the yield of 229Th from 228Ra[n,γ]229Ra(β−, t1/2=4 min)229Ac(β−,t1/2=1 hour)229Th reaction is about 27 mCi per gram of 228Ra for one-year irradiation. The main advantage of this reaction will be higher yield of 229Th relative to other reactions, and significantly lower contamination with 228Th, and almost no contamination from 227Ac. The main disadvantage of this reaction is the relatively short half-life of the target material and its availability. In another embodiment, thorium-229 is produced via neutron bombardment of a thorium-230 target. Thorium-230 with a half-life of 7.5×104 y, is a part of the uranium-238 decay chain, and depending on the geological location the amount of 230Th in uranium mines is about 16 g per ton of uranium. The 230Th[n,2n]229Th reaction has a threshold energy of 6.8 MeV and a cross section of 1.34 barns at 14 MeV. These assumptions translate to a production rate of ˜2.5 nCi of 229Th per day per gram of 230Th at neutron flux of 1011 n s−1·cm−2 with an energy of 14 MeV. The 14 MeV neutrons can be produced in a cyclotron through a number of nuclear irradiations, the most common being the irradiation of a Be target with deuterons having an energy of 30 MeV, generating a neutron flux of ˜3×1010 n·s−1 ·μA−1 at 0-20° solid angle. For a 10 μA deuteron beam, the total neutron flux in the forward direction would be ˜3×1011, distributed over an area ˜2 cm2. By controlling the incident deuteron energy below ˜35 MeV, production of higher energy neutrons (>20 MeV) will be substantially minimized, and hence the production of unwanted 228Th which is produced via 230Th[n,3n]228Th can be substantially reduced. It is noted that the threshold for the 230Th[n,3n]228Th reaction is about 12 MeV. As noted above, high energy neutrons can be obtained from reactors such as High Flux Isotope Reactors. Alternatively, 14 MeV neutrons can be readily obtained from D-T fusion reactions. Also, high energy neutrons can be produced in charged particle accelerators via fission, fusion, pick-up, spallation, and other reactions. Alternatively, high-energy neutrons available from a nuclear reactor can be used, where the flux of neutrons with energy >7 MeV is on the order of 5×1013 n s−1·cm−2. The fission averaged cross section of 230Th[n,2n]229Th reaction is 10.66 mb. The yield of 229Th from reactor irradiation of 230Th would be on the order of 10 nCi per gram of target per day or 3.7 μCi per gram per year of irradiation. The main disadvantage of the 230Th[n,2n]229Th reaction would be the generation of fission products as the fission averaged cross section of 230Th is rather significant (163 mb). In another embodiment, thorium-229 is produced via gamma ray bombardment of a thorium-230 target via the 230Th, [γ,n] reaction. No excitation function for the 230Th[γ,n]229Th reaction is currently known. However, from the reported excitation functions for 232Th[γ,n] reactions, a threshold energy of ˜6 MeV can be expected, and a maximum cross section of ˜440 millibarns at ˜11.5 MeV. The maximum incident energy of the incident gamma ray for this reaction is about 12 MeV in order to minimize the production of unwanted 228Th by the 230Th[γ,2n]229Th reaction. Production of 231Th via the 232Th[γ,n] reaction is known to be 22 mCi/h/g of 232Th in a 10 kW electron accelerator producing 25 MeV electrons. If 229Th is produced from 230Th at the same rate, the product activity of 229Th will be 0.21 μCi/d/g of 230Th. In another embodiment, thorium-229 is produced via proton and deuteron irradiation of thorium-230 targets, such as in an accelerator. Both reactions are believed to actually proceed through production of relatively short-lived protactinum-229 having a half life of only 1.5 day, 230Th[p,2n]229Pa(EC, t1/2=1.5 d)229Th and 230Th[d,3n]229Pa(EC, t1/2=1.5 d)229Th reactions, respectively. No excitation functions for these reactions are reported. In the case of the proton-induced reaction, from the reported excitation function for a similar reaction using a thorium-232 target, 232Th[p,2n] reaction, a threshold energy of ˜10 MeV can be expected, a maximum cross section of ˜400 millibarns at ˜15 MeV, and a cross section of ˜200 mb at 20 MeV. However, in order to minimize the production of unwanted 228Th by the 230Th[p,3n]228Pa(EC, t1/2=22 h)228Th reaction, the maximum energy of the incident proton used for this reaction is limited to about 16 MeV. Assuming an average cross section of 200 mb, bombarding a foil of 230Th with a thickness of 0.5 mm (˜0.55 g/cm2, range of protons 16 →10 MeV) translates to a production rate of ˜0.6 μCi of 229Th per day at a 100 μA current of protons with an incident energy of 16 MeV. In the case of the deuteron-induced reaction, from the reported excitation functions of for a similar reaction using a bismuth-209 target, 209Bi[d,3n] reaction, a deuteron threshold energy of ˜16 MeV can be extrapolated. Above the threshold, the cross section sharply increases to a maximum of ˜1.5 barn, then drops off rapidly to ˜500 mb at 32 MeV. The maximum energy of the incident deuteron for this reaction is about 28 MeV, in order to reduce the probability of the evaporation of an additional neutron which results in the production of unwanted 228Th by the 230Th[d,4n]228 Pa(EC, t1/2=22 h)228Th reaction. In this case, assuming an average cross section of 700 mb, bombarding a foil of 230Th with a thickness of 0.7 mm (˜0.78 g/cm2, range of deuterons 28→16 MeV) results in a production rate of ˜3 μCi of 229Th per day at a 50 μA current of deuterons with an incident energy of 28 MeV. The necessary fast turn-around for processing of the Ra target in the direct production of 225Ra and 225Ac (a few days post-irradiation) is the main disadvantage for proton, deuteron and gamma ray irradiation of a radium target via 226Ra[p,2n]225Ac, 226Ra[p,pn]225Ra(t1/2=15 days,β−) 225Ac, or 226Ra[γ,n]225Ra(t1/2=15 days,β−)225Ac reactions. The main drawback in the reactor approach for the production of 229Th using a 226Ra target is the significant contamination of 229Th with 228Th(t1/2=2.8 y) that generally results. The approaches for production of 229Th via alpha or 3He bombardment of a radium-226 target described above generally provides thorium-229 with significantly reduced levels of 228Th contamination. The fast neutron irradiation of a thorium-230 target, or neutron capture by 228Ra generally also provides thorium-229 with significantly reduced levels of 228Th contamination as compared to the reactor approach using a 226Ra target. The thorium-229 generated using the invention must be separated from the target material and other by-products generated for most uses. Radiochemical procedures can be used for the separation of thorium-229 from target materials and by-products. The chemical processing of U, Th, Ac and Ra has been studied extensively in the past 70 years and is well known. In summary, after irradiation, the target can be dissolved and Th selectively retained on anion exchange resin (e.g. MP1 resin, BioRad Inc.) from 7.5 M HNO3 as the Th(NO3)62− complex, while U(VI), Ac(III), Fe(III), Al(III), Ra(II) and Pb(II) and a number of fission products are eluted. Subsequent to the elution of Th from the column with O.1 M HNO3, the Th is further purified by hydroxide precipitation in the presence of the Fe+3 carrier to eliminate Tc and I. Thorium is then separated from the Fe+3 carrier by retaining FeCl4− on an anion exchange column in 10 M HCl. After allowing 225Ra and 225Ac to reach their equilibrium values (˜45 days), they are separated from Th using anion exchange resin and 7.5 M HNO3 as described above. Separation of Ac from Ra is accomplished by one of two methods, both based on cation exchange resin from nitric acid media. In the first method, using 1.2 M HNO3 as eluent, Ra+2 is eluted ahead of Ac+3 with a small overlap. When the eluent is changed to 0.15 M NH4Cl and 0.1 M NaEDTA, pH˜5, (the second method), Ac is eluted quantitatively whereas Ra remains adsorbed on the resin (reverse phase chromatography). Both methods have been tested extensively for the separation of carrier-free 225Ac from 224Ra and 225Ra and they work well. Thorium-229 produced using the invention is expected to be used for a variety of medical applications, such as for killing cancer cells. With appropriate biological targeting molecules, bismuth-213 can be used not only in cancer therapy but also for autoimmune diseases, organ transplantations, bone marrow ablations, and vasculature irradiation following restenosis. For example, the invention can be used for cell-directed radiation therapy. In this method, millions of cancer seeking antibodies guide radiation to the cancer. Energetic radioactive isotopes (radioisotopes which are capable of depositing a significant amount of energy in a short distance in the tissue) according to the invention are attached to the antibodies. As the cancer hunting antibodies flow though the blood stream, the radioactive isotopes ride along. The antibodies target cell surface binding sites specific to the cancer cells. When the antibodies reach a cancer cell, they attach. Radiation from these bound radioisotopes then destroys the cancer cells that make up the malignant tumor. This invention can be embodied in other forms without departing from the spirit or essential attributes thereof and, accordingly, reference should be had to the following claims rather than the foregoing specification as indicating the scope of the invention. |
|
050193236 | summary | FIELD OF THE INVENTION This invention relates generally to a process and method for producing and using radiochemicals. More specifically, the method and process of this invention are directed to the preparation of Iodine-124 having high radiochemical and radionuclidic purity, and also to the preparation of radiopharmaceuticals, such as monoclonal and polyclonal antibodies, labeled proteins, natural products and hormones, which by virtue of an Iodine-124 label can be used for both diagnostic and therapeutic purposes, and as a radioactive standard for calibration purposes. BACKGROUND OF THE INVENTION Iodine-123 and Iodine-131 radioisotopes are presently used in medical diagnosis and radiation therapy. Meta-iodobenzylguanidine sulfate labelled with Iodine-123 and Iodine-131 has been used clinically in the diagnosis and treatment of pheochromocytomas, neuroblastomas and other paragangliomas. For many years, Iodine-124 was considered to be a troublesome radiocontaminant which increased the absorbed radiation dose of the patient and detracted from the otherwise high quality scintigraphs that could be obtained with high purity Iodine-123 However, Iodine-124 decays by positron emission and can therefore be used in positron emission tomography ("PET"), a recently developed state-of-the-art technology, and Iodine-124 can thereby be used for non-invasive quantitative physiological studies. For example, when meta-iodobenzylguanidine (m-IBG) is labelled with Iodine-124 (Iodine-124-m-IBG), it is useful in obtaining quantitative images of the brain, adrenal, and myocardium when used in conjunction with PET technology. Iodine-124 has a physical halflife of about 4.16 days. This isotope provides medically useful positron nuclear emissions of about 25 per 100 nuclear decay events of Iodine-124. The positrons, which have a maximum end point energy of 2.1 MeV, interact with matter and annihilate into two photons of about 511 keV energy at about 180 degree referenced to the point of annihilation. The annihilation quanta are readily detected by PET instruments. Mathematical methods can be used to reconstruct the volume element in which the radioactive decay process occurred Since the volume element in which the Iodine-124 decayed can be defined with mathematical models, it is possible to quantitatively measure regional physiological parameters, such as blood flow, metabolism, tissue pH, and receptor specific interactions. Since radioactivity can be quantitated within a given number of pixels (volume elements), it is possible to define the size and shape of the profile of distribution of Iodine-124. This enables a more accurate staging of the appropriate therapeutic dose of internal delivered radioactivity applied for patient treatment. A radioactive iodine (radioiodide) isotope in conventional use is Iodine-131 with a halflife of about 8.1 days and which decays be emission of beta particles and various gamma emissions. The beta radiation is utilized in therapy, such as when Iodine-131 iodide is used for the treatment of thyroid carcinoma. The radiation dose delivered from Iodine-124 is approximately 69% of that delivered by the Iodine-131 radionuclide generally used in internal radiotherapeutic applications. For conventional diagnostic tests, an ideal radioiodide isotope is Iodine-123, which has a physical halflife of 13.1 hours and decays by a high abundance of 159 keV gamma rays. The absorbed radiation dose per unit of the injected dose of "pure" Iodine-123 is 1/100th of the radiation dose associated with Iodine-131. Iodine-121 and Iodine-122 have been suggested as appropriate medical radiohalogens. However, both are limited by the physical halflife of 2.1 hour and 3.5 minutes, respectively, compared to 4.12 day halflife of Iodine-124 Certain iodinated radiopharmaceuticals require a radio-isotopic label with minimum halflife of 0.5 to 1.0 days. Iodine-124 is therefore an important radiohalogen. The major problem encountered in the application of Iodine-124 to PET has been obtaining the Iodine-124 in sufficient production yields an radionuclidic purities. A known method of producing Iodine-124 is by Tellurium-124(p,n)Iodine-124 reaction, disclosed in Kondo et al., 28 Int. J. App. Rad. and Isotopes 765 (1977). However, this reaction is not efficient and typically results in low yields. Consequently, an object of this invention is to provide a method of obtaining Iodine-124 having sufficient production yield and radionuclidic purity for use with PET instrumentation. A further object of this invention is to provide a method for producing Iodine-124 at levels appropriate for commercial sales, either as a precursor or as a labelled pharmaceutical. A further object of this invention is to provide a method of producing Iodine-124 with consistent purity so that it can be used as a radioactive standard for calibration of radiologic equipment. A further object of this invention is to provide a method of producing Iodine-124 which is safe and reliable. Other objects and features of this invention will become apparent to those skilled in the art after reviewing the following specification. SUMMARY OF THE INVENTION This invention relates to a method of obtaining Iodine-124 in sufficient production yields and radiochemical and radionuclidic purity so that it can be used in conjunction with PET instrumentation. The method of this invention is further directed to the production and purification of Iodine-124 at levels appropriate for commercial sales either as a labelled radiopharmaceutical or precursors in the preparation of radiopharmaceuticals. The method results in Iodine-124 (in iodide radiochemical form) that is not appreciably subject to autoradiolytic decomposition even in the absence of reducing agents such as sodium thiosulfate or ascorbic acid. |
abstract | A dry storage systems for radioactive nuclear waste materials in one embodiment includes a canister having a tubular shell defining an internal cavity for storing nuclear waste material, a lid sealably welded to one end the shell, and an end closure attached to a second end of the shell. The end closure includes a base plate having an upturned peripheral annular closure flange. In one embodiment, a circumferentially-extending butt joint is formed between the closure flange and the shell second end which is hermetically seals by a full through-wall thickness butt weld. Various embodiments may further include secondary pressure retention barriers enclosing the canister or select portions thereof most susceptible to failure under certain conditions. |
|
claims | 1. A radiation protective article comprising fabric or other pliable material to which a polymeric mixture is adhered, wherein said polymeric mixture includes a polymer and a relatively lightweight radiation protective material. 2. The radiation protective article of claim 1 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 1 3. The radiation protective article of claim 1 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium sulfate, barium chloride, tungsten carbide, tungsten oxide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalamic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 1 4. The radiation protective article of claim 1 wherein at least some of said fabric or other pliable materials is perforated. claim 1 5. The radiation protective article of claim 1 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 1 6. The radiation protective article of claim 1 further comprising a plurality of radiation protective materials in said polymeric mixture. claim 1 7. The radiation protective article of claim 1 wherein said article is a jumpsuit. claim 1 8. The radiation protective article of claim 1 wherein said article is a liner. claim 1 9. The radiation protective article of claim 1 wherein said article is a surgical mask. claim 1 10. The radiation protective article of claim 1 wherein said article is a pouch or envelope. claim 1 11. The radiation protective article of claim 1 wherein said article is wallpaper. claim 1 12. The radiation protective article of claim 1 wherein said lightweight radiation protective material includes tungsten or a tungsten compound. claim 1 13. The radiation protective article of claim 1 wherein said polymer is selected from the group consisting of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 1 14. The radiation protective article of claim 1 wherein a layer of said polymeric mixture is interposed between two layers of said fabric or other pliable material in said article. claim 1 15. The radiation protective article of claim 1 further comprising multiple layers of polymeric mixture having different thicknesses. claim 1 16. The radiation protective article of claim 1 wherein said fabric or other pliable material is a non-woven polymeric fabric. claim 1 17. The radiation protective article of claim 1 wherein said fabric is non-woven and selected from the group consisting of polypropylene, polyethylene and rayon. claim 1 18. The radiation protective article of claim 1 wherein said fabric or other pliable material is paper or film. claim 1 19. A radiation protective article comprising a woven fabric to which a polymeric mixture is adhered, wherein said nolymeric mixture includes a polymer and a relatively lightweight radiation protective material. 20. The radiation protective article of claim 19 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 19 21. The radiation protective article of claim 19 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium sulfate, barium chloride, tungsten carbide, tungsten oxide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalamic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 19 22. The radiation protective article of claim 19 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 19 23. The radiation protective article of claim 19 wherein said polymer is selected from the group consisting of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 19 24. The radiation protective article of claim 19 wherein said article has a plurality of layers of different thicknesses. claim 19 25. A radiation protective article comprising fabric or other pliable material over which a liquid polymeric mixture is coated, wherein said polymeric mixture includes a polymer and a relatively lightweight radiation protective material. 26. The radiation protective article of claim 25 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 25 27. The radiation protective article of claim 25 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium sulfate, barium chloride, tungsten carbide, tungsten oxide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalaniic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 25 28. The radiation protective article of claim 25 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 25 29. The radiation protective article of claim 25 further comprising a plurality of radiation protective materials in said polymeric mixture. claim 25 30. The radiation protective article of claim 25 wherein said lightweight radiation protective material includes tungsten or a tungsten compound. claim 25 31. The radiation protective article of claim 25 wherein said polymer is selected from the group consisting of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 25 32. The radiation protective article of claim 25 wherein a layer of said polymeric mixture is interposed between two layers of said fabric or other pliable material in said article. claim 25 33. The radiation protective article of claim 25 wherein said fabric or other pliable material is a woven fabric. claim 25 34. The radiation protective article of claim 25 wherein said fabric or other pliable material is non-woven. claim 25 35. The radiation protective article of claim 25 wherein said fabric or other pliable material is paper or film. claim 25 36. A method for producing a radiation protective article comprising the steps of: mixing a relatively lightweight radiation protective material with a polymer to create a polymeric mixture; adhering said polymeric mixture to a fabric or other pliable material to make said fabric or other pliable material radiation protective; and, constructing a functional article from said radiation protective fabric or other pliable material. 37. The method of claim 36 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 36 38. The method of claim 36 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium sulfate, barium chloride, tungsten carbide, tungsten oxide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalamic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 36 39. The method of claim 36 wherein at least some of said fabric or other pliable materials is perforated. claim 36 40. The method of claim 36 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 36 41. The method of claim 36 further comprising a plurality of radiation protective materials in said polymeric mixture. claim 36 42. The method of claim 36 wherein said polymeric mixture further comprises one or more additives. claim 36 43. The method of claim 36 wherein said polymeric mixture further comprises one or more additives selected from the group consisting of epoxy soybean oil, ethylene glycol and propylene glycol. claim 36 44. The method of claim 36 wherein said article is a jumpsuit. claim 36 45. The method of claim 36 wherein said article is a liner. claim 36 46. The method of claim 36 wherein said article is a surgical mask. claim 36 47. The method of claim 36 wherein said article is a pouch or envelope. claim 36 48. The method of claim 36 wherein said article is wallpaper. claim 36 49. The method of claim 36 wherein said lightweight radiation protective material includes tungsten or a tungsten compound. claim 36 50. The method of claim 36 wherein said polymer is selected from the group consisting of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 36 51. The method of claim 36 wherein said fabric or other pliable material is a non-woven polymeric fabric. claim 36 52. The method of claim 51 wherein said non-woven polymeric fabric is selected from the group consisting of polypropylene, polyethylene, polyester and rayon. claim 51 53. The method of claim 36 wherein said fabric or other pliable material is paper or film. claim 36 54. A method for producing a radiation protective article comprising the steps of: mixing a relatively lightweight radiation protective material with a polymer to create a polymeric mixture; heating said polymeric mixture until it assumes a liquid form; applying said liquid polymeric mixture to a first sheet of fabric or other pliable material; pressing a second sheet of fabric of other pliable material together with said first sheet of fabric or other pliable material so that a layer with said polymeric mixture is interposed between said first and second sheets of fabric or other pliable material; and, constructing an article from said radiation protective fabric or other pliable material composite. 55. The method of claim 54 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 54 56. The method of claim 54 wherein said relatively lightweight radiation protective chemical is selected from the group consisting of barium sulfate, barium chloride, tungsten carbide, tungsten oxide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalamic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 54 57. The method of claim 54 wherein said polymeric mixture is mixed and heated in one or more extruders and applied simultaneously from one of said extruders to said first and second sheets of fabric or other pliable material. claim 54 58. The method of claim 54 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 54 59. The method of claim 54 further comprising a plurality of radiation protective materials in said polymeric mixture. claim 54 60. The method of claim 54 wherein said polymeric mixture further comprises an additive. claim 54 61. The method of claim 54 wherein said polymer is selected from the group of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 54 62. The method of claim 54 wherein said fabric or other pliable material is a non-woven polymeric fabric or film. claim 54 63. The method of claim 54 wherein said fabric is non-woven and selected from the group consisting of polypropylene, polyester, polyethylene and rayon. claim 54 64. The method of claim 54 wherein said fabric or other pliable material is paper. claim 54 65. An article constructed by the process of claim 36 . claim 36 66. An article constructed by the process of claim 54 . claim 54 67. A method for producing a radiation protective film comprising the steps of: mixing a relatively lightweight radiation protective material with a polymer to create a polymeric mixture; heating said polymeric mixture in an extruder until it assumes a pliable form; and, forming said pliable polymeric mixture into a film by depositing it on an endless conveyor. 68. The method of claim 67 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 67 69. The method of claim 67 wherein said relatively lightweight radiation protective chemical is selected from the group consisting of barium sulfate, barium chloride, tungsten, tungsten oxide, tungsten carbide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalamic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 67 70. The method of claim 67 further comprising the step of pressing said pliable polymeric mixture between calender rollers. claim 67 71. The method of claim 67 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 67 72. The method of claim 67 further comprising a plurality of radiation protective materials in said polymeric mixture. claim 67 73. The method of claim 67 wherein said polymeric mixture further comprises an additive. claim 67 74. The method of claim 67 wherein said polymer is selected from the group of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 67 75. The method of claim 36 wherein said polymeric mixture is a liquid suspension, emulsion or solution. claim 36 76. A method for producing a radiation protective article comprising the steps of: mixing a relatively lightweight radiation protective material with a polymer to create a polymeric mixture; heating said polymeric mixture until it liquefies; coating said liquefied polymeric mixture onto a fabric or other pliable material to make said fabric or other pliable material radiation protective; and, constructing a functional article from said radiation protective fabric or other pliable material. 77. The method of claim 76 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium, barium compounds, bismuth, bismuth compounds, tungsten and tungsten compounds. claim 76 78. The method of claim 76 wherein said relatively lightweight radiation protective material is selected from the group consisting of barium sulfate, barium chloride, tungsten carbide, tungsten oxide, Diatrizoate Meglumine Inj USP, Acetrizoate Sodium, Bunamiodyl Sodium, Diatrizoate Sodium, Ethiodized Oil, Iobenzamic Acid, Iocarmic Acid, Iocetamic Acid, Iodipamide, Iodixanol, Iodized Oil, Iodoalphionic Acid, o-Iodohippurate Sodium, Iodophthalein Sodium, Iodopyracet, Ioglycamic Acid, Iohexol, Iomeglamic Acid, Iopamidol, Iopanoic Acid, Iopentol, Iophendylate, Iophenoxic Acid, Iopromide, Iopronic Acid, Iopydol, Iopydone, Iothalamic Acid, Iotrolan, Ioversol, Ioxaglic Acid, Ioxilan, Ipodate, Meglumine Acetrizoate, Meglumine Ditrizoate Methiodal Sodium, Metrizamide, Metrizoic Acid, Phenobutiodil, Phentetiothalein Sodium, Propryliodone, Sodium Iodomethamate, Sozoiodolic Acid, Thorium Oxide and Trypanoate Sodium. claim 76 79. The method of claim 76 wherein said radiation protective material comprises at least 50% of said polymeric mixture by weight. claim 76 80. The method of claim 76 comprising a plurality of radiation protective materials in said polymeric mixture. claim 76 81. The method of claim 76 wherein said polymeric mixture further comprises one or more additives. claim 76 82. The method of claim 76 wherein said lightweight radiation protective material includes tungsten or a tungsten compound. claim 76 83. The method of claim 76 wherein said polymer is selected from the group consisting of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polyethylene, polypropylene, ethylene vinyl acetate and polyester. claim 76 84. The method of claim 76 wherein said fabric or other pliable material is a woven fabric. claim 76 85. The method of claim 76 where in said fabric or other pliable material is a non-woven polymeric fabric. claim 76 86. The method of claim 76 wherein said fabric or other pliable material is paper or film. claim 76 87. A method for producing a radiation protective article comprising the steps of: dissolving polymer in a liquid solution, emulsion or suspension of solvent or water; mixing a relatively lightweight radiation protective material into said liquid solution, emulsion or suspension to create a radiation protective polymeric mixture; coating said liquid polymeric mixture onto a fabric or other pliable material to make said fabric or other pliable material radiation protective; and, constructing a functional article from said radiation protective fabric or other pliable material. |
|
058922385 | summary | BACKGROUND The present invention is directed to an improved shielding assembly for radiation therapy. The present invention provides a shield at the location of the patient which targets the correct area of the patient for proper radiation. The prior art generally uses a collimator together with shields or deflectors adjacent the radiation beam generator to adjust the radiation beam and direct the desired beam to the target area of the patient. SUMMARY The present invention is an improved radiation therapy shielding assembly which is mounted adjacent the patient. The shielding assembly includes at least two arcuate shielding sections. Each of the sections has a predetermined edge surface. The edge surfaces of the shielding sections are spaced from one another to define a predetermined treatment area on the patient. The radiation beam is directed to such treatment area. The arcuate shielding sections intercept radiation which is outside the predetermined patient target area. |
summary | ||
description | The present invention relates generally to a position adjustment mechanism for adjusting the distance an electrode is spaced from a ground roller in a corona treatment apparatus. Corona treatment is a method using an electrical corona discharge to modify a surface of a web to improve its ability to accept inks and adhesives. In a corona treatment, a high voltage electrode is mounted parallel to and spaced from a ground roller, which forms a grounded electrode. The air gap between the electrodes is energized, forming a corona, which, when web is passed therethrough modifies the material the web is formed of, and makes the web more receptive to ink and adhesives. Corona will be produced anywhere there is air within this air gap. The web may have differing thicknesses, for example, if two pieces of the web are spliced together. As a result, the upper surface of the web at the splice will be closer to the high voltage electrode as it passes through the air gap. This may lead to undesirable results. Currently, as the web is being passed through the air gap, the position of the electrode relative to the ground roller is manually adjusted. This is time consuming and can result in undesirable results. In one aspect, a position adjustment mechanism is provided. The position adjustment mechanism automatically adjusts the position of an electrode relative to a ground roller in a corona treatment apparatus. The position adjustment mechanism is capable of detecting thickened areas in a web of material that is being treated and automatically adjusting the air gap to maintain the quality of the surface treatment of the web. The scope of the present invention is defined solely by the appended claims and is not affected by the statements within this summary. While the invention may be susceptible to embodiment in different forms, there is shown in the drawings, and herein will be described in detail, specific embodiments with the understanding that the present disclosure is to be considered an exemplification of the principles of the invention, and is not intended to limit the invention to that as illustrated and described herein. Therefore, unless otherwise noted, features disclosed herein may be combined together to form additional combinations that were not otherwise shown for purposes of brevity. The drawings illustrate a corona treatment apparatus 20, 220 which incorporates features of the present disclosure. The corona treatment apparatus 20, 220 is used to modify a surface of a flexible web 22 of material to improve its ability to accept inks and adhesives. Examples of webs 22 that may be treated by the corona treatment apparatus 20 include, but are not limited to, paper, polymer films, elastomers, plastics, foams, etc. The corona treatment apparatus 20, 220 may be adapted to be used in conjunction with a printing press (not shown). A first embodiment of the corona treatment apparatus 20 is shown in FIGS. 2-5, and a second embodiment of the corona treatment apparatus 220 is shown in FIGS. 6-9. A processing apparatus 400 used in operating the corona treatment apparatus 20, 220 is shown in FIG. 10. In each embodiment, the corona treatment apparatus 20, 220 includes a frame 24 formed of a first end plate 26 and, in parallel relationship thereto, a second end plate 28 and a plurality of tie bars 29 extending between the end plates 26, 28. The corona treatment apparatus 20, 220 further includes a cylindrical ground roller 30 rotatably mounted on a shaft between the end plates 26, 28, a high voltage electrode 34 mounted proximate to the ground roller 30 by a position adjustment mechanism 36, 236 which is attached to the frame 24. The ground roller 30 has an axis 38 around which the ground roller 30 rotates. The electrode 34 is positioned proximate to, but spaced from, the ground roller 30 to form an air gap, for example an air gap having a width of 1.5 mm, through which the web 22 passes for treatment. The electrode 34 may span the length of the ground roller 30. A power supply and a high voltage transformer 40 are attached to the end plate 26 and is provided with a high voltage wire 42 for connection to the electrode 34. The high voltage connection establishes a high voltage field between the ground roller 30 and the electrode 34 with the web 22 to be treated interposed between the electrode 34 and the ground roller 30. As is well known, the high voltage field establishes a corona discharge that causes the chemical composition of the material of the web 22 to be modified which, in turn, improves selected characteristics of the material of the web 22 such as wettability so that printed matter or coating may be more advantageously adhered thereto. The position adjustment mechanism 36, 236 is used to automatically adjust the distance the electrode 34 is spaced from the ground roller 30 as is described herein. Upon detection of a thickened area 22a of the web 22, the position adjustment mechanism 36, 236 automatically moves the electrode 34 to a proper distance from the surface of the web 22 being treated as the web 22 moves through the air gap, and upon detection of an unthickened area 22b of the web 22, the position adjustment mechanism 36, 236 automatically moves the electrode 34 to a proper distance from the surface of the web 22 being treated as the web 22 moves through the air gap. The thickened area 22a may be caused by a splice between adjacent pieces of the web 22. The thickened area 22a may be caused by inconsistencies in the thickness of the web 22. Ground rollers used in a corona treatment apparatus are known in the art. The ground roller 30 may have a surface formed of steel, ceramic, rubber, etc. The ground roller 30 may be formed of a self-supporting tube of a rigid dielectric material, such as a glass fiber reinforced epoxy or a glass fiber reinforced polymeric polyester. A conductive layer may be bonded to an inner wall of the ground roller 30. The conductive layer is a relatively thin conductive metallic film or a coating containing a conductor, such as graphite. The conductive layer is relatively thin and does not have to be self-supporting because it is supported by the inner wall of the ground roller 30. The electrode 34 may be a plurality of parallel electrodes; the electrode 34 may be a ceramic electrode, a FIN electrode, a segmented electrode, as is known in the art. Attention is invited to the first embodiment of the corona treatment apparatus 20 shown in FIGS. 2-5 which includes the position adjustment mechanism 36. The position adjustment mechanism 36 includes an electrode mount 44 which is connected to the frame 24, a motor 46 for moving the position of the electrode mount 44 relative to the frame 24, a sensor 48, and the processing apparatus 400 in communication with the sensor 48 and the motor 46. The motor 46 has a ball screw drive shaft 86 extending therefrom and in direction connection with the position adjustment mechanism 36. The electrode mount 44 is formed from a first assembly 50 and a second assembly 52. The first assembly 50 includes a support 54 which is non-moveably attached to one of the tie bars 29 of the frame 24, a pair of spaced apart mounting plates 56 which are moveably connected to the support 54 and a plurality of pins 58 which are used to connect the mounting plates 56 to the support 54. The support 54 is mounted between the mounting plates 56. The support 54 forms part of the frame 24. As an alternative, the tie bar 29 and support 54 can be formed as a single piece. The support 54 is formed of planar vertical side surfaces 54a, 54b, top and bottom surfaces 54c, 54d, and forward and rearward ends 54e, 54f An axial centerline is defined by the support 54 along the length of the support 54 between the forward and rearward ends 54e, 54f A pair of the pins 58 extend outwardly from each side surface 54a, 54b of the support 54. The pins 58 are spaced apart from each other. As shown, the support 54 has a width which is wider than the width of the tie bar 29, but the support 54 and tie bar 29 may have the same width. Each mounting plate 56 is formed of planar vertical side surfaces 56a, 56b, top and bottom surfaces 56c, 56d, and forward and rearward ends 56e, 56f. A track 60 provided proximate to the bottom surface 56d along the outer side surface 56a of each mounting plate 56. Each mounting plate 56 has a pair of spaced apart elongated slots 62 provided therethrough. The slots 62 are angled relative to the axis 38 of the ground roller 30, and may be angled at an angle of 30 degrees relative to the axis 38 of the ground roller 30. A vertical slot 64 is provided through each mounting plate 56 at a position proximate to, but spaced from, the forward end 56e of the respective mounting plate 56. The slots 62 in the respective mounting plates 56 may align with each other. The slots 64 in the respective mounting plates 56 may align with each other. The side surfaces 56b of the mounting plates 56 abut against a respective side surface 54a, 54b of the support 54. The pins 58 seat within the respective angled slots 62 on the respective mounting plate 56. As a result, the mounting plates 56 can move forwardly toward the forward end 54e of the and upwardly relative to the support 54 as the pins 58 move along the lengths of the slots 62. While the slots 62 are described as being through the mounting plates 56 and the pins 58 provided on the support 54, the slots 62 may be provided in the support 54 and the pins 58 provided on the mounting plates 56. The second assembly 52 mounts to the first assembly 50 and is moveable relative thereto. The second assembly 52 includes a mounting plate 66, a plurality of wheels 68 rotatably mounted to the mounting plate 66, a plurality of standoffs 70 connected to the mounting plate 66, and a motor engaging block 72. The mounting plate 66 has first and second spaced apart planar walls 74, each of which is formed of planar vertical side surfaces 74a, 74b, top and bottom surfaces 74c, 74d, and forward and rearward ends 74e, 74f The wheels 68 are connected to the side surfaces 74b and the wheels 68 interengage with the track 60. The walls 74 extend downwardly from the mounting plates 56. The mounting plate 66 further has an L-shaped wall having a horizontal leg 76 and a vertical leg 78. The horizontal leg 76 mounts between the side surfaces 74b of the walls 74. The vertical leg 78 extends upwardly along the rearward ends 74f of the walls 74 and forms an abutment surface 80 which is capable of abutting against the rearward end 54f of the support 54. As a result, once the first assembly 50 and the second assembly 52 are engaged by the wheels 68 being seated in the track 60, the second assembly 52 cannot move forwardly relative to the support 54/frame 24. The standoffs 70 have upper and lower ends. The upper end of each standoff 70 is connected to the lower surface of the horizontal leg 76. The standoffs 70 are formed from an insulating material. The electrode 34 is attached to the lower ends of the standoffs 70. The motor engaging block 72 is mounted between the mounting plates 56 at their forward ends 56e and aligns with the vertical slots 64 in the mounting plates 56. The motor engaging block 72 is formed of side surfaces 72a, 72b, top and bottom surfaces 72c, 72d, and forward and rearward ends 72e, 72f A threaded passageway 82 extends axially through the motor engaging block 72 from the forward end 72e to the rearward end 72f. Pins 84 extend outwardly from the side surfaces 72a, 72b and seat within the vertical slots 64 in the mounting plates 56. While the vertical slots 64 are described as being through the mounting plates 56 and the pins 84 provided on the motor engaging block 72, the slots 64 may be provided in the motor engaging block 72 and the pins 84 provided on the mounting plate 56s. The motor 46 is affixed to the end plate 26. The ball screw drive shaft 86 of the motor 46 extends horizontally through a passageway 88 through the end plate 26 and through the passageway 82 through the motor engaging block 72. The drive shaft 86 is threadedly engaged with the motor engaging block 72. In use, the electrode 34 can be lifted vertically relative to the ground roller 30 by the position adjustment mechanism 36. When the motor 46 is engaged, the ball screw drive shaft 86 rotates which causes the motor engaging block 72 to move forwardly toward the end plate 26. At the same time, the attached mounting plates 56 move forwardly and upwardly as the pins 58 slide along the angled slots 62. To allow for the upward movement, the pins 84 on the motor engaging block 72 slide downwardly along the vertical slots 64. The second assembly 52 is restrained from forward movement by the engagement of the vertical leg 78 of the mounting plate 66 with the rearward end 54f of the support 54. As a result, the track 60 slides forwardly relative to the mounting plate 66, but the electrode 34 is lifted vertically when the mounting plates 56 move upwardly. During this movement, the wheels 68 rotate within the tracks 60. Since the motor 46 is used to drive the movement, the electrode 34 can be precisely and quickly positioned proximate to the ground roller 30. For example, the air gap can be set to plus or minus 0.005 of an inch. This accuracy cannot be consistently obtained with manual adjustment or with a hydraulic cylinder. The position adjustment mechanism 36 includes the sensor 48 and the processing apparatus 400 to effect the automatic adjustment of the electrode 34 relative to the ground roller 30 as described herein. The sensor 48 is positioned proximate to the web 22 prior to the entry of the web 22 into the air gap. The sensor 48 may be a proximity sensor. The processing apparatus 400 is in communication with the sensor 48 and the motor 46 and is used to operate the motor 46. In use, the ground roller 30 supports the web 22 which is treated as it passes through the air gap between the ground roller 30 and the electrode 34 in a direction transverse to the longitudinal direction of the electrode 34. The air gap between the ground roller 30 and the electrode 34 is normally about 1.5 mm wide and a corona discharge develops in the air gap when the electrode 34 is energized by the power supply to create a high voltage. The surface of the web 22 passing through the air gap is modified by the exposure to the corona so that its printing properties are improved. When a thickened area 22a in the web 22 is sensed by the sensor 48, the sensor 48 sends a signal to the processing apparatus 400 which activates the motor 46 to move the position adjustment mechanism 36 and the electrode 34 mounted thereon away from the ground roller 30 so that the proper air gap spacing is maintained to provide proper treatment to the surface of the web 22. When the sensor 48 senses that the thickened area 22a has stopped and an unthickened area 22b is sensed by the sensor 48, the sensor 48 sends a signal to the processing apparatus 400 which activates the motor 46 to move the position adjustment mechanism 36 and the electrode 34 mounted thereon to maintain the air gap spacing so that correct treatment is provided to the surface of the web 22. This adjustment occurs very quickly, for example, 120 nanoseconds, and the electrode 34 can be moved approximately one-quarter of an inch relative to the ground roller 30. Attention is invited to the second embodiment of the corona treatment apparatus 220 shown in FIGS. 6-9 which includes the position adjustment mechanism 236. The position adjustment mechanism 236 includes an electrode mount 244 which is connected to the frame 24, a motor 46 for moving the position of the electrode 34 relative to the frame 24, a sensor 48, and the processing apparatus 400 in communication with the sensor 48 and the motor 46. The electrode mount 244 is formed from a support 254 mounted between the end plates 26, 28, a pair of spaced apart mounting plates 256 connected to the support 254 and extending downwardly therefrom, and a plurality of insulating standoffs 270 connected to the support 254 and connected to the electrode 34 for supporting the electrode 34 between the mounting plates 256. Each mounting plate 256 may be formed of an upper part 290 and a lower part 292 with the parts 290, 292 being joined together by wheels 294 which ride in tracks 296. The motor 46 is affixed to the end plate 26. The support 254 has a shaft 298 extending therethrough. An enlarged motor engaging block 372 is provided at each end of the shaft 298. The shaft 298 extends through elongated slots 300 provided through the end plates 26, 28 and the motor engaging block 372 abuts against the respective end plate 26, 28 on the side opposite to the support 254. The motor engaging block 372 has a passageway 304 extending therethrough which is normal to the shaft 298 and parallel to the end plate 26. As such, the position adjustment mechanism 236 and the electrode 34 mounted thereon can be moved upwardly and downwardly relative to the end plates 26, 28 along the distance of the elongated slots 300 to adjust the distance the electrode 34 is relative to the ground roller 30. The support 254 may be rotatable relative to the end plates 26, 28. The support 254 may be formed as a cylinder or tube. The ball screw drive shaft 86 of the motor 46 extends through the passageway 304 through the motor engaging block 372. When the motor 46 is driven, the ball screw drive shaft 86 rotates which causes the motor engaging block 372 to linearly translate in a vertical direction along the ball screw drive shaft 86. Since the support 254 is attached to the motor engaging block 372, the position adjustment mechanism 236 and its attached electrode 34 translates vertically relative to the ground roller 30. Since the motor 46 is used to drive the movement, the electrode 34 can be precisely and quickly positioned proximate to the ground roller 30. For example, the air gap can be set to plus or minus 0.005 of an inch. This accuracy cannot be consistently obtained with manual adjustment or with a hydraulic cylinder. The position adjustment mechanism 236 includes the sensor 48 and processing apparatus 400 to effect the automatic adjustment of the electrode 34 relative to the ground roller 30. The sensor 48 is positioned proximate to the web 22 prior to the entry of the web 22 into the air gap. The processing apparatus 400 is in communication with the sensor 48 and the motor 46 and is used to operate the motor 46. In use, the ground roller 30 supports the web 22 which is treated as it passes through the air gap between the ground roller 30 and the electrode 34 in a direction transverse to the longitudinal direction of the electrode 34. The air gap between the ground roller 30 and the electrode 34 is normally about 1.5 mm wide and a corona discharge develops in the air gap when the electrode 34 is energized by the power supply to create a high voltage. The surface of the web 22 passing through the air gap is modified by the exposure to the corona so that its printing properties are improved. When the thickened area 22a in the web 22 is sensed by the sensor 48, the sensor 48 sends a signal to the processing apparatus 400 which activates the motor 46 to move the position adjustment mechanism 236 and the electrode 34 mounted thereon away from the ground roller 30 so that the proper air gap spacing is maintained to provide proper treatment to the surface of the web 22. When the sensor 48 senses that the thickened area 22a has stopped and an unthickened area 22b is sensed by the sensor 48, the sensor 48 sends a signal to the processing apparatus 400 which activates the motor 46 to move the position adjustment mechanism 236 and the electrode 34 mounted thereon to maintain the air gap spacing so that correct treatment is provided to the surface of the web 22. This adjustment occurs very quickly, for example, 120 nanoseconds, and the electrode 34 can be moved approximately one-quarter of an inch relative to the ground roller 30. In each embodiment, if the web 22 is narrow, that is 24″ or less, the ground roller 30 and electrode 34 are smaller, and a single motor 46 may be used. In each embodiment, if the web 22 is wide, that is greater than 24″, the ground roller 30 and electrode 34 are larger and two motors 46 are used; a motor 46 is provided at each end of the support 54, 254. The second motor 46 is shown in phantom line in the figures. The motor(s) 46 may be a stepper motor or a servo motor. Stepper motors offer several key advantages over other motors, including servo motors, for applications under 2,000 RPM, namely 1) stepper motors provide a larger number of poles and easier drive control, 2) the design of the stepper motor provides a constant holding torque without the need for the motor to be powered, 3) the torque of a stepper motor at low speeds is greater than a servo motor of the same size, and 4) stepper motors have a relatively low cost. For applications where high speed (>2,000 RPM) and high torque is needed, servo motors may be used. A servo motor can supply roughly twice its rated torque for short periods, providing a well of capacity to draw from when needed. In addition, servo motors do not vibrate or suffer from resonance issues at high speed. FIG. 10 illustrates a block diagram of the processing apparatus 400 that may be implemented on the corona treatment apparatus 20, 220, in accordance with some example embodiments. The processing apparatus 400 may be attached to the frame 24 or may be remote from the frame 24 and communicate wirelessly with the sensor 48 and the motor 46. When implemented on a corona treatment apparatus 20, 220, the processing apparatus 400 enables the corona treatment apparatus 20, 220 to analyze information from the sensor 48 to activate/deactivate the motor 46. It will be appreciated that the components, devices or elements illustrated in and described with respect to FIG. 10 below may not be mandatory and thus some may be omitted in certain embodiments. Additionally, some embodiments may include further or different components, devices or elements beyond those illustrated in and described with respect to FIG. 10. In some example embodiments, the processing apparatus 400 may include processing circuitry 410 that is configurable to perform actions in accordance with one or more example embodiments disclosed herein. In this regard, the processing circuitry 410 may be configured to perform and/or control performance of one or more functionalities of the corona treatment apparatus 20, 220, such as actuating the roller 30 and the electrode 34, activating the sensor 48 and analyzing the information from the sensor 48 to activate/deactivate the motor 46 in accordance with various example embodiments. The processing circuitry 410 may be configured to perform data processing, application execution and/or other processing and management services according to one or more example embodiments. In some embodiments, the processing apparatus 400 or a portion(s) or component(s) thereof, such as the processing circuitry 410, may include one or more chipsets and/or other components that may be provided by integrated circuits. In some example embodiments, the processing circuitry 410 may include a processor 412 and, in some embodiments, such as that illustrated in FIG. 10, may further include memory 414. The processing circuitry 410 may be in communication with or otherwise control a wireless communication interface 416 in communication with the sensor 48 (the sensor 48 may be hard wired to the processing circuitry 410 and/or control module 418. The processor 412 may be embodied in a variety of forms. For example, the processor 412 may be embodied as various hardware-based processing means such as a microprocessor, a coprocessor, a controller or various other computing or processing devices including integrated circuits such as, for example, an ASIC (application specific integrated circuit), an FPGA (field programmable gate array), some combination thereof, or the like. Although illustrated as a single processor, it will be appreciated that the processor 412 may comprise a plurality of processors. The plurality of processors may be in operative communication with each other and may be collectively configured to perform one or more functionalities of the processing apparatus 400 as described herein. In some example embodiments, the processor 412 may be configured to execute instructions that may be stored in the memory 414 or that may be otherwise accessible to the processor 412. As such, whether configured by hardware or by a combination of hardware and software, the processor 412 capable of performing operations according to various embodiments while configured accordingly. In some example embodiments, the memory 414 may include one or more memory devices. Memory 414 may include fixed and/or removable memory devices. In some embodiments, the memory 414 may provide a non-transitory computer-readable storage medium that may store computer program instructions that may be executed by the processor 412. In this regard, the memory 414 may be configured to store information, data, applications, instructions and/or the like for enabling the processing apparatus 400 to carry out various functions in accordance with one or more example embodiments. In some embodiments, the memory 414 may be in communication with one or more of the processor 412 and transmission power control module 418 via one or more buses for passing information among components of the processing apparatus 400. The processing apparatus 400 may further include circuitry, hardware, a computer program product comprising a computer readable medium (for example, the memory 414) storing computer readable program instructions that are executable by a processing device (for example, the processor 412), or some combination thereof. In some embodiments, the processor 412 (or the processing circuitry 410) may include, or otherwise control the transmission power control module 418. The Abstract is provided to allow the reader to quickly ascertain the nature of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. In addition, in the foregoing Detailed Description, it can be seen that various features are grouped together in various embodiments for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted as reflecting an intention that the claimed embodiments require more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive subject matter lies in less than all features of a single disclosed embodiment. Thus the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separately claimed subject matter. While various embodiments of the invention have been described, it will be apparent to those of ordinary skill in the art that other embodiments and implementations are possible within the scope of the invention. Accordingly, the invention is not to be restricted except in light of the attached claims and their equivalents. |
|
040102874 | summary | BACKGROUND OF THE INVENTION The invention described herein was made in the course of, or under, a contract with the United States Atomic Energy Commission. The present invention relates to an improved process for treating or converting certain metal-loaded ion-exchange resin microspheres into microspheres consisting of a porous carbon or graphite matrix containing a dispersed metal carbide or metal oxide-carbide phase. In the context of this invention a microsphere refers to a spheroidal particle ranging from 5 to 2000 microns in diameter; ion-exchange resin refers to spheroidal-shaped resins, which may consist in one case of porous cation-exchange resins having exchange sites, said resins consisting of copolymers of acrylic or methacrylic acid and divinylbenzene. Such resins are available commercially as represented by Amberlite IRC-72, available from Rohm & Haas Company, Philadelphia, Pa. It has previously been proposed to utilize carbonized or graphitized fissile and/or fertile metal-loaded ion-exchange resin microspheres as nuclear fuel where the metal is selected from uranium, thorium, or plutonium, or mixtures thereof and where the metal exists as an oxide, carbide, or oxide-carbide mixture dispersed within a carbon or graphite matrix. A process for the formation of microspheroidal nuclear fuels derived from spheroidal ion-exchange resin beads or microspheres is described in U.S. Pat. 3,880,769 of common assignee. Resin-derived fuels have the potential merit of being fairly cheap to produce, of resulting in a porous spheroidal product, and of being amenable for deposition of pyrolytic carbon coatings to retain fission products. The coated particles are amenable for reprocessing for recovery of unburnt fuel and separation from fission products. There are, however, operational difficulties in forming carbide fuels derived from ion-exchange resins which must be overcome in order to realize the full potential of such fuels. In order to make a carbide fuel from a metal-loaded resin it must be heated (i.e., converted) at a temperature in the range 1200.degree.-2000.degree. C. in an inert atmosphere such as argon or helium. Carbonization up to 1200.degree. C produces a metal oxide dispersion in a carbon matrix; further heat treatment up to 2000.degree. C. converts the oxide to carbide where the rate of conversion in a fluidized bed depends on such factors as temperature, which determines the partial pressure of CO, and specific sweep rate or gas flow rate relative to batch size. Experience has shown that conversion of the metal-loaded resin at carbide-forming temperatures can result in extensive particle agglomeration accompanied by loss of sphericity and porosity. These effects are attributable to sintering of the oxide or carbide components of the carbonized resin. Moreover, since different increments of a given charge of imperfectly fluidized resin particles are subject to slightly varying degrees of sintering, the resulting particles can be non-uniform in size, shape, porosity, and composition. It is important in this technology that a given charge of product microspheres be as uniform as possible in these respects. It is accordingly a general object of this invention to provide a process by which the aforementioned adverse sintering effects are avoided. A principal object of this invention is to provide a process for converting spheroidal or spherical metal-loaded resin microspheres to spheroidal or spherical particles consisting of a porous carbon or graphite matrix containing a dispersed phase of a metal carbide MC.sub.x, where x is a number ranging from 1 to 2, or a mixture of MC.sub.x with MO.sub.2, where M may be any metal selected from the group having an automatic number in the range 58-71, 90-105, boron, cadmium, or any heavy metal carbide which may be usefully incorporated within a porous carbon matrix as a dispersed phase. Another object is to provide uniform particles of the character described. Nuclear fuel particles designed for use in high-temperature gas-cooled reactors (HTGR) may consist of kernels of a fissile material, uranium, or a fertile material, thorium, existing as an oxide or carbide or a mixture of oxide and carbide where the kernel is first coated with low-density so-called buffer carbon layer deposited from a decomposed hydrocarbon gas such as acetylene diluted with an inert gas such as argon or helium in a fluidized bed coater. By changing the coating conditions, a second high-density isotropic carbon coating can be deposited to form a product BISO-coated particle. If a TRISO-coated particle is desired, the additional SiC and carbon layers can be deposited in the same or separte operations. In one form of coated particle, a dense UO.sub.22 kernel or microsphere is used which is formed by known sol-gel processes. The sol-gel process involves a series of complex fabrication sequences which require close control of process parameters and involves fairly high fabrication costs. On the other hand, fissile or fertile microspheres made from spheroidal ion-exchange microspheres offer the promise of low fabrication cost since the original ion-exchange miscrosphere is preformed and available commercially as a low-cost off-the-shelf product. Because the metal-loaded microspheres are porous, they can provide an internal volume to accommodate fuel swelling and fission product gas which develops during reactor operation. It is therefore an additional object of the present invention to provide an improved fissile or fertile coated particle having a porous fissile or fertile carbide-containing kernel and to provide a method for obtaining the same. A further object is to teach a process for converting a metal-oxide-loaded porous resin microsphere to a carbide-loaded microsphere in which a substantial percentage of the porosity and sphericity of the resin microsphere is maintained during the conversion. SUMMARY OF THE INVENTION The present invention is predicated on the discovery that the aforementioned adverse sintering effects observed during conversion of the oxide-loaded resin to a metal carbide dispersion in a carbon or graphite matrix can be averted by applying a buffer carbon layer at pre-carbide-forming temperatures. The term "buffer carbon" refers to a low-density (less than 1.3 g/cc), highly porous carbon deposited by thermal decomposition of acetylene as disclosed, for example, U.S. Pat. No. 3,472,677. Specifically, the buffer carbon layer is deposited on a metal-oxide-loaded resin which has been carbonized up to a temperature of about 1200.degree. C. in a fluidized bed. Carbonization of the metal-loaded weak-acid resin to an oxide dispersion within a carbon matrix may be effected by heating at a high rate from 50.degree. to 300.degree. C. per minute up to a temperature of about 1200.degree. C. using an inert gas such as argon for fluidization. At this point, according to the invention, the fluidizing gas is changed to a mixture of acetylene and a minor amount of argon to form a buffer coating on the particles. Fluidization is then continued with argon and the temperature is raised to a temperature in the range 1500.degree.-2000.degree. C. to produce carbide. In cases where an oxide-carbide mixture is desired, reaction should be carried out at an intermediate temperature in the range 1400.degree.-1700.degree. C. To add a dense carbon coating, the temperature in the fluidized bed is lowered to a temperature in the range 1200.degree.-1500.degree. C. Propylene is then substituted for the argon fluidizing gas for a short period, 5-15 minutes, until an isotropic carbon coating deposits over the buffer coat. If a TRISO coating is desired, the additional SiC and carbon layer may then be deposited in this or separate operations. Particles produced by the method of the present invention maintain sphericity and a substantial portion of their original porosity during the entire course of carbide formation and do not agglomerate. Because the particles do not agglomerate, close control can be maintained over the degree of reduction of the metal oxide. In a process characterized by particle agglomeration, i.e., adverse sintering, the carbide conversion is essentially of solid-state-diffusion-controlled process, and the rate of carbide conversion slows in an uncontrolled manner when agglomeration has occured. On the other hand, when the fluidized particles do not agglomerate, carbide conversion can be discretely controlled to a desired degree by time, specific gas flow rate, and the partial pressure of CO at a given temperature. Thus, size, shape, and composition uniformity of a given metal-loaded resin microsphere charge can be controlled within close specification tolerances. |
claims | 1. A particle therapy gantry for delivering a particle beam to a patient comprising:beam tube having a curvature defining a particle beam path; anda plurality of fixed field magnets sequentially arranged along said beam tube for guiding the particle beam along said particle path wherein each of said fixed field magnets is a combined function magnet performing a first function of bending the particle beam along said particle path and a second function of focusing or defocusing the particle beam, and wherein said combined function fixed field magnets are arranged in triplets, each triplet comprising two focusing magnets and a defocusing magnet disposed between said focusing magnets, said focusing magnets performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. 2. A gantry as defined in claim 1, wherein said defocusing magnets are positive bending magnets for bending the particle beam along an arc defined by a positive center of curvature and wherein said focusing magnets are negative bending magnets for bending the particle beam along an arc defined by a negative center of curvature, said positive and negative centers of curvature being oriented on opposite sides of said beam pipe. 3. A gantry as defined in claim 2, wherein said fixed field magnets are permanent magnets comprising a ferromagnetic core having a curvature defined by a center of curvature and forming a beam tube receiving cavity having said beam tube supported therein, said core being shaped to provide a magnetic field in said beam tube which grows stronger in a direction toward said core center of curvature. 4. A gantry as defined in claim 2, wherein said fixed field magnets comprise superconducting coils adjacent said beam tube for providing said combined function. 5. A gantry as defined in claim 1, wherein said beam tube includes a particle beam entry point, a transition point, a particle beam exit point, a first curved particle beam path arc length extending between said entry point and said transition point and a second curved particle beam path arc length extending between said transition point and said exit point, said first arc length bending about ninety degrees and said second arc length bending about one hundred eighty degrees in a direction opposite said first arc length. 6. A gantry as defined in claim 5, wherein said combined function fixed field magnets comprise two half-triplets disposed in juxtaposed orientation at said beam tube transition point, each of said half-triplets comprising a defocusing magnet and a focusing magnet, said focusing magnet performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. 7. A gantry as defined in claim 5, wherein said combined function fixed field magnets comprise a half-triplet disposed at said beam tube entry point and a half-triplet disposed at said beam tube exit point, each of said half-triplets comprising a defocusing magnet and a focusing magnet, said focusing magnet performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. 8. A method for delivering a particle beam to a patient through a gantry comprising the steps of:bending the particle beam with a plurality of fixed field magnets sequentially arranged along a beam tube of the gantry, the particle beam traveling in said beam tube;alternately focusing and defocusing the particle beam traveling in said beam tube with alternately arranged combined function focusing and defocusing fixed field magnets; anddelivering said particle beam from said gantry to a patient, wherein said beam is strongly focused in both the horizontal and vertical planes,wherein said combined function fixed field magnets are arranged in triplets, each triplet comprising two focusing magnets and a defocusing magnet disposed between said focusing magnets, said focusing magnets performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. 9. A method as defined in claim 8, wherein said defocusing magnets are positive bending magnets for bending the particle beam along an arc defined by a positive center of curvature and wherein said focusing magnets are negative bending magnets for bending the particle beam along an arc defined by a negative center of curvature, said positive and negative centers of curvature being oriented on opposite sides of said beam pipe. 10. A particle beam therapy system comprising:a source of particles;an accelerator for accelerating the particles as a particle beam;an injector for transporting particles from said source to said accelerator;a patient treatment station including a rotatable gantry for delivering a particle beam to a patient, said gantry including a beam tube having a curvature defining a particle beam path and a plurality of fixed field magnets sequentially arranged along said beam tube for guiding the particle beam along said particle path; anda beam transport system for transporting the accelerated beam from said accelerator to said patient treatment station, wherein each of said fixed field magnets of said gantry is a combined function magnet performing a first function of bending the particle beam along said particle path and a second function of focusing or defocusing the particle beam, and wherein said combined function fixed field magnets of said gantry are arranged in triplets, each triplet comprising two focusing magnets and a defocusing magnet disposed between said focusing magnets, said focusing magnets performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. 11. A particle beam therapy system as defined in claim 10, wherein said defocusing magnets of said gantry are positive bending magnets for bending the particle beam along an arc defined by a positive center of curvature, and wherein said focusing magnets of said gantry are negative bonding magnets for bending the particle beam along an arc defined by a negative center of curvature, said positive and negative centers of curvature being oriented on opposite sides of said beam pipe. 12. A particle beam therapy system as defined in claim 11, wherein said fixed field magnets of said gantry are permanent magnets comprising a ferromagnetic core having a curvature defined by a center of curvature and forming a beam tube receiving cavity having said beam tube supported therein, said core being shaped to provide a magnetic field in said beam tube which grows stronger in a direction toward said core center of curvature. 13. A particle beam therapy system as defined in claim 11, wherein said fixed field magnets of said gantry comprise superconducting coils adjacent said beam tube for providing said combined function. 14. A particle beam therapy system as defined in claim 10, wherein said beam tube of said gantry includes a particle beam entry point, a transition point, a particle beam exit point, a first curved particle beam path arc length extending between said entry point and said transition point and a second curved particle beam path arc length extending between said transition point and said exit point, said first arc length bending about ninety degrees and said second arc length bending about one hundred eighty degrees in a direction opposite said first arc length. 15. A particle beam therapy system as defined in claim 14, wherein said combined function fixed field magnets of said gantry comprise two half-triplets disposed in juxtaposed orientation at said beam tube transition point, each of said half-triplets comprising a defocusing magnet and a focusing magnet, said focusing magnet performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. 16. A particle beam therapy system as defined in claim 14, wherein said combined function fixed field magnets of said gantry comprise a half-triplet disposed at said beam tube entry point and a half-triplet disposed at said beam tube exit point, each of said half-triplets comprising a defocusing magnet and a focusing magnet, said focusing magnet performing the combined function of bending the particle beam and focusing the particle beam and said defocusing magnet performing the combined function of bending the particle beam and defocusing the particle beam. |
|
description | This application is the National stage of PCT/EP2014/071922 filed on Oct. 13, 2014, which claims priority under 35 U.S.C. § 119 of Austrian Application No. A 50670/2013 filed on Oct. 17, 2013, the disclosure of which is incorporated by reference. The international application under PCT article 21 (2) was not published in English. 1. Field of the Invention The invention relates to a sight device, in particular a reflector sight or telescopic sight, which comprises a lighting apparatus for generating or illuminating a target mark, wherein the lighting apparatus comprises an light guide made from photoluminescent, in particular fluorescent material and a radioluminescent light source coupled to the light guide, wherein the light guide is designed to receive ambient light along at least one section of its longitudinal extension and convert it into photoluminescent light, and wherein the absorption spectrum of the photoluminescent material of the light guide and the emission spectrum of the radioluminescent light source in the visible range can both be characterized respectively by a spectral bandwidth and a center wavelength. 2. Description of the Related Art Known sight devices use ambient light (daylight) in order to produce or illuminate a target mark, also referred to as a reticle, e.g. in the form of a crosshair, scale or a point. The ambient light is captured by means of a light bus cable and converted by a photoluminescent dye in the light bus cable into fluorescent and/or phosphorescent light. In order to obtain a target mark with sufficient brightness at night or at dusk, known sight devices have a radioluminescent light source, the light of which is fed into the light guide and is also converted there into photoluminescent light. The photoluminescent light produced by the light guide can now be directed or reflected into the beam path of the sight device. In other sight devices the photoluminescent light can illuminate an already existing target mark, e.g. a front sight and/or rear sight. EP 0 830 559 B1 discloses a sight device with a light bus cable and a trigalight connected to the light bus cable as a radioluminescent light source. The disadvantage of such lighting apparatuses is that because of insufficient light from the conversion of light into fluorescent light a radioluminescent light source with greater light intensity needs to be selected. This increases not only the cost but also the space required for larger radio light sources. The main problem is that light easily visible to the human eye, e.g. in the green wavelength range, requires the stimulation of suitable fluorescent dyes in the blue or violet range. The production of a sufficient amount of stimulation light of this initially dark color would require a space-occupying (light source of suitably large dimensions) and complex construction (feeding the stimulation light into the light guide with as little loss as possible). Particularly in sight devices only very limited space is available, so that this problem has not yet been solved satisfactorily. The aim of the invention is therefore to overcome said disadvantages and provide a sight device which provides a high degree of brightness for the target mark in daylight, at dusk and at night. The construction should be space-saving and inexpensive. This aim is achieved by means of a sight device of the aforementioned type in that the center wavelength of the emission spectrum of the radioluminescent light source is greater than the center wavelength of the absorption spectrum of the photoluminescent material of the light guide. Light emitted by the radioluminescent light source is thereby no longer used primarily for stimulating the photoluminescent material in the light guide. Instead of this a large proportion of the light emitted by the radioluminescent light source is directed through the light guide without its wavelength in the light guide changing essentially. In this way the light of the radioluminescent light source is used directly for generating or illuminating the target mark. Accordingly, the central energy of the light emitted by the radioluminescent light source is smaller than the central energy of the absorption spectrum of the photoluminescent light guide. The ambient light gathered by the light guide is however still converted into photoluminescent light, in particular fluorescent light. Of course, it would also be possible to use phosphorescent dyes in the light guide, which is why the umbrella term “photoluminescent” is also used in the present application. A further effect of the invention is that the center wavelength of the emission spectrum of the radioluminescent light source is not much closer to the central wavelength of the emission spectrum of the photoluminescent material of the light guide, so that at least neighboring or at least very similar color tones can be obtained, the overlayering of which results in a much clearer increase in brightness. In a preferred embodiment the light emitted by the radioluminescent light source and the photoluminescent light of the light guide have the same color, whereby the visibility of the target mark can be increased further. Usually the absorption spectrum of the photoluminescent material of the light guide and the emission spectrum of the radioluminescent light source in the visible range are not restricted to a single wavelength, but can be described by a range of wavelengths. This is characterized by a maximum or a maximum range, which decreases laterally to a greater or lesser extent. The wavelength distribution can be characterized by a spectral bandwidth and a center wavelength respectively. The bandwidth is dependent on the lateral fall of the curve and corresponds to the width at half the height of the peak of the wavelength distribution. Said width is also referred to as the full width at half maximum (FWHM). The center wavelength in the visible range is produced by forming the average of the corresponding wavelength distribution. The radioluminescent light source is preferably a tritium-light source. In this case the gaseous tritium is enclosed in a capsule, in particular a glass tube. The capsule is coated with a luminescent material. The radiation emitted by the radioactive tritium is converted by the luminescent material into visible light in a specific wavelength range, e.g. green, blue or violet. The luminescent material is also a fluorescent dye which can be selected according to the specifications of the invention (color). The light guide is preferably made of plastic, in particular polymethyl methacrylate (PMMA) or polystyrene (PS), and contains fluorescent and/or phosphorescent dyes. Different dyes are used depending on the desired color. Thus for example green fluorescent light (˜480 nm to 560 nm) can be obtained by zinc sulfide doped with Cu+− and Al3+− as fluorescent dye. A person skilled in the art can easily make a suitable selection of dyes. Technical fluorescent dyes are made for example from substances such as the very often used zinc sulfide and chemically similar compounds or oxides of rare earth metals. If said compounds are doped with so-called activators, different colours can be produced. As the activators often bivalent and trivalent lanthanoid cations are used. Bivalent europium cations generate blue light for example, whereas the trivalent ones emit red light. Green light is formed for example by Cu+− and Al3+− doped zinc sulfide. It should be noted at this point that said dyes are only given as examples and do not denote a restriction of the invention. Any dye that is configured according to the specifications the invention and its embodiments can be selected. This does not present a problem for a person skilled in the art. In a section of its longitudinal extension the light guide is exposed to ambient light (indirectly or directly). For example, the light guide runs on an outer side of the sight device or sits behind a window, through which ambient light can enter the light guide. It is also possible to have light guiding means and/or light deflecting means and/or light focusing means which guide the ambient light to the light guide. The ambient light is usually received in radial direction into the light guide. The term target mark can be defined as both a light pattern (e.g. directed into the beam path) and also a mechanical target mark (e.g. front sight and/or rear sight). The type, form, size and pattern are not subjected here to any restrictions. The target mark (also referred to as a reticle) can be e.g. a cross-hair, a numerical or non-numerical scale, a target point or a target window. Preferably, the center wavelength of the emission spectrum of the radioluminescent light source is at least 30 nm, preferably at least 50 nm, greater than the center wavelength of the absorption spectrum of the photoluminescent material of the light guide. In this way the spectra are displaced relative to one another sufficiently, which prevents a large proportion of the light emitted by the radioluminescent light source being absorbed by the dye in the light guide. Preferably, the spectral bandwidth of the emission spectrum of the radioluminescent light source and the spectral bandwidth of the absorption spectrum of the photoluminescent material of the light guides each amounts to at most 100 nm, preferably at most 80 nm. By these means with a suitable selection of dyes as photoluminescent material, e.g. zinc sulfide doped with Cu+− and Al3+ in the case of green light, the individual spectra can be separated effectively, so that there is as little overlap as possible. Preferably, the spectral bandwidth of the emission spectrum of the radioluminescent light source and the spectral bandwidth of the absorption spectrum of the photoluminescent materials do not overlap. This also means that greater proportion of the light emitted by the radioluminescent light source passes through the light guide without being affected, i.e. unchanged in its wavelength. The level of absorption can be kept low in this way. Preferably, in the visible range at most 30%, preferably at most 20%, of the emission spectrum of the radioluminescent light source overlap with the absorption spectrum of the photoluminescent material of the light guide. Preferably, in the visible range at least 50%, preferably at least 70%, of the emission spectrum of the radioluminescent light source overlap with the emission spectrum of the photoluminescent material of the light guide. This measure means that the light of the radioluminescent light source and the photoluminescent light of the light guide have at least similar color tones which are adjacent to one another in the spectrum, whereby the visibility of the target mark can be increased. A variant is particularly preferred in which the light of the radioluminescent light source and the photoluminescent light of the light guide have the same color. Thus there are no differences in color between day and night. Furthermore, the target mark appears much brighter to the user. Preferably, the emission spectrum of the radioluminescent light source is in the green and/or yellow wavelength range. The sensitivity of the human eye is greatest in the green wave range. The photometric light density and the radiometric beam density of green (i.e. emitting in the green wave range) or green-yellow tritium-light sources is much greater than that of blue or violet light sources of the same size. As the invention attempts as far as possible to “circumvent” the absorption spectrum of the light guide and also provide an easily visible target mark, for which green light is the most suitable, a green radioluminescent light source is a particularly preferred embodiment. The main advantage is that it is possible to use green to yellow-green tritium-light sources (trigalights). The green and yellow-green tritium-light sources are generally much brighter (in photometric terms for the eye and radiometrically with respect to the number of photons) than the blue and orange and red ones. With the green to yellow-green tritium-light sources more light is available than for the other colours. Thus with the principle according to the invention of the same radioactivity (GBq tritium) more recognizable light can be generated compared to the prior art. To produce green fluorescent light (easily visible to the eye) in the light guide it would be necessary to stimulate with a corresponding fluorescent dye with a blue tritium-light source (very dark). When using green tritium light sources (bright) in the light guide with a corresponding fluorescent dye orange or red fluorescent light can be generated (not easily visible to the eye). The principle according to the invention differs in that the effect of photoluminescent stimulation in the light guide is kept as a low as possible by the light emitted by the radioluminescent light source and in that as large as possible a proportion of the light emitted by the radioluminescent light source is not affected, i.e. unchanged in its wavelength, and is used for the target mark. Thus particularly preferably a green or green-yellow tritium-light source (bright) is used together with a green light guide, i.e. with a green photoluminescent dye (easily visible to the eye). The principle can also be used for other colours. However, the efficiency advantage is particularly great with green light. The use of other efficient luminescent materials than green is of course also included in the scope of the invention. In the presence of such an efficient luminescent pigment the functional principle of the invention can also be used for this color (e.g. yellow, red, orange, etc.). Preferably, the emission spectrum of the photoluminescent material of the light guide is in the green wavelength range. The same advantages are achieved here as mentioned above, wherein the overlayering of the green light formed in the light guide and the light supplied by the radioluminescent light source results in exceptionally clear visibility of the target mark. Preferably, the radioluminescent light source is arranged at an end side of the light guide, whereby light from the radioluminescent light source is directed through the end side into the light guide. By means of such a coupling light losses can be avoided efficiently, especially the light of the radioluminescent light source is unaffected (i.e. circumventing the absorption spectrum) through which the light guide is to be directed. Preferably, the end side of the light guide is adhered by means of a transparent adhesive to the radioluminescent light source. This prevents light losses and provides a mechanically stable solution. As the adhesive preferably a so-called “reflection index matching” adhesive is used. The refractive index is adjusted by the adhesive so that the light can pass with minimal loss through the boundary surfaces. Preferably, the radioluminescent light source has a longitudinal extension (i.e. it has an elongated form), which is perpendicular to the axis of the light guide in its end section. The light source thus projects over the end surface. In this way the loss of light can also be kept as low as possible even with an inexact positioning of the light source relative to the light guide. Preferably, the end side of the light guide facing the radioluminescent light source has a polished surface, whereby the light enters without unwanted reflection on the end side. Preferably, this is a highly polished surface. Preferably, the sight device comprises a reverse prism, preferably a Schmidt-Pechan prism, arranged in the beam path and the end side of the light guide, which faces away from the radioluminescent light source, is aligned to an in particular circular opening in a reflected plane surface of the reverse prism. Here the target mark can be produced as a high intensity light pattern. A preferred embodiment is characterized in that the end side of the light guide facing away from the radioluminescent light source is a polished surface, which preferably faces a prism for directing the light into a beam path of the sight device. Said prism can be the aforementioned reverse prism, but also e.g. simply an (upstream) deflecting prism. Both ends of the light guide are polished in an optimal case. This increases the light efficiency. This can be improved further by a “reflection index matching” adhesive. The polished surfaces at the ends of the ends of the light guide represent a preferred embodiment, however they are not absolutely necessary to achieve the basic concept of the invention. Preferably, the radioluminescent light source is covered by an in particular opaque coating, wherein preferably the coating is a white color, particularly preferably a dye pigmented with TiO2. This increases the efficiency of the light source. Only in a limited area, which faces the light guide directly, does a light outlet opening remain free of the opaque coating. The coating is a reflection layer, which reflects back the light produced by the radioluminescent light source to the latter. Preferably, the coating is applied onto the surface of the radioluminescent light source. In an alternative embodiment the coating is applied to the inner side of a housing surrounding the radioluminescent light source. Preferably, the radioluminescent light source and an end section of the light guide bordering the radioluminescent light source is surrounded in an essentially form-fitting manner by a housing. This represents a compact, space-saving solution which ensures the necessary mechanical stability of the connection between the light source and light guide and ensures optimal protection from damage and dirt. Preferably, the housing is formed from two parts, wherein preferably the two parts can be pivoted against one another or held together by means of a snap device. This measure facilitates the insertion and joining together of the light source and light guide, and the subsequent closing of the light source and the connecting point between the light source and light guide. Preferably, the housing has at least one opening, which leads from the outside to the coupling point between the radioluminescent light source and the light guide, in particular for the introduction of an adhesive. Thus the light guide and light source can be aligned exactly and remain in position before they are adhered to one another. The assembly is considerably simplified in this way. Preferably, in the housing there is at least one screw in a screw thread, by means of which the radioluminescent light source and/or an end section of the light guide bordering the radioluminescent light source is/are clamped. This enables a simple and reliable fixing of the parts in the desired position. Preferably, the radioluminescent light source and an end section of the light guide bordering the radioluminescent light source are surrounded by an in particular T-shaped shrink tube. This allows a space-saving connection which is easy to achieve. Preferably, the radioluminescent light source is molded in a material together with an end section of the light guide bordering the radioluminescent light source. In this way also a reliable and long-lasting connection is created which prevents dirt and impurities from the outside. In the following further preferred aspects are listed briefly, which can be used separately or jointly. The light guide is made from a green fluorescent plastic. On at least one end side the light guide is highly polished; by polishing the end faces of the light guide and the special coupling of the tritium-light source and the light concentration in the region of the tritium light source with the opaque layer there should be an optimal use of energy of the tritium light for displaying the target mark in the (reflector) sight. The light guide is aligned with a circular ring-like opening in a reflected plane surface of a Schmidt-Pechan prism. On the opposite end side by means of a (reflection index matching) adhesive a tritium-light source is coupled by radiation. The trigalight is covered at the beginning of the light guide with an opaque reflection layer, wherein a white opaque coating has proved to be an optimal solution; however also other reflection layers are possible for example silver or mirror coatings. A section of the light guide joins the coupling of the light emitted by the tritium-light source or the connection of the tritium-light source to the light guide, via which the daylight can enter the light guide in radial direction. The energy of the light emitted from the tritium light source should be as far as possible smaller than the absorption energy of the dye in the light guide; i.e. the tritium light source should if possible not cause the fluorescent and/or phosphorescent substances in the light guide to light up in order to avoid a loss of energy. The ambient light or daylight should stimulate said fluorescent and/or phosphorescent substances to enable a display in the (reflector) sight. First of all, it should be noted that in the variously described exemplary embodiments the same parts have been given the same reference numerals and the same component names, whereby the disclosures contained throughout the entire description can be applied to the same parts with the same reference numerals and same component names. Also details relating to position used in the description, such as e.g. top, bottom, side etc. relate to the currently described and represented figure and in case of a change in position should be adjusted to the new position. The exemplary embodiments show possible embodiment variants of the sight device and the lighting apparatus, whereby it should be noted at this point that the invention is not restricted to the embodiment variants shown in particular, but rather various different combinations of the individual embodiment variants are also possible and this variability, due to the teaching on technical procedure, lies within the ability of a person skilled in the art in this technical field. Furthermore, also individual features or combinations of features from the various exemplary embodiments shown and described above can represent in themselves independent solutions according to the invention. The problem addressed by the independent solutions according to the invention can be taken from the description. All of the details relating to value ranges in the present description are defined such that the latter include any and all part ranges, e.g. a range of 1 to 10 means that all part ranges, starting from the lower limit of 1 to the upper limit 10 are included, i.e. the whole part range beginning with a lower limit of 1 or above and ending at an upper limit of 10 or less, e.g. 1 to 1.7, or 3.2 to 8.1 or 5.5 to 10. Mainly the individual embodiments shown in the Figures can form the subject matter of independent solutions according to the invention. The related objectives and solutions according to the invention can be taken from the detailed descriptions of said Figures. Finally, as a point of formality, it should be noted that for a better understanding of the structure of the sight device and the lighting apparatus the latter and its components have not been represented true to scale in part and/or have been enlarged and/or reduced in size. FIG. 1 to 7 show a lighting apparatus 2 for generating or illuminating a target mark in a sight device 1. An example of a sight device is represented purely schematically in FIG. 8. In the beam path those optical elements are shown which interact with the lighting apparatus 2. Other (also provided elements) have been omitted for a better overview. The lighting apparatus 2 comprises an light guide 3 made from a photoluminescent, in particular fluorescent material and a radioluminescent light source 7 coupled to the light guide 3. The light guide 3 is designed to receive ambient light along at least a section 4 of its longitudinal extension and convert it into photoluminescent light. The absorption spectrum 10 of the photoluminescent material of the light guide 3 and the emission spectrum 9 of the radioluminescent light source 7 in the visible range can be characterized essentially by a spectral bandwidth (B) and a center wavelength (FIGS. 9 and 10). As shown in FIGS. 9 and 10 the center wavelength of the emission spectrum 9 of the radioluminescent light source 7 is greater than the center wavelength of the absorption spectrum 10 of the photoluminescent material of the light guide 3. The bandwidth is defined by the ‘full width at half maximum’ (FWHM) and the center wavelength by forming the average of the wavelength distribution. In FIG. 9 the emission spectrum 9 of the radioluminescent light source 7 and the emission spectrum 11 of the photoluminescent material of the light guide 3 are spaced apart from one another (i.e. they do not overlap or only slightly overlap). It is preferable, if—as also shown in FIG. 10—the center wavelength of the emission spectrum 9 of the radioluminescent light source 7 is at least 30 nm, preferably at least 50 nm, greater than the center wavelength of the absorption spectrum 10 of the photoluminescent material of the light guide 3. In this case preferably the spectral bandwidth of the emission spectrum 9 of the radioluminescent light source 7 and the spectral bandwidth of the absorption spectrum 10 of the photoluminescent material of the light guide 3 each amounts to at most 100 nm, preferably at most 80 nm. It is particularly preferable if—as shown in both FIGS. 9 and 10—the spectral bandwidth of the emission spectrum 9 of the radioluminescent light source 7 and the spectral bandwidth of the absorption spectrum 10 of the photoluminescent material of the light guide 3 do not overlap. This means that the bandwidth sections of the spectra 9 and 10 are completely outside one another. It is also shown that in the visible range at most 30%, preferably at most 20%, of the emission spectrum 9 of the radioluminescent light source 7 overlaps with the absorption spectrum 10 of the photoluminescent material of the light guide 3. The particular embodiment of FIG. 10 shows (unlike FIG. 9), that in the visible range at least 50%, preferably at least 70%, of the emission spectrum 9 of the radioluminescent light source 7 overlaps with the emission spectrum 11 of the photoluminescent material of the light guide 3. Here the emission spectrum 9 of the radioluminescent light source 7 is in the green or green-yellow wavelength range and the emission spectrum 11 of the photoluminescent material of the light guide 3 is in the green wavelength range. The selection of the corresponding radioluminescent light sources and the associated light guide according to the specifications of the invention is not difficult for a person skilled in the art. Many different types of light sources and light guides can be purchased commercially. FIGS. 1 to 7 show that the radioluminescent light source 7 is arranged on an end side 6 of the light guide 3, whereby the light of the radioluminescent light source 7 is directed through the end side 6 into the light guide 3. The radioluminescent light source 7 can have a longitudinal extension, which is perpendicular to the axis of the light guide 3 in its end section. The light source 7 and the end section of the light guide 3 together thus form a T-shape. The radioluminescent light source 7 (also known as a “trigalight”) need not necessarily be elongated. Preferably, the contact surface of the radioluminescent light source 7 is greater than the input surface of the light guide. It would also be possible to use quadratic and round radioluminescent light sources. The radioluminescent light source 7 is covered by an opaque coating 8, wherein preferably the coating 8 is a white color, particularly preferably a color pigmented with TiO2. The end side 6 of the light guide 3 facing the radioluminescent light source 7 is a polished surface. The end side 6 of the light guide 3 is adhered by means of a transparent adhesive to the radioluminescent light source 7. In the embodiment shown in FIG. 2 the light source 7 and light guide 3 are positioned on a disposable gauge and fixed by clips. The disposable gauge is molded as well. In this way a reliable connection is obtained between the light source 7 and light guide 3. Of course, the radioluminescent light source 7 could be molded into a material together with an end section of the light guide 3 bordering the radioluminescent light source 7 even without positioning means, such as the disposable gauge. In the embodiment shown in FIG. 3 the radioluminescent light source 7 and an end section of the light guide 3 bordering the radioluminescent light source 7 are surrounded essentially by a housing 13 in a form-fitting manner. Openings are provided for introducing the light source 7 and light guide 3. The housing 13 comprises at least one further opening 14, which leads from the outside to the coupling point between the radioluminescent light source 7 and the light guide 3, in particular for introducing an adhesive. A similar embodiment, but with a different housing form is shown in FIG. 4. In the embodiment shown in FIG. 5 the housing 13 is made in two parts, which are joined or held together by means of a snap device 15. In the embodiment shown in FIG. 7 the two housing parts can be pivoted relative to one another, in order to move from an open position into a closed position. In the embodiment shown in FIG. 6, in the housing 13 at least one screw 16 sits in a screw thread, by means of which the radioluminescent light source 7 and/or an end section of the light guide 3 bordering the radioluminescent light source 7 is/are clamped. In an alternative embodiment the radioluminescent light source 7 and an end section of the light guide 3 bordering the radioluminescent light source 7 are surrounded by an in particular T-shaped shrink tube. In all of the embodiments of the lighting apparatus 2 described above it is preferred if by the attachment or provision of a reflective layer (e.g. white, silver, etc.) over the radioluminescent light source 7 the efficiency can be increased. The reflective layer could be an outer coating of the light source 7. Alternatively, the reflective layer can be formed on the inner side of the housing 13 surrounding the light source 7, i.e. the housing already has these reflecting properties. Lastly, FIG. 8 shows a sight device 1, in particular in the form of a reflector sight or telescopic sight, which comprises a lighting apparatus 2 for generating or illuminating a target mark. The representation is purely schematic and should only be considered to represent one of several possibilities. The sight device 1 of FIG. 8 comprises a lens 17, an eyepiece 18 and a reverse prism 12, in the form of a Schmidt-Pechan prism, arranged in the beam path 19 by means which the light of the lighting apparatus 2 is directed into the beam path 19. The end side 5 of the light guide 3, which faces away from the radioluminescent light source 7, oriented with an in particular circular opening in a mirrored plane surface of the reverse prism 12. It should be mentioned at this point that any other suitable optical element could be used for introducing the light of the lighting apparatus 2 into the beam path 19. As already mentioned above the invention can also be used for sight devices in which the lighting apparatus 2 illuminates a mechanical target mark. 1 sight device 2 lighting apparatus 3 light guide 4 section of the light guide 3 5 end side 6 end side 7 radioluminescent light source 8 opaque coating 9 emission spectrum of the radioluminescent light source 7 10 absorption spectrum of the photoluminescent material of the light guide 3 11 emission spectrum of the photoluminescent material of the light guide 3 12 reverse prism 13 housing 14 opening 15 snap device 16 screw 17 lens 18 eyepiece 19 beam path of the sight device 1 |
|
description | ||
046997555 | summary | FIELD OF THE INVENTION The invention relates to an ultrafiltration circuit for the primary cooling fluid of a pressurized-water nuclear reactor. Such reactors incorporate a primary circuit, in which the pressurized water cooling the fuel assemblies of the reactor core circulates. The primary circuit communicates with the inner volume of the reactor vessel containing the core and incorporates primary-fluid circulation pumps, steam generators and a pressurizer which are connected by means of large-diameter pressure-resistant pipelines. The primary circuit as a whole is located inside a containment shell constituting one of the protective structures of the nuclear reactor. Auxiliary circuits arranged either completely or partially within the containment shell are taken off from the primary circuit. In particular, to keep the quantity and chemical quality of the primary fluid constant, a circuit called a volumetric and chemical monitoring circuit is used, and this makes it possible to sample some of the fluid circulating in the primary circuit, carry out various treatments on this primary fluid and reintroduce into the primary circuit specific quantities of fluid having precisely defined chemical characteristics. The volumetric and chemical monitoring circuit taken off from the primary circuit comprises a discharge branch and a charge branch which both pass through the wall of the containment shell to be connected, outside this containment, to various devices for purifying and treating the primary fluid. These treatments are carried out on a low-temperature and low-pressure fluid, cooling and depressurizing devices being arranged on the discharge branch of the circuit upstream of the treatment units. The primary fluid is depressurized and partially cooled before it leaves the containment shell, thus limiting the risks entailed by the presence of pressurized primary fluid outside the containment shell. Arranged on the charge branch of the volumetric and chemical monitoring circuit are various means of injecting additives or make-up water and a charge pump, making it possible to reintroduce into the primary circuit a fluid which is at a pressure a little higher than the pressure in this circuit. The charge branch of the volumetric and chemical monitoring circuit likewise passes through the secondary side of a heat exchanger serving to cool the primary fluid sampled by means of the discharge branch. The cooling fluid consisting of pressurized water circulates in the primary circuit at a temperature of approximately 300.degree. C., at a pressure in the neighborhood of 155.times.10.sup.5 Pa and with a flow rate of the order of 25,000 m.sup.3 /h in each of the loops of the circuit. During the various operating phases of the reactor which result in increases or decreases in power, the physical or chemical parameters of the reactor have to be modified, and this is achieved particularly by means of the volumetric and chemical monitoring circuit. During its circulation in the primary circuit or in the auxiliary circuits, the cooling fluid comes in contact with many components, most of which are made of or covered with a nickel alloy which makes it possible to limit the degree to which they are attacked by the primary fluid. However, some components, such as the seats of valves and of cocks, or even certain portions of piping experience a certain wear, with the result that the primary fluid becomes laden with particles of very small dimensions which are torn off from these components. These particles tend to circulate together with the primary fluid and therefore pass through the reactor core, where they are subjected to intense neutron bombardment, the effect of which is to activate them. In particular, wear-resistant alloys containing a certain proportion of cobalt cause highly activated particles to occur. These particles accumulate in certain parts of the components of the reactor, and this presents problems which are very difficult to solve during the reactor maintenance operations, since these operations require preliminary decontamination phases which are very difficult to carry out. On the other hand, the make-up water and additives introduced into the primary fluid by means of the volumetric and chemical monitoring circuit likewise contain solid particles of various origins which are activated when the primary fluid passes through the reactor core. It is therefore necessary to treat the primary fluid periodically or continuously to reduce the content of activated or activatable particles in this primary fluid. Arranged in the volumetric and chemical monitoring circuit are units for treating the primary fluid with ion exchanger resins of the mixed-bed type, but these resins only make it possible to retain certain types of chemicals dissolved in the primary fluid, excluding particles of small dimensions transported by this fluid. Mechanical filters in the form of filter cartridges are also associated with these treatment units, but these filters do not allow particles of a size less than 5 microns to be retained, whereas the Gaussian probability curve representing the proportion of particles transported as a function of their size is centered around 0.5 microns. On the other hand, the solubility of the particles in the primary fluid is inversely proportional to the temperature, with the result that the treatment conditions in the volumetric and chemical monitoring circuit outside the containment shell are not conducive to capturing the particles effectively. In French Patent Application No. 83-15130, in the name of the assignee of the present invention, it has been proposed to purify the primary fluid at a pressure and a temperature near its operating pressure and temperature by means of ultrafiltration using a device located inside the containment shell of the reactor, for example on the discharge branch of the volumetric and chemical monitoring circuit. The filtrate recovered at the filter outlet is subsequently cooled and depressurized, before being conveyed outside the containment shell into the treatment units of the volumetric and chemical monitoring circuit. To prevent the clogging of the ultrafiltration wall, the circulating concentrate is kept in contact with this wall during the operation of the ultrafilter. The concentrate is thus constantly laden with radioactive impurities, and the primary part of the filter has to be emptied as soon as the proportion of impurities in the concentrate becomes too high for the filter to operate under good conditions. The concentrate has to be discharged towards a liquid-waste treatment installation, thus complicating the maintenance operations in the nuclear power station. In addition to this disadvantage, there is also that associated with intermittent operation of the filter. An additional disadvantage arises because the fluid recycled in the primary circuit via the charge branch of the volumetric and chemical monitoring circuit contains particles which come from the make-up water or additives and which are activated when they pass through the core. The quantity of active particles transported by the primary fluid consequently remains relatively large. SUMMARY OF THE INVENTION The object of the invention is, therefore, to propose an ultrafiltration circuit for the primary cooling fluid of a pressurized-water nuclear reactor incorporating, inside a containment shell, a primary circuit which communicates with the inner volume of the reactor vessel containing a core consisting of fuel assemblies and in which the pressurized water constituting the primary fluid circulates, and at least one auxiliary circuit taken off from the primary circuit and comprising a discharge branch, on which are arranged means of cooling and depressurizing the primary fluid sampled by means of the auxiliary circuit and which passes through the containment shell of the reactor, and a charge branch intended for returning the fluid into the primary circuit and likewise passing through the containment, and, outside the containment, means of treating the cooled and depressurized fluid, this ultrafiltration circuit making it possible to purify the primary fluid effectively both by eliminating the activated particles in the core and by eliminating non-active particles introduced via the auxiliary circuit, without increasing the quantity of radioactive waste to be treated in the power station. To achieve this object, the ultrafiltration circuit according to the invention comprises inside the containment shell: a first loop taken off from the discharge branch of the auxiliary circuit and incorporating a pipe for extracting and conveying fluid at its operating pressure and temperature into a first ultrafiltration device located in the first loop, a pipe for discharging filtrate at the outlet of the ultrafiltration device and for returning this filtrate into the discharge branch downstream of the point where fluid is extracted by means of the extraction pipe, a valve being inserted in the discharge branch between the two pipes, and a concentrate discharge pipe which passes through the wall of the containment and on which are arranged, inside the containment, means of cooling and depressurizing the concentrate before it is introduced into the discharge branch of the auxiliary circuit downstream of the cooling and depressurizing means located on this discharge branch, and a second loop taken off from the charge branch of the auxiliary circuit and comprising a pipe for extracting and conveying fluid at its operating temperature and pressure into a second ultrafiltration device located in the second loop, a pipe for discharging filtrate at the outlet of the ultrafiltration device and for returning this filtrate into the charge branch downstream of the extraction point, a valve being inserted between these two pipes, and a concentrate discharge pipe connected to the discharge branch of the auxiliary circuit, inside the containment, upstream of the cooling and depressurizing means arranged on this branch. |
abstract | A method for obtaining data including scanning an object using a multi-energy computed tomography (MECT) system to obtain data to generate an anatomical image, and decomposing the obtained data to generate a first density image representative of bone material and a second density image representative of soft-tissue. The method further includes segmenting at least one of the first density image and the second density image, and volume rendering the second density image. |
|
description | This application is a divisional application of U.S. Ser. No. 12/687,045 filed on Jan. 13, 2010, which is a continuation in part (CIP) application of U.S. Ser. No. 11/466,546 filed on Aug. 23, 2006, the disclosures of which are incorporated by reference herein. Also, this application claims priority under 35 USC §119 from Korea Patent Application No. 10-2006-0054402 filed on Jun. 16, 2006, the disclosure of which is incorporated by reference herein. 1. Field of the Invention The present invention relates to an apparatus for and a method of automatically welding a flange to a guide thimble tube in the manufacturing process for the guide thimble tube, which is a major element of a nuclear fuel assembly. 2. Background of the Related Art A nuclear fuel assembly is an assembly of fuel elements that can be handled in one entity when loaded into and unloaded from a nuclear reactor. For example, a nuclear fuel assembly used for a light-water reactor (called a fuel assembly) is composed of a plurality of fuel rods (fuel elements) forming a bundle of a rectangular shape, at both ends of which a perforated support plate is disposed to pass coolant, and at the intermediate of which a support grid is mounted for the fuel rods to be spaced apart from each other. The individual fuel rod is formed of a hollow zicaloy tube having a length of about 4 mm, inside of which sintered uranium pellets are stacked. Typically, the fuel bundle for a boiling water reactor is formed of about 60 fuel rods and one for a pressurized water reactor is formed of about 230 fuel rods. In such fuel assembly, a skeletal structure to which fuel rod is loaded is called a “fuel assembly skeleton.” The fuel assembly skeleton is formed of an upper end fitting, a lower end fitting, a guide tube, an instrument tube, and so on. These components are connected to each other through a welding or other mechanical method. In the nuclear fuel assembly, the assembly skeleton functions to keep a gap between the individual fuel rods and hold them in place. The assembly skeleton forms a basic structure of the nuclear fuel assembly. In the above assembly skeleton, the guide tube is an internal structure installed inside of a nuclear reactor for the purpose of guiding of smooth entry of the control rod. The starting, interrupting and output-controlling of a nuclear reactor are carried out by entering the control rod (a neutron absorber) into the reactor core. The guide tube has important roles in determining a proper location, forming a flow path to cool the fuel properly and so on, without damaging the integrity of the fuel assembly. In addition, the guide tube serves as a path for the poison rod, the nuclear source rod and so on, as well as the control rod. Such a guide tube is fixed to under the upper end fitting and a control rod or the like is charged from the upper part of the reactor to enter the guide tube. The connection with the upper end fitting is carried out by a flange attached to one end of the guide. Thus, a flange must be attached to the guide tube. The guide tube is structured such that its lower portion has a smaller inner diameter for various equipments charged from the upper part not to be damaged by impact when being dropped. This is to reduce the descending speed of the control rod. In addition, two flow holes are formed so that coolant water can be filled inside the guide tube. The guide tube having the above-described structure had a sophisticated shape and thus it is very difficult to manufacture the guide tube in an automatic way. In addition, the guide tube plays a very important role in the nuclear reaction and thus must be manufactured with a great precision. Therefore, since the welding of a flange or the like to the guide tube must be performed with a great precision, a manual manufacturing process has been carried out for the purpose of quality, which leads to a time consuming. However, considering the quantity of guide tubes to be manufactured, it must be considered a way of improving production efficiency. A conventional welding method of connecting a flange to a guide tube is mostly performed manually. As previously mentioned, it is because the shape of the guide tube is not simple and thus an automatic welding is not easy. In particular, in order to weld a flange, as shown in FIGS. 1a and 1b, the guide tube and the flange are connected through an interference fit welding using manually-operated equipment. Conventionally, as illustrated in FIG. 1a, a pressure-inserting step is formed in the flange 2 and thus as shown in FIG. 1b the pressure-inserting step is forcibly inserted into the tube 1. Then, a welding is carried out. The above welding method requires a separate pressure-fitting process and forms an internal step during the pressure inserting, thereby necessitating a separate machining process. In addition, in case of manually working on a larger amount of guide tubes, there is a concern about diseases in the musculoskeletal systems, due to the tube length of about 4000 mm and weight of about 2.5 kg. Furthermore, in terms of productivity and quality, the working time is extended and not consistent to degrade its production efficiency. In case of expediting the process in order to improve the productivity, the quality is degraded. Therefore, the present invention has been made in view of the above problems in welding a flange to a guide thimble tube in the manufacturing process for the guide thimble tube, and it is an object of the present invention to provide an apparatus for and a method of automatically welding a flange to a guide thimble tube. More specifically, it is another object of the invention to provide an apparatus for and a method of automatically welding a flange to a guide thimble tube, in which the flange welding employs a butt-welding mode as shown in FIGS. 9a and 9b, not an interference-fitting method, thereby achieving automation. In addition, it is a further object of the invention to provide an apparatus for and a method of automatically welding a flange to a guide thimble tube, in which the transferring process is automated to enable to transfer a large amount of guide tubes without difficulty, thereby preventing diseases in the musculoskeletal systems, and the whole process is automated so as to be carried out through one operation to thereby improve productivity. Furthermore, it is yet another object of the invention to provide an apparatus for and a method of automatically welding a flange to a guide thimble tube, in which each process performs a precision measurement to improve the productivity by automation and maintain quality, thereby preventing degradation in quality, which may be caused in attempt to improve productivity. To accomplish the above object, according to the present invention, there is provided an apparatus for automatically welding a flange to a guide thimble for tube comprising: a welding unit located on an axially conveying line of a tube for welding a surface of the tube inserted thereinto and a surface of a flange; a flange supplying unit for supplying the flange at a tube inlet of the welding unit onto the axially conveying line; and a conveying unit mounted on the axially conveying line in such a way as to move the tube and the flange on the axially conveying line, so that they are inserted into and drawn from the welding unit. The tube and the flange are processed to form a welded surface by either one end surface of the tube and the flange, which are in contact with each other, inserted into the other end surface of the flange and the tube. The flange supplying unit comprises: a magazine vertically perforated, on which a plurality of the flanges are piled up; a stopper located beneath the magazine to discharge the flanges one by one; conveying blocks for seating the discharged flanges thereon; and a pneumatic cylinder for moving the conveying blocks in order to locate the flanges on the axially conveying line of the tube. The welding unit comprises: a welding chamber to which the tube and the flange are inserted; a mandrel unit for fixing and rotating the flange and the tube inserted into the welding chamber; a chamber side sealing unit mounted on the mandrel unit at a tube inlet of the welding chamber, being in close contact with the outer circumferential surface of the tube inserted into the welding chamber to thereby shield the inside of the welding chamber from the outside; a welding torch group located on the surface of the tube and the flange for welding the surface; and a servo motor for rotating the mandrel unit by a belt connected with the mandrel unit. The mandrel unit comprises: a pneumatic cylinder mounted around a mandrel inlet of the welding chamber; a mandrel introduced into or discharged from the welding chamber through the mandrel inlet for fixing and rotating the flange and the tube inserted into the welding chamber; and a connecting rod for connecting the mandrel and the pneumatic cylinder with each other to move the mandrel. The mandrel further comprises a mandrel shaft connected to an end portion of the mandrel inside the welding chamber and inserted into the flange and into the tube, the mandrel shaft fixing the flange and tube. The chamber side sealing unit comprises: a metal ring having through holes radially formed on the outer circumferential surface thereof; and an elastic ring joined to the inner circumferential surface of the metal ring, whereby gas injected to the outer circumferential surface of the metal ring flows into the through holes, so that the elastic ring expands in a central direction of the elastic ring by pneumatic pressure. The welding torch group comprises: a welding torch for welding the surface of the tube and the flange; a pneumatic cylinder for providing a vertically moving force of the welding torch; and a connecting rod for connecting the welding torch with the pneumatic cylinder to thereby transfer a driving force of the pneumatic cylinder to the welding torch. The conveying unit comprises: axially conveying unit respectively mounted on at least one axially conveying line to convey the tube onto an axially conveying line; and at least one laterally conveying unit for conveying the tube in a perpendicular direction to the axially conveying line. The laterally conveying unit comprises: a cam unit having a motor mounted below the workbench, a cam connected to a driving shaft of the motor, and a slave body interlocking with the cam in a state where it is in contact with the cam by a roller; a conveyable body having a slave bar carrying out a vertical reciprocating action by the slave body, and blocks formed below the welding position tube fixing hole and the measuring position tube fixing hole and on the upper face of the slave bar correspondingly to the welding position tube fixing hole and the measuring position tube fixing hole of the tube guide, each of the blocks having an inclined upper face; and a tube guide unit having at least one tube guide being at right angles to the tube and aligned at regular intervals perpendicularly to the axially conveying line and at least one fixing shaft being parallel with the axially conveying line and arranged below the tube guide for fixing the tube guide. The axially conveying unit comprises: a gripper joined with the upper portion of the body by a hinge and having right and left arms, the right and left arms being rotated on the hinge to hold and fix the tube; and a gripper conveying unit for conveying the gripper along the axially conveying line. The automatic welding apparatus further comprises a tremor measuring part including: a tube rotator for rotating the tube to which the flange is welded; a probe being in contact with the outer surface of the rotating flange for inspecting changes in height of the outer surface of the flange according to the rotation of the tube; a stopper being rotated with the tube after stopping the axial conveyance of the tube below the probe; and a tube rotator for rotating the tube. The automatic welding apparatus further comprises a stopper side sealing unit including: a sealing bar having a sealing ring mounted at an end thereof, the sealing bar being adapted to seal the inside of the tube from the outside by the sealing ring expanding after the sealing bar is inserted into the tube from an end portion of the tube exposed to the outside of the welding unit; and a driving means for moving the sealing bar along the axially conveying line of the tube. In another aspect, to accomplish the above object, there is provided a method of automatically welding a flange to a guide thimble tube using an apparatus for automatically welding the flange to the guide thimble tube, which comprises: a welding unit having a mandrel unit and a welding torch group and mounted on one of two axially conveying lines of the tube; a flange supplying unit mounted at a tube inlet of the welding unit in such a way as to be located on the axially conveying line at a predetermined distance; a conveying unit for conveying the tube mounted on the upper portion in an axial direction or a lateral direction; and a controlling part for controlling the above components, the method comprising the steps of: supplying the flange onto the axially conveying line, on which the welding unit is located, by the flange supplying unit; conveying the tube toward the welding unit along the axially conveying line by the conveying unit, and inserting surfaces of the flange and the tube into the welding unit after the welded surfaces are formed by the tube interlockingly joined to the flange; welding the surfaces by the welding torch group when the mandrel unit rotates the flange and the tube after fixing them; drawing out an end portion of the tube, to which the flange is welded, from the inside of the welding unit; and laterally conveying the drawn-out tube. Using the apparatus for automatically welding the flange to the guide thimble tube, which further comprises a chamber side sealing unit mounted on the welding unit and a stopper side sealing unit mounted on an end portion of the tube exposed to the outside of the welding unit, the automatic welding method further comprises the step of sealing the inside of the welding unit from the outside by the stopper side sealing unit and the chamber side sealing unit, which are in contact with the outer circumferential surface of the tube located inside the welding unit after the insertion step, and sealing the inside of the tube from the outside by the stopper side sealing unit at the end portion exposed to the outside of the welding unit. Using the apparatus for automatically welding the flange to the guide thimble tube, which further comprises a tremor measuring part mounted on the axially conveying line in parallel, the automatic welding method further comprises the step of Measuring a vibration width of tremor of the flange by the tremor measuring part while the tube conveyed to the tremor measuring part by the conveying unit is rotated to thereby inspect whether or not the vibration width is within an error tolerance. Reference will be now made in detail to the preferred embodiment of the present invention with reference to the attached drawings. If there is no specific definition and description, the terms used to indicate upper and lower directions and left and right directions are defined with reference to the attached drawings, and it is noted that unnecessary indications of sections in the drawings will be omitted in order to facilitate identification. FIG. 2a is a top view of an apparatus 100 for automatically welding a flange of a guide thimble tube, and FIG. 2b is a front view of the apparatus 100 for automatically welding the flange of the guide thimble tube. As shown in FIGS. 2a and 2b, the apparatus 100 for automatically welding a flange of a guide thimble tube (hereinafter, called ‘automatic welding apparatus’) includes a flange supplying unit 200 mounted on a workbench 4, a welding unit 300, a stopper side sealing unit 400, a conveying unit 500, a tremor measuring part 700, an indication part 5, and a controlling part 6. In the automatic welding apparatus 100, as shown in FIGS. 2a and 2b, the conveying unit 500 is located between the welding unit 300 and the sealing unit 400. The flange supplying unit 200 is configured to be biased from a tube inlet 334 (see FIG. 4) of the welding unit 300 toward one side on an axial conveying line of a tube 1. Moreover, the tremor measuring part 700 is located biasedly in a lateral conveying direction of the tube 1 of the flange supplying unit 200. The tube 1 is seated on the upper portion of a tube conveying roller 8 and conveyed along the axial conveying lines 7a and 7b. While the tube 1 is conveyed along the axial conveying lines, the flange 2 is welded thereon and tremor is measured. Reference numerals unexplained in FIGS. 2a and 2b will be described together with detailed descriptions of the following components. Not shown in the drawings, but a welded surface processing part (not shown) is aligned at one side of the welding unit 300 to automatically carry out a welding between the tube 1 and the flange 2, and an inner diameter processing part (not shown) is aligned at a laterally opposed side of the tube of the welding unit 300. In this instance, the conveying unit 500 may be aligned adjacently on the right of the welded surface processing part (not shown), the welding unit 300, the tremor measuring part 700 and the inner diameter processing part (not shown) in order to convey the tube 1 from one processing part to the other processing part, whereby the whole process for processing of the tube 1 can be carried out automatically. FIG. 3 is a partially sectional view of the flange supplying unit 200 taken along the line of III-III of FIG. 2b. The flange supplying unit 200 is configured to automatically supply the flange 2 to be welded to the tube 1, and includes a magazine 210, a stopper 220, a flange conveying block 230, and a pneumatic cylinder 240. The magazine 210 includes a flange inlet 211 formed at the upper portion thereof and a flange outlet 212 formed at the lower portion thereof, and hence, when the flanges 2 are charged from the upper portion of the magazine 210, they are discharged from the flange outlet 212 after being piled up in a line from the upper portion to the lower portion of the magazine 210. Beneath the magazine 210, the stopper 220 stops the flange outlet 212, and it moves back and forth by the pneumatic cylinder 221 to open and close the flange outlet 212. Accordingly, the flanges 2 can come out one by one from the magazine 210 through a control of the pneumatic cylinder 221. Below the stopper 220, the flange conveying block 230 having a wedge type hole 231 is located. The conveying block 230 accepts the flanges 2, which come out from the flange magazine 210 one by one by the stopper 220, into the wedge type hole 231. The pneumatic cylinder 240 is connected to a lower end of the flange conveying block 230. The pneumatic cylinder 240 conveys the conveying block 230 accommodating the flanges 2 like a dotted line of FIG. 3 to thereby convey the flanges 2 onto the axial conveying line of the tube 1. FIG. 4a is a sectional view of the welding unit 300, FIG. 4b is an exploded perspective view of a chamber side sealing unit 320, and FIG. 4c is a perspective view of the chamber side sealing unit 320. The welding unit 300 includes the chamber side sealing unit 320, a welding chamber 330, a mandrel unit 340, a vacuum valve and an argon valve (not shown), a welding torch group 350, and a servo motor 360, and welds welded surfaces 3 (see FIG. 9b) of the tube 1 and the flange 2 supplied by the flange supplying unit 200. As shown in FIG. 4b, the chamber side sealing unit 320 includes a metal ring 321 and an elastic ring 325. The metal ring 321 has through holes 322 formed radially on the outer circumferential surface thereof. The elastic ring 325 has a metal ring groove 326 formed on the outer circumferential surface thereof for inserting the metal ring 321 thereinto. As shown in FIG. 4c, the metal ring 321 is joined with the elastic ring 325 in such a way that the inner circumferential surface thereof is inserted into the metal ring groove 326. The chamber site sealing unit 320 is mounted inside the tube inlet 334 of the welding chamber 330 to thereby rotate with the tube 1 when the mandrel unit 340 is rotated. The chamber side sealing unit 320 shield the inside of the welding chamber 330 from the outside since the elastic ring 325 expands and is in close contact with the tube 1 by pneumatic pressure of gas introduced from the metal ring groove 326 through the through holes 322 of the metal ring 321 after the tube 1 is inserted into the elastic ring 325. The welding chamber 330, as shown in FIG. 4a, includes an accepting space 331 for accepting and welding the tube 1, a mandrel inlet 332 formed on a side opposed to the mandrel unit 340 of the accepting space 331, and a welding torch group inlet 333 formed on an upper face thereof opposed to the welding torch group 350 of the accepting space 331, and a tube inlet 334 formed on a side opposed to an end portion of the tube 1 of the accepting space 331. A mandrel guide 347 is mounted inside the accepting space 331 and has an outer diameter smaller than an inner diameter of the tube 1. One end portion of the mandrel guide 347 is on the same axis as the tube 1 through the tube inlet 334, and protrudes outwardly from the welding chamber 330. The mandrel guide 347 has at least one chuck hole 347a array formed radially on the outer circumferential surface opposed to the tube 1 and the flange 2 conveyed to a position to be welded. The mandrel guide 347 also includes a stopper face 344a whose outer diameter is increased at the left (in FIG. 4a) of a welding torch 351 to thereby stop the movement of the flange 2. When the movement of the flange 2 is stopped by the stopper face 344a, the welded surfaces 3 (see FIG. 9b) of the flange 2 and the tube 1 are located below the welding torch 351. The tube inlet 334, the mandrel inlet 332 and the mandrel guide 347 are located on the same axis, so that the tube 1 is fixed to a mandrel 343 in such a way as to be rotated. The welding torch group inlet 333 is formed on the upper portion of the welding chamber 330, which is at right angles to the welded surfaces 3 (see FIG. 9b) of the tube 1 and the flange 2. The mandrel unit 340 is a device, which can rotate grasping the tube 1. As shown in FIG. 4a, a pneumatic cylinder 341 is connected with a connecting rod 342 via a joint below the mandrel unit 340, and a mandrel shaft 344 is connected to the connecting rod 342 to thereby move left and right (see FIG. 4a) inside the welding chamber 330 by the connecting rode 342. The mandrel shaft 344 has a mandrel shaft slope 345 formed on the outer circumferential surface opposed to the chuck hole 347a formed on the mandrel guide 347, whose outer diameter is gradually reduced toward the right (see FIG. 4a) in the region opposed to the chuck hole 347a. A mandrel chuck 346 having a slope, which is in contact with the mandrel shaft slope 345 in correspondence to the mandrel shaft slope 345, is mounted in the chuck hole 347a by the elastic ring 346a in such a way as to protrude outward from the mandrel guide 347. When the mandrel shaft 344 moves to the right, the mandrel chuck 346 protrudes outwardly from the mandrel guide 347 by the mandrel shaft slope 345 and fixes the flange 2 and the tube 1. The vacuum valve (not shown) inhales the inside air of the sealed welding chamber 330 and the tube 1 to thereby make a vacuum condition. The argon valve supplies argon gas, which is an inert gas, into the welding chamber 330 to thereby make an inert atmosphere during TIG welding. The vacuum valve and the argon valve are not illustrated in the drawings as being the same as the prior arts. The welding torch group 350, as shown in FIG. 4a, includes the welding torch 351, pneumatic cylinder 352, and a connecting rod 353. The welding torch 351 is located on the welded surfaces 3 (see FIG. 9b) of the flange 2 and the tube 1 (see FIG. 9b). The pneumatic cylinder 352 is located on the welding torch 351 to provide a driving force to ascend and descend the welding torch 351. The connecting rod 353 connects the welding torch 351 and the pneumatic cylinder 352 with each other, so that the pneumatic cylinder 352 can ascend and descend the welding torch 351. The welding torch group 350 lowers the welding torch 351 in such a way that the welding torch 351 is adjacent to a welded portion of the flange 2 and the tube 1 when the flange 2 and the tube 1 are located inside the welding chamber 330. The servo motor 360 is a general motor and is connected with the mandrel 343 by a timing belt 301 (see FIGS. 2a and 4a) to rotate the mandrel 343. FIG. 5 is a front view of the stopper side sealing unit 400. The stopper side sealing unit 400 is located oppositely to the welding chamber 330 on a basis of the tube 1. The stopper side sealing unit 400 includes a sealing bar 411 and a driving means 400′. The sealing bar 411 is in the form of a long bar, on a left end of which a sealing ring 412 is mounted, and inserted into the tube 1. The driving means 400′ includes a motor 413, a pulley 414 and a rail 415 mounted below the sealing bar 411. The stopper side sealing unit 400 can move back and forth along the rail 415 by the pulley 414 rotating by the motor 413 of the driving means 400′. When the stopper side sealing unit 400 moves forward, the sealing bar 411 is inserted into the tube 1 until the sealing ring 412 passes the left tube through hole 1′. The sealing ring 412 inserted into the tube 1 expands by pneumatic pressure and is in close contact with the inner surface of the tube 1, whereby the stopper side sealing unit 400 shields the inside of the tube from the outside. The conveying unit 500 includes a laterally conveying unit 510 for moving the tube 1 in a lateral direction, which is perpendicular to the axial direction, and axially conveying unit 520, and 520′ for moving the tube 1 axially. FIG. 6a is a schematic front view of the laterally conveying unit 510 of the conveying unit 500, and FIG. 6b is a schematic right side view of a part where a cam 512 of the laterally conveying unit 510 is contained. As shown in FIGS. 6a and 6b, the laterally conveying unit 510 includes a cam unit 510a, a conveyable body 510b and a tube guide unit 510c. The cam unit 510a includes a motor 511 (see FIG. 6a) mounted below the workbench 4 (see FIGS. 2a and 2b), at least one cam 512 connected to a driving shaft 511a of the motor 511, and a slave body 513 carried out a vertical reciprocating action by interlocking with the cam 512 in a state where it is in contact with the cam 512 by a roller 512a. The conveyable body 510b includes a slave bar 514b and at least one block 514. The slave bar 514b has the bottom fixed on the upper face of the slave body 513 and is carried out a vertical reciprocating action by the slave body 513. The blocks 514 are disposed on the upper face of the slave bar 514b in rows, which corresponds to the axial conveying lines 7a and 7b (see FIG. 2a) where a welding position tube fixing hole 515a and a measuring position tube fixing hole 515b of the tube guide 515. The upper faces of the blocks 514 serve as upper slopes 514′ (see. 6b) inclined in the lateral conveying direction. The tube guide unit 510c includes at least one tube guide 515 and fixing shaft 516. The tube guide 515 is at right angles to the tube 1, and is arranged along the axial direction of the tube 1 in plural number. As shown in FIG. 6b, on the upper face of the tube guide 515, the tube guide 515 includes the welding position tube fixing hole 515a for fixing the tube 1 at a welding position of the tube 1 and the flange 2, a measuring position tube fixing hole 515b for fixing the tube 1 at a measuring position related with a coaxial welding of the tube 1 and the flange 2, and a plurality of walls 515c for stopping the lateral movement of the tube 1. Spaces between the walls 515c are inclined along the movement direction of the tube 1. The fixing shafts 516 are disposed below the tube 1 in such a way as to be separated at a predetermined interval in the lateral direction of the tube 1 to thereby fix and support the tube guides 515. In the laterally conveying unit 510, the slave body 513 and the conveyable body 510b vertically move between the top dead center and the bottom dead center of the cam 512 by the cam 512 rotating by the rotation of the motor 511. The blocks 514 draw the tube 1 upwardly from the welding position tube fixing hole 515a when the conveyable body 510b ascends. The upwardly drawn tube 1 performs a rolling movement by the upper slopes 514′ of the blocks 514 and the inclinations of the upper faces of the tube guides 515, and then, is inserted into the measuring position tube fixing hole 515b. In this instance, the walls 515c stop the rolling movement of the tube 1. The tube guides 515 illustrated in FIG. 6b are just one example for the present invention. Shapes of the tube guides 515, formation locations and the number of the tube fixing holes 515a and 515b and the walls 515c may be changed according to the welded surface processing part (not shown), the inner diameter processing part (not shown), and so on. FIG. 7a is a front view of the axially conveying unit 520 (see FIG. 2a), and FIG. 7b is a side view of a gripper 521. The axially conveying unit 520 includes the gripper 521, a pulley 526 located at both sides of the gripper 521, a belt 526a wound on the pulley 526, and a motor 525 for rotating the pulleys 526. The gripper 521 includes right and left arms 522 joined with the upper portion of a gripper body 522a by a hinge 523, the right and left arms 522 rotating on the hinge 523 by pneumatic pressure to hold and fix the tube 1. The right and left arms 522 of the gripper 521 are configured in such a way as to move at the same time, so that they ascend and descend together. The arms 522 have a groove in which the tube 1 is inserted. While holding and fixing the tube 1 when they rotate and ascend at the same time, the right and left arms 522 release fixing of the tube 1 when they rotate and descend together. The motor 525 and the pulleys 526 laterally convey the gripper 521 connected by the belt 526a along the axially conveying line 7a (see FIG. 2a), so that the tube 1 fixed to the gripper 521 is conveyed from the upper portion of a tube conveying roller 8 along the axially conveying line 7a. FIG. 7c is a front view of an axially conveying unit 520′ for conveying the gripper 521 on an extended axially conveying line 7b using a pneumatic cylinder 524. In the axially conveying unit 520′, the pneumatic cylinder 524 in place of the motor 525 and the pulleys 526 conveys the gripper 521 along the axially conveying line 7b (see FIG. 2a). The pneumatic cylinder 524 conveys the gripper 521 along the axially conveying line 7b of the tube 1. The motor 525, the pulleys 526 and the belt 526a constituting the axially conveying unit 520 and the pneumatic cylinder 524 constituting the axially conveying unit 520′ are one example of the gripper conveying unit for conveying the gripper 521. The axially conveying unit 520 having the motor 525, the pulleys 526 and the belt 526a and the axially conveying unit 520′ having the pneumatic cylinder 524 can be selectively applied in case of need. The conveying unit 500 having the above-mentioned configuration is laterally aligned between other conveying unit (not shown) which are arranged at the welded surface processing part (not shown) and the inner diameter processing part (not shown). The conveying unit is continuously aligned in a laterally conveyable direction of the tube 1 according to the manufacturing process of the guide thimble tube, so that the conveying unit can continuously convey the tube 1 according to the position of the processing parts corresponding to the guide thimble tube manufacturing process. Thereby, welding of the flange 2 and the tube 1 constituting the guide thimble tube can be carried out automatically. As shown in FIG. 2a, the conveying direction of the tube 1 of the laterally conveying unit 510 is at right angles to the axially conveying lines 7a and 7b, and arranged at regular intervals in plural number. As shown in FIG. 2a, the axially conveying unit 520 and 520′ are respectively arranged on the axially conveying lines 7a and 7b of the tube 1 to form the conveying unit 500. In FIG. 2a, the reference numeral 7a designates the axially conveying line extending to the welding unit 300 and 7b designates the axially conveying line extending to the tremor measuring part 700. FIG. 8a is a front view of the tremor measuring part 700, and FIG. 8b is a right side view of a tube rotator 720. As shown in FIG. 8a, the tremor measuring part 700 includes a probe 710 for inspecting a change in height of the outside of the flange 2 according to the rotation of the tube 1 in a state where it is in contact with the outer surface of the flange 2, a stopper 730 rotating below the probe 710 together with the tube 1 after stopping the axial conveyance of the tube 1, and a tube rotator located at right side of the probe 710 for rotating the tube 1. The stopper 730 is rotatably mounted on the axially conveying line of the tube 1 beneath the probe 710 by a bearing 731. The stopper 730 stops the axial conveyance of the tube 1 in a state where an end portion of the flange 2 welded to the tube 1 is in contact with the stopper 730. When the tube 1 is rotated by the tube rotator 720, the stopper 730 rotates together with the tube 1 by the bearing 731. The tube rotator 720 includes a motor 722, an upper roller 723 rotating in a state where it is in contact with the upper face of the tube 1 by the motor 722, a pair of lower rollers 721 adapted for supporting the tube 1 below the upper roller 723 and rotated by the rotation of the tube 1, and a conveying cylinder 725 for vertically moving the motor 722 joined with the upper roller 723. The indication part 5 (see FIG. 2b) is to indicate a welded status of the flange 2 and tube 1 and a vertical movement width of the rotation of the flange 2 measured by the tremor measuring part 700. The controlling part 6 (see FIG. 2b) is configured to control operations of components of the automatic welding apparatus 100 including the welding unit 300, the stopper side sealing unit 400, the conveying unit 500, and the tremor measuring part 700. The controlling part 6 can sense a movement of the tube 1 by program, and then, automatically carry out a welding process of the flange 2 and the tube 1. Furthermore, alternatively, the controlling part 6 may include a plurality of control buttons, and so, the automatic welding apparatus 100 can carry out the welding process of the flange 2 and the tube 2 by a user's button manipulation according to a conveyed position of the tube 1. The end surface 1a (see FIG. 9a) of the tube 1 and the end surface 2a (see FIG. 9a) of the flange 2, which form the welded surface 3 (see FIG. 9b) of the tube 1 and the flange 2 can be processed in such a way as to complementarily interlock with each other in order to prevent a separation from each other during the rotation for butt welding. FIG. 9a is a sectional view showing a state before the flange 2 and the tube 1 are welded by butt welding, and FIG. 9b is a sectional view a state after the flange 2 and the tube 1 are welded by butt welding. As shown in FIG. 9a, the end surface 1a of the tube 1 is processed in such a way that an outer diameter thereof has a sweep-back angle of 45°, and the end surface 2a of the flange 2 is processed in such a way that an outer diameter thereof has a sweep forward angle of 45°, whereby the end surfaces 1a and 2a of the tube 1 and the flange 2 form the welded surface 3 as shown in FIG. 9b and are joined with each other in such a way that their outer diameters engage with each other. Accordingly, since the outer diameters of the tube 1 and the flange 2 engage with each other without any pressing work, it is prevented that the tube 1 and the flange 2 are separated from each other on the same axis during the rotation for welding. Processing of the end surfaces 1a and 2a of the tube and the flange 2 is carried out by the welded surface processing part (not shown) mounted at one side of the automatic welding apparatus 100. Hereinafter, referring to FIGS. 2a to 9b, a method of automatically welding a flange to a guide thimble tube in a nuclear fuel assembly using the automatic welding apparatus 100 will be described. The method of automatically welding the flange to the guide thimble tube in the nuclear fuel assembly according to the present invention includes the steps of: supplying the flange 2; inserting the flange 2 into the welding chamber 330 after the tube 1 is interlockingly joined to the flange 2; sealing the inside of the welding chamber 330 to which the flange 2 and the tube 1 are inserted and the inside of the tube 1 from the outside; welding end surfaces of the flange 2 and the tube 1 into one welded surface 3 while fixing and rotating the flange 2 and the tube 1 after filling argon atmospheric gas after the sealing step; transferring the tube 1, to which the flange 2 is welded, to the conveying unit 500; laterally conveying the tube 1 transferred to the conveying unit 500 to the tremor measuring part 700; and measuring tremor in order to judge whether or not the flange 2 has been welded to the tube 1 on the same axis within an error tolerance while rotating the flange 2 and the tube 1 after mounting them to the tremor measuring part 700. Through the flange supplying step, the flange supplying unit 200 discharges the flange 2, and the flange 2 is supplied coaxially with the tube 1 by conveying the conveying block 230 in the axial direction of the tube 1 as shown in FIG. 3. In order to insert the flange 2 and the tube 1 into the welding chamber 230, the tube 1 is conveyed to the upper face of the tube guide 515 of the conveying unit 500, which is mounted to interlock with the automatic welding apparatus 100, by the conveying unit (not shown) interlocking with the welded surface processing part (not shown). The tube 1 conveyed to the upper face of the tube guide 515 is inserted into the welding position tube fixing hole 515a after a rolling movement in a lateral direction by the inclined upper face of the tube guide 515. The tube 1 seated on the welding position tube fixing hole 515a of the conveying unit 500 is fixed by the arms 522 formed on the gripper 521 of the axially conveying unit 520, and then, axially conveyed toward the welding unit 300 by the gripper conveying unit including the motor 525, the pulleys 526 and the belt 526a or including the pneumatic cylinder 524 (see FIG. 7a or 7c). The tube 1 conveyed axially is interlockingly joined with the flange 2 located on the conveying block 230 as shown in FIG. 9b. The flange 2 and the tube 1, which are joined interlockingly with each other, are continuously conveyed by the axially conveying unit 520, inserted into the outer circumference of the mandrel guide 347, and then, inserted into the welding chamber 330 (see FIG. 4a). The flange 2 and the tube 1 inserted into the welding chamber 330 stops the axial conveyance while the flange 2 is in contact with the stopper face 344a (see FIG. 4a). In this instance, the welded surfaces 3 of the flange 2 and the tube 1 (see FIGS. 4a and 9b) are located below the welding torch 351. After that, the mandrel shaft 344 moves toward the flange 2 and the tube 1 by an action of the pneumatic cylinder 341 of the mandrel unit 340, and then is inserted into the flange 2 and the tube 1. When the mandrel shaft 344 is inserted into the flange 2 and the tube 1, the mandrel shaft slopes 345 jut the protruding chucks 346 in a circumferential direction of the mandrel guide 347 through the chuck holes 346a to thereby fix the flange 2 and the tube 1. After the flange 2 and the tube 1 are fixed by the mandrel shaft 344, by the above-mentioned sealing step, the elastic ring 325 of the chamber side sealing unit 320 (see FIGS. 4a to 4c) expands in a central direction by pneumatic pressure to thereby shield the outer circumferential surface of the tube 1 from the tube inlet 334. At the end portion of the tube 1, which is located outside the welding chamber 330, the sealing bar 411 of the stopper side sealing unit 400 (see FIG. 5) is inserted to the inside of the tube 1 passing through the tube through hole 1′, and then, the sealing ring 412 expands by the pneumatic pressure to thereby shield the inside of the tube 1 from the outside, whereby it is prevented that the external air containing oxygen is introduced to the inside of the welding chamber 330. In the above state, if a vacuum valve (not shown) and an argon valve (not shown) are used, inert gas, such as argon gas, fills the inside of the welding chamber 330 as the same time when the inside air of the welding chamber 330 is discharged, whereby chemical deformations of the tube 1 and the flange 2 by oxidation during welding can be prevented. When filling of inert gas into the welding chamber 330 is finished, by the welding step, the servo motor 360 and the timing belt 301 rotate the mandrel 343 and mandrel shaft 344, which fixes the flange 2 and the tube 1. While the mandrel rotates, the welding torch 351 lowers in such a way as to be adjacent to the welded surfaces 3 (see FIG. 9b) of the flange 2 and the tube 1, and then, the welded surfaces 3 are welded. After the welding of the flange 2 and the tube 1 is finished, the sealing of the chamber side sealing unit 320 and the sealing of the stopper side sealing unit 400 are released, and fixation of the flange 2 and the tube 1 by the mandrel shaft 344 is also released, and then, the mandrel shaft 344 is returned to its original position. The tube 1 to which the unfixed flange 2 is welded is conveyed to the conveying unit 500 by the axially conveying unit 520 (see FIG. 2a and FIGS. 7a to 7c). After that, the laterally conveying unit 510 laterally conveys the tube 1 conveyed to the conveying unit 500, so that the tube 1 is located in the measuring position tube fixing hole 515b. Next, the tube 1 located on the measuring position tube fixing hole 515b is conveyed to the tremor measuring part 700 by the axially conveying unit 520′ (see FIGS. 2a and 7c) mounted axially. The tube 1 conveyed by the axially conveying unit 520′ stops the axial conveyance if the flange 2 is in contact with the stopper 730 (see FIG. 8a). In this instance, the flange 2 is located beneath the probe 710, and the end portion of the tube 1 welded with the flange 2 is located between the upper roller 723 and a pair of the lower rollers 721. When the end portion of the tube 1 welded with the flange 2 is located between the upper roller 723 and a pair of the lower rollers 721, the motor 722 is moved downwardly by the conveying cylinder 725, and the tube 1 is fixed by the upper roller 723 and the lower rollers 721. After that, the probe 710 is moved downwardly by pneumatic pressure, and then, is in contact with the outer surface of the flange 2. After the probe 710 is in contact with the flange 2 and the tube 1 is fixed between the upper roller 723 and the lower rollers 721, the upper roller 723 is rotated by the motor 722 to thereby rotate the stopper 730, the flange 2 and the tube 1. The probe 710 is in contact with the outer surface of the flange 2 and outputs a vertical movement width of the outer surface of the flange 2 by the rotation of the tube 1 to an external indicator (not shown). Through changes of the vertical movement width during the rotation of the flange 2, the user can inspect whether or not the tube 1 and the flange 2 are welded on the same axis within the error tolerance. In this instance, if the vertical movement width (tremor) of the flange 2 is within the error tolerance, the next step will be carried out, but if the vertical movement width exceeds the error tolerance, the step is stopped. When the flange 2 is welded on the same axis with the tube 1 within the error tolerance, the tube 1 is located at the center of the conveying unit 500 by the axially conveying unit 520′ (see FIG. 2a). After that, the tube 1 is automatically conveyed to the inner diameter processing part (not shown) by the laterally conveying unit 510. The inner diameter processing part is a device to make a portion, which protrudes on the inner welded surfaces 3 of the flange 2 and the tube 1 by welding, flat. Through the above-mentioned steps, the automatic welding apparatus 100 according to the present invention can automatically weld and join the tube 1 and the flange 2, which form the guide thimble tube. In the above steps, operations of the flange supplying unit 200, the chamber side sealing unit 320, the welding torch group 350, the stopper side sealing unit 400 and the conveying unit 500 are controlled by the controlling part 6. While the present invention has been described with reference to the particular illustrative embodiment, it is not to be restricted by the embodiment but only by the appended claims. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation shown and described, and accordingly, all suitable modifications and equivalents may be resorted to, falling within the scope of the invention. |
|
summary | ||
description | 1. Field of the Invention The present invention relates to a waveguide and a microwave ion source. 2. Description of the Related Art Conventionally, a waveguide has widely been used to guide an electromagnetic wave such as a microwave. As such a waveguide, a waveguide has generally been known, in which a core material made of a nonconductor is inserted into a metal tube molded in a cylindrical shape, and an inner peripheral surface of the metal tube and an outer peripheral surface of the core material are bonded to each other. A waveguide has also been known, in which an outer peripheral surface of a nonconductor is covered with a thin film made of a metal such as aluminum or copper (e.g., see Japanese Patent Laid-Open SHO 62 (1987)-278802). However, there is room for improvement in all the conventional waveguides when they are applied to microwave ion sources or the like. That is, in the case of the waveguide in which the core material is inserted into the metal tube, it is difficult to completely bond the inner peripheral surface of the metal tube and the outer peripheral surface of the core material to each other, and a very small gap is frequently generated between the surfaces. If such a gap is generated between the waveguide and the core material, a conduction loss is increased due to reflection of an electromagnetic wave in the gap. Additionally, for example, as an atmospheric temperature of the microwave ion source rises even to several hundred ° C., the metal tube and the core material may shift from each other due to a difference in coefficients of thermal expansion to generate a gap. Further, the difference in coefficients of thermal expansion between the metal tube and the core material may cause physical deterioration of the waveguide. On the other hand, the waveguide described in Japanese Patent Laid-Open SHO 62 (1987)-278802 is designed to solve designing and processing problems of the waveguide in which the core material is inserted into the metal tube. However, it is still insufficient for practical application as a phenomenon of peeling-off of the metal thin film from the waveguide main body or a phenomenon of deterioration of the thin film itself tends to occur. The present invention was made with the foregoing problems of the conventional art in mind, and objects of the present invention are to provide a waveguide which is capable of efficiently guiding an electromagnetic wave such as a microwave and is highly durable physically and chemically, and a microwave ion source which uses the waveguide. In order to achieve the object, a waveguide of the present invention comprises a waveguide main body made of a boron nitride or an aluminum oxide, and a thin film made of a titanium nitride to cover an outer peripheral surface of the waveguide main body. In the waveguide of the present invention, the waveguide main body is made of a boron nitride or an aluminum oxide, and the outer peripheral surface of the waveguide main body is covered with a thin film made of a titanium nitride, whereby the outer peripheral surface of the waveguide main body and the thin film are sufficiently bonded to each other. Thus, reflection of an electromagnetic wave such as a microwave on the outer peripheral surface of the waveguide main body can be sufficiently suppressed, whereby high-level conductivity can be achieved. Additionally, a combination of the waveguide main body and the thin film makes peeling-off difficult and deterioration thereof caused by a difference in coefficients of thermal expansion between both. Thus, it is possible to maintain the high-level conductivity for a long time. In the waveguide of the present invention, there is no particular limitation on a shape of the waveguide main body because the outer peripheral surface of the waveguide main body is covered with the thin film. Thus, flexibility of designing or processing can be improved compared with a waveguide in which the core material is inserted into the metal tube. For example, even in the case of providing a curve of a predetermined angle to the waveguide main body or a special shape such as a wedge shape, it is possible to obtain a highly conductive waveguide. In the waveguide of the present invention, preferably, the waveguide main body is made of a boron nitride. By combining the waveguide main body made of a boron nitride with the thin film made of a titanium nitride, it is possible to achieve higher conductivity, better adhesion, improved peeling prevention and longer durability with respect to prior waveguides. A microwave ion source of the present invention comprises a plasma generation chamber into which gas is introduced, and a waveguide which comprises a waveguide main body made of a boron nitride or an aluminum oxide, and a thin film made of a titanium nitride to cover an outer peripheral surface of the waveguide main body, and introduces a microwave into the plasma generation chamber. The gas introduced into the plasma generation chamber is formed into plasma by microwave discharge to generate ions. Thus, by using the waveguide of the present invention as a waveguide of a microwave ion source, it is possible to maintain high conductivity with respect to a microwave for a long time, and to carry out efficient plasma generation by microwave discharging. The preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the drawings, similar or equivalent portions are denoted by similar reference numerals, and repeated explanations will be avoided. FIG. 1 is a schematic view showing a preferred embodiment of a microwave ion source of the present invention. The microwave ion source shown in FIG. 1 comprises a waveguide of the present invention as described later. In FIG. 1, a magnetron 11, a magnetron mount 12, a circulator 13, a power monitor 15, a stub tuner 16, an interface tube 17, and a source head 18 are connected in this order to constitute a microwave ion source. A plasma chamber 19 is disposed on the front of the source head 18. A dummy road 14 is disposed on the side of the circulator 13. The magnetron 11 generates a predetermined microwave (e.g., 2.45 GHz). The microwave is introduced into the source head 18 to be used for plasma generation. The circulator 13 diverts the reflected microwave which returns to the magnetron 11 side to the dummy road 14. The diverted microwave is absorbed by the dummy road 14 to be converted into heat. The stub tuner 16 makes adjustments by reducing reflection of the microwave to consume more microwaves for plasma generation. The power monitor 15 for detecting the microwave, the interface tube 17 etc., are not essential components. They can be omitted as occasion demands. FIG. 2 is a sectional view when the source head 18 and the plasma chamber 19 are cut on a plane which includes an introduction path of the microwave. In FIG. 2, a source bushing 22 is formed on the magnetron side (inlet side of microwave MW) of the source chamber 21, and its tip is bent toward the inside of the source head. A magnet yoke 23 is disposed on the tip of the bent portion to provide space for inserting the source head 18. An outlet side plate 24 having an opening is disposed on the front of the magnet yoke 23, and the concave plasma chamber 19 is arranged in the opening of the magnetron side of the plate 24. Concave space 27 of the plasma chamber 19 is a plasma generation area, to which predetermined gas is supplied. A convex magnet pole 25 is arranged in a manner that the tip of the convex portion is close to the plasma chamber 19, and the bottom side face is bonded to the inner wall surface of the side of the magnet yoke 23. A waveguide 26 is arranged in the magnet pole 25 to communicate from the bottom center to the tip of the convex portion. The microwave introduced into the source head 18 is introduced through the waveguide 26 into the plasma chamber 19. The waveguide 26 of the embodiment is constructed by covering the outer peripheral surface of the waveguide main body 30 made of a boron nitride (BN) with a thin film 31 made of a titanium nitride (TiN). There is no particular limitation on a method for forming a thin film. For example, a CVD method can be used. A thickness of the thin film is preferably 10 to 500 μm. In space 29 formed by the inner wall surfaces of the magnetic yoke 23 and the plate 24 and the outer wall surfaces of the plasma chamber 19 and the magnet pole 25, a solenoid coil 28 is arranged to be wound around the convex portion of the magnet pole 25. Thus, a magnetic field is formed along an extracting direction of ions from the plasma chamber 19. In such a microwave ion source, when a microwave is introduced through the waveguide 26 into the plasma chamber 19, electrons in the magnetic field are excited by the microwave, and collision of the excited electrons with gas in the plasma generation area 27 generates plasma which contains predetermined ions. Ions are extracted from the opening of the outlet plate 24 by an extraction electrode (not shown) to generate ion beams. At this time, since reflection of the microwave on the outer peripheral surface of the waveguide main body is sufficiently suppressed by using the waveguide in which the outer peripheral surface of the waveguide main body made of BN is covered with the thin film of TiN, the microwave can be introduced into the plasma chamber 19 by high-level conductivity. An atmospheric temperature of the microwave ion source reaches even several hundred ° C. However, even under such a high temperature condition, peeling-off or deterioration thereof caused by a difference in coefficients of thermal expansion between the waveguide main body and the thin film is unlikely to occur, and the high-level conductivity can be maintained for a long time. A material of the waveguide main body may be alumina (Al2O3). Preferably, however, the waveguide main body made of a boron nitride is combined with the thin film made of a titanium oxide for the purpose of good conductivity, adhesion, peeling prevention and durability with respect to an electromagnetic wave. Next, the present invention will be described in more detail based on embodiments and comparative examples. However, the invention is in no way limited to the following embodiments. TiN was deposited on the outer peripheral surface of a waveguide main body made of BN by a CVD method to manufacture a waveguide 26 (thickness of TiN thin film: 200 μm) shown in FIG. 2. Then, the waveguide 26 was used to manufacture a microwave ion source as shown in FIGS. 1 and 2. In Example 2 and Comparative Examples 1 to 6, waveguides and microwave ion sources were manufactured similarly to Example 1 except for combinations of waveguide main bodies and thin films shown in Table 1. Evaluation of Conductivity, Adhesion, Peeling Prevention and Durability with Respect to Electromagnetic Wave Subsequently, the microwave ion sources of Examples 1 and 2 and Comparative Examples 1 to 6 were used, and BF3 was converted into plasma by a microwave of 2.45 GHz to generate B+. After execution of this process for 100 hours, conductivity, adhesion, peeling prevention, and durability with respect to an electromagnetic wave were evaluated for each waveguide. The obtained results are shown in Table 1. The evaluation shown in Table 1 is based on ranking A to D in which the Comparative Example 1 is a standard. A: Much better compared with the Comparative Example 1. B: Better compared with the Comparative Example 1. C: Comparable to the Comparative Example 1. D: Inferior to the Comparative Example 1. TABLE 1WaveguideThinPeelingmain bodyfilmConductivityAdhesionpreventionDurabilityExample 1BNTiNAAAAExample 2Al2O3TiNABAAComparativeBNSiCCCCCExample 1ComparativeBNCBDACExample 2ComparativeBNNiADDDExample 3ComparativeAl2O3SiCCAAAExample 4ComparativeAl2O3CBDDCExample 5ComparativeAl2O3NiADDDExample 6 As described above, according to the present invention, it is possible to realize a waveguide which is capable of efficiently guiding an electromagnetic wave such as a microwave, and is highly durable physically and chemically, and a microwave ion source which uses the waveguide. |
|
abstract | A nail lamp for curing UV-curable nail gel uses light emitting diodes (LEDs) that emit ultraviolet light and are relatively lower power. The nail lamp is powered from an exterior power source, such as a wall socket, or by a rechargeable battery pack. A battery compartment of the nail lamp holds the battery pack, which is removable without disassembling the nail lamp. The nail lamp is easily transportable to different locations and can be used even when a wall socket is unavailable. A curing time of the nail lamp is user-selectable. The nail lamp can also include one or more detection sensors to detect a person's hand or foot in a treatment chamber and automatically turn on or off the LEDs. |
|
description | Field of the Invention The present disclosure relates to a structure, a method for manufacturing the structure, and a Talbot interferometer. Description of the Related Art Diffraction gratings having periodic structures are used as optical elements in various types of apparatus. In particular, structural bodies made of a metal having a high X-ray absorptance are used for nondestructive inspection, medical practice and the like. One of the applications of structural bodies made of a metal having a high X-ray absorptance is the shield grating of interferometers (X-ray Talbot interferometers) for X-ray Talbot interferometry. The X-ray Talbot interferometry is a method for collecting the information of a subject using the phase shift of X-ray waves by the subject. The X-ray Talbot interferometry will be simply described below. A typical X-ray Talbot interferometer diffracts coherent X-ray radiation through an X-ray diffraction grating to form an interference pattern. The X-ray shield grating, which is disposed at the position where the interference pattern is formed, blocks part of X-ray radiation that is to form the interference pattern, thus forming an intensity distribution different from that of the interference pattern. The information of this intensity distribution is obtained by detecting X-ray radiation from the X-ray shield grating with an X-ray detector. The intensity distribution is changed by disposing a subject in the light path between an X-ray source and the X-ray shield grating. The information of the subject is obtained from the change of the intensity distribution. If an X-ray source that emits low-coherent X-ray radiation is used as a light source of a Talbot interferometer, an X-ray shield grating is disposed between the X-ray source and a diffraction grating so as to form imaginarily an array of micro-focus X-ray sources, and thus coherence is given to the X-ray radiation. This technique is particularly called X-ray Talbot-Lau interferometry. In the following description, the X-ray shield grating disposed at the position where an interference pattern is formed is referred to as an analysis grating; and the X-ray shield grating disposed between an X-ray source and a diffraction grating is referred to as a source grating. The X-ray shield grating simply mentioned refers to either a source grating or an analysis grating, or both. A typical X-ray shield grating used for Talbot interferometry has a structure in which X-ray transmission portions (may be simply referred to as transmission portions) and X-ray shield portions (may be simply referred to as shield portions) are periodically arranged. The X-ray shield portions are often made of a metal having high X-ray absorptance. Even when the shield portions are made of a metal having a high X-ray absorptance, however, the shield portions are required to have a high aspect ratio from the viewpoint of the relationship between the thickness required to block X-ray radiation and the period of the interference pattern (for the analysis grating) or the imaginary array of X-ray sources (for the source grating). In the present disclosure or the description herein, the aspect ratio of the shield portions is defined as the ratio (h/w) of the height h of the shield portion to the width w thereof. For manufacturing such a shield grating, a process is known in which a mold is filled with a metal by plating. Japanese Patent Laid-Open No. 2010-185728 discloses a method for manufacturing a shield grating, in which a metal is deposited by plating in recessed portions formed in a silicon substrate by reactive etching. In this method, after protective film is formed on the bottoms and side walls of the recessed portions, the silicon substrate is exposed at the bottoms, and the exposed surface of the silicon substrate is used as a seed layer for growing a metal therefrom. The present inventors however have found that the adhesion between the metal and the silicon substrate can be reduced depending on the metal and the magnitude of the film stress produced in the metal, and that the connection between the bottom of the recessed portion and the metal is broken in some cases by receiving a physical force. According to an aspect of the disclosure, there is provided a structure including a silicon substrate having a plurality of recessed portions, each having a bottom and a side wall, silicide layers, one each in contact with the bottoms of the recessed portions, and a metal structure including metal portions, one each disposed in the recessed portions and in contact with the silicide layers. The silicide layers in the different recessed portions are electrically connected to each other through the silicon substrate. Further features of the present invention will become apparent from the following description of exemplary embodiments with reference to the attached drawings. Exemplary embodiments will now be described with reference to the drawings. In the drawings, the same parts are designated by the same reference numerals and thus description thereof is omitted. The embodiments described below will provide structural bodies, each having higher adhesion between a silicon substrate and a metal than the case where a metal is directly deposited on a silicon substrate. A first embodiment will describe a structure 10 including a one-dimensionally periodic structure and capable of being used as a one-dimensional X-ray shield grating. A second embodiment will describe a structure 10 including a two-dimensional periodic structure and capable of being used as a two-dimensional X-ray shield grating. A third embodiment will describe a method for manufacturing the structural bodes of the first embodiment and the second embodiment, and a fourth embodiment will describe another method for manufacturing the structural bodies of the first embodiment and the second embodiment. A fifth embodiment will describe a Talbot interferometer including the structure 10 of the first embodiment. The first embodiment and the second embodiment are the same in that the structure 10 includes a silicon substrate 1 and a metal structure 5 in which portions of the silicon substrate 1 and metal portions 13 of the metal structure 5 are connected to each other with a silicide layer 4 there between. Since silicide has high adhesion to both silicon and metal, the silicon substrate 1 and the metal structure 5 are unlikely to separate from each other even if a physical force is placed on the structure 10. Each embodiment will be further described in detail. The first embodiment will describe a structure including a one-dimensionally periodic structure and capable of being used as a one-dimensional X-ray shield grating. FIG. 1A shows a schematic sectional view of the structure 10 of the present embodiment, and FIG. 1B shows a schematic top view of the structure 10. The section shown in FIG. 1A is taken along line IA-IA in FIG. 1B. As shown in FIGS. 1A and 1B, the structure 10 of the present embodiment includes a silicon substrate 1 having a plurality of recessed portions 2 therein, as plurality of silicide layers 4, one each in contact with the bottoms 3 of the plurality of recessed portions 2, and a metal structure 5 defined by metal portions 13 disposed in the plurality of recessed portions 2. The plurality of silicide layers 4 are each in contact with the metal structure 5. The plurality of silicide layers 4 in the different recessed portions 2 are separate from each other, and the metal portions 13 of the metal structure 5 disposed in the plurality of recessed portions 2 are also separate from each other. In other words, the silicon substrate 1 has a plurality of recessed portions 2 therein, each in which are disposed the plurality of silicide layers 4 in contact with the bottom 3 of the plurality of recessed portion 2 and the metal portion 13 of the metal structure 5 in contact with the corresponding plurality of silicide layers 4. The metal portions 13 of the metal structure 5 are arranged at a pitch p1 in the x-axis direction. The width w and pitch p1 of the metal portions 13 of the metal structure 5 are not particularly limited. The width w of the metal portion 13 is a length thereof in the direction in which the metal portions 13 are arranged, that is, in the x-axis direction. If the structure 10 is used as an X-ray shield grating, the metal portions of the metal structure 5 function as shield portions that block X-ray radiation, and the portions 6 of the silicon substrate 1 between the metal portions function as transmission portions through which X-ray radiation is transmitted. If the structure 10 is used as an X-ray shield grating, the structure 10 is often used such that X-ray radiation travels along the z axis perpendicular to the x axis and the y axis (if x-ray radiation travels different directions, in the direction along the center line of the X-ray flux). In this instance, the width w of the metal portions of the metal structure 5 defines the width of the X-ray shield portion, and the pitch p1 of the metal structure 5 defines the pitch of the X-ray shield grating. The width w of the metal portions and the pitch p1 of the metal structure are set according to the shape of the desired X-ray shield grating. The plurality of recessed portions 2 may be formed in the silicon substrate 1 by any method without particular limitation, and for example, by anisotropic etching. The plurality of recessed portions 2 may be formed using a photosensitive resist for a different structure from the structure 10 shown in FIGS. 1A and 1B. In this instance, the plurality of recessed portions 2 are formed by forming a resist layer having a plurality of through holes therein on the silicon substrate 1. The resist layer is formed by applying the photosensitive resist onto the silicon substrate 1, patterning the resist, and removing uncured portions of the resist, as disclosed in Japanese Patent Laid-Open No. 2009-37023. Each of the thus formed plurality of recessed portions 2 has a side wall defined by the side wall of the through hole in the resist layer, and a bottom 3 defined by the surface of the silicon substrate 1 exposed by removing the uncured resist. The silicon substrate 1 in this structure 10 serves in part as portions defining the plurality of recessed portions 2, and therefore the silicon substrate 1 provided with a layer having through holes therein is also referred to as the silicon substrate 1 having a plurality of recessed portions 2. The adhesion between silicide and metal is generally higher than the adhesion between silicon and metal, and accordingly the adhesion between the plurality of silicide layers 4 and the metal structure 5 is higher. Therefore the silicon substrate 1 and the metal structure 5 are unlikely to separate from each other even if a physical force is placed on the structure 10. It is desirable that the surface of the metal structure 5 in contact with the plurality of silicide layers 4 contains the same metal as the metal contained in the plurality of silicide layers 4 (that is, the metal in the silicide of the plurality of silicide layers 4). In other words, when the silicide of the plurality of silicide layers 4 is a compound containing silicon and at least one of the same metals as the metal constituents of the surface of the metal structure 5 in contact with the plurality of silicide layers 4, the adhesion between the plurality of silicide layers 4 and the metal structure 5 is advantageously increased. The same metal mentioned herein refers to the same element. For example, when the metal structure 5 is made of gold, it is desirable to form the plurality of silicide layers 4 of gold silicide. When the surface of the metal structure 5 in contact with the plurality of silicide layers 4 is made of an alloy, it is desirable to form the plurality of silicide layers 4 of a compound containing silicon and at least one of the metal elements in this alloy. In this instance, more desirably, the plurality of silicide layers 4 contain the metal element having the highest content of the metal elements in the alloy. For example, when the surface of the metal structure 5 in contact with the plurality of silicide layers 4 is formed of an alloy of gold and copper, the plurality of silicide layers 4 may be made of a compound containing gold, copper and silicon, a compound of gold and silicon, or a compound containing copper and silicon. In this instance, however, if the alloy of the surface of the metal structure 5 in contact with the plurality of silicide layers 4 contains more gold than copper, a compound of gold and silicon is more advantageous for the plurality of silicide layers 4 than a compound of copper and silicon. In the case where the metal portions 13 of the metal structure 5 have a multilayer structure, it is desirable that the surface thereof in contact with the plurality of silicide layers 4 contain the same metal as the metal contained in the plurality of silicide layers 4. For example, if the surface of the metal structure 5 in contact with the plurality of silicide layers 4 is made of nickel and the layer overlying the nickel layer is made of gold, the plurality of silicide layers 4 is desirably made of a compound containing nickel and silicon. In the description of this disclosure, silicide refers to amorphous silicon or crystalline silicon in which some of the silicon atoms are substituted with a metal element. If some of the silicon atoms are substituted with a metal element, some of the silicon-silicon bonds are converted into silicon-metal bonds. The constituents of the silicide are not particularly limited, and examples of the silicide include gold silicide, nickel silicide, copper silicide, titanium silicide, tungsten silicide, cobalt silicide, iron silicide, and molybdenum silicide. The material of the metal structure 5 is not particularly limited. For the structure 10 intended for use of an X-ray shield grating, however, gold or an alloy containing gold is advantageous as the material of the metal structure 5 from the viewpoint of the magnitude of the X-ray absorptance and the ease of arrangement in the plurality of recessed portions 2. For forming a gold or gold alloy metal structure 5, a silicon substrate 1 having plurality of recessed portions 2 each in which a silicide layer is formed at the bottom of a recessed portion is subjected to electroplating as a mold. Thus gold or a gold alloy is deposited in each of the plurality of recessed portions 2. In this operation, the silicide layer is used as a seed. In this operation, the plurality of silicide layers 4 are separate from each other, but are electrically connected through the silicon substrate 1 that is a semiconductor material. Hence, when the silicon substrate 1 having plurality of recessed portions 2 each in which a silicide layer is disposed at the bottom thereof is subjected to electroplating as a mold, each of the plurality of silicide layers 4 can be electrified through the silicon substrate 1. For electrifying the plurality of silicide layers 4, electricity may be directly supplied to the plurality of silicide layers 4 from an external power supply. Since the plurality of silicide layers 4 are separate from each other, but are electrically connected through the silicon substrate 1, all the plurality of silicide layers 4 electrically connected through the silicon substrate 1 can be electrified, as long as at least one silicide layer 4 is connected to an external power supply. The plurality of silicide layers 4 thus can be electrified from an end of the silicon substrate 1 or the surface of the silicon substrate 1 opposite the plurality of recessed portions 2, and thus the metal structure 5 can be formed in a large area of the silicon substrate 1. The phrase “electrically connected” mentioned herein means that when electricity is applied to one recessed portion, the electricity flows from the portion to the other. If the silicon substrate 1 is exposed at the side walls 7 of the plurality of recessed portions 2 and the top surfaces 8 around the plurality of recessed portions 2, the plating metal can be deposited on the side walls 7 and the top surfaces 8. This can cause void to be formed in the metal portions 13 of the metal structure 5. In the case of using the structure 10 as an X-ray shield grating, voids in the metal structure 5 may result in uneven shield depending on the degree of the voids, the depth of the plurality of recessed portions 2 or the energy of x-ray radiation. Accordingly, for electrifying the plurality of silicide layers 4 through the silicon substrate 1 for electroplating, it is desirable to cover the side walls 7 and the top surfaces 8 with an insulating layer 9. In this instance, the side walls 7 of the plurality of recessed portions 2 are separated from the corresponding metal portions 13 of the metal structure 5 in the plurality of recessed portions 2 by the insulating layer 9 in the resulting structure 10. The insulating layer 9 is in contact with the metal structure 5 at the surface thereof opposite the surface in contact with the side wall 7. Hence, the metal portions 13 of the metal structure 5 are connected one each to the bottoms 3 of the plurality of recessed portions 2 with the plurality of silicide layer 4 there between, and to the side walls 7 of the plurality of recessed portions 2 with the insulating layer 9 there between. The insulating layer 9 may be made of any insulating material, and may be a thermally oxidized silicon film or a nitride film. The portions of the insulating layer 9 formed on the top surfaces 8 may be removed after electroplating. The top surfaces 8 around the plurality of recessed portions 2 refer to the surfaces of portions 6. For example, if the surface of the silicon substrate 1 is exposed at the top surfaces 8, the top surfaces 8 are portions of the silicon substrate 1; if the surface of the silicon substrate 1 is covered with an insulating layer 9, the top surfaces 8 are portions of the insulating layer 9. If the side walls 7 of the plurality of recessed portions 2 are defined by through holes in a resist layer and the surface of the resist layer opposite the silicon substrate 1 is exposed at the top surfaces 8, the tops surfaces 8 are portions of the resist layer. If the structure 10 is used as an X-ray shield grating, the shield portions desirably block 80% or more of the x-ray radiation perpendicularly incident on the shield portions. Accordingly, the thickness of, for example, a gold metal structure may be 10 μm or more for x-ray radiation of 5 keV, although the thickness depends on the material of the metal structure and the energy of X-ray radiation incident on the metal structure. Advantageously, the thickness of the metal structure is smaller than or equal to the height of the recessed portions. Accordingly, the height of the recessed portions is 10 μm or more. If the structure is used as the X-ray shield grating of a Talbot interferometer, the metal portions 13 of the metal structure 5 are often arranged at a pitch p1 in the range of 2 μm to 24 μm, having a width w in the range of 0.5 μm to 12 μm. The aspect ratio of each recessed portion is often in the range of 10 to 150. If the metal structure is made of a metal other than gold, the metal structure is formed to a larger thickness, and the aspect ratio of the recessed portions is increased accordingly. If the structure 10 is used as an X-ray shield grating, the recessed portions are desirably as vertical as possible in the depth direction thereof. More specifically, the side walls 7 of the recessed portions may form an angle of 89.5 degrees to 90.5 degrees, desirably 89.8 degrees to 90.2 degrees, more desirably 89.9 degrees to 90.1 degrees, with the top surfaces 8 around the recessed portions. If the recessed portions are less vertical in the depth direction thereof, portions incapable of sufficiently blocking x-ray radiation may be formed around the side walls 7 of the recessed portions. The aspect ratio of the metal portions 13 mentioned herein is a value relative to the smallest width w of the metal portion 13. A second embodiment will describe a structure including a two-dimensional periodic structure and capable of being used as a two-dimensional X-ray shield grating. FIGS. 2A and 2B show sectional views of structural bodies according to the second embodiment, and FIG. 2C shows a top view of the structure shown in FIG. 2B. The section shown in FIG. 2B is taken along line IIB-IIB in FIG. 2C. FIG. 2A is a sectional view of a structure 10 including a plurality of protrusion portions 11 formed by etching a silicon substrate 1. Hence, the protrusion portions 11 are each made of silicon, and are arranged on a first surface 12. The first surface 12 is an imaginary surface joining the bottoms 3 of recessed portions 2 formed by etching. The structure 10 shown in FIG. 2A includes a silicon substrate 1 having a first surface 12 on which the plurality of protrusion portions 11 are arranged, silicide layers 4, and a metal structure 5. The silicide layers 4 are disposed one each in the regions of the first surface 12 between the protrusion portions (hereinafter often referred to as regions between the protrusion portions) so as to be in contact with the regions. The regions between the protrusion portions are defined by a surface of the silicon substrate. The metal structure 5 is disposed so as to fill the spaces between the protrusion portions, thus surrounding the protrusion portions. In other words, the metal structure 5 has a plurality of holes corresponding to the protrusion portions. Hence, the structure 10 can be such that the metal structure is disposed so as to fill the spaces between the silicon protrusion portions arranged in the silicon substrate. On the other hand, FIG. 2B is a sectional view of a structure 10 including a plurality of protrusion portions formed on a first surface 12 of a silicon substrate 1. As with the structure shown in FIG. 2A, the structure 10 shown in FIG. 2B includes a silicon substrate 1 having the first surface 12 on which the plurality of protrusion portions 11 are arranged, silicide layers 4 one each disposed in the regions between the protrusion portions 11, and a metal structure 5. The structure of FIG. 2B is however different from that of FIG. 2A in that the silicon substrate 1 and the protrusion portions 11 are not formed from the same silicon substrate. The protrusion portions 11 may be made of any material without particular limitation. In the structure 10 intended for X-ray shield grating, however, the protrusion portions are made of a material having a high X-ray transmittance so as to be able to function as transmission portions. Desirably, the material of the protrusion portions 11 is selected so that each protrusion portion 11 can transmit 80% or more of the X-ray radiation perpendicularly incident on the protrusion portion. A photosensitive resist may be used as the material of the protrusion portions 11. The photosensitive resist can form microscopic protrusion portions 11 arranged at a very small pitch in a process performed by applying the photosensitive resist, patterning the resist, and removing uncured portions of the resist, as in the first embodiment. In this instance, the photosensitive resist comes in contact with the surface of the silicon substrate. For forming the protrusion portions on the silicon substrate in this manner, the silicon substrate may have a structure including a substrate made of a material other than silicon and a silicon layer on at least one surface of the substrate. The sectional view of FIG. 2B shows portions of the metal structure 5 disposed in the spaces between the protrusion portions, and the portions are seemed to be separate from each other. The metal structure 5 is however integrated into one body in depth direction of the figure (FIG. 2C). This applies to the structure 10 shown in FIG. 2A. Although the metal structure 5 shown in FIG. 2C is rectangular in outline, many portions of the edges of the metal structure not defined by the protrusion portions are not straight. The metal portions 13 of the metal structure 5 disposed between the recessed portions 2 may be separate from each other. If the regions of the silicon substrate between the protrusion portions are separate from each other, the metal structure is defined by the separate metal portions. Silicide layers one each in contact with the separate metal portions 13 of the metal structure 5 are also separate from each other, but are electrically connected to each other through the silicon substrate. The common points will now be described between the structure 10 as shown in FIG. 2A including the protrusion portions 11 formed by etching the silicon substrate 1 and the structure 10 as shown in FIG. 2B including the protrusion portions 11 formed on the silicon substrate 1. The width and pitch of the protrusion portions 11 and the pattern of the protrusion portion arrangement are not particularly limited. For example, the protrusion portions 11 may be arranged so as to form a mesh-like metal structure as shown in FIG. 2C, or a checkerboard-like metal structure. For forming a mesh-like metal structure, for example, the protrusion portions may be arranged in each intersection of a grid like a graph paper. For forming a checkerboard-like metal structure, for example, the protrusion portions may be arranged in each intersection of a grid like a graph paper and the barycenters of each square of the grid. The pitch of the protrusion portions 11 need not be the same between the x-axis direction and the y-axis direction, and the shape defined by lines of the grid like a graph paper may be rectangular. If the structure 10 is used as an X-ray shield grating, the metal structure 5 functions as shield portions that block X-ray radiation, and the protrusion portions 11 function as transmission portions through which X-ray radiation is transmitted. In the case of being used as an X-ray shield grating, the structure 10 is often used such that X-ray radiation travels along the z axis, as in the first embodiment. Accordingly, the width w and pitch p1 of the metal portions 13 of the metal structure 5 are set according to the desired shape of the X-ray shield grating. The width w of the metal portions 13 of the metal structure 5 is the smallest length of each metal portion 13 in the direction in which the protrusion portions are arranged (x-axis and y-axis directions), that is, the smallest length of the spaces between the protrusion portions in the directions of the arrangement of the protrusion portions. Also, the pitch p1 of the metal portions 13 of the metal structure 5 is equal to the pitch of the arrangement of the protrusion portions. The structure of the present embodiment is the same as that of the first embodiment except that the periodic structure is two-dimensional. If the surface of the metal structure in contact with the silicide layers contains the same metal as the metal contained in the silicide layer, the adhesion between the silicide layer and the metal structure is advantageously increased. In the case of using the structure 10 as an X-ray shield grating, this surface of the metal structure is desirably made of gold or an alloy containing gold. For forming the metal structure of gold or an alloy containing gold, the spaces between the protrusion portions are filled with gold or the alloy containing gold by electroplating. For this electroplating, it is desirable to cover the side walls 17 and top surfaces 18 of the protrusion portions with an insulating layer 9. In this instance, the protrusion portions 11 and the metal portions 13 of the metal structure 5 in the spaces between the protrusion portions 11 are separated by the respective insulating layers 9 in the resulting structure 10. Each of the insulating layer 9 formed on the side walls 17 is in contact with the metal structure 5 at the surface thereof opposite the surface in contact with the side wall 17. Hence, each metal portion 13 of the metal structure 5 is connected to the first surface 12 with the silicide layer 4 therebetween, and to the side wall 17 of the protrusion portion 11 with the insulating layer 9 therebetween. In the case of using the structure 10 as an X-ray shield grating, the shield portions block, desirably, 80% or more of the x-ray radiation perpendicularly incident on the shield portions, as in the first embodiment. Advantageously, the thickness of the metal structure is smaller than or equal to the height of the protrusion portions. If the structure is used as the X-ray shield grating of a Talbot interferometer, the protrusion portions are often arranged at a pitch p1 in the range of 2 μm to 24 μm, and the width w of the transmission portions is in the range of 1 μm to 12 μm. The aspect ratio of each protrusion portion is often in the range of 10 to 150. If the metal structure is made of a metal other than gold, the metal structure is formed to a larger thickness, and the aspect ratio of the protrusion portions is increased accordingly. The side wall 17 of the protrusion portion may form an angle of 89.5 degrees to 90.5 degrees, desirably 89.8 degrees to 90.2 degrees, more desirably 89.9 degrees to 90.1 degrees, with the top surface 18 of the substrate. Methods for manufacturing the structural bodies according to the first and second embodiments will now be described in detail. A method for manufacturing the structure of the first embodiment will first be described with reference to FIGS. 3A to 3G. The method for manufacturing the structure of the first embodiment includes the following steps (1) and (2) of: (1) forming silicide layers 4 by heating a silicon substrate 1 having a plurality of recessed portions 2 each provided with a metal layer 22 on the bottom 3 thereof, thus forming the silicide layers 4 at the interfaces between the bottoms 3 of the recessed portions 2 and the metal layers 22; and(2) forming a metal structure 5 by electroplating for filling each recessed portion, at least in part, with a metal. These steps will now be described. (1) Step of Forming Silicide Layers The step of forming silicide layers includes preparing a silicon substrate having a plurality of recessed portions each provided with a metal layer on the bottom thereof, and heating the silicon substrate to form silicide. The silicon substrate having the recessed portions each provided with a metal layer on the bottom thereof may be prepared in any process without particular limitation. Such a silicon substrate may be fabricated or purchased. In the present embodiment, a p-type or n-type semiconductor silicon substrate is used as the silicon substrate 1. The use of a p-type or an n-type silicon semiconductor silicon substrate enables electroplating through the silicon substrate 1, and is thus advantageous. The silicon substrate is such that regions (p-n junction diodes) where p-type semiconductor changes into n-type semiconductor and vice versa will not be formed in the silicon portions under the silicide layers and between the silicide layers. For example, a structure having n-type silicon portions under the adjacent silicide portions and a p-type silicon portion between the silicide portions does not allow forward current to flow. This suggests that the adjacent silicide layers cannot be electrically connected to each other through the silicon substrate. The phrase “silicide layers electrically connected” used herein implies that electrons can move in both the forward direction and the reverse direction through the silicon substrate. The present embodiment will describe a process for fabricating such a silicon substrate. The process for fabricating the silicon substrate having a plurality of recessed portions each provided with a metal layer on the bottom thereof includes the following steps of (a) to (e): (a) forming a first insulating layer 20 on a surface of a silicon substrate 1; (b) forming recessed portions 2 in the silicon substrate 1 by partially removing the first insulating layer 20 to expose the surface of the silicon substrate 1, and etching the silicon substrate 1 from the exposed surface through the first insulating layer 20 as a mask;(c) forming a second insulating layer 21 on the side walls 7 and bottoms 3 of the recessed portions 2;(d) removing at least partially the portions of the second insulating layer 21 disposed on the bottoms 3 of the recessed portions 2 to expose the surface of silicon substrate at the bottoms of the recessed portions; and(e) forming a metal layer 22 on the top surfaces 8 around the recessed portions and the surface of the silicon substrate exposed at the bottoms of the recessed portions in the step of (d). These steps will now be described. (a) Step of Forming a First Insulating Layer 20 on a Surface of a Silicon Substrate As shown in FIG. 3A, the first insulating layer 20 is formed on a surface of a silicon substrate. The first insulating layer 20 may be made of silicon oxide or silicon nitride. The thickness of the first insulating layer 20 may be in the range of 0.1 μm to 5 μm. For forming a silicon oxide first insulating layer 20, for example, thermal oxidation or chemical vapor deposition (CVD) can be applied. For forming a silicon nitride first insulating layer 20, for example, CVD can be applied. Although in FIG. 3A, both the second surface 101 of the silicon substrate and the third surface 102 thereof opposing the second surface 101 are provided with the insulating layer 20, the insulating layer 20 may be formed only on the second surface 101. It is however advantageous to form the first insulating layer 20 also on the third surface 102. The insulating layer 20 on the third surface 102 can prevent plating metal from growing from the rear surface of the substrate in a subsequent step of electroplating. (b) Step of Forming Recessed Portions As shown in FIG. 3B, the first insulating layer 20 on the second surface 101 of the silicon substrate 1 is partially removed to form a mask pattern on the second surface 101 simultaneously with partially exposing the second surface 101 of the silicon substrate 1. The case of removing a SiO2 first insulating layer 20 will now be described by way of example of the technique for partially removing the first insulating layer 20 to form the mask pattern. For example, after forming a metal layer, such as a chromium layer, on the first insulating layer 20, a photoresist is applied on the metal layer. Then, the photoresist is subjected to exposure to form a pattern. The shape and dimensions of the pattern are set depending on the pattern and pitch of the intended structure. For a one-dimensional analysis grating of a Talbot interferometer, a pattern including lines at a pitch of 2 μm to 12 μm is suitable. The photoresist pattern is transferred to the metal layer by etching. The etching of the metal layer may be performed by wet etching, or dry etching such as ion sputtering or reactive gas plasma etching. Then, the first insulating layer 20 is etched using the pattered metal layer as a mask. For etching the first insulating layer 20, dry etching may be suitable. For the SiO2 first insulating layer, dray etching using CHF3 plasma is suitable. The metal layer on the first insulating layer 20 may be removed after the completion of the etching of the first insulating layer 20. Subsequently, the silicon substrate 1 is etched to form a plurality of recessed portions 2 from the exposed surface thereof using the pattern of the first insulating layer 20 as a mask. The etching of the silicon substrate 1 may be performed by wet etching using a solution, or dry etching such as ion sputtering or reactive gas plasma etching. Highly anisotropic dry etching is however more advantageous. Among dry etching techniques, reactive ion etching (RIE) is suitable to form recessed portions having a high aspect ratio. In particular, the Bosch process, in which etching with SF6 gas and deposition of a side wall protecting film with C4F8 gas are alternately performed, is more suitable as RIE for forming recessed portions having a higher aspect ratio. The aspect ratio of each recessed portion is desirably in the range of 10 to 150. In the case of Bosch process, desirably, the side wall protecting film is removed after RIE. The side wall protecting film may be removed by, for example, oxygen plasma ashing or washing with hydrofluoroether (HFE) solution. The verticality of the recessed portions in the depth direction is set corresponding to the verticality in the depth direction of the recessed portions in the desired structure. Hence, the recessed portions are formed at an angle as described in the first embodiment. It is known that the Bosch process leaves asperities called scallops in the side walls 7 of the recessed portions. If the side walls 7 of the recessed portions have such asperities, in the present disclosure, the asperities are neglected for determining the angle between the side wall 7 and the surface of the substrate. In this instance, the angle between the side wall 7 and the top surface 8 around the recessed portions is defined by the angle between the top surface 8 and an imaginary flat plane through the bottoms of the asperities. The asperities mentioned herein refer to a roughness of about 0.1 μm or less. For forming the protrusion portions of the second embodiment, the angle between the side wall and the surface of the substrate is defined in the same manner as above. (c) Step of Forming a Second Insulating Layer 21 As shown in FIG. 3C, a second insulating layer 21 is formed on the side walls 7 and bottoms 3 of the recessed portions 2 in the silicon substrate 1. The second insulating layer 21 on the side walls 7 of the recessed portions can prevent plating metal from growing from the side walls of the recessed portions during electroplating. The thickness of the second insulating layer 21 may be in the range of 10 μm or more. A naturally oxidized film is formed over the surface of the silicon substrate even if step (c) is not performed. The naturally oxidized film however has a thickness as small as about 2 nm, and is therefore often insufficient as the insulating layer. The second insulating layer 21 having a thickness of 10 nm or more is more insulating than the naturally oxidized silicon film. Even if the silicon substrate is electrified in a subsequent step, current hardly flow across the surface of the second insulating layer 21. Also, the second insulating layer 21 formed by thermal oxidation of silicon exhibits higher reliability in insulation. The thermal oxidation of silicon, which is a thermal reaction, allows the second insulating layer 21 to be formed on the side walls 7 and bottoms 3 of the recessed portions 2 to a uniform thickness even if the recessed portions 2 have a large aspect ratio. Thus, the second insulating layer 21 can be formed while keeping the verticality of the recessed portions formed in step (b). Depending on the aspect ratio and pitch of the metal portions in the recessed portions, the current for plating, and the allowance for failure in filling the recessed portions (hereinafter referred to as filling failure), the metal structure may be formed by plating without forming the insulating layer on the side walls of the recessed portions. In such a case, Step (c) may be omitted. (d) Step of Exposing the Surface of the Silicon Substrate at the Bottoms of the Recessed Portions As shown in FIG. 3D, the portions of the second insulating layer 21 disposed on the bottoms 3 of the recessed portions 21 are, at least in part, removed to expose the surface of the silicon substrate at the bottoms 3 of the recessed portions. After exposing the surface of the silicon substrate at the bottoms of the recessed portions, a metal layer 24 is formed on the surface of the silicon substrate at the bottoms of the recessed portions in Step (e) for forming silicide. The removal of the second insulating layer 21 may be performed by dry etching using a highly anisotropic first etching gas, but is not limited to such dry etching as long as the recessed portions can maintain the desired verticality and width. For example, the removal may be performed by ion sputtering, reactive gas plasma etching, or argon sputtering. For the second insulating layer 21 of silicon oxide, silicon nitride or silicon nitroxide, suitable is RIE using a reactive gas containing a gas expressed by the general formula CxHyFz. In the general formula, x represents an integer of 1 or more, y represents an integer of 0 or more, and z represents an integer of 1 or more. Examples of the gas expressed by general formula (1) include CF4, CHF3, C2F6, C3F8, and c-C4F8 (octafluorocyclobutane), and any other CxHyFz gas can be used as long as it is anisotropic reactive ion etching gas that can etch the second insulating layer 21. Highly anisotropic reactive ion etching allows the second insulating layer 21 on the bottoms 3 of the recessed portions to be more preferentially removed than the second insulating layer 21 on the side walls 7 of the recessed portions. The etching is stopped at the moment when the second insulating layer 21 is, at least in part, removed from the bottoms 3 of the recessed portions to expose the surface of the silicon substrate. In this state, the silicon surface will be brought into direct contact with a metal layer formed in the following step (e) of forming a metal layer, thus forming silicide. Instead of stopping the etching at the moment of exposing the surface of the silicon substrate, the etching may be continued until the silicon surface is slightly etched. In reactive ion etching, the difference in etching rate at a plane of the silicon substrate between the second insulating layer and the silicon is unlikely to be zero, and the difference increases as the area of the silicon substrate is increased. Consequently, the bottoms 3 of the recessed portions tend to has portions from which the second insulating layer 21 has been removed and portions from which the second insulating layer 21 is not removed. Silicide is unlikely to be formed at the bottoms 3 of the recessed portions at which the silicon surface is not exposed due to the presence of the unremoved second insulating 21, even by the following step of forming a metal layer and heating the metal layer. This is because the remaining second insulating layer 21 interferes with the contact of the silicon surface with the metal layer. In order to remove the second insulating layer 21 completely from the bottoms 3 of the recessed portions at the same surface of the silicon substrate, it is desirable to continue the etching without stopping the etching at the moment of exposing the silicon surface, until the silicon surface is slightly etched (over-etching). In order to stop etching at the moment when the surface of a silicon substrate having a small area is exposed with the first etching gas, process conditions are strictly controlled, and hence process margin is small. Accordingly, over-etching is advantageous for etching with the first etching gas rather than stopping the etching at the moment when the silicon surface is exposed. The present inventors however found that the use of the gas containing a reactive gas expressed by CxHyFz as the first etching gas makes it difficult to form silicide even though the metal layer is formed and heated in a subsequent step. The present inventors also found that this is because the etching of silicon with the reactive gas CxHyFz is liable to cause fluorocarbon polymer (reaction product) to be deposited on the silicon surface, and because the fluorocarbon polymer interferes with the contact between the silicon surface and the metal layer. It is therefore advantageous to remove the fluorocarbon polymer with the second etching gas after the over-etching of the second insulating layer with the first etching gas, if the first etching gas contains the reactive gas CxHyFz. Such two-step etching using the first etching gas and the second etching gas enables the silicon surface to be exposed with a process margin ensured. The second etching may be argon sputter etching, and reactive ion etching gas is advantageous. The second etching gas is such that it can remove fluorocarbon polymer, and does not oxidize silicon into SiO2 or does not form a deposit on the silicon surface. In the use of the second etching gas, the etching selectivity of the second insulating layer on the side walls to the fluorocarbon polymer on the bottoms of the recessed portions (etching rate of the second insulating layer/etching rate of the fluorocarbon polymer) is desirably 1/50 or less. More desirably, the second etching gas has an etching selectivity of 1/100 or less. The second etching gas may contain, but not limited to, sulfur hexafluoride, chlorine, bromine, hydrogen bromide, ammonium trifluoride, silicon tetrafluoride, silicon tetrachloride, chlorine trifluoride, or phosphorus trichloride. In addition, by forming the first insulating layer 20 on the top surfaces 8 around the recessed portions to a larger thickness than the second insulating layer 21, the second insulating layer 21 can be removed from the bottoms 3 of the recessed portions with the first insulating layer remaining on the top surfaces 8. For electrifying the silicon substrate for electroplating, it is desirable the portions coming into contact with the plating solution other than the silicide layers be not electrified. It is therefore desirable that the first insulating layer 20 remain with a thickness of 0.1 μm or more on the top surfaces 8, and that the second insulating layer 21 remain with a thickness of 10 nm or more on the side walls 7. (e) Step of Forming a Metal Layer Subsequently, as shown in FIG. 3E, a metal layer 22 is formed on the top surfaces 8 around the recessed portions and a metal layer 24 is formed on the bottoms of the recessed portions. Since the surface of the silicon substrate is exposed at the bottoms of the recessed portions, the metal layer 24 comes into contact with silicon at the bottoms of the recessed portions. On the other hand, on the top surfaces 8 around the recessed portions, the first insulating layer 20 and the metal layer 22 are disposed in that order; hence, the metal layer 22 does not come into contact with the top surface of the silicon substrate. Also, the metal layer 22 over the top surfaces 8 is electrically isolated from the metal layer 24 on the bottoms by the first insulating layer 20 on the top surfaces 8. For forming the metal layers 22 and 24, a highly directive method is advantageous because such a method does not easily allow the metal layer to be formed on the side walls 7 of the recessed portions. Electron beam deposition and resistance heating vapor deposition are examples of the highly directional method. Alternatively, less directional methods, such as vacuum sputtering, ion beam sputtering or CVD, may be applied. Although these less directional methods form the metal layer on the side walls 7 of the recessed portions, the second insulating layer 21 on the side walls 7 of the recessed portions prevents silicon from coming into contact with the metal layer. Silicide is therefore not formed on the side walls 7 even after the below-described step of forming silicide. Silicide can be selectively formed on the bottoms of the recessed portions. Thus, the silicon substrate having a plurality of recessed portions and a metal layer on the bottoms of the recessed portions is prepared through the steps of (a) to (e). Subsequently, silicide is formed as shown in FIG. 3F by heating the silicon substrate. The heating temperature may be set according to the silicide to be formed (that is, the types of silicon and metal), and is generally in the range of 200° C. to 1000° C. The heating for forming silicide may be performed in any atmosphere. Also, the method for heating is not particularly limited. For example, the silicon substrate may be heated in an oven or on a heater such as a hot plate. Since the silicon surface at the bottoms 3 of the recessed portions is in contact with the metal layer 24, a silicide layer is formed by heating. On the other hand, the silicon surface at the top surfaces 8 around the recessed portions is not in contact with the metal layer 22, silicide is not formed even by heating. Similarly, silicide is not formed on the side walls 7 of the recessed portions even though a metal layer is formed on the side walls 7 of the recessed portions. The second insulating layer 21 prevents the metal layer from coming into contact with the silicon surface. When the silicon substrate is heated with the metal layer in contact with the bottoms of the recessed portions, as described above, the metal in the metal layer is diffused into the silicon substrate at the bottoms of the recessed portions. Thus, the silicide may define the bottoms of the recessed portions. In the description in this disclosure, when the bottoms are defined by the silicide layer as above, the bottoms of the recessed portions and the silicide layers are considered to be in contact with each other.(2) Step of Forming Metal Structure 5 In Step (2), the silicide layers 4 at the bottoms of the recessed portions in the silicon substrate prepared in Step (1) are electrified for electroplating. Thus each recessed portion, at least in part, is filled with a metal, and thus a metal structure 5 is formed as shown in FIG. 3G. Depending on the thickness of the metal layer 24 or the temperature or other conditions for forming the silicide layer 4, a small amount of silicon or silicon oxide can be deposited on the surface of the silicide layer or the surface of the metal layer on the silicide. The silicon or silicon oxide deposited on the surface of the silicide layer or metal layer 24 however does not adhere firmly to the silicide layer or the metal layer 24 and can be easily removed by washing with water. Also, even if silicon oxide or silicon remains between the silicide layers 4 and the metal portions 13 of the metal structure 5, the adhesion between the silicon substrate and the metal structure is higher than the case of the metal structure in contact with silicon without the silicide layer, as long as there is a region where the silicide layer 4 is in contact with the metal portion 13 of the metal structure 5. In the description of the present disclosure, even if silicon or silicon oxide is disposed between the silicide layer 4 and the metal portion 13 of the metal structure 5, the silicide layer 4 and the metal portion 13 of the metal structure 5 are considered to be in contact with each other as long as there is a region where the silicide layer 4 and the metal portion 13 of the metal structure 5 are in contact with each other. In general, silicon is deposited to a thickness of about 5 nm or less on a silicide surface, and silicon oxide is deposited to a thickness of about 5 nm or less. Although silicon oxide is an insulating material, a silicon oxide layer having a thickness as small as 5 nm or less allows electrification of the silicide layer 4 covered therewith for electroplating of the recessed portions. Hence, electroplating may be performed with such deposit remaining. In the description of the present disclosure, the silicide layer 4 and the metal structure 5 are considered to be electrically connected when the silicide layer 4 and the metal structure 5 are electrically isolated from each other to the extent that the insulation there between can be broken down by electroplating. Even when the silicon substrate 1 is monocrystalline, deposited silicon is unlikely to be monocrystalline. By electrification using the silicon substrate 1 as a cathode and an opposing electroconductive substrate as an anode, the silicide layers 4 are electrified through the silicon substrate 1 for plating using the silicide layers 4 as a seed. Thus, the recessed portions are filled with a metal to form the metal structure 5. Consequently, the structure 10 is completed which includes the metal structure 5 including a plurality of metal portions 13 arranged in the silicon substrate. The resulting structure 10 can be used as an X-ray shield grating. Plating using the silicide layers 4 at the bottoms of the recessed portions as a seed helps a metal fill recessed portions selectively from the bottoms of the recessed portions. Thus, the recessed portions can be filled with a metal even if the recessed portions have a high aspect ratio and high verticality. For filling the recessed portions having a large aspect ratio and high verticality with a metal, it is more effective in reducing voids to grow a plating metal from the bottoms of the recessed portions, in comparison with via-filling plating that is a known method for growing a plating metal from both the side walls and bottoms of the recessed portions. The space of each recessed portion need not be fully filled with the metal. For example, even when plating is terminated at a point where a metal fills the recessed portions to a half of the height of the recessed portions, the recessed portions are considered to be filled with the metal in the description of the present disclosure. Also, part of the metal layer 24 may remain as it is without being silicidated in Step (1). In this instance, the plating metal is deposited on the surface of the metal layer covering the silicide layer, but not on the surface of the silicide layer. The silicide layer is however considered to be a portion of the seed because the metal layer acting as the seed is electrified through the silicide layer. At this time, the portion of the metal layer 24 remaining without being silicided will act as part of the metal structure. The structure of the second embodiment can be manufactured basically in the same manner as the structure of the first embodiment. The structure of the second embodiment is however different from the structure of the first embodiment in that the silicon substrate having a plurality of recessed portions and a metal layer on the bottoms of the recessed portions is substituted with a silicon substrate having a plurality of protrusion portions on a first surface and a metal layer in regions of the first surface between the protrusion portions. In this structure, the metal structure 5 has a plurality of holes corresponding to the protrusion portions and the metal portions 13 thereof one each lie on the silicide layers. The silicon substrate used for manufacturing the structure of the second embodiment can be prepared basically through the same steps as the above-described steps of (a) to (e). Step (b) of pattering the first insulating layer is however different from the manufacturing method for the structure of the first embodiment. More specifically, the first insulating layer is patterned so that the first insulating layer remains corresponding to the plurality of protrusion portions, and thus the protrusion portions remain as the top view shown in FIG. 2C. A method for manufacturing the structural bodies according to the first and second embodiments will now be described in detail. The method for manufacturing the structure 10 according to the present embodiment is different from the method of the third embodiment in that a step is performed between Steps (1) and (2) of the third embodiment. In this step, the metal layer 22 formed on the first insulating layer 20 on the top surfaces 8 around the recessed portions is removed to expose the first insulating layer 20. In the case of manufacturing the structure of the second embodiment, a step is performed between Steps (1) and (2). In this step, the metal layer 22 formed on the first insulating layer 20 on the top surfaces 18 of the protrusion portions is removed to expose the first insulating layer. In the following description, both the metal layers disposed on the first insulating layer on the top surfaces 8 around the recessed portions or the top surfaces 18 of the protrusion portions may be collectively referred to as the top metal layer. Although the method for manufacturing the structure of the first embodiment will now be described in detail by way of example, Step (1) of forming the silicide layers and Step (2) of forming the metal structure are the same as in the third embodiment and thus description thereof is omitted. In the present embodiment, after the silicide layers 4 are formed on the bottoms of the recessed portions in Step (1), the top metal layer 22 is removed to expose the insulating layer 20. If the metal layer is disposed on the side walls 7 of the recessed portions with the second insulating layer 21 therebetween, the metal layer is removed simultaneously with the top metal layer by non-anisotropic etching. The metal layer 22 may be removed by any method, and, for example, by being immersed in an etchant for the metal forming the metal layer 22. For this operation, the etchant is such that it can selectively etch the metal layer, but not silicide. The etchant capable of selectively etching the metal layer but not silicide refers to an etchant that etches silicide at an etching rate of 1/100 or less of the etching rate of the metal layer. This removal of the metal layer 22 also removes unsilicidated portions of the metal layer 24 from the bottoms of the recessed portions, but leaves the silicide layers 4 without etching. The remaining silicide layers 4 are used as a seed in the following Step (2). By removing the top metal layer to expose the first insulating layer 20 before Step (2), plating metal is prevented from growing from the metal layer of all the top surfaces even if the insulation between the top metal layer and the silicon substrate is insufficient. For example, the first insulating layer 20 on the top surfaces 8 may have a pinhole due to particulate matter deposited on the silicon surface during Step (1). If a pinhole is formed in the first insulating layer 20, the portion 60 of the top metal layer 22 right above the pinhole is in contact with the corresponding top surface 8 (FIG. 6A). Therefore the entirety of the top metal layer 22 is electrified through the pinhole in the first insulating layer when plating is performed using the silicon substrate 1 as a cathode, and the plating metal 62 is deposited around the portion 60 of the top metal layer right above the pinhole (FIG. 6B). The deposited metal 62 is grown by further plating and is connected to the adjacent portions 63 of the top metal layer 22 separated by the recessed portions 2. Consequently, the adjacent portions 63 are also electrified, and from which the metal 62 is grown by plating (FIG. 6C). If the grown metal 62 is further grown by plating to the extent that the entries of the recessed portions are closed with the metal, the plating solution cannot enter the recessed portions and voids 64 are formed (FIG. 6D). If the silicon substrate 1 is electrified for electroplating in spite of insufficient insulation of the first insulating layer on the top surfaces, the current flows to the top metal layer 22 through the silicon substrate 1. Thus the plating metal may fail to fill the recessed portions satisfactorily. Particularly in the case of the recessed portions arranged in lines as in the first embodiment, the metal layer 22 is formed in a manner of lines on the top surfaces. Accordingly, the metal 62 extends in lines from the top surfaces, thus failing, in a plane manner, to fill the recessed portions (FIG. 6D). FIG. 8A is a schematic representation illustrating the formation of a metal layer by highly directional electron beam deposition. If a metal layer is deposited on a silicon substrate 1 having recessed portions 2 in a larger area relative to the deposition source 70, the metal layers 22 and 24 are deposited only on the top surfaces 8 and bottoms 3, respectively, in the middle portion of the substrate right above the deposition source 70. As the distance between the deposition source and the middle portion of the substrate is increased, the directions of deposition deviate more from the depth direction of the recessed portions 2, and the deposit hits the silicon between the recessed portions. Thus the metal layer 23 becomes likely to be deposited on the side walls 7. The metal layer 23 on the side walls 7 thus becomes likely to connect to the metal layer 24 on the bottoms of the recessed portions. Consequently, the metal layer 23 is electrically connected to the silicide layers after silicide is formed. If the silicon substrate 1 in this state is electrified for electroplating, the current flows to the metal layer 23 on the side walls 7 through the silicon substrate 1, and the plating metal is deposited and grown from the side walls 7. Thus the plating metal becomes liable to fail to fill the recessed portions satisfactorily. It is therefore desirable to remove the metal layer 23 after the silicide layers have been formed. In order to remove the metal layer 23, the silicide layers are formed in a state where the side walls 7 and the metal layer 23 are separate from each other, and the metal layer 23 is subjected to etching so as to selectively etch the metal layer 23 but not the silicide layers. Since the side walls 7 are covered with the second insulating layer 21, the side walls 7 and the metal layer 23 are not brought into contact with each other after the silicide layer is formed in the same manner as in the third embodiment. Non-anisotropic etching such as wet etching enables the metal layer 23 on the side walls 7 to be removed simultaneously with the top metal layer 22. This prevents the plating metal from being deposited and grown from the side walls and reduces the failure in filling the recessed portions with a metal. Also, even if the top metal layer 22 and the metal layer 24 on the bottoms of the recessed portions are electrically connected through the metal layer 23 on the side walls 7, the failure in filling the recessed portions can be reduced by removing the metal layers 22 and 23 from the tops or the side walls after the formation of the silicide layer 4. FIG. 8B is a schematic representation illustrating the formation of a metal layer on a silicon substrate 1 having recessed portions in a larger area than the case of FIG. 8A by electron beam deposition. As the distance between the deposition source and the middle portion of the substrate is increased, the directions of deposition deviates more from the depth direction of the recessed portions 2. Thus the silicon between the recessed portions 2 blocks the metal of the metal layer and hinders the metal layer from being formed on the bottoms 3 of the recessed portions 2. Thus, a less directional method is applied to form a metal layer in a large area. FIG. 8C is a schematic representation illustrating the formation of a metal layer by vacuum sputtering. By using a sputtering target 71 having a large diameter, the metal layer 24 can be easily formed on the bottoms 3 of the recessed portions 2, and also the metal layer 23 becomes liable to be formed on the side walls 7. In this instance, the deposition of the plating metal on the side walls can be reduced by removing the metal layer 23 after the formation of the silicide layers 4, as in the above case. By removing the metal layer 22 from the top surfaces to expose the first insulating layer 20 before Step (2) of electroplating, as in the present embodiment, and then performing electroplating with the first insulating layer exposed, failure in filling recessed portions can be reduced. Even if a pinhole is formed in the first insulating layer 20, only the silicon surface exposed in the pinhole is electrified as long as the first insulating layer is exposed. Metal deposition thus can be occur more locally than the case where the metal layer 22 is disposed on the top surfaces, and accordingly the failure in filling recessed portions is more unlikely to occur. Similarly, even if a pinhole is formed in the second insulating layer 21 on the side walls, voids can be reduced by removing the metal layer 23 from the side walls not provided with the silicide layer. Even though a metal layer is formed except for the bottoms of the recessed portions, the metal layer can be removed after the formation of the silicide layer 4. Thus, voids resulting from pinholes and problems or defects in the metal structure 5 can be reduced. Although the third and fourth embodiments have described exemplary methods for manufacturing the structural bodies of the first and second embodiments, the method of the present invention is not limited to the disclosed embodiments. For example, the metal portions of the metal structure may be formed in the recessed portions by sputtering instead of electroplating. In this instance, the silicide layer may be formed on a metal layer that has been formed on the top surfaces 8 exposed. In the case of electroplating, also, metal deposition on the top surfaces can be prevented by forming a silicide layer on the top surfaces, and then forming an insulating layer so as to cover the top surfaces by oblique vapor deposition. As an alternative to the first insulating layer, an electroconductive protective layer may be formed on the top surfaces, and the metal layers 22 and 24 are formed on the protective layer and the recessed portions, respectively. Then, after the silicide layer 4 is formed on the bottoms of the recessed portions, the metal layer and the protective layer are removed from the top surfaces, and an insulating layer is formed on the top surfaces before electroplating. Even if the metal layer is disposed in contact with the silicon of the top surfaces, the silicide layer 4 can be selectively formed at the bottoms of recessed portions by heating after the metal layer is removed by electrolytic etching as disclosed in Japanese Patent Laid-Open No. 2013-178361. If the side walls of the recessed portions (in the case of the first embodiment) or the protrusion portions (in the case of the second embodiment) are formed by using a photosensitive resist, silicide is not formed even if the resist is in contact with a metal. Therefore the silicide layer can be formed only at the bottoms of the recessed portions even if the metal layer is formed on the top surfaces where silicon is exposed. The present embodiment will describe a Talbot interferometer 50 including the structural bodies of the first embodiment as a source grating 54 and an analysis grating 59. The X-ray Talbot interferometer 50 of the present embodiment is schematically shown in FIG. 5. The X-ray Talbot interferometer 50 is an X-ray Talbot-Lau interferometer including a source grating 54. The X-ray Talbot interferometer 50 includes an X-ray source 53, the source grating 54 capable of dividing X-ray radiation from the X-ray source 53 to form a virtual arrangement of minute X-ray sources, and an X-ray diffraction grating 58 capable of diffracting the X-ray radiation from the source grating 54 to form an interference pattern. The structure of the first embodiment is used as the source grating 54. The X-ray Talbot interferometer 50 also includes an analysis grating 59 capable of partially blocking the X-ray radiation forming the interference pattern to form an X-ray intensity pattern, and an X-ray detector 55 capable of detect the intensity distribution of the X-ray radiation from the analysis grating 59. The analysis grating 59 is also the structure of the first embodiment. The X-ray Talbot interferometer 50 constitutes an X-ray Talbot interferometer system 51 together with an arithmetic device 52 capable of calculating the information of the subject 56 using the detection result of the X-ray detector 55 and a visualize device 57 capable of showing calculation results. The arithmetic device 52 is a computer including a processor, a memory device, a storage device and an input/output device. Hardware such as a logic circuit may be substituted for some of the functions of the arithmetic device 52. The visualize device 57 may be a display device or a printer. The X-ray Talbot interferometer 50 does not necessarily include the X-ray source 53. If an X-ray Talbot interferometer 50 not having an X-ray source 53 is used for imaging, the x-ray Talbot interferometer 50 may be combined with an X-ray source 53 suitable for imaging. The structure of the first embodiment may be used as either of the X-ray source grating or the analysis grating. The structure of the second embodiment may be substituted for the structure of the first embodiment. The present application will be further described in detail with reference to specific examples. Example 1 illustrates a specific method according to the fourth embodiment for manufacturing the structure of the first embodiment with reference to FIGS. 3A to 3F and 4A to 4D. Step (1) of forming the silicide layers 4 will first be described. In the present Example, a silicon substrate is prepared through Steps (a) to (e) of the third embodiment. These steps will now be described. A starting silicon substrate 1 is used which has a diameter of 100 mm, a thickness of 200 μm and a resistivity of 0.02 Ωcm. The starting silicon substrate 1 is thermally oxidized at 1050° C. for 4 hours to form an about 1.0 μm thick thermally oxidized film (first insulating layer 20) on the second surface 101 and the third surface 102 of the starting silicon substrate 1 (FIG. 3A). A positive resist is applied onto the thermally oxidized film on the second surface, and is then subjected to semiconductor photolithography so as to form a resist pattern having openings of 4 μm in width arranged at a pitch of 8 μm in four 20 mm×20 mm regions. Thus resist openings, each a linear opening having a width of 4 μm, are one-dimensionally arranged at a pitch of 8 μm in a striped manner. Then, the thermally oxidized film is subjected to reactive etching with CHF3 to partially expose the surface of the starting silicon substrate 1. Subsequently, the exposed silicon is subjected to anisotropic deep etching by ICP-RIE to form a plurality of recessed portions (FIG. 3B). This etching is performed up to a depth of about 125 μm and then stopped. Thus a plurality of 125 μm deep recessed portions 2 are formed in the starting silicon substrate 1. Each recessed portion has an aspect ratio of 125/4 (=about 31). Subsequently, the resist is removed by UV ozone ashing. Furthermore, the silicon substrate is washed with hydrofluoroether and then a mixture of sulfuric acid and hydrogen peroxide. After being washed with water, the silicon substrate 1 is soaked with isopropyl alcohol and dried. Then, the side walls 7 and bottoms 3 of the recessed portions formed by the etching are thermally oxidized at 1050° C. for 7 minutes to form an about 0.1 μm thick thermally oxidized film (second insulating layer 21) (FIG. 3C). Then, the thermally oxidized film is removed from the bottoms of the recessed portions to expose silicon at the bottoms (FIG. 3D). This partial removal of the thermally oxidized film is performed by dry etching using CHF3 plasma. This etching is highly anisotropic and proceeds in a direction substantially perpendicular to the substrate. The insulating layer of the side walls 7 of the recessed portions can therefore be left so as not to expose the silicon at the side walls 7 even though the thermally oxidized film of each bottom is completely removed. Then, gold is deposited to a thickness of 50 nm in an electron beam deposition apparatus. Thus a gold metal layer 24 is formed on the bottoms 3 of the recessed portions at which the silicon is exposed. At the same time, a gold metal layer 22 is formed on the top surfaces 8 around the recessed portions (FIG. 3E). Then, the resulting silicon substrate 1 is placed on a hot plate and heated from room temperature. When the temperature of the hot plate has reached 330° C., the silicon substrate 1 is removed from the hot plate. The gold on silicon and the silicon thus form silicide, and the interfaces between the silicon at bottoms of the recessed portions and the metal layer 24 are silicidated into silicide layers 4 (FIG. 3F). On the other hand, the metal layer 22 over the top surfaces does not form silicide because of the presence of the thermally oxidized film on the top surfaces. The resulting silicon substrate shown in FIG. 3F is immersed in an etchant for gold, which is an aqueous solution containing iodine and potassium iodide. Consequently, the gold metal layer 22 is removed by etching, while the silicide layer 4 is left without being etched. The metal layer 22 on the top surfaces 8 is removed to expose the surface of the thermally oxidized film, while the silicide layer 4 is left at the bottoms of the recessed portions (FIG. 4A). After being washed with water, the silicon substrate 1 is connected to a cathode, and thus subjected to gold plating with a platinum-coated titanium mesh connected to an anode. This gold plating is performed at a current density of 0.2 A/dm2 using a cyan-free gold plating solution Microfab Au 1101 (produced by Nippon Electroplating Engineers) of 60° C. in temperature. A gold plating layer 15 is thus grown from the silicide layers acting as a seed. The gold plating layer 15 is grown until protruding from the recessed portions, and the protruding gold portions are removed by chemical mechanical polishing (CMP) of the gold. At this time, the thermally oxidized film 20 on the top surfaces is simultaneously removed to expose silicon (FIG. 4B). Subsequently, the resulting silicon substrate 1 is diced, as shown in FIG. 4C, into chips of the structure as shown in FIG. 4D. The resulting chips are subjected to ultrasonic cleaning in acetone for removing CMP slurry and shavings or the like of dicing. The gold plating layer 15 in the recessed portions is not removed by nitrogen blowing for drying after ultrasonic cleaning. In examination through an X-ray microscope, clear high contrast image of the grating was obtained, and defects were not observed in the metal structure. It is thus confirmed that a structure has been obtained which includes a gold metal structure including gold metal portions disposed in recessed portions in a silicon substrate. Example 2 illustrates a method according to the fourth embodiment for manufacturing the structure of the second embodiment with reference to FIG. 2A and FIGS. 7A to 7H. The present Example is different from Example 1 in the mask pattern used for etching the silicon substrate and the material of the metal layer. Step (1) of forming the silicide layer 4 will first be described. In the present Example, a silicon substrate is prepared through Steps (a) to (e) of the third embodiment. These steps will now be described. First insulating layers 20 are formed on the starting silicon substrate 1 in the same manner as in Example 1 (FIG. 7A). A positive resist is applied onto the first insulating layer 20 on the second surface, and is then subjected to semiconductor photolithography so as to form a resist pattern having circular portions of 4 μm in diameter arranged at a pitch of 8 μm in four 60 mm×60 mm regions. Thus a resist pattern is formed in which 4 μm circular portions are arranged at a pitch of 8 μm. Then, a plurality of protrusion portions 11 are formed by reactive etching with CHF3 and ICP-RIE in the same manner as in Example 1 (FIG. 7B). This etching is performed up to a depth of about 125 μm and then stopped. Thus a plurality of 125 μm high protrusion portions 11 are formed in the starting silicon substrate 1. Each protrusion portion has an aspect ratio of 125/4 (=about 31). The resulting silicon substrate 1 is subjected to resist removal, washing and drying in the same manner as in Example 1. Then, an about 0.1 μm thick thermally oxidized film (second insulating layer 21) is formed on the side walls 7 of the plurality of protrusion portions 11 and on the first surface between the plurality of protrusion portions 11 by the same thermal oxidation as in Example 1 (FIG. 7C). Subsequently, the thermally oxidized film being the second insulating layer is removed from the first surface to expose silicon at the first surface between the plurality of protrusion portions 11 by dry etching using CHF3 plasma in the same manner as in Example 1 (FIG. 7D). Then, nickel is deposited to a thickness of 50 nm by resistance heating vapor deposition. Thus a nickel metal layer 24 is formed on the silicon surface exposed at the first surface (FIG. 7E). At the same time, a nickel metal layer 22 is formed over the top surfaces 8. Then, the resulting silicon substrate 1 is placed on a hot plate and heated from room temperature. When the temperature of the hot plate has reached 225° C., the silicon substrate 1 is removed from the hot plate. The nickel on silicon and the silicon thus form silicide, and the interfaces between the nickel metal layer 24 and the silicon between the plurality of protrusion portions 11 are silicidated into silicide layers 4 (FIG. 7F). The resulting silicon substrate 1 shown in FIG. 7F is immersed in an etchant for nickel, which is an aqueous solution containing ammonium persulfate and nitric acid. The nickel metal layer 22 on the top surfaces 8 is removed to expose the surface of the thermally oxidized film, while the silicide layer 4 is left in the regions between the plurality of protrusion portions 11 (FIG. 7G). After being washed with water, the silicon substrate 1 is subjected to electroplating in the same manner as in Example 1. A gold plating layer 15 is grown until protruding from the spaces between the plurality of protrusion portions 11 (FIG. 7H), and the protruding gold portions are removed by CMP of the gold. At this time, the first insulating layer 20 on the top surfaces is simultaneously removed to expose silicon (FIG. 2A). The resulting silicon substrate 1 is subjected to ultrasonic cleaning in the same manner as in Example 1 for removing CMP slurry. The gold plating layer 15 filling the spaces between the protrusion portions is not removed by nitrogen blowing for drying after ultrasonic cleaning. Example 3 illustrates a method according to the fourth embodiment for manufacturing the structure of the second embodiment. The method of the present Example is different from Example 2 in that the plurality of protrusion portions 11 are formed on the silicon substrate 1, using a photosensitive resist. The starting silicon substrate 1 is the same as that of Example 2. A negative resist SU-8 (produced by Kayaku MicroChem) is applied to the first surface of the starting silicon substrate 1 so as to form a 22 μm thick photosensitive resist layer, followed by soft backing at 95° C. for 10 minutes. Subsequently, the photosensitive resist layer is exposed through a photo mask, using a mask aligner MPA 600 (product name, manufactured by CANON KABUSHIKI KAISHA) including a UV light source. After the exposure, a latent image of a pattern in which squares 2 μm on a side are arranged at a pitch of 4 μm in a two-dimensional manner is formed on the photosensitive resist layer by baking at 65° C. for 5 minutes. Then the latent image is developed with SU-8 developer (produced by Kayaku MicroChem). Unexposed portions of the photosensitive resist layer is dissolved in the developer, and thus 22 μm high protrusion portions of a photosensitive resin are formed in a pattern in which squares 2 μm on a side are two-dimensionally arranged at a pitch of 4 μm. After the development, the resulting silicon substrate 1 is rinsed with isopropyl alcohol and dried. The photosensitive resist layer is thermally cured by being heated at 200° C. for 1 hour. Thus protrusion portions of the photosensitive resist are formed on the first surface of the silicon substrate. Subsequently, the silicon substrate 1 is washed with an aqueous solution of buffered hydrofluoric acid, and then rinsed with water, followed by drying. Then, nickel is deposited to a thickness of 50 nm by resistance heating vapor deposition. Thus a nickel metal layer 22 or 24 is formed on the silicon exposed between the plurality of protrusion portions 11. At the same time, the nickel metal layer 22 or 24 is also formed on the top surfaces. Then, the resulting silicon substrate 1 is placed on a hot plate and heated from room temperature. When the temperature of the hot plate has reached 225° C., the silicon substrate 1 is removed from the hot plate. The nickel on the silicon between the plurality of protrusion portions 11 and the silicon thus form silicide, and thus a silicide layer 4 is formed between the plurality of protrusion portions 11. On the other hand, the nickel metal layer 22 over the top surfaces 8 does not form silicide because of the presence of the photosensitive resist layer between the nickel metal layer 22 and silicon. The silicon substrate 1 is immersed in an etchant for nickel, which is an aqueous solution containing ammonium persulfate and nitric acid. The nickel metal layer 22 is removed by etching, while the silicide layer 4 is not etched. Thus, the nickel metal layer 22 over the top surfaces 8 is removed to expose the surface of the photosensitive resist layer, while the silicide layer 4 is left at the first surface between the plurality of protrusion portions 11. If an insulating photosensitive resist is used, the silicide layer 4 is electrically isolated from the nickel metal layer 22 over the top surfaces 8. Metal is therefore not deposited on the top surfaces 8 by electroplating even though the nickel metal layer 22 is not removed from the top surfaces 8. Thus the step of removing the nickel metal layer 22 from the top surfaces 8 may be omitted. After being washed with water, the silicon substrate 1 is subjected to electroplating in the same manner as in Example 1. A gold plating layer 15 is thus grown from the silicide layers 4 acting as a seed. The electroplating is stopped when the gold plating layer 15 has reached a thickness of 20 μm. Thus formed gold plating layer 15 filling the spaces between the plurality of protrusion portions 11 is not removed by nitrogen blowing for drying after ultrasonic cleaning. Example 4 illustrates a specific method according to the fourth embodiment for manufacturing the structure of the first embodiment with reference to FIGS. 9A to 9I. A large difference from Example 1 is that the etching of the thermally oxidized film at the bottoms of the recessed portions is continued for a while even after the thermally oxidized film has been removed from the bottoms, thereby removing fluorocarbon polymer formed at the bottoms with a gas containing sulfur fluoride. The starting silicon substrate 1 used in the present Example is a p-type semiconductor silicon substrate having a diameter of 200 mm, a thickness of 500 μm and a resistivity of 0.02 Ωcm. The starting silicon substrate 1 is thermally oxidized at 1050° C. for 4 hours to form an about 1.0 μm thick thermally oxidized film (first insulating layer 20) on the second surface 101 and the third surface 102 of the starting silicon substrate 1 (FIG. 9A). A positive resist (not shown) is applied onto the thermally oxidized film on the second surface, and is then subjected to semiconductor photolithography so as to form a resist pattern having openings of 1.8 μm in width arranged at a pitch of 4 μm in four 130 mm×130 mm regions. Thus resist openings, each a linear opening having a width of 1.8 μm, are one-dimensionally arranged at a pitch of 4 μm in a striped manner. Then, the thermally oxidized film is subjected to reactive etching with CF4 to partially expose the surface of the silicon substrate 1. Subsequently, the exposed silicon is subjected to anisotropic deep etching by ICP-RIE to form a plurality of recessed portions 2 (FIG. 9B). This etching is performed up to a depth of about 100 μm and then stopped. Thus a plurality of 100 μm deep recessed portions 2 are formed in the starting silicon substrate 1. The width of each recessed portion is 1.85 μm in the same plane as the top surfaces 8 and 2.05 μm at the bottoms of the recessed portion 2. The verticality in the depth direction of the recessed portion (angle between the side wall and the top surface) is 90.1 degrees. Each recessed portion has an aspect ratio of 100/1.85 (=about 54). Subsequently, the resist is removed by UV ozone ashing, and then the silicon substrate is washed with hydrofluoroether and then a mixture of sulfuric acid and hydrogen peroxide, in the same manner as in Example 1. After being washed with water, the silicon substrate 1 is soaked with isopropyl alcohol and dried. Then, the side walls 7 and bottoms 3 of the recessed portions formed by the etching are thermally oxidized at 1050° C. for 7 minutes to form an about 0.1 μm thick thermally oxidized film (second insulating layer 21) (FIG. 9C). Then, the thermally oxidized film is removed from the bottoms 3 of the recessed portions 2 to expose silicon at the bottoms 3. This partial removal of the thermally oxidized film is performed by dry etching using CF4 as the first etching gas. Etching is continued for another 10 seconds from the moment when a portion of the silicon has been exposed in a region of the recessed portions 2 where the thermally oxidized film has been etched fastest. Consequently, the silicon substrate is etched to a depth of 0 to 0.01 μm from the surface thereof, and fluorocarbon polymer 25 is deposited on the surfaces of the bottoms 3 of the recessed portions 2 (FIG. 9D). Subsequently, the fluorocarbon polymer 25 deposited on the surface of the silicon substrate is removed by dry etching using sulfur hexafluoride (SFO as the second etching gas to expose the silicon surface at the bottoms 3 of the recessed portions 2 (FIG. 9E). In this operation, the verticality of the recessed portions 2 in the depth direction is kept 90.1 degrees. Subsequently, gold is deposited to a thickness of 50 nm in a vacuum sputtering apparatus provided with a sputtering target of 200 mm in diameter. Thus a gold metal layer 24 is formed on the bottoms 3 of the recessed portions 2. At the same time, a gold metal layer 22 is formed on the top surfaces 8, and a metal layer 23 is also formed on the side walls 7 (FIG. 9F). Subsequently, a silicide layer 4 is formed at the bottoms 3 of the recessed portions 2 using a hot plate in the same manner as in Example 1 (FIG. 9G). On the other hand, the metal layers 22 and 23 do not form silicide because of the presence of the thermally oxidized film between the silicon and the metal layer. The resulting silicon substrate 1 shown in FIG. 9G is immersed in an etchant for gold, which is an aqueous solution containing iodine and potassium iodide. Thus, the metal layers 22 and 23 are removed in the same manner as in Example 1. Thus the surfaces of the thermally oxidized films on the top surfaces 8 and the side walls 7 are exposed, while the silicide layer 4 is left at the bottoms 3 of the recessed portions 2 (FIG. 9H). After being washed with water, the first insulating layer 20 around the edge of the silicon substrate 1 is partially removed with an aqueous solution of buffered hydrofluoric acid to expose the surface of the silicon substrate. A cathode is connected to the exposed portion of the silicon substrate 1, and gold plating is performed with a platinum-coated titanium mesh connected to an anode. The plating solution and the temperature thereof, and the current density are the same as in Example 1. A gold plating layer 15 is thus grown from the silicide layers 4 acting as a seed. The gold plating layer 15 is grown until protruding from the recessed portions, as shown in FIG. 91, and the protruding gold portions are removed by CMP of the gold. The resulting silicon substrate is subjected to ultrasonic cleaning in acetone for removing CMP slurry. The gold plating layer 15 in the recessed portions is not removed by nitrogen blowing for drying after ultrasonic cleaning. The metal portions 13 of the metal structure 5 each have a smallest width of 1.84 μm, a verticality of 89.9 degrees in the depth direction of the recessed portions, and an aspect ratio of about 54. In examination through an X-ray microscope, clear high contrast image of the grating was obtained, and defects were not observed in the metal structure. It is thus confirmed that a structure has been obtained which includes a gold metal structure including gold metal portions disposed in recessed portions in a silicon substrate. Example 5 illustrates a method according to the fourth embodiment for manufacturing the structure of the first embodiment. The present Example is different from Example 4 in using an n-type semiconductor silicon substrate, forming reverse-tapered recessed portions, using a mixed gas of CF4 and hydrogen as the first etching gas, using NF3 and ClF3 as the second etching gas, and others. The starting silicon substrate 1 used in the present Example is an n-type semiconductor silicon substrate having a diameter of 200 mm, a thickness of 500 μm and a resistivity of 0.02 Ωcm. The starting silicon substrate 1 is thermally oxidized at 1050° C. for 75 minutes to form an about 0.5 μm thick thermally oxidized film (first insulating layer) on the second surface and the third surface of the silicon substrate. A positive resist is applied onto the thermally oxidized film on the second surface, and is then subjected to semiconductor photolithography so as to form a resist pattern having openings of 0.5 μm in width arranged at a pitch of 2 μm in four 130 mm×130 mm regions. Thus resist openings, each a linear opening having a width of 0.5 μm, are one-dimensionally arranged at a pitch of 2 μm in a striped manner. Then, the thermally oxidized film is subjected to reactive etching with CF4 to partially expose the surface of the silicon substrate. Subsequently, the exposed silicon is subjected to anisotropic deep etching by ICP-RIE to form a plurality of recessed portions. This etching is performed up to a depth of about 10 μm and then stopped. Thus a plurality of 10 μm deep recessed portions are formed in the silicon substrate. The width of each recessed portion is 0.7 μm in the same plane as the top surfaces 8 and 0.67 μm at the bottom of the recessed portion. The verticality in the depth direction of the recessed portion is 89.8 degrees. Each recessed portion has an aspect ratio of 10/0.67 (=about 15). Subsequently, the resist is removed by UV ozone ashing, and then the silicon substrate is washed with hydrofluoroether and then a mixture of sulfuric acid and hydrogen peroxide. After being washed with water, the silicon substrate 1 is soaked with isopropyl alcohol and dried. Then, the side walls and bottoms of the recessed portions formed by the etching are thermally oxidized at 1050° C. for 7 minutes to form an about 0.075 μm thick thermally oxidized film (second insulating layer). Then, the thermally oxidized film is removed from the bottoms of the recessed portions to expose silicon at the bottoms. This partial removal of the thermally oxidized film is performed by dry etching using a mixed gas of CF4 and hydrogen (flow rate ratio of 30:1) as the first etching gas. Etching is continued for another 10 seconds from the moment when a portion of the silicon has been exposed in a region of the recessed portions 2 where the thermally oxidized film has been etched fastest. Consequently, the silicon substrate is etched to a depth of 0 to 0.01 μm from the surface thereof, and fluorocarbon polymer is deposited on the surfaces of the bottoms of the recessed portions. Subsequently, the fluorocarbon polymer deposited on the surface of the silicon substrate is removed by dry etching using a mixed gas of ammonium trifluoride (NF3) and chlorine trifluoride (ClF3) ((flow rate ratio of 50:1) as a second etching gas to expose the silicon surface at the bottoms of the recessed portions. In this operation, the verticality of the recessed portions in the depth direction is kept 89.8 degrees. Subsequently, gold is deposited to a thickness of 50 nm in a vacuum sputtering apparatus, and a silicide layer 4 is formed at the bottoms of the recessed portions using a hot plate, in the same manner as in Example 4. The resulting silicon substrate is immersed in a solution containing iodine and potassium iodide for etching for removing the metal layer from the side walls 7 and the bottoms 8, as in Example 4. Then, after being washed with water, the silicon surface around the edge of the silicon substrate 1 is exposed for plating. Thus, a gold layer is deposited on the silicide layers by gold plating. The gold plating layer 15 is grown until protruding from the recessed portions, and the protruding gold portions are removed by CMP of the gold. The resulting silicon substrate is subjected to ultrasonic cleaning in acetone for removing CMP slurry. The gold plating layer 15 in the recessed portions is not removed by nitrogen blowing for drying after ultrasonic cleaning. The metal portions of the metal structure each have a smallest width of 0.66 μm, a verticality of 90.2 degrees in the depth direction of the recessed portions, and an aspect ratio of about 15. In examination through an X-ray microscope, clear high contrast image of the grating was produced, and defects were not observed in the metal structure. It is thus confirmed that a structure has been obtained which includes a metal structure including metal portions disposed in recessed portions in a silicon substrate. Example 6 illustrates a method according to the fourth embodiment for manufacturing the structure of the first embodiment. The present Example is different from Example 5 in the width and pitch of the recessed portions and in that etching operations for exposing the bottoms of the recessed portions are performed with a third and a fourth gas between the etching operations using the first and second gases. The operations from the forming of the recessed portions in the silicon substrate to the forming of the second insulating layer (FIG. 9C) are performed in the same manner as in Example 5, and thus description thereof was omitted. In these operations, however, patterning for forming a resist pattern having openings is performed, in view of forming recessed portions of 0.56 μm in width, in a different manner from Example 5 by semiconductor photolithography so that openings of 0.625 μm in width are one-dimensionally arranged at a pitch of 1.25 μm. The thermally oxidized film is removed from the bottoms 3 of the recessed portions. In the present Example, a mixed gas of CF4 and hydrogen (flow rate ratio of 30:1) is used as the first gas, as in Example 5. Etching is continued for another 30 seconds from the moment when a portion of the silicon has been exposed in a region of the recessed portions 2 where the thermally oxidized film has been etched fastest. Consequently, the silicon substrate is etched to a depth of 0 to 0.3 μm from the surface thereof, and fluorocarbon polymer is deposited on the surfaces of the bottoms of the recessed portions. Subsequently, the deposited fluorocarbon polymer is removed using a third gas. The third gas is such that it can selectively etch the thermally oxidized film and silicon and exhibit higher etching performance on fluorocarbon polymer than the second gas. In the present Example, oxygen plasma ashing is performed for 120 seconds, using oxygen gas as the third gas. By removing the fluorocarbon polymer by oxygen plasma ashing, a silicon oxide film is formed on the silicon surface. The silicon oxide film formed by the oxygen plasma ashing is removed using a fourth gas. Any etching gas can be used as the fourth gas as long as it is anisotropic and able to etch silicon oxide. The fourth gas may be the same as the first gas. In the present Example, the same mixed gas of CF4 and hydrogen as the first gas is used to remove the silicon oxide. Etching is continued for another 3 seconds from the moment when a portion of the silicon has been exposed in a region of the recessed portions where the thermally oxidized film has been etched fastest, thereby depositing fluorocarbon polymer on the silicon surfaces at the bottoms of the recessed portions. The thus deposited fluorocarbon polymer is thinner than the fluorocarbon polymer film at the moment of the completion of the etching with the first gas. This is because the time is shorter for etching continued from the moment when a portion of the silicon has been exposed in a region of the recessed portions where the thermally oxidized film has been etched fastest. This fluorocarbon polymer is removed using the second gas. In the present Example, NF3 and ClF3 are used as the second gas, as in Example 5. Thus, the silicon surface is exposed at the bottoms of the recessed portions by etching operations using the first, second, third and fourth etching gases. After the silicon surface is exposed, the operations are performed for forming the silicide layer at the bottoms of the recessed portions, removing the metal layer from the top surface and the side walls, filling the recessed portions by gold plating, and removing protruding gold portions, in the same manner as in Example 5. The resulting structure is the same as that of Example 5 except for the pitch of the recessed portions. The present inventors have found that it is difficult to remove the fluorocarbon polymer deposited on the bottoms of the recessed portions with a desired width of the recessed portions maintained. When the recessed portions have a smaller width and a large depth, the etching rate of the bottoms thereof is reduced. It has been however found that the silicon surface can be exposed at the bottoms of the recessed portions with a desired width of the recessed portions maintained, by etching using the third and fourth etching gases as in the present Example, even if a large amount of fluorocarbon polymer is deposited. If the width of the recessed portions is 1 μm or less, the fluorocarbon polymer may not be removed with a desired width of the recessed portions maintained only by the etching using the second gas, which may further etch the insulating layer on the side walls of the recessed portions. While the present invention has been described with reference to exemplary embodiments, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. The scope of the following claims is to be accorded the broadest interpretation so as to encompass all such modifications and equivalent structures and functions. This application claims the benefit of Japanese Patent Application No. 2014-151202, filed Jul. 24, 2014, and Japanese Patent Application No. 2015-110210, filed May 29, 2015, which are hereby incorporated by reference herein in their entirety. |
|
054019710 | abstract | A radiographic phosphor panel which has a support, a luminescent layer overlaying the support, and an overcoat layer overlaying the luminescent layer. The luminescent layer includes phosphor crystals. The overcoat layer is a miscible blend of poly(vinylidene fluoride-co-tetrafluoroethylene) and poly((1 to 2 carbon alkyl)methacrylate). |
summary | ||
047643382 | summary | BACKGROUND OF THE INVENTION This invention relates to a method for operating a boiling water-type atomic power plant (which will be hereinafter referred to as BWR) with a good effect on control of an increase in the dose rate of its primary cooling system, and particularly to a method for operation in view of quality of core water suitable for controlling a cobalt-60 concentration in core water, more particularly a dose rate of the primary cooling system by controlling the core water to be neutral or slightly alkaline at any time, thereby suppressing dissolution of cobalt-60 deposited on fuel rods. Basically, BWR is characterized by direct cycle, where the steam generated in a nuclear reactor is directly fed to a turbine to generate electric power. FIG. 1 shows the major units of BWR primary cooling system and flow in the cooling system, where the cooling water in nuclear reactor pressure vessel 7 is forced to circulate by means of recycle pump 2. The cooling water will be hereinafter referred to as core water. Steam generated in nuclear reactor 1 is fed to turbine 3 after moisture has been removed in a separator and a drier provided above the core. A portion of the steam is withdrawn from the turbine as a turbine bleed steam, and used as a heat source for high pressure and low pressure heaters 4, whereas most of the steam is condensed to water in condenser 5. The condensate is almost completely degasified in the condenser, and the oxygen and hydrogen generated by radiolysis of water in the core can be almost completely removed at the same time. Generally, the condensate is heated to about 200.degree. C. in the low pressure and high pressure heaters arranged in stages, and again fed to the nuclear reactor. To suppress formation of radioactive corrosion products in the nuclear reactor, ion exchange resin filter 6 such as a desalter, etc. is provided between the condenser and the low pressure heater, and all the amount of the condensed water is treated therein, thereby mainly removing metallic impurities from the condensed water to maintain the condensed water at a high purity. To reduce formation of metallic impurities due to corrosion of the materials of construction used in the primary cooling system, stainless steel is utilized in principle as the major material of construction. Nuclear reactor pressure vessel 7 made of carbon steel has a build-up welding of stainless steel on the inside surface to prevent the carbon steel from any direct contact with core water. In addition to the consideration of the materials of construction, a portion of core water is purified in core water purifying unit 8 to intensively remove a very small amount of metallic impurites formed in the core water. In spite of these measures for the materials of construction and water quality control, the presence of a very small amount of metallic impurities in core water is inevitable, and particularly cobalt is radioactivated into cobalt-60 mainly on the surfaces of fuel rods and accumulates on the materials of construction in the primary cooling system to cause an increase in the dose rate in the primary cooling system. In a pressurized water type atomic power plant (which will be hereinafter referred to as PWR) as another type of light water reactor, a chemical solution is injected into the core water to adjust the dissolved oxygen concentration and maintain pH at 9 or higher in the core water as the measures for controlling the corrosion of the materials of construction. FIG. 2 shows the major units of PWR primary and secondary systems and its cooling system flow, where the heat generated in the core is transferred to the secondary system in steam generator 9 as a contact point of the primary system with the secondary system, and removed from the primary system. Since the primary system forms a completely closed loop, even the injection of a chemical solution into the primary system as mentioned above requires no discharge from the primary system, resulting in less consumption of the chemical solution. However, to suppress formation of tritium in the primary system, expensive .sup.7 LiOH.sup.- is used at a high concentration for pH adjustment. To reduce the amount of .sup.7 LiOH.sup.-, the capacity of purifying system for the primary system is made to 1/10 or less of that for BWR. As a result, no better removal effect on the formed metallic impurities is obtained, and the concentration of radioactive corrosion products in the primary system for PWR is higher than that for BWR owing to the overall poor control effects on formation and removal of metallic impurities. When an attempt is made to control the corrosion of materials of construction in the primary cooling system in BWR by injection of a chemical solution as in PWR, the following three problems are encountered in the turbine system. That is, a portion of the injected chemical solution is entrained by steam, and it is necessary to inject a larger amount of the chemical solution to make up the loss of the chemical solution. This necessitates a larger capacity of the injection facility and preparation of a larger amount of the chemical solution. Attack of the chemical solution carried over by the steam to machine members such as turbine blades, etc. causes new damages. Furthermore, radioactivation of alkali metals, for example, into .sup.24 Na, etc. brings about radioactive contamination in the turbine system. In BWR, the core water is thus controlled to neutral pure water without addition of the chemical solution, as mentioned above. When there is contamination of organic impurities, for example, ion exchange resin, pH is shifted to acidic side, bringing about an unfavorable atmosphere to the materials of construction. As a result of extensive studies, the present inventors have found the following quite a new fact: when the pH of core water is shifted to the acidic side, dissolution of radioactive corrosion products deposited on the surfaces of fuel rods into core water is increased, and thus the concentration of radioactive corrosion products in the core water is increased, thereby causing accumulation of radioactive corrosion products in the units or piping in the primary cooling system and increasing the dose rate at the nuclear reactor shut-down. SUMMARY OF THE INVENTION An object of the present inventinon is to provide a method for operation of BWR capable of controlling corrosion of materials of construction and an increase in dose rate in the primary cooling system without any influence of radioactivation on the turbine system. The present inventors have studied dependencies on pH of cobalt dissolution rate from cobalt ferrite not to prevent the materials of construction from corrosion but to control an increase in the dose rate, and have found said new fact and have successfuly established the present invention on the basis of the new fact. In operation of a boiling water-type atomic power plant comprising a nuclear reactor, a turbine generator driven by steam generated in the nuclear reactor, a condenser, a filter based on ion exchange resin, and a feed water heater as major units arranged successively in a circulation system, the present method for operating the boiling water-type atomic power plant is characterized by conducting rated operation while adjusting the pH of core water in the nuclear reactor to 7.0 to 8.5 by adding an alkali thereto, thereby controlling dissolution of radioactive corrosion products attached to fuel rods into the core water, where a procedure for continuous addition of an alkali is proposed on the basis of such experimental results that NaOH is discharged due to a difference in affinity from divalent metal ions such as Fe.sup.2+, Ni.sup.2+, Co.sup.2+, etc. as major components. |
060552956 | summary | FIELD OF THE INVENTION This invention relates to x-ray peripheral imaging and in particular, to a method and apparatus for segmenting a peripheral x-ray image into body and non-body part regions at the acquisition time and using that information for automatically setting the collimator of an x-ray imaging system. BACKGROUND OF THE INVENTION Radiological procedures, such as x-ray fluoroscopy, are used for diagnosing and treating many diseases. These radiological procedures are generally performed with computerized x-ray imaging systems. Most x-ray imaging systems typically include an x-ray source, an image intensifier and a recording medium. A major concern in these procedures involves preventing direct exposure and scattered x-rays from reaching the image intensifier or image recording media of the x-ray imaging system. Direct exposure of x-rays to the image intensifier may damage the device and produce diagnostically useless images. The scattered x-rays are also a health concern for medical personnel who perform the procedures. These concerns are addressed by a collimator which collimates the x-ray beam to an extent minimally necessary for imaging the object of interest. Collimation substantially eliminates scattered radiation and improves the imaging quality of the object of interest. During the x-ray imaging procedure, the collimator must be adjusted each time an image is taken to optimally cover parts of the image where there is no body part. In a typical x-ray imaging procedure, such as a peripheral angiography of the legs, contrast media is injected into a patient and is followed with the imaging equipment. The imaging equipment follows the contrast media by taking images at multiple stations along the legs. In a typical peripheral study of the legs, there may be as many as 5-7 stations. The collimator must be manually adjusted at each of these stations before the image can be taken. This entails manually setting the collimator at each station before a mask run, saving the settings, and retrieving them from a lookup table during actual image acquisition. Manually setting the collimator increases the time and dosage of radiation to which the patient and the physician are exposed. Moreover, the physician's skill level and other human factors may result in poor images. Most importantly, while the physician is involved in the important activity of caring for the patient, it is preferable for the physician to have as few tedious distractions as possible. Automatic collimation is an important application of smart image acquisition, which is a new technology with many potential benefits for diagnostic imaging. Peripheral x-ray imaging studies can benefit greatly from the implementation of automatic collimation methods and techniques. Accordingly, there is a need for a method and system for providing reliable automatic collimation which is fast enough to implement during image acquisition. SUMMARY OF THE INVENTION A method for automatically setting the collimator of an x-ray imaging system at image acquisition time includes receiving rapid scout images at an imaging station. The location of body regions in one of the images is then automatically detected. The detected location of the body regions is used to automatically generate settings for the collimator. The settings are used for automatically adjusting the collimator to substantially cover non-body regions. In another aspect of the invention, an x-ray imaging system for x-ray peripheral imaging includes an x-ray source, an adjustable collimator, an image intensifier, recording media, and automatic collimation means for automatically adjusting the collimator during image acquisition. The automatic collimation means includes region boundary estimation means for dividing the image into regions based on negative curvature extrema of multi-directional pixel intensity line profiles obtained from the image, feature computation means for determining global features corresponding to each of the regions; classifying means for assigning each of the regions as one of the body and non-body regions using the global features; and means for generating settings for the collimator to cover substantially all non-body regions. |
041609271 | claims | 1. An electrical battery apparatus comprising, a substrate of a radioactively ionized polycrystalline ferroelectric ceramic material disposed between two conductive electrodes, and electrical means for remanently polarizing said substrate in a predetermined direction. a substrate of an electrically polarized polycrystalline ferroelectric ceramic material which is integrally combined with a radioactive material, and, a pair of conductive electrodes, said substrate being disposed between said electrodes. 2. A battery comprising, |
description | The present application claims priority under 35 U.S.C. § 119 of German Patent Application No. 10 2006 051 912.4, filed Oct. 31, 2006, the disclosure of which is hereby expressly incorporated by reference herein in its entirety. 1. Field of the Invention The invention relates to a device for improving the spatial resolution of a micropore optics system for x-rays. 2. Discussion of Background Information In the construction of a telescope for x-rays the problem arises that no suitable lenses exist for x-ray radiation because of the low refraction and the strong absorption in matter. Mirrors in the conventional sense cannot be used either, since the reflectivity for x-rays, unlike for visible light, is too low by far. Adequate reflectivity values result only for very large angles of incidence close to 90 degrees. This effect can be used to build a reflecting telescope for x-rays, provided that suitably designed surfaces are found. The x-rays must thereby strike the reflecting surface at a very small angle (e.g., grazing incidence), since x-rays are reflected by polished surfaces only when the incidence of the rays is almost grazing. One possibility for realizing an x-ray telescope is therefore to use a parabolic reflector. However, the parabolic reflector has very large image errors under the conditions of a grazing incidence. A Wolter Type I telescope is known from the prior art (see, e.g., the publication “Spiegelsysteme streifenden Einfalls als abbildende Optiken für Röntgenstrahlen,” H. Wolter, Analen der Physik, 10, 1952, p. 94-114). A telescope of this type utilizes the reflection of x-ray radiation with grazing incidence on metal surfaces. The basic concept is that a hyperboloid is placed behind the paraboloid as a correcting reflector, on which hyperboloid the x-rays are reflected for a second time. The mirror arrangement of the Wolter Type I (e.g., Wolter-I) is composed of metallic (e.g., often comprising only coated foils) paraboloids of revolution multiply nested within one another, each of which is followed by a hyperboloid of revolution. These mirrors together have similar imaging properties like conventional telescopes in the visible range of light. The rays are first reflected on a small section of a parabolic reflector and subsequently on a section of a hyperbolic reflector. In order to achieve greater intensities, several mirror systems of this type were nested within one another, since, due to the grazing incidence, each pair of mirrors has only a very narrow range in which it can collect x-ray light and focus it in the focal point. For example, in the mirror system of the ROSAT x-ray satellite, four Wolter double mirrors with the same focal length are nested within one another in order to obtain a large collecting area. An approximation of the Wolter-I optics is known from the prior art. The approximation uses several stacks of cylindrical areas with single tilt, which replaces the paraboloids and hyperboloids. This type of approximation can be tolerated if large focal lengths are chosen. Furthermore, an x-ray lens has hitherto been produced by a pore optics system, the reflecting surfaces of which an ideal Wolter-I optics system approximates through two cylindrical areas. A pore optics system of this type is shown in FIGS. 1A and 1B. An approximation occurs for production-relevant reasons. In the pore optics system, the cylindrical mirror shells 12 are applied layer by layer on a cylindrical base 10, as shown in FIG. 1A (see FIG. 1B). A mirror shell is polished on the front face and provided on the back with many webs 14. The webs 14 of the mirror shell 12 last applied are connected to the mirror surface of the mirror shell 12 lying underneath, so that the last mirror surface is curved exactly like the one underneath it. This production method requires the spaces remaining between the webs 14 and the mirror shells 12, the pores, to have a rectangular cross section. The advantage of a pore optics system is to be able to produce many mirror shells precisely and to mount them one behind the other. The mirror shells are connected to one another by webs, which leads to the geometry of many small pores. However, one disadvantage of the prior art is that the spatial resolution of the x-ray optics of known solutions no longer meets current requirements. Embodiments of the present invention provide a device for an x-ray optics system that achieves an improved spatial resolution compared to the prior art. According to aspects of the invention, there is a device for improving the resolution capability of an x-ray optical apparatus for an x-ray incident from a direction of incidence. In embodiments, the device comprises a mirror element with a mirror edge (e.g., slope, side, flank, etc.), the first mirror edge being formed by a first cylindrical shell section around an edge axis. The mirror element is arranged spaced apart with respect to a focal axis parallel to the direction of incidence by a focal point of the x-ray optical apparatus in a radial direction. The mirror element is arranged rotated about an axis extending in the radial direction with respect to the direction of incidence such that the edge axis is tilted with respect to the direction of incidence. In implementations of the invention, an approximation of the parabolic and hyperbolic form can be achieved through a rotation of the mirror element about the radial axis an approximation of the parabolic and hyperbolic form can be achieved. According to aspects of the invention, this approximation is closer to the optimal form than is rendered possible by a simple approximation of cylindrical shells. A device according to aspects of the invention has the advantage that it can lead to an improvement in the spatial resolution of an x-ray image, which can have a wide field of application with a broad use of x-ray optical devices. In other words, one advantage of a device according to aspects of the invention is that it results in less blurring of the image, which in turn leads to a better image quality. The desired reduction of the image blurring can be dependent on the stack length and the focal length. In implementations of the invention, the improvement in the resolution can be, for example, in the range of a factor of 3. According to embodiments of the invention, a second mirror edge can be provided adjacent to the mirror edge, which second mirror edge is formed about a second edge axis by a second cylindrical shell section. The mirror element can be arranged such that a plane comprising the edge axis and second edge axis is tilted with respect to the direction of incidence. In this manner, the transition between the first and second mirror edge is better approximated, and the mirror edge the second mirror edge can be produced cost-effectively by cylinder approximation. In accordance with aspects of the invention, in order to produce a mirror element that corresponds particularly well to the Wolter-I optics, the mirror edge can correspond to an approximation of a parabolic form and the second mirror edge to an approximation of a hyperbolic form. In accordance with further aspects of the invention, in order for the mirror element to represent a particularly good approximation of the Wolter-I optics, the mirror element can have a width that is smaller than approximately a tenth of the radial distance of the mirror element regarding the focal axis. This can ensure that the approximation range does not become too large, so that the approximation does not become inadmissible. According to embodiments of the invention, the mirror element can have a width that corresponds to an arc length of less than approximately two degrees in the radial direction. This range of the width of the mirror element provides a better approximation of the form of the Wolter-I optics, since the range to be approximated is very small compared to the entire parabolic and hyperbolic form of the Wolter-I optics. In this manner, the approximation does not cause any major errors. According to embodiments of the invention, an incline between the edge axis and the direction of incidence can be in a range between approximately half a degree and approximately five degrees. In accordance with aspects of the invention, this provides a particularly good inclination range for improving the resolution capability of the x-ray optical apparatus. In embodiments, in order to achieve a further improvement of the resolution capability of the x-ray optical apparatus, another mirror element with a third mirror edge and a fourth mirror edge adjacent to the third mirror edge can be provided. The third mirror edge is formed by a third cylindrical shell section around a third edge axis and the other mirror element is arranged spaced apart with respect to the focal axis in another radial direction. The other mirror element is furthermore arranged as being rotated about another axis extending in the other radial direction with respect to the direction of incidence, such that the third edge axis is tilted with respect to the direction of incidence. Through the provision of another mirror element of this type, an improvement of the yield of the incident x-rays can thus be achieved. According to embodiments of the invention, the other mirror element can be adjacent to the mirror element and be arranged at a distance from the focal axis that corresponds to the spacing of the mirror element from the focal axis. Moreover, a lateral transition between the mirror element and the other mirror element can have a stepped offset. Through this tilted arrangement of the mirror elements, the area of the vertical expansion of the border line between the first and second mirror edge or the third and fourth mirror edge can be kept in a very narrow range. In this way, incident x-rays on both mirror elements can be deflected to a very small focal area or focal point. If the arrangement of the mirror elements were chosen such that the border lines between the first and the second mirror edge and the third and the fourth mirror edge touched, an arrangement of this type would not cause an optimal focusing on a joint focal point. According to embodiments of the invention, it can be advantageous if a device according to aspects of the invention comprises a plurality of additional mirror elements that form a ring of mirror elements around the focal axis. This causes x-rays from a plurality of mirror elements to be deflected to a single focal range or focal point, which in turn increases the intensity of the light spot in the focal point. Accordingly, a better detection or evaluation capability of the incident x-rays is possible. A device according to embodiments of the invention can also have an additional mirror element, which is arranged spaced apart from the focal axis in the radial direction. A spacing of the additional mirror element from the focal axis is larger than the spacing of the mirror element from the focal axis. In particular, a device of this type is advantageous when the additional mirror element has two mirror edges that are tilted with respect to one another so that an x-ray incident in the direction of incidence is reflected to an essentially identical focal point, like an x-ray that is deflected on the mirror element. An improvement of the resolution behavior can thus likewise be achieved by a nested arrangement. In accordance with a first aspect of the invention, there is a device for improving resolution capability of an x-ray optical apparatus for an x-ray incident from a direction of incidence comprising a mirror element comprising a mirror edge formed as a cylindrical shell section around an edge axis. The mirror element is spaced apart, in a radial direction, from a focal axis that is parallel to the direction of incidence, the edge axis is oriented at a first non-zero angle relative to the focal axis when viewed along a radial axis, and the edge axis is oriented at a second non-zero angle relative to the focal axis. The mirror element may further comprise a second mirror edge adjacent the mirror edge. The second mirror edge is formed as a second cylindrical shell section around a second edge axis, and a plane comprising the edge axis and the second edge axis is tilted with respect to the direction of incidence. Moreover, the mirror edge may correspond to an approximation of a hyperbolic form, while the second mirror edge corresponds to an approximation of a parabolic form. A width of the mirror element may be smaller than approximately one tenth of a radial distance between the mirror element and the focal axis. Additionally, the width of the mirror element corresponds to an arc length of less than approximately two degrees in the radial direction. In embodiments, a magnitude of tilt between the edge axis and the direction of incidence is in a range of approximately one half a degree to approximately five degrees. The device may also comprise another mirror element having a third mirror edge and a fourth mirror edge adjacent the third mirror edge. In embodiments, the third mirror edge is formed as a third cylindrical shell section around a third edge axis, and the other mirror element is spaced apart, in another radial direction, from the focal axis. Also, the third edge axis is oriented at the first non-zero angle relative to the focal axis when viewed along another radial axis extending in the other radial direction, and the third edge axis is oriented at the second non-zero angle relative to the focal axis. The other mirror element may be adjacent the mirror element, while the mirror element and the other mirror element are spaced apart from the focal axis by a same distance. Furthermore, a transition between the mirror element and the other mirror element comprises a stepped offset. Even further, the device may include a plurality of additional mirror elements forming a ring around the focal axis. The device may comprise an additional mirror element spaced apart from the focal axis in the radial direction. A spacing of the additional mirror element from the focal axis is larger than a spacing of the mirror element from the focal axis. In embodiments, the mirror element is spaced apart, in the radial direction, from the focal axis that is parallel to the direction of incidence by a focal point of the x-ray optical apparatus. According to another aspect of the invention, there is a device for improving resolution of an x-ray optical apparatus associated with an x-ray incident from a direction of incidence, the device comprising a mirror element having a first portion and a second portion adjacent the first portion. The first portion comprises a first cylindrical shell section formed around a first edge axis tilted at a first non-zero angle relative to a focal axis that is parallel to the direction of incidence. The second portion comprises a second cylindrical shell section formed around a second edge axis tilted at a second non-zero angle relative to the focal axis, the second non-zero angle being different from the first non-zero angle. The first edge axis and the second edge axis are oriented at a third non-zero angle relative to the focal axis when viewed along a radial axis in a radial direction. In embodiments, a plane containing the first edge axis and the second edge axis is tilted at an offset angle relative to the focal axis. Moreover, the offset angle is in a range of approximately one half a degree to approximately five degrees, and a width of the mirror element is smaller than approximately one tenth of a radial distance between the mirror element and the focal axis. The first portion may comprise an approximation of a hyperbolic form. The second portion may comprises an approximation of a parabolic form. The device may also include another mirror element having a third portion and a fourth portion adjacent the third portion. The third portion comprises a third cylindrical shell section. The fourth portion comprises a fourth cylindrical shell section tilted relative to the third portion. The other mirror element is arranged in a stepped offset relative to the mirror element. The other mirror element is rotated about another radial axis that is perpendicular to the focal axis. The device may also include a plurality of additional mirror elements, wherein the mirror element, the other mirror element, and the plurality of additional mirror elements form a ring around the focal axis. To explain the present invention more precisely, first the fundamental concepts are explained in more detail, which lead to a description of devices according to aspects of the invention. Absolute size data in the following description and the drawings are only exemplary data, and do not restrict the invention. FIG. 2 depicts an approximation to the Wolter-I optics for x-ray astronomy. An x-ray telescope can comprise mirror shells 20, 22, which represent a so-called Wolter-I optics. Then the mirror shell 20 facing the object is a section of a paraboloid, and the mirror shell 22 facing the image plane is a section of a hyperboloid. Accordingly, the first mirror shell 20 would be the paraboloid section and the second mirror shell 22, the hyperboloid section, as shown in FIG. 2. In order to always work in the range of the grazing incidence of x-rays 24, the sections of the paraboloid and of the hyperboloid are narrow mirror shells. They are usually arranged in a staggered manner, in order to image a greater quantity of light on the focal plane 23 at a distance 24a from the mirror shells 20, 22. It is customary to approximate the narrow shell-shaped sections of the paraboloid and of the hyperboloid through conical elements. In this case, the mirrors 20 and 22 represent ring-shaped sections of conical surfaces with a radius 26. The two cones forming the basis have a cone axis that is identical to the telescope symmetry axis (or focal axis 25). The included angles are selected such that the conical surfaces at the location of the mirror shells 20 and 22 fit against one another tangentially. In the exemplary embodiment, a conical approximation of a Wolter-I optics system is described by way of introduction. One criterion for assessing the quality of the optical image is the diameter of the light spot 27 in the focal plane 23. A small spot 27 means that the resolution capability of the telescope is large, while with a large light spot 27 no distinction can be made between two objects lying close together. It is therefore the object of every optical telescope to generate the smallest possible light spot 27 in the focal plane 23. FIG. 3 depicts a cylinder approximation. Since the production of whole mirror shells 20, 22 is complex, it is expedient to undertake an azimuthal segmentation 30, as shown in FIG. 3. A mirror segment 32 of this type or a mirror edge can be described by approximation by a sectional surface of a cylinder. This greatly facilitates the production of the mirror shell segments. However, this approximation also leads to the diameter of the light spot in the focal plane being larger. The cylinder approximation lies in adapting a cylinder surface to the conical surface that represents the paraboloid section. This is very successful, as long as the azimuthal segment size 30 is small compared to the radius of the shells 34, i.e., bsegment<<Rshell applies. The consequence of this approximation is that the light spot becomes larger in the focal plane. FIG. 4 shows a cylinder approximation with rotated cylinder surfaces according to aspects of the invention. Embodiments of the invention employ a modification of the cylinder approximation, which makes it possible to substantially reduce the size of the light spot diameter with respect to the cylinder approximation. It is thus possible to achieve a light spot of the size of the conical approximation to the Wolter-I optics with cylinder shell sections. In this manner, the advantages of the easier production of cylinder shell segments have been effected without any significant loss of the resolution capability of the telescope. According to exemplary embodiments, the modification lies in the cylinder segments 40 being rotated around the radial axis 42 of the mirror shell arrangement of the telescope, which runs through the center of the mirror shell segment. An arrangement of this type having rotation of the cylinder segments around the radial axis of the telescope arrangement is shown in FIG. 4. According to aspects of the invention, the diameter of the light spot in the focal plane can be reduced by a factor of three. In embodiments, the improvement is dependent on the distance of the mirror segment from the symmetry axis: it increases with smaller distance. Since exemplary embodiments relate to a tandem mirror of the periphery of the telescope, much smaller light spot diameters are achieved for the inner tandem mirror. FIGS. 5A and 5B show an exemplary embodiment of the mirror element according to aspects of the invention in different sectional representations. FIG. 5A shows a cross-sectional representation of the exemplary embodiment of the mirror element according to aspects of the invention. In embodiments, the mirror element comprises a first mirror edge 52 and a second mirror edge 54, both of which are adjacent to one another. As used herein, the term “mirror edge” can also refer to a slope side, flank, etc. The first mirror edge 52 is arranged at a radial spacing 56 around a first edge axis 58. The first mirror edge 52 is formed from a cylinder segment or a cylinder surface section. The first edge axis 58 is tilted with respect to the focal axis 25. Furthermore, the second mirror edge 54 also comprises a cylinder surface section, which is arranged at a second radial spacing 60 around a second edge axis 62. The second edge axis 62 is tilted with respect to the first edge axis 58 so that the play element comprising the first mirror edge 52 and the second mirror edge 54 has a bent form. In embodiments, it can be ensured through this arrangement that x-rays that strike the mirror element at a direction of incidence parallel to the focal axis 25 are focused on a focal point (not shown in FIG. 5A). FIG. 5B show a representation of the mirror element shown in FIG. 5A looking along axis 42, along with other mirror elements arranged adjacent thereto. FIG. 5B also shows the focal axis 25 as well as the first edge axis 58 and the second edge axis 62. The first and second mirror edge 52 or 54 of the individual mirror elements are cylinder surface sections, as described in connection with FIG. 5A. Furthermore, FIG. 5B shows, according to aspects of the invention, a rotation of the mirror element in which the mirror element is turned around a radial axis (not shown here) arranged at right angles to the focal axis 25. In this manner, an offset angle 64 is formed between the focal axis 25 under the first or second edge axis 58 or 62. According to aspects of the invention, improvement in the optical resolution can be achieved through this offset angle 64. Furthermore, adjacent mirror elements, such as those shown by reference numbers 66 and 68 in FIG. 5B, can also be arranged in a stepped offset 70 so that the structure shown in FIG. 5B results. In embodiments, a limit between the first mirror edge 52 and the second mirror edge 54 lies as far as possible in a narrow lateral range so that a focusing of light rays or x-rays from different mirror elements are all focused as far as possible on a small focal point. Also, through utilizing the structure shown in FIG. 5B, a ring shape can be formed around the focal axis 25, as shown, e.g., in FIG. 2. A shape of this type is indicated to some extent in FIG. 4. According to aspects of the invention, an improvement in the focusing of an x-ray is achieved in that a better approximation of the Wolter-I optics is achieved through the offset angle 64 compared to when the boundary line between one of the first mirror edge 52 and the second mirror edge 54 is horizontal (i.e., at right angles to the focal axis 25). A specific exemplary embodiment of the present invention compared to a conical as well as a simple cylinder approximation is described in more detail below with respect to FIGS. 6-13. A model of the conical approximation of the Wolter-I optics and the unmodified and modified cylinder approximation (i.e., of an exemplary embodiment of the present invention) of the conical approximation of the Wolter-I optics was produced with the aid of the “ASAP” optics program. With the aid of geometrical-optical ray-tracing calculations, the light spot was calculated in the focal plane of the arrangement (spot diagram). The geometry parameters are as follows: a distance between mirror shells and focal plane is given by f=50000 mm, and a radius of the mirror shell boundary is given by R=3500 mm. FIGS. 6 and 7 depict an example of conical approximation of the Wolter-I optics. For the light source, light rays 24 (especially x-rays) fall parallel to the symmetry axis 25 of the telescope on the annular arrangement of a tandem mirror 40, which is composed of the mirror shell that represents the conical approximation of the paraboloid and the second mirror shell that represents the conical approximation of the hyperboloid. The grid of the light rays is indicated in FIG. 6, the left part of the image showing a top plan representation and the right part of the image showing a front view representation of a telescope of this type. Regarding the light spot in the focal plane, a rotationally symmetrical light spot results in the center of the focal plane, the diameter of which light spot is approximately 0.6 mm. This is shown by the dimensions of the diagram shown in FIG. 7, which represents the impact points of the rays in the focal plane. As can be expected, the symmetry is maintained and the image points of the individual rays lie on circles. The consequence of a shift of the focal plane along the telescope axis is that the light spot becomes larger, irrespective of the shift direction. This shows that in fact the focal plane is present. FIG. 7 thereby shows a spot diagram in the focal plane. The two conical mirror shells are illuminated with axial light rays. The spot diameter is 0.42 mm. FIGS. 8-11 depict an example of a cylinder approximation without rotated surfaces. An azimuthal segment corresponds, for example, to a 360th of an arc, that is, one degree. For a circular radius of 3500 mm, this result in an arc length of b=(2π/360) 1 deg 3500 mm=61 mm. One cylinder surface respectively has been adapted to the conical surfaces 52′ and 54′, which correspond to the mirror surfaces 52 and 54. This is possible, because the arc length is much smaller than the circular radius. FIG. 8 shows an image of a tandem of this type of two cylinder surfaces. In this example, the deviation of the cylinder surfaces from the conical surfaces is always less than one micrometer. FIG. 9A shows that the difference in the case of the mirror surface 52′ (corresponding to the mirror edge 52) is less than 10 nm. FIG. 9B shows the case of the mirror surface 54′ (corresponding to mirror edge 54) in which the difference is less than 200 nm. Thus, in FIG. 9A the deviation from the conical approximation is shown as the difference between the cylinder approximation of the conical surface that describes the paraboloid of the Wolter-I optics. In FIG. 9B, the deviation from the conical approximation is shown as the difference between the cylinder approximation of the conical surface that describes the hyperboloids of the Wolter-I optics. The y-axis gives the deviation in micrometers. For the light source, the tandem of cylinder mirrors 52′, 54′ is illuminated with light rays 24. The light rays 24 run parallel to the telescope axis 25, their spatial arrangement being shown in FIG. 10, in which the illumination of the tandem is shown from two cylinder shells 52′ and 54′. The arc section is shown in an exaggerated manner; the azimuth angle being in actuality approximately 1 degree. Regarding the light spot in the focal plane, a cylinder tandem mirror generates a light spot in the center of the focal plane, which light spot is unsymmetrical. Its maximum extension lies in the direction perpendicular to the tandem mirror and is approximately 0.82 mm, as can be seen from the spot diagram from FIG. 11. In this example, an arrangement of several cylinder tandem mirrors such that a complete ring of mirror shells was produced, resulting in a round light spot in the center of the focal plane, the diameter of which light spot is approximately 0.82 mm. FIG. 11 shows a spot diagram in the focal plane which generates a tandem from two described cylinder surfaces from the axially incident light rays. The spot diameter is 0.82 mm. FIGS. 12A, 12B, and 13 depict an example of a cylinder approximation with rotated cylinder surfaces according to aspects of the invention. In the example, the surfaces of the modified (i.e., rotated according to the invention) and unmodified cylinder approximation of the mirror surface (i.e., 52 or 52′) differ by less than 40 micrometers; while the surfaces of the modified and unmodified cylinder approximation of the mirror surface (i.e., 54 and 54′) differ by less than 60 micrometers. Although these are small numbers compared to the lateral dimensions of the mirror surfaces, they still represent significant deviations, if one considers that the difference between cylinder and conical approximation is smaller by three orders of magnitude. FIG. 12A shows the deviation of the modified (rotated) and unmodified cylinder approximation for the mirror surface 52 or 52′, while FIG. 12B shows the deviation of the modified (rotated) and unmodified cylinder approximation for the mirror surface 2 of the tandem mirror. In the example of FIGS. 12A, 12B, and 13, the same light source is used as was used in the example of FIGS. 8-11 in order to have a direct comparison. In the example of FIGS. 12A, 12B, and 13, the diameter of the light spot in the focal plane is substantially reduced by rotating the tandem of the two cylinder mirrors 52 and 54 around the radial axis of the telescope arrangement by 1.00713 degrees. As shown in FIG. 13, the maximum extension of the light spot is approximately 0.25 mm. In this example, an arrangement of several cylinder tandem mirrors forming a complete ring of mirror shells is produced, resulting in a round light spot in the center of the focal plane, the diameter of which light spot is approximately 0.25 mm. That is smaller by approximately a factor of 3.3 compared the case of the unmodified cylinder approximation (e.g., FIGS. 8-11), and smaller by approximately a factor of 2.4 than in the case of the conical approximation of the Wolter-I optics (e.g., FIGS. 6-7). FIG. 13 shows a spot diagram in the focal plane that generates a tandem of two rotated cylinder surfaces from the axially incident light rays. The spot diameter is 0.25 mm. Reference Numbers10Base12Cylindrical mirror shells14Webs20, 22Mirror shells23Focal plane24Light rays, x-rays24aSpacing between the focal plane and the mirror shells25Focal axis, telescope axis26Radius of the mirror shells27Light spot30Azimuthal segmentation32Mirror segment34Radius of the shells of the cylinder approximation40Cylinder segment42Radial axis52First mirror edge54Second mirror edge56Radial spacing from the first mirror edge to the first edge axis 5858First edge axis60Radial spacing from the second mirror edge to the second edgeaxis 6262Second edge axis64Offset angle66, 68Further mirror elements70Stepped offset |
|
claims | 1. A betavoltaic device, comprising:an N+ doped semiconductor substrate having a top surface and a bottom surface and a thickness tN+ between the top and bottom surfaces, where tN+ is equal to or less than 100 micrometers (μm);an electrically conductive layer disposed immediately adjacent the bottom surface of the substrate;an N− doped epitaxial layer having a top surface, disposed immediately adjacent the top surface of the substrate;a P+ doped epitaxial layer having a top surface, disposed immediately adjacent the top surface of the N− doped epitaxial layer;an ohmic conductive layer having a top surface, disposed immediately adjacent the top surface of the P+ doped epitaxial layer; anda radioisotope layer disposed immediately adjacent the top surface of the ohmic conductive layer,wherein coincident regions of at least a portion of the radioisotope layer, the second electrically conductive layer, the P+ doped epitaxial layer, and the N− doped epitaxial layer, and the N+ doped substrate are etched so as to provide a plurality of devices including a common N+ doped substrate and first electrically conductive layer. 2. The betavoltaic device of claim 1, wherein the N+ doped semiconductor substrate is silicon carbide (SiC). 3. The betavoltaic device of claim 1, wherein the radioisotope layer is 63Ni. 4. The betavoltaic device of claim 1, wherein the radioisotope layer is 147Pm. 5. The betavoltaic device of claim 1, wherein the radioisotope layer is 3H. 6. The betavoltaic device of claim 1, wherein the radioisotope layer has a thickness, tRad, where tRad is equal to or less than the self-absorption thickness of the radioisotope. 7. The betavoltaic device of claim 3, wherein the radioisotope layer has a thickness, tRad, where tRad is equal to or less than about two micrometers. 8. The betavoltaic device of claim 2, wherein the ohmic conductive layer is an aluminum/titanium layer having a thickness tohm, where tohm is equal to about 250 nanometers (nm). 9. The betavoltaic device of claim 8, wherein the aluminum/titanium layer is 90 wt. % Al and 10 wt. % Ti. 10. The betavoltaic device of claim 2, wherein the P+ doped epitaxial layer has a doping concentration equal to or greater than 1019/cm3. 11. The betavoltaic device of claim 2, wherein the P+ doped epitaxial layer has a thickness tP+, where tP+ is equal to or less than 250 nm. 12. The betavoltaic device of claim 2, wherein the N− doped epitaxial layer has a doping concentration equal to or less than 4.6E14/cm3. 13. The betavoltaic device of claim 1, wherein the N− doped epitaxial layer has a thickness tN−, where tN− is equal to or less than the lesser of the diffusion length of the electron-hole pairs and the penetration depth of incident electrons. 14. The betavoltaic device of claim 13, wherein the radioisotope layer is 63Ni and further wherein tN− is less than 3 μm. 15. The betavoltaic device of claim 13, wherein the radioisotope layer is 147Pm and further wherein tN− is equal to or less than 20 μm. 16. The betavoltaic device of claim 1, wherein 2≦tN+≦50 μm. 17. The betavoltaic device of claim 16, wherein 30≦tN+<50 μm. 18. The betavoltaic device of claim 1, wherein the electrically conductive layer has a thickness tec, where tec is equal to or less than 1 μm. 19. The betavoltaic device of claim 2, wherein the electrically conductive layer is nickel. 20. A betavoltaic device, comprising:at least a first and a second of the betavoltaic devices according to claim 1, wherein the at least a first and a second of the betavoltaic devices are disposed in a series stack; anda positive electrode connected to one of a top and a bottom of the stack and a negative electrode connected to one of the bottom and the top of the stack. 21. The betavoltaic device of claim 20, wherein the N+ doped semiconductor substrate is silicon carbide (SiC). 22. The betavoltaic device of claim 20, further comprising an adhesion layer disposed intermediate and contacting the electrically conductive layer of the first betavoltaic device and the radioisotope layer of the second betavoltaic device. 23. The betavoltaic device of claim 22, wherein the adhesion layer is metal. 24. The betavoltaic device of claim 23, wherein the adhesion layer is aluminum. 25. The betavoltaic device of claim 24, wherein the aluminum adhesion layer has a pre-annealing thickness of about 50 nm. 26. A betavoltaic device, comprising:at least a first and a second of the betavoltaic devices according to claim 1, wherein the at least the first and the second of the betavoltaic devices are disposed in an opposing, facing relationship in a parallel stack; anda positive electrode disposed on a side of the stack and connected to the electrically conductive layers in the stack and a negative electrode disposed on the other side of the stack and connected to the ohmic conductive layers of the stack. 27. The betavoltaic device of claim 26, wherein the N+ doped semiconductor substrate is silicon carbide (SiC). 28. The betavoltaic device of claim 26, further comprising an adhesion layer disposed intermediate and contacting the electrically conductive layer of the first betavoltaic device and the radioisotope layer of the second betavoltaic device. 29. The betavoltaic device of claim 28, wherein the adhesion layer is metal. 30. The betavoltaic device of claim 29, wherein the adhesion layer is aluminum. 31. The betavoltaic device of claim 30, wherein the aluminum adhesion layer has a pre-annealing thickness of about 50 nm. 32. A method of making a series-type betavoltaic device, comprising:providing at least a first and a second of the betavoltaic devices according to claim 1;providing a connecting layer intermediate to and contacting the electrically conductive layer of the first betavoltaic device and the radioisotope layer of the second betavoltaic device;stacking the at least first and second betavoltaic devices and the intermediate connecting layer in series;annealing the device at or above the melting temperature of the connecting layer; andproviding a positive and a negative electrode for the device at opposite surfaces, respectively. 33. The method of claim 32, wherein the step of providing an N+ doped semiconductor substrate further comprises providing an N+ doped silicon carbide (SiC) substrate. 34. A method of making a series-type betavoltaic device, comprising:providing at least a first and a second of the betavoltaic devices according to claim 1 in an opposing, facing relationship;providing a connecting layer intermediate to and contacting the electrically conductive layer of the first betavoltaic device and the radioisotope layer of the second betavoltaic device;stacking the at least first and second betavoltaic devices and the intermediate connecting layer in parallel;annealing the device at or above the melting temperature of the connecting layer; andproviding a positive electrode on a side of the stack connected to the electrically conductive layers in the stack and a negative electrode on the other side of the stack connected to the ohmic conductive layers of the stack. 35. The method of claim 34, wherein the step of providing an N+ doped semiconductor substrate further comprises providing an N+ doped silicon carbide (SiC) substrate. 36. A method for making a betavoltaic device, comprising:providing an N+ doped substrate having a thickness that is greater than about 100 μm;providing an N− doped epitaxial layer on a top surface of the substrate;providing a P+ doped epitaxial layer on a top surface of the N− doped epitaxial layer;providing an ohmic conductive layer on a top surface of the P+ doped epitaxial layer;thinning the substrate from a bottom surface thereof to a thickness tN+ that is equal to or less than 100 μm;providing an electrically conductive layer on the bottom surface of the thinned substrate;suitably annealing the device;providing a radioisotope layer on a top surface of the ohmic conductive layer; andetching coincident regions of at least a portion of the radioisotope layer, the second electrically conductive layer, the P+ doped epitaxial layer, N− doped epitaxial layer, and the N+ doped substrate so as to provide a plurality of devices including a common N+ doped substrate and first electrically conductive layer. 37. The method of claim 36, wherein the step of providing an N+ doped semiconductor substrate further comprises providing an N+ doped silicon carbide (SiC) substrate. 38. The method of claim 36, further comprising providing external electrodes for the device. 39. The method of claim 36, further comprising etching the device to provide individual device isolation. 40. The method of claim 36, wherein the step of providing a radioisotope layer further comprises providing a layer of at least one of 63Ni, and 3H. 41. The method of claim 36, wherein the step of providing a radioisotope layer further comprises providing the layer having a thickness tRad that is equal to or less than the self-absorption thickness of the radioisotope. 42. The method of claim 36, wherein the step of providing an ohmic conductive layer comprises providing a suitable metallization layer. 43. The method of claim 37, wherein the step of providing the P+ doped epitaxial layer further comprises providing the layer with a doping concentration equal to or greater than 1019/cm3. 44. The method of claim 43, further comprising providing the P+ doped epitaxial layer having a thickness equal to or less than about 250 nm. 45. The method of claim 37, wherein the step of providing the N− doped epitaxial layer further comprises providing the layer with a doping concentration equal to or less than 4.6E14/cm3. 46. The method of claim 45, further comprising providing the N− doped epitaxial layer having a thickness tN− that is equal to or less than the lesser of the diffusion length of the electron-hole pairs and the penetration depth of incident electrons. 47. The method of claim 36, wherein the step of thinning the substrate further comprises thinning the substrate to a thickness tN+, that is between about 3 to 50 μm. 48. The method of claim 36, wherein the step of thinning the substrate further comprises thinning the substrate to a thickness tN+ that is between about 3 to 30 μm. 49. The method of claim 36, wherein the step of providing an electrically conductive layer further comprises providing the electrically conductive layer having a thickness equal to or less than about 1 μm. 50. The method of claim 37, further comprising providing a layer of Nickel. |
|
claims | 1. An optical system for illuminating a sample with a line beam, the system comprising:a light source;a beam shaper for transforming the beam of light emitted by the light source into an intermediate astigmatic image by changing a position of the beam shaper relative to the light source; andan imaging system for transforming the intermediate astigmatic image into a final astigmatic image and for illuminating the sample with the line beam,wherein the beam shaper provides different non-unity magnifications in a lateral plane and in a transversal plane, and comprises a toroidal entrance surface and a toroidal exit surface, each with finite radii of curvature. 2. The optical system as claimed in claim 1, wherein the final astigmatic image comprises a line focus. 3. The optical system as claimed in claim 2, wherein a width of the line beam is diffraction limited. 4. An optical system as claimed in claim 1, wherein a ratio (a) of a length of one of focal lines of the final astigmatic image to (b) a distance between the beam shaper and the position of the beam shaper for which an astigmatic distance of the intermediate image is zero is given by: NA ( M x 2 - M y 2 ) ( M 2 ) 1 - NA 2 n 2 where n is a refractive index of the sample, NA is an exit numerical aperture of the imaging system, M is a magnification of the imaging system and Mx and My are a first magnification and a second magnification of the beam shaper for the two focal lines of the intermediate image. 5. The optical system of claim 1, wherein the beam shaper is moveable back and forth towards the light source to provide a desired elongation of the line beam. 6. A detection device, comprising:an optical system for illuminating a sample with a line beam, the system comprising:a light source;a beam shaper for transforming the beam of light emitted by the light source into an intermediate astigmatic image by changing a position of the beam shaper relative to the light source; andan imaging system for transforming the intermediate astigmatic image into a final astigmatic image and for illuminating the sample with the line beam, wherein the beam shaper provides different non-unity magnifications in a lateral plane and in a transversal plane, and comprises a toroidal entrance surface and a toroidal exit surface, each with finite radii of curvature;an optical collection arrangement for collecting light emitted from the sample and generated by the line beam and;a detection system for detecting the collected light. 7. The detection device as claimed in claim 6, wherein the imaging system and the optical collection arrangement share an excitation/collection lens. 8. The detection device as claimed in claim 6, wherein the detection system comprises an imaging lens which focuses onto a detection surface of the detection system. 9. The detection device as claimed in claim 6, wherein the light emitted from the sample and generated by the line beam comprises luminescence light. 10. The detection device of claim 6, wherein the beam shaper is moveable back and forth towards the light source to provide a desired elongation of the line beam. 11. An illumination method for illuminating a sample with a line beam, comprising the acts of:generating a beam of light using a light source;transforming the beam of light into an intermediate astigmatic image using a beam shaper by changing a position of the beam shaper relative to the light source; andtransforming the intermediate astigmatic image into a final astigmatic image using an imaging system for illuminating the sample with the line beam,wherein the beam shaper provides different non-unity magnifications in a lateral plane and in a transversal plane, and comprises a toroidal entrance surface and a toroidal exit surface, each with finite radii of curvature. 12. The illumination method of claim 11, wherein the beam shaper is moveable back and forth towards the light source to provide a desired elongation of the line beam. |
|
summary | ||
051679051 | abstract | Apparatus for sealing or blocking conduits, such as the primary nozzles of a nuclear steam generator. The invention includes an annular bracket sealingly attached to the rim of the open end of the nozzle, the bracket having a plurality of threaded holes therein. Mounted atop the bracket is a generally circular nozzle dam that includes a first side section hingedly pivotably connected to a second side section for allowing the nozzle dam to be foldable. Removably connected to each side section is a center section, the center section and the side sections defining the generally circular nozzle dam for covering the opening defined by the annular bracket. The periphery portions of the center section and of the side sections have bores therethrough. A plurality of threaded bolts extend through the bores in the nozzle dam and into the threaded holes in the bracket for removably bolting the nozzle dam to the bracket. Interposed between the nozzle dam and the bracket is an elastomeric extrusion-resistant seal member having a plurality of apertures through the periphery thereof for receiving each bolt. As the nozzle dam is bolted to the bracket, a compressive force will act perpendicularly on the seal member. This compressive force will tend to cause the apertures of the seal member to extrude laterally outwardly away from each bolt, thus enlarging the aperture surrounding each bolt. Thus, the seal member is configured to effectively seal an imperfect seal surface and to resist extrusion such as by having laminated layers of differing hardnesses, so that the seal member will not laterally extrude away from each bolt in a manner that enlarges the aperture surrounding each bolt as the nozzle dam is tightly bolted to the bracket. The extrusion-resistant feature of the seal member provides a nozzle dam that is fluid-tight because the aperture is not enlarged. |
052271242 | claims | 1. A method for preventive maintenance of an elongated metal hollow member welded to a wall of a pressure vessel of a nuclear reactor through a padding weld formed on said wall and extending into a coolant within said pressure vessel, said method comprising the steps of: removing said coolant from said hollow member; smoothing an inner peripheral surface of a wall portion of said hollow member corresponding to said padding weld; locating a stainless steel sleeve on said inner peripheral surface of said wall portion in a coaxial relationship; fitting said sleeve onto said inner peripheral surface of said wall portion along an entire length of said sleeve; and heating said sleeve through so as to produce a 4% by wt. or more .delta. ferrite molten metal portion penetrating into both said wall portion and said metal sleeve. 2. A method according to claim 1, wherein the step of heating is conducted by an electric arc welding. 3. A method according to claim 2, wherein the step of heating is conducted by heat sink welding. 4. A method according to claim 1, wherein the step of heating is carried out while cooling said wall portion. 5. A method according to claim 4, wherein said cooling is conducted by said coolant within said pressure vessel. 6. A method according to claim 2, wherein the step of heating is conducted using a non-filler tungsten inert gas welding machine. 7. A method according to claim 2, wherein said fitting of said sleeve is conducted by intermittently supplying current to said metal sleeve, thereby expanding with heat an outer periphery of said sleeve to fit said sleeve into said wall portion of said hollow member, and wherein said heating is conducted by supplying current to an electric arc welding machine in an inverted relationship to said current supplied to said sleeve. 8. A method according to claim 1, wherein the step of fitting of said sleeve includes enlarging said sleeve radially outwardly. 9. A preventive maintenance structure of an elongated metal hollow member welded to a wall of a pressure vessel of a nuclear reactor through a padding weld formed on said wall, a stainless steel sleeve fitted to an internal surface of said metal hollow member, and a 4% by wt. or more .delta. ferrite molten metal portion provided at a portion of an inner peripheral surface of said hollow member which corresponds to said padding weld, wherein said molten metal portion penetrates into both said wall portion and said sleeve. |
043572987 | summary | BACKGROUND Nuclear power reactors are well known and are discussed, for example, by M. M. El-Wakil in "Nuclear-Power Engineering" McGraw-Hill Book Company Inc., 1976. In a known type of nuclear power reactor, for example, as used in the Dresden I reactor of the Dresden Nuclear Power Station near Chicago, Ill., the reactor core is of the heterogenous type. In such reactors the nuclear fuel comprises elongated rods formed of sealed cladding tubes of suitable material, such as zirconium alloy, containing uranium oxide and/or plutonium oxide as the nuclear fuel, for example, as shown in U.S. Pat. No. 3,365,371. A number of such fuel rods are grouped together and contained in an open-ended tubular flow channel to form a separately removable fuel assembly or bundle as shown, for example, in U.S. Pat. No. 3,431,170. A sufficient number of fuel assemblies are arranged in a matrix, approximating a right circular cylinder, to form the nuclear reactor core capable of self-sustained fission reaction. The core is submerged in a fluid, such as light water, which serves both as a coolant and as a neutron moderator. A typical fuel assembly is formed by an array of spaced fuel rods supported between upper and lower tie plates, the rods being several feet in length, on the order of one-half inch in diameter and spaced from one another by a fraction of an inch. To provide proper coolant flow past the fuel rods it is important to maintain the rods in spaced position and restrain them from bowing and vibrating during reactor operation. A plurality of fuel rod spacers spaced along the length of the fuel assembly are provided for this purpose. A variety of such fuel rod spacers have been proposed and used. Design considerations of such fuel rod spacers include the following: retention of rod-to-rod spacing; retention of fuel assembly shape; allowance for fuel rod thermal expansion; restriction of fuel rod vibration; ease of fuel bundle assembly; minimization of contact areas between the spacer and fuel rods; maintenance of structural integrity of the spacer under normal and abnormal (such as seismic) loads; minimization of reactor coolant flow distortion and restriction; maximization of thermal limits minimization of parasitic neutron absorption; minimization of manufacturing costs including adaptation to automated production. Thus the need to provide such fuel rod spacers creates several significant problems three of which are parasitic neutron absorption, thermal limits and coolant flow restriction or pressure drop. Any material, in additon to the nuclear fuel, that must be used in the construction of the reactor core unproductively absorbs neutrons and thus reduces reactivity with the result that an additional compensating amount of fuel must be provided. The amount of such parasitic neutron absorption is a function of the amount of the non-fuel material, of its neutron absorption characteristics, that is, its neutron absorption cross section, and of the neutron flux density to which it is exposed. To remove the heat from the nuclear fuel, pressurized coolant is forced through the fuel assemblies of the reactor core. The fuel rod spacers in the assemblies act as coolant flow restrictors and cause an undesirable though inevitable coolant flow pressure drop. To maintain proper cooling of the fuel rods along their length and to minimize the required coolant pumping power it is desirable that spacer coolant flow restriction be minimized. The flow restriction of a spacer is a strong function of its projected or "shadow" area. Therefore, the flow restriction of a spacer can be minimized by minimizing the projected area of the structure of the spacer. Tests have shown that spacers employing minimized projected area also have the highest thermal limits. As a practical matter the desire to minimize both parasitic neutron absorption and coolant flow restriction presents a conflict in fuel rod spacer design. To minimize coolant flow restriction, spacer members must be thin and of minimal cross section area. However, such thin members must be formed of high strength material having suitable resiliency characteristics. It is found that suitable such materials have relatively high neutron absorption characteristics. On the other hand, materials of desirably low neutron absorption characteristics are found to be of relatively low strength, difficult to form and lacking the resiliency desired for the spring member portions of the spacer. The foregoing design conflict has resulted in two distinguishably different approaches to spacer design. A first design approach is a "composite" spacer formed of relatively large structural members from a material having a low neutron absorption cross section and fitted with separately formed spring members of suitably resilient material whereby the amount of high neutron absorption cross section material is minimized. This first type of spacer thus provides minimal neutron absorption but relatively high coolant flow resistance. A second design approach is a spacer with a highly skeletonized structure using a minimum of a high strength material of suitable resiliency but having a higher neutron absorption cross section. This second type of spacer thus provides minimal coolant flow resistance but at the expense of higher neutron absorption. The composite type of spacer is exemplified, for example, by the disclosure of U.S. Pat. No. 3,654,077. The skeletonized type of spacer is exemplified, for example, by the disclosures of British Pat. No. 1,480,649 and U.S. Pat. No. 4,190,494. An object of the invention is to improve nuclear reactor performance by a spacer arrangement which provides an advantageous compromise between reducing parasitic neutron absorption and minimizing coolant flow restriction thereby maximizing both thermal limits and pressure drop performance. Another object is a spacer arrangement which takes advantage of the different neutron flux density regions of a boiling water nuclear reactor. SUMMARY In a water cooled and moderated reactor the thermal neutron flux density is less in the upper, as compared to the lower, region of the core because of the decreased density of the water as it is heated in its passage through the core. In a boiling water reactor this effect is pronounced because of the boiling in the upper region of the core. In accordance with the spacer arrangement of this invention composite spacers of relatively low neutron absorption are used in the high neutron flux density region of the core (i.e. in the lower portion) while skeletonized spacers providing relatively low coolant flow resistance are used in the lower neutron flux density region of the core (i.e. in the upper portion) where their higher neutron absorption cross section is of less consequence. |
claims | 1. A computer-readable, non-transitory medium storing therein a computer program that causes a computer to perform:obtaining information indicative of a state a managed device;identifying rhea symptom of the managed device by checking the information obtained by the obtaining against a symptom database, which includes a state of the managed device and the symptom of the managed device specified by the state associated with each other;determining a countermeasure to solve the symptom of the managed device by checking the symptom identified by the identifying against a countermeasure database, which includes information related to determination of the countermeasure with respect to each symptom;taking the countermeasure determined by the determining;evaluating whether the countermeasure taken at the taking has solved the symptom; andupdating the countermeasure database based on an evaluation result, wherein the updating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures to solve the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, whereina countermeasure with a higher rate is determined as the countermeasure to be taken. 2. The computer-readable, non-transitory medium according to claim 1, whereinthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, and determining a countermeasure with a highest rate as the countermeasure to be taken. 3. The computer-readable, non-transitory medium according to claim 1, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, and determining, as the countermeasure to be taken, a countermeasure with a highest value obtained by multiplying efficiency stored in the countermeasure database in advance with respect to each countermeasure by the rate. 4. The computer-readable, non-transitory medium according to claim 1, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, and determining, as the countermeasure to be taken, a countermeasure with a highest value obtained by adding a product of multiplication of efficiency stored in the countermeasure database in advance with respect to each countermeasure by the rate to a value indicative of a level of side effect stored in the countermeasure database in advance with respect to each countermeasure. 5. The computer-readable, non-transitory medium according to claim 1, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, further evaluating whether the countermeasure taken at the taking has caused a side effect stored in the countermeasure database in advance associated with the countermeasure, and storing a number of times the countermeasure has caused the side effect in the countermeasure database with respect to each countermeasure, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a primary rate at which the symptom has been solved by taking each of the countermeasures and a secondary rate at which the side effect associated with the countermeasure has occurred, and determining, as the countermeasure to be taken, a countermeasure with a highest value obtained by adding a product of multiplication of efficiency stored in the countermeasure database in advance with respect to each countermeasure by the primary rate to a product of multiplication of a value indicative of a level of side effect stored in the countermeasure database in advance with respect to each countermeasure by the secondary rate. 6. The computer-readable, non-transitory medium according to claim 1, whereinthe evaluating includes storing, when the symptom of the managed device is solved by the countermeasure taken at the taking, date and time at which the symptom is solved in the countermeasure database associated with the countermeasure, andthe determining includes obtaining, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, the date and time stored in the countermeasure database associated with each of the countermeasures, and determining the countermeasure with a most recent date and time as the countermeasure to be taken. 7. The computer-readable, non-transitory medium according to claim 1, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the symptom database with respect to each symptom that is to be solved by the countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe identifying includes calculating a rate at which the symptom has been solved by taking the countermeasures based on information stored in the symptom database at the evaluating, and identifying the symptom occurring in the managed device in priority of a symptom with a high rate. 8. A system management apparatus comprising:an information obtaining unit that obtains information indicative of a state of the managed device;a symptom identifying unit that identifies the symptom of the managed device by checking the information obtained by the information obtaining unit against a symptom database, which includes the state of the managed device and the symptom of the managed device specified by the state associated with each other;a countermeasure determining unit that determines a countermeasure to solve the symptom of the managed device by checking the symptom identified by the symptom identifying unit against a countermeasure database, which includes information related to determination of the countermeasure with respect to each symptom;a countermeasure taking unit that takes the countermeasure determined by the countermeasure determining unit; anda countermeasure-result evaluating unit that evaluates whether the countermeasure taken by the countermeasure taking unit has solved the symptom, and updates the countermeasure database based on an evaluation result, whereinthe countermeasure-result evaluating unit stores a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken by the countermeasure taking unit, andthe countermeasure determining unit calculates, when the countermeasure I database includes a plurality of countermeasures to solve the symptom identified by the symptom identifying unit, a rate at which the symptom has been solved by taking each of the countermeasures anda countermeasure with a higher rate is determined as the countermeasure to be taken. 9. The system management apparatus according to claim 8, wherein,when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified by the symptom identifying unit, the countermeasure determining unit calculates a rate at which the symptom has been solved by taking each of the countermeasures, and determines a countermeasure with a highest rate as the countermeasure to be taken. 10. The system management apparatus according to claim 8, whereinthe countermeasure-result evaluating unit stores a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken by the countermeasure taking unit, andwhen the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified by the symptom identifying unit, the countermeasure determining unit calculates a rate at which the symptom has been solved by taking each of the countermeasures, and determines, as the countermeasure to be taken, a countermeasure with a highest value obtained by multiplying efficiency stored in the countermeasure database in advance with respect to each countermeasure by the rate. 11. The system management apparatus according to claim 8, whereinthe countermeasure-result evaluating unit stores a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken by the countermeasure taking unit, andwhen the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified by the symptom identifying unit, the countermeasure determining unit calculates a rate at which the symptom has been solved by taking each of the countermeasures, and determines, as the countermeasure to be taken, a countermeasure with a highest value obtained by adding a product of multiplication of efficiency stored in the countermeasure database in advance with respect to each countermeasure by the rate to a value indicative of a level of side effect stored in the countermeasure database in advance with respect to each countermeasure. 12. The system management apparatus according to claim 8, whereinthe countermeasure-result evaluating unit stores a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken by the countermeasure taking unit, further evaluates whether the countermeasure taken by the countermeasure taking unit has caused a side effect stored in the countermeasure database in advance associated with the countermeasure, and stores a number of times the countermeasure has caused the side effect in the countermeasure database with respect to each countermeasure, andwhen the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified by the symptom identifying unit, the countermeasure determining unit calculates a primary rate at which the symptom has been solved by taking each of the countermeasures and a secondary rate at which the side effect associated with the countermeasure has occurred, and determines, as the countermeasure to be taken, a countermeasure with a highest value obtained by adding a product of multiplication of efficiency stored in the countermeasure database in advance with respect to each countermeasure by the primary rate to a product of multiplication of a value indicative of a level of side effect stored in the countermeasure database in advance with respect to each countermeasure by the secondary rate. 13. The system management apparatus according to claim 8, whereinwhen the symptom of the managed device is solved by the countermeasure taken by the countermeasure taking unit, the countermeasure-result evaluating unit stores date and time at which the symptom is solved in the countermeasure database associated with the countermeasure, andwhen the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified by the symptom identifying unit, the countermeasure determining unit obtains the date and time stored in the countermeasure database associated with each of the countermeasures, and determines the countermeasure with a most recent date and time as the countermeasure to be taken. 14. The system management apparatus according to claim 8, whereinthe countermeasure-result evaluating unit stores a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the symptom database with respect to each symptom that is to be solved by the countermeasure based on the evaluation result of the countermeasure taken by the countermeasure taking unit, andthe symptom identifying unit calculates a rate at which the symptom has been solved by taking the countermeasures based on information stored in the symptom database by the countermeasure-result evaluating unit, and identifies the symptom occurring in the managed device in priority of a symptom with a high rate. 15. A system management method for identifying a symptom of a problem occurring in a managed device, determining a countermeasure to solve the symptom, and taking the countermeasure, the system management method comprising:obtaining information indicative of a state of the managed device;identifying the symptom of the managed device by checking the information obtained the obtaining against a symptom database, which includes the state of the managed device and the symptom of the managed device specified by the state associated with each other;determining a countermeasure to solve the symptom of the managed device by checking the symptom identified by the identifying against a countermeasure database, which includes information related to determination of the countermeasure with respect to each symptom;taking the countermeasure determined by the determining;evaluating whether the countermeasure taken at the taking has solved the symptom; andupdating the countermeasure database based on an evaluation result, wherein the updating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe determining includes calculating, when the countermeasure database I includes a plurality of countermeasures to solve the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures anda countermeasure with a higher value is determined as the countermeasure to be taken. 16. The system management method according to claim 15, wherein,the determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, and determining a countermeasure with a highest rate as the countermeasure to be taken. 17. The system management method according to claim 15, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, and determining, as the countermeasure to be taken, a countermeasure with a highest value obtained by multiplying efficiency stored in the countermeasure database in advance with respect to each countermeasure by the rate. 18. The system management method according to claim 15, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a rate at which the symptom has been solved by taking each of the countermeasures, and determining, as the countermeasure to be taken, a countermeasure with a highest value obtained by adding a product of multiplication of efficiency stored in the countermeasure database in advance with respect to each countermeasure by the rate to a value indicative of a level of side effect stored in the countermeasure database in advance with respect to each countermeasure. 19. The system management method according to claim 15, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the countermeasure database with respect to each countermeasure based on the evaluation result of the countermeasure taken at the taking, further evaluating whether the countermeasure taken at the taking has caused a side effect stored in the countermeasure database in advance associated with the countermeasure, and storing a number of times the countermeasure has caused the side effect in the countermeasure database with respect to each countermeasure, andthe determining includes calculating, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, a primary rate at which the symptom has been solved by taking each of the countermeasures and a secondary rate at which the side effect associated with the countermeasure has occurred, and determining, as the countermeasure to be taken, a countermeasure with a highest value obtained by adding a product of multiplication of efficiency stored in the countermeasure database in advance with respect to each countermeasure by the primary rate to a product of multiplication of a value indicative of a level of side effect stored in the countermeasure database in advance with respect to each countermeasure by the secondary rate. 20. The system management method according to claim 15, whereinthe evaluating includes storing, when the symptom of the managed device is solved by the countermeasure taken at the taking, date and time at which the symptom is solved in the countermeasure database associated with the countermeasure, andthe determining includes obtaining, when the countermeasure database includes a plurality of countermeasures corresponding to the symptom identified at the identifying, the date and time stored in the countermeasure database associated with each of the countermeasures, and determining the countermeasure with a most recent date and time as the countermeasure to be taken. 21. The system management method according to claim 15, whereinthe evaluating includes storing a number of times the countermeasure has been taken and a number of times the symptom of the managed device has been solved by taking the countermeasure in the symptom database with respect to each symptom that is to be solved by the countermeasure based on the evaluation result of the countermeasure taken at the taking, andthe identifying includes calculating a rate at which the symptom has been solved by taking the countermeasures based on information stored in the symptom database at the evaluating, and identifying the symptom occurring in the managed device in priority of a symptom with a high rate. |
|
claims | 1. A diagnostic system for a storage system comprising:a monitoring system comprising a plurality of monitoring agents periodically collecting measured performance parameter data from components of said storage system, said components being within an invocation path of a specific application being run by said storage system, said components comprising multiple different types of components and said multiple different types of components comprising any of servers, interconnect switches, controllers and disks;an abnormality detection system automatically and periodically updating normal performance models for said components and, based on said normal performance models, identifying abnormal events occurring in said invocation path during running of said specific application by said storage system,said updating of said normal performance models comprising, as said measured performance parameter data is collected, automatically sorting said measured performance parameter data into clusters and recalculating centroids of said clusters, andsaid abnormal events being associated with any of said measured performance parameter data outside a predetermined threshold distance from a closest one of said centroids;a state generator in communication with said monitoring system and said abnormality detection system, said state generator determining when at least one of said abnormal events identified by said abnormality detection system is a triggering condition as defined by a predetermined policy and, only in response to said triggering condition occurring during said running of said specific application, operating to identify different states of said components,said operating comprising, based on said measured performance parameter data from said components, identifying first states of said components at a beginning point in a given time interval and second states of said components at an end point in said given time interval and, based on a comparison of said first states and said second states, further identifying any state changes exhibited by any of said components between said beginning point and said end point,for each component, said beginning point being when said measured performance parameter data was most recently collected from said component prior to the occurrence of said triggering condition and said end point being when said measured performance parameter data is next collected from said component after said occurrence of said triggering condition, and;a database comprising a list of common events that occur within said storage system and that are known to impact performance of said components of said storage system, said list indicating which of said components are known to be affected by which of said common events; anda processor receiving a report of an end-to-end performance objective violation of said specific application as run by said storage system, said violation occurring in a specified time period and said processor further determining which of said state changes occurred during said specified time period, identifying specific abnormal events associated with said state changes that occurred during said specific time period and correlating said common events on said list with said specific abnormal events in order to determine a set of events that are probable causes for said violation. 2. The diagnostic system of claim 1, said list further indicating changes in dynamic dependencies resulting from said common events and said processor further considering said changes in said dynamic dependencies during said correlating to determine said set of events. 3. The diagnostic system of claim 1, said monitoring agents sensing measurable performance parameters from said components. 4. The diagnostic system of claim 1, said recalculating of said centroids comprising applying a decay factor that gives greater weight to newly collected data in said clusters as compared to previously collected data. 5. The diagnostic system of claim 1, said policy defining said triggering condition as any one of the following: identification of any one abnormal event, identification of any one specified type of abnormal event, identification of a specified number of any type of abnormal event and identification of a specified number of a specified type of abnormal event. 6. The diagnostic system of claim 1, said abnormal events comprising system-induced abnormal events and human-induced abnormal events and said common events comprising commonly occurring system-induced events and commonly occurring human-induced events. 7. The diagnostic system of claim 1, said state changes comprising run-time changes that will impact at least one of workload access, cumulative load, response-time and throughput for a given load characteristic, dependencies and workflow of said specific application. 8. A diagnostic method comprising:periodically collecting, by a plurality of monitoring agents of a monitoring system, measured performance parameter data from components of a storage system, said components being within an invocation path of a specific application being run by said storage system, said components comprising multiple different types of components and said multiple different types of components comprising any of servers, interconnect switches, controllers and disks;automatically and periodically updating, by an abnormality detection system normal performance models for said components, said updating of said normal performance models comprising, as said measured performance parameter data is collected, automatically sorting said measured performance parameter data into clusters and recalculating centroids of said clusters;identifying, by said abnormality detection system and based on said normal performance models, abnormal events occurring in said invocation path during running of said specific application by said storage system, said abnormal events being associated with any of said measured performance parameter data outside a predetermined threshold distance from a closest one of said centroids;storing, in a database, a list of common events that occur within said storage system and that are known to impact performance of said components of said storage system, said list indicating which of said components are known to be affected by which of said common events;determining, by a state generator in communication with said monitoring system and said abnormality detection system, when at least one of said abnormal events identified by said abnormality detection system is a triggering condition as defined by a predetermined policy;only in response to said triggering condition, identifying, by said state generator, first states of said components at a beginning point in a given time interval and second states of said components at an end point in said given time interval, based on said measured performance parameter data;based on a comparison of said first states and said second states, identifying, by said state generator, any state changes exhibited by any of said components between said beginning point and said end point,for each component, said beginning point being when said measured performance parameter data was most recently collected from said component prior to the occurrence of said triggering condition and said end point being when said measured performance parameter data is next collected from said component after said occurrence of said triggering condition;receiving, by a processor, a report of an end-to-end performance objective violation of said specific application as run by said storage system, said violation occurring in a specified time period;determining, by said processor, which of said state changes occurred during said specified time period and identifying specific abnormal events associated with said state changes that occurred during said specific time period; andcorrelating, by said processor, said common events on said list with said specific abnormal events in order to determine a set of events that are probable causes for said violation. 9. The method of claim 8, said list further indicating changes in dynamic dependencies resulting from said common events and said method further comprising, during said correlating, considering said changes in said dynamic dependency to determine said set of events. 10. The method of claim 8, said monitoring agents sensing said measurable performance parameters from said components. 11. The method of claim 8, said recalculating of said centroids comprising applying a decay factor that gives greater weight to newly collected data in said clusters as compared to previously collected data. 12. The method of claim 8, said policy defining said triggering condition as any one of the following: identification of any one abnormal event, identification of any one specified type of abnormal event, identification of a specified number of any type of abnormal event and identification of a specified number of a specified type of abnormal event. 13. The method of claim 8, said abnormal events comprising system-induced abnormal events and human-induced abnormal events and said common events comprising commonly occurring system-induced events and commonly occurring human-induced events. 14. The method of claim 8, said state changes comprising run-time changes that will impact at least one of workload access, cumulative load, response-time and throughput for a given load characteristic, dependencies and workflow of said specific application. 15. A non-transitory program storage device readable by computer and tangibly embodying a program of instructions executable by said computer to perform a diagnostic method, said diagnostic method comprising:periodically collecting measured performance parameter data from components of a storage system, said components being in an invocation path of a specific application being run by said storage system, said components comprising multiple different types of components and said multiple different types of components comprising any of servers, interconnect switches, controllers and disks;automatically and periodically updating normal performance models for said components, said updating of said normal performance models comprising, as said measured performance parameter data is collected, automatically sorting said measured performance parameter data into clusters and recalculating centroids of said clusters;identifying, based on said normal performance models, abnormal events occurring in said invocation path during running of said specific application by said storage system, said abnormal events being associated with any of said measured performance parameter data outside a predetermined threshold distance from a closest one of said centroids;storing a list of common events that occur within said storage system and that are known to impact performance of said components of said storage system, said list indicating which of said components are known to be affected by which of said common events;determining when at least one of said abnormal events is a triggering condition as defined by a predetermined policy;only in response to said triggering condition, identifying, based on said measured performance parameter data, first states of said components at a beginning point in a given time interval and second states of said components at an end point in said given time interval;based on a comparison of said first states and said second states, further identifying any state changes exhibited by any of said components between said beginning point and said end point,for each component, said beginning point being when said measured performance parameter data was most recently collected from said component prior to the occurrence of said triggering condition and said end point being when said measured performance parameter data is next collected from said component after said occurrence of said triggering condition;receiving a report of an end-to-end performance objective violation of said specific application as run by said storage system, said violation occurring in a specified time period;determining which of said state changes occurred during said specified time period;identifying specific abnormal events associated with said state changes that occurred during said specific time period; andcorrelating said common events on said list with said specific abnormal events in order to determine a set of events that are probable causes for said violation. 16. The non-transitory program storage device of claim 15, said list further indicating changes in dynamic dependencies resulting from said common events and said method further comprising, during said correlating, considering said changes in said dynamic dependency to determine said set of events. 17. The non-transitory program storage device of claim 15, said policy defining said triggering condition as any one of the following: identification of any one abnormal event, identification of any one specified type of abnormal event, identification of a specified number of any type of abnormal event and identification of a specified number of a specified type of abnormal event. 18. The non-transitory program storage device of claim 15, said calculating of said centroids comprising applying a decay factor that gives greater weight to newly collected data in said clusters as compared to previously collected data. |
|
052256855 | abstract | A construction module effective against emissions from radio active material contains shielding material A encapsulated in molded plastic material B which may be made by using the molded plastic material as a form and then filling the form with shielding material. A special joint structure provides a full thickness of shielding material. |
summary | ||
abstract | A source storing apparatus, source guiding system and source guiding method are provided. The source storing apparatus comprises: a source tank and a shielding plug, the source tank being provided with an opening and an accommodating cavity, the accommodating cavity being configured to accommodate a cobalt source box, the shielding plug being configured to seal an opening of the accommodating cavity; wherein a first connecting structure is provided on the cobalt source box; a second connecting structure is provided on an outer side of the shielding plug, a pickup structure is provided on an inner side of the shielding plug, and the first connecting structure is detachably connected to the pickup structure. The structure of the source storing apparatus is simplified; the installation and operation processes are simple with reduced operation requirements, and are time-consuming and labor-consuming. The cost of the source guiding apparatus is also greatly reduced. |
|
abstract | A test system and a corresponding method for testing the seal of a glove which is installed in a port of an isolator, including a test disc which can be connected in a hermetically sealed fashion to the port. The glove and test disc define a sealed glove volume which can be placed under excess pressure. The test disc has a pressure-measuring device with a microprocessor and a memory for recording and storing a pressure profile in the glove volume and a data interface. The glove and port have identification elements which are read by a reading device of the test disc and information concerning the pressure profile along with the identities of the glove and port are transmitted wirelessly to an evaluation unit which estimates and records a residual period of use of the glove. A plurality of gloves in ports can be tested simultaneously. |
|
summary | ||
summary | ||
abstract | A device and method for measuring the back pressure in chemical reactor tubes includes many automated features. Inflatable tube seals may be automatically inflated. The device may measure several tubes at once. It may transmit data electronically to a remote computer for analysis and graphic display. |
|
047598972 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to apparatus for determining external dimensional relationships of three-dimensional objects for ascertaining distortion thereof. In particular, the invention relates to apparatus for determining such dimensional relationships and distortion data in irradiated nuclear fuel assemblies. A nuclear fuel assembly typically comprises an array of elongated fuel rods and control rods engaged with and held in position by a plurality of grids longitudinally spaced-apart between top and bottom nozzles. In a typical reactor core, there may be between 160 and 190 such fuel assemblies, each fuel assembly including approximately 200 fuel rods, and each being held in place by retaining springs. Prior to use, each fuel assembly is substantially straight, the fuel pins and associated control rods for the fuel assembly all being parallel to each other and perpendicular to the top and bottom nozzles and to the grids. However, each reactor cycle results in a certain amount of distortion of the fuel assembly. This distortion includes "bow", "twist" and "tilt". "Bow" is defined as the deviation of the centroid of each grid from a straight line connecting the centroids of the top and bottom nozzles. "Twist" is defined as the angular rotation of each grid with respect to a zero reference plane defined by one face of the top nozzle. "Tilt" is defined as the distance between the centroid of the bottom nozzle and a vertical line passing through the centroid of the top nozzle. After each refueling cycle, fuel assemblies are moved to different locations in the reactor core, new fuel assemblies being added along the outside or periphery of the core and being gradually moved inwardly toward the center. After about three refueling cycles, a fuel assembly will reach the center of the core and become spent, these spent assemblies being removed during the next refueling. Each fuel assembly has locating holes in the bottom nozzle for receiving locating pins in the bottom core plate, accurately to position the fuel assembly in the reactor core. The above-described distortion can prevent accurate alignment of the bottom nozzle with these locating pins during repositioning of the fuel assemblies. Furthermore, because of newer fuel assembly design utilizing thinner walls and higher spring forces for retaining the fuel assembly in place, bow is becoming even more of a problem than heretofore. Deformation of as little as one-half inch can prevent accurate resetting of a fuel assembly in a new location in the reactor core. 2. Description of the Prior Art Previously, bow has been measured by hanging a measurement plate with horizontal rulings in front of the fuel assembly and reading the bow against the ruled markings, and thereby estimating the amount of bow in the fuel assembly. Alternatively, a closed circuit TV camera may be moved along the periphery of the fuel assembly, the camera movement being monitored to provide an estimate of a fuel assembly bow. Both of these prior techniques are time consuming and relatively inaccurate. Heretofore, no means has been available for making adequate tilt and twist measurements, and such measurements have generally not been attempted. SUMMARY OF THE INVENTION It is a general object of the present invention to provide an improved system for determining external dimensional relationships of nuclear fuel assemblies, which system avoids the disadvantages of prior techniques while affording additional structural and operating advantages. An important object of the present invention is the provision of a system of the type set forth which permits measurement of tilt and twist as well as bow of nuclear fuel assemblies. In connection with the foregoing object, it is another object of the present invention to provide a system of the type set forth which provides accurate and precise measurements. Still another object of the invention is the provision of a system of the type set forth which is capable of remotely-controlled underwater operation. Yet another object of the invention is the provision of a system of the type set forth which is relatively light-weight and portable. It is still a further object of the invention to provide a measurement system of the type set forth which can effect measurements on a fuel assembly when it is in an essentially free-hanging condition on a handling tool, without any need for releasing and reengaging the fuel assembly with respect to the handling tool. Yet another object of the invention is the provision of a measurement system of the type set forth which permits all measurements to be made with respect to references determined relative to the top nozzle of the fuel assembly, thereby obviating positioning of the fuel assembly at a precise location. These and other objects of the invention are attained by providing apparatus for determining external dimensional relationships of a nuclear fuel assembly including an array of elongated fuel rods engaged in transverse grids and extending between top and bottom nozzles, the apparatus comprising: support means, positioning means on the support means for fixedly positioning the fuel assembly in a predetermined orientation to establish a portion of the fuel assembly as a reference, carriage means mounted on the support means for movement longitudinally with respect to the fuel assembly substantially the entire length thereof when it is disposed in the predetermined orientation, measuring means on the carriage means, and drive means on the carriage means for moving the measuring means between a retracted condition and a measuring condition disposed in measuring engagement with the fuel assembly to measure dimensional relationships thereof relative to said reference thereon. The invention consists of certain novel features of a combination of parts hereinafter fully described, illustrated in the accompanying drawings, and particularly pointed out in the appended claims, it being understood that various changes in the details may be made without departing from the spirit, or sacrificing any of the advantages of the present invention. |
description | This application claims the benefit of U.S. Provisional Application No. 61/262,265, titled EMCCD CAMERA, filed Nov. 18, 2009, which is herein incorporated by reference. A. Field of Invention The present invention relates generally to detecting gamma radiation and more specifically to gamma camera systems. B. Description of the Related Art It is known in the art to use gamma cameras to create multi-dimensional images of the distribution of gamma emitting sources for applications including, but not limited to, medicine, astronomy, and detection of contraband. Current state of the art photon counting, large field-of-view, imaging gamma cameras utilize a plurality of photomultiplier tubes optically coupled to one side or edge of a scintillation crystal. The other side of the scintillation crystal is exposed to the radioactive source to be imaged via a gamma-ray collimator. When a gamma-ray photon interacts within the crystal, visible light is emitted by the crystal at the point of the interaction. This visible light from the interaction strikes many photomultiplier tubes (PMT's). The position of the gamma interaction is mathematically determined by analyzing the outputs of the photomultiplier tubes and is well known in the art. The energy deposited in the crystal by the incident gamma ray is proportional to the total amount of visible light emitted by the crystal. This is determined by integrating the outputs of the photomultiplier tubes, also well known in the art. While known gamma cameras function well for their intended purpose, there are limitations. Some disadvantages in the known technology include, but are not limited to, the following. Photomultiplier tube performance tends to drift with temperature and time making frequent calibration necessary. Photomultiplier tube performance depends on their position within the earth's magnetic field. Determination of the position of an interaction is difficult because the detection area of the PMT is much larger than the size of the scintillation event or interaction, resulting in an image with low spatial resolution. Scintillation crystal exchange is difficult due to the direct optical coupling of the PMT's to the crystal. Therefore, what is needed are apparatus and methods that overcome the limitations of the prior art. The present invention overcomes one or more of the disadvantages of the prior art. According to one embodiment of this invention, a gamma radiation detecting apparatus includes a gamma radiation collimator which receives and collimates gamma radiation; a scintillation crystal which receives the gamma radiation from the gamma radiation collimator and converts the gamma radiation into visible light; and a charge coupled device which receives the visible light from the scintillation crystal and converts the visible light into an electrical charge. The charge coupled device can be an electron multiplying charge coupled device or an intensified charge coupled device. The gamma radiation collimator can be a parallel-hole collimator or a coded aperture collimator. The gamma radiation detecting apparatus can include an optical lens, which focuses the visible light from the scintillation crystal on the charge coupled device. The gamma radiation detecting apparatus can include a mirror that redirects the visible light received from the scintillation crystal to the charge coupled device, wherein the charge coupled device positioned so that it is not directly exposed to gamma radiation. The gamma radiation detecting apparatus can include one or more light collecting mirrors, which collect and focus the visible light from the scintillation crystal onto the charge coupled device. The light collecting mirror can separate the visible light from the gamma radiation flux received through the scintillation crystal, thus preventing exposure of the charge coupled device to gamma radiation. The gamma radiation detecting apparatus can include a second mirror, which redirects the visible light from the light collecting mirror to the charge coupled device. In some embodiments, the second mirror directs the visible light to the charge coupled device, which allows the charge coupled device to be positioned so that it is not directly exposed to gamma radiation. In other embodiments, the second mirror is partially silvered to split the visible light and direct the visible light to the charge coupled device and to one or more photomultiplier tubes, and to allow the charge coupled device to be positioned so that it is not directly exposed to gamma radiation. The gamma radiation detecting apparatus can include a beam splitter located between the scintillation crystal and the charge coupled device, wherein the beam splitter splits the visible light and directs the visible light to the charge coupled device. In some embodiments, the beam splitter splits the visible light and directs the visible light to the charge coupled device and one or more photomultiplier tubes. The gamma radiation detecting apparatus can include a first electronic device, which reads and processes the output of the charge coupled device to create digital data of an image. In some embodiments, the first electronic device analyzes the image and determines whether the gamma radiation was scattered. The gamma radiation can be from a single gamma ray interaction within the scintillation crystal. The first electronic device can be separate from the charge coupled device or integrated with the charge coupled device. The first electronic device can determine the position of the gamma radiation interaction within the scintillation crystal by analyzing the pixels of the charge coupled device. The first electronic device can determine the position of the gamma radiation interaction within the scintillation crystal by analyzing the digital data of the image. The gamma radiation detecting apparatus can include a second electronic device connected to the first electronic device and running software to process the digital data and display the image. The second electronic device can be a computer, which includes software to extract the position of a single gamma ray interaction from the image, correct the image for optical aberrations, and further enhance the image. The one or more photomultiplier tubes can detect a single gamma interaction within the scintillation crystal, which allows processing of the digital data of the image for that one event. The one or more photomultiplier tubes can determine the energy deposited in the crystal by the gamma ray to eliminate any scattered photons from the image. The gamma radiation detecting apparatus can include a zoom lens located between the scintillation crystal and the charge coupled device, wherein the zoom lens can vary the spatial resolution anywhere in between and including a high spatial resolution for imaging a portion of the scintillation crystal and a low resolution for imaging substantially the entire scintillation crystal. The gamma radiation detecting apparatus can include a cooling device, which cools the charge coupled device. According to another embodiment, a method includes the steps of: converting gamma radiation into visible light using a gamma radiation collimator with a scintillation crystal; focusing the visible light on a charge coupled device; converting visible light into an electrical signal using the charge coupled device; and processing the electrical signal from the charge coupled device into an image using an electronic device electrically coupled to the charge coupled device. The method can include the steps of determining the position of the gamma radiation interaction within the scintillation crystal by analyzing the pixels of the image produced by charge coupled device. The step of focusing the visible light can further include the steps of splitting the visible light using a beam splitter and directing the first beam of visible light to the charge coupled device and the second beam of visible light to one or more photomultiplier tubes. One advantage of this invention is that the position of the gamma radiation interaction or event within the scintillation crystal can be accurately determined. Another advantage of this invention is the scintillation crystals can be easily exchanged. Another advantage is that subsections of the scintillation crystal can be imaged at a higher spatial resolution. Still other benefits and advantages of the invention will become apparent to those skilled in the art to which it pertains upon a reading and understanding of the following detailed specification. Referring now to the drawings wherein the showings are for purposes of illustrating embodiments of the invention only and not for purposes of limiting the same, and wherein like reference numerals are understood to refer to like components, FIG. 1 shows a gamma camera system 10 including a gamma camera 100 and an electronic device 20 for processing the image produced by the gamma camera 100, according to one embodiment of this invention. The gamma camera 100 can be a photon counting, large field-of-view imaging gamma camera utilizing one or more charge coupled devices (CCD's) to determine the position of a gamma ray on an x-y plane. The gamma camera 100 can include one or more photomultiplier tubes (PMT's) for energy discrimination. “Large field-of-view” is defined to mean the area of interest on scintillation crystal is larger than the light detecting device, for example, a single PMT or a singe CCD. The gamma camera 100 can include an optical system, which can include one or more optical lenses, one or more mirrors, or both to focus an image of the visible light emitted by a scintillation crystal onto one or more light detecting devices, such as a CCD. The sensitivity of the gamma camera 100 can be increased either by using an intensified CCD (ICCD), which includes an optical image intensifier, or by using an electron multiplying CCD (EMCCD), which includes an electron multiplying CCD technology. By incorporating on-chip multiplication gain, the EMCCD achieves the single-photon detection sensitivity typical of intensified or electron-bombarded CCDs at much lower cost and without compromising the quantum efficiency and resolution characteristics of the conventional CCD structure. A gamma radiation source 40 emits one or more gamma rays 50 that the gamma camera 100 can detect and determine the location of the interaction of a single gamma ray within the scintillation crystal. The position of the gamma ray interaction within the scintillation crystal on an x-y plane is easily determined by analyzing the pixels of the CCD. The resolution of the CCD gamma camera 100 greatly exceeds the resolution of a typical PMT gamma camera. The resolution of a CCD can be in microns compared to the resolution of several millimeters for the PMT technique. With reference to FIGS. 1-6, the connection 30 between the electronic device 20 and the gamma camera 100 can be a wired or wireless connection. In some embodiments, the electronic device 20 is a computer that can control the gamma camera 100 and runs image processing software. In some embodiments, the computer 20 includes software, which can perform one or more of the following functions: extract the position of a single gamma ray interaction from the image, correct the image for optical aberrations or other errors, or further enhance the image. The gamma camera 100 can include a housing 102 to contain some or all of the components of the gamma camera 100 within the interior 104 of the housing 102. The housing 102 may include a non-reflective coating on the interior surface 106 to prevent unwanted reflection of scintillation light or visible light. The gamma camera 100 can include shielding 200 to prevent the scintillation crystal and the charge coupled device from being exposed to unwanted gamma radiation. This shielding 200 can include, but is not limited to, lead, lead alloys, tungsten, tungsten alloys, and other heavy metal shielding. In some embodiments, the shielding 200 is a tungsten alloy “Kulite” manufactured by H.C. Stark, Inc., 1250 East 222nd St., Euclid, Ohio, 44117. In one embodiment, the shielding 200 is the tungsten alloy Kulite K-1750. The gamma camera 100 can also include shielding to protect or shield the charge coupled device and the photomultiplier tubes from unwanted visible light. The gamma camera 100 can include various components located within the interior 104 of the housing 102. In one embodiment, the gamma camera 100 can include a gamma ray collimator 110, a scintillation crystal 120, and a charge coupled device (CCD) or other light detecting device 130. In some embodiments, the CCD 130 can be directly coupled to the scintillation crystal 120. In other embodiments, a plurality of CCD's 130 can be directly coupled to the scintillation crystal 120. The gamma ray collimator 110 can be a parallel-hole collimator, a coded aperture, or any other type of collimator chosen by a person of ordinary skill in the art. The charge coupled device 130 can be an electron multiplying CCD (EMCCD), an intensified CCD (ICCD), which includes an image intensifier, or any other type of CCD chosen by a person of ordinary skill in the art. In one embodiment, the CCD 130 is an EMCCD from E2V Technologies PLC, 106 Waterhouse Lane, Chelmsford, Essex CM1 2QU, England. In some embodiments, a plurality of CCD's 130 can be used. The gamma camera 100 can include lead or other radiation shielding 200, chosen by a person of ordinary skill in the art, to protect the CCD from exposure to gamma rays. With continuing reference to FIGS. 1-6, the gamma camera 100 can include an electronic device 150. The electronic device 150 can be a separate device or can be integrated with the CCD 130. The electronic device 150 includes electronics to read and process the output of the CCD 130. In some embodiments, the electronic device 150 is an analog-to-digital converter (ADC), which converts each pixel's value into a digital value by measuring the amount of charge and converting the measurement to binary form. The digital output or data contains all of the information from the pixels of the CCD 130. In some embodiments, the electronic device 150 can determine the position of the gamma ray interaction within the scintillation crystal 120 by analyzing the pixels of the CCD 130. In some embodiments, the electronic device 150 includes a digital signal processor (DSP). In other embodiments, the electronic device 150 includes an analog-to-digital converter (ADC) and a digital signal processor (DSP). In one specific embodiment, the CCD 130 includes all of the electronics to receive visible light and convert the visible light into a digital image (CCD image). The electronic device 150 can analyze the CCD image from a single event and determine if that gamma ray was scattered. If the gamma ray was scattered, the digital image can be rejected. With reference to FIG. 2, the gamma camera includes a housing 102, a gamma ray collimator 110, a scintillation crystal 120, an optical lens 140, a charge coupled device 130, and an electronic device 150. The optical lens 140 can focus the visible light 122 produced by the scintillation crystal 120 onto the charge coupled device 130. The optical lens 140 can be a single or multiple lenses. In some embodiments, the optical lens 140 is a zoom lens. In other embodiments, the optical lens 140 is a converging lens. With reference to FIG. 3, the interior 104 of the gamma camera 100 includes a gamma ray collimator 110, a scintillation crystal 120, a mirror 160, a charge coupled device 130, an electronic device 150, and shielding 200. The mirror 160 redirects the visible light 122 received from the scintillation crystal 120 to the CCD 130. In some embodiments, the mirror 160 can separate the visible optical scintillation light 122, from the non-interacting gamma ray flux 124 preventing the CCD 130 from exposure to gamma radiation. With reference to FIGS. 4-6, the gamma camera 100 can include a gamma ray collimator 110, a scintillation crystal 120, a light collecting mirror 170, a beam splitter 180, an optical lens 140, a charge coupled device 130, and an electronic device 150. The gamma camera 100 can also include one or more photomultiplier tubes (PMT's) 190. The gamma ray collimator 110 can be a lead, lead alloy, tungsten, tungsten alloy, or any other heavy metal collimator chosen by a person of ordinary skill in the art. In some embodiments, the collimator 110 is a tungsten alloy “Kulite.” In one embodiment, the collimator 110 is the tungsten alloy Kulite K-1750. The scintillation crystal 120 can be a Nal (TI) scintillation crystal or any other scintillation crystal chosen by a person of ordinary skill in the art. In some embodiments, the optical lens 140 can be a zoom lens to magnify a subsection or portion of the scintillation crystal 120 and to allow optimal imaging quality in the CCD 130. The light collecting mirror 170 receives the visible light 122 from the scintillation crystal 120. The light collecting mirror 170 collects and focuses the visible light 122. In some embodiments, the light collecting mirror 170 is a type of curved mirror including, but not limited to, a parabolic mirror or a spherical mirror. The light collecting mirror 170 can separate the visible light 122 from the gamma radiation flux 124 received through the scintillation crystal, thus preventing exposure of the charge coupled device 130 to gamma radiation. The beam splitter 180 splits the optical image 182, the visible light, from the light collecting mirror 170 into two separate beams 184, 186. The beam splitter 180 can be from about 10% to about 90% reflective, inclusive. The beam splitter 180 can direct one of the two optical images 184 to the CCD 130 and the other optical image 186 to one or more photomultiplier tubes (PMT's) 190 and their associated readout and processing electronics. The beam splitter 180 allows the CCD 130 to be positioned so that the CCD 130 is not directly exposed to gamma radiation. In some embodiments, the beam splitter 180 is a partially or half-silvered mirror. In other embodiments, the beam splitter 180 includes multiple mirrors or lenses to redirect the separate images 184, 186, as shown in FIG. 5. The visible light 186 sent to the PMT or PMT's 190 allows detection of a single gamma interaction within the scintillation crystal 120, which allows processing of the CCD data for just that one event. The single PMT or multiple PMT's 190 can be used to determine the energy deposited in the crystal 120 by the gamma ray 50 to eliminate scattered photons from the image produced by the CCD 130 and the associated electronics. The PMT's 190 can be standard or silicon PMT's or any other PMT's chosen by a person of ordinary skill in the art. The PMT's 190 can be directly coupled to the edges of the scintillation crystal 120 or the PMT's can be exposed to the scintillation light, or visible light, through the optical system of one or more lenses, one or more mirrors, or a combination of a lens or lenses and a mirror or mirrors. The beam splitter 180 and the PMT's 190 are positioned to minimize obstruction of the visible light 122 traveling from the scintillation crystal 120 to the light collecting mirror 170. In some embodiments, the PMT's are positioned so they do not obstruct or interfere with any visible light 122. One purpose or function of the PMT's is to detect a gamma interaction within the crystal 120 and then trigger acquisition of the image in the CCD for the single gamma event. Another purpose or function of the PMT's is to measure the energy deposited by a single gamma allowing elimination of scattered gammas from the CCD image. With continuing reference to FIGS. 4-6, the gamma camera 100 can include a thermoelectric or other cooling device to cool the CCD 130 for optimal performance. The gamma camera 100 can also include a gamma transparent apparatus 220 located between the gamma ray collimator 110 and the scintillation crystal 120. This apparatus 220 allows for collection of scintillation light from either side or both sides of the scintillation crystal 120. In some embodiments, the apparatus 220 is a system of mirrors and lenses, which collect scintillation light from the collimator side of the crystal 120. This light on the collimator side of the crystal 120 could be processed in the same manner as the visible light 122 exiting on the opposite side of the crystal 120. In some embodiments, a CCD 130 could be positioned on the collimator side of the crystal 120 in addition to the system of mirrors and lenses 200. In other embodiments, one or more CCD's 130 could be positioned on each side of the crystal 120. The use of the apparatus 220 can increase the sensitivity of the gamma camera 100 when used for two-sided light collection from the crystal 120. The use of the apparatus 220 can reduce the size of the gamma camera 100 when used with in conjunction with a coded aperture 110. With reference to FIG. 7, a recorded image from the gamma camera shows a 5mCi Tc-99m source collimated through a 1 cm diameter, 10 cm long lead collimating tube, illustrating the clinically useful sensitivity and resolution of the gamma camera of the present invention. With reference to all the FIGURES, the gamma camera 100 can include one or more mechanisms for positioning all the necessary components of the camera and for positioning the entire camera. In some embodiments, the gamma camera 100 includes a mechanism for moving and focusing the components of the optical system components, which include, but are not limited to, mirrors, beam splitters, and optical lenses. This mechanism allows imaging of substantially the entire scintillation crystal or imaging of a subsection or portion of the scintillation crystal at high spatial resolution or magnification (zoom). The mechanism can be a motorized mechanism under computer control. In some embodiments, the gamma camera 100 can include a mechanism for exchanging scintillation crystals 120. In the embodiments where the PMT's are not directly optically coupled to the scintillation crystal 120, the scintillation crystal 120 can be easily exchanged to study radioisotopes of differing energies. In some embodiments, the gamma camera 100 can include a mechanism for exchanging collimators 110. The operation of the gamma camera 100 will now be discussed, according to some embodiments. A gamma radiation source 40 emits a gamma ray 50, which enters and passes through the collimator 110. The gamma ray exits the collimator and enters the scintillation crystal 120, where the crystal converts the gamma ray into visible light 122. A light collecting mirror 170 redirects the visible light 182 to a partially silvered mirror or beam splitter 180. The visible scintillation light 182 is split into two beams, with the first beam 184 being directed towards a CCD 130 and the second beam 186 being directed towards one or more PMT's. The first beam 184 enters an optical lens or zoom lens 140 before striking the CCD 130. The second beam 186 enters one or more PMT's 190, which can sample the total visible light emitted by the scintillation crystal 120. The location of the gamma ray interaction within the scintillation crystal 120 on the x-y plane can be determined by analyzing the pixels of the CCD 130 or image created by the CCD 130 and the associated electronics. One or more PMT's 190 can detect that a single gamma event has occurred within the scintillation crystal 120 and can determine the light output from the crystal 120 for energy discrimination and elimination of scattered gammas. When the PMT or PMT's 190 receive the first beam 184, the PMT's 190 can signal the CCD 130 and the electronics 150 to reject the data from that gamma ray if it is determined to be scattered. The gamma camera 100 can reject scattered gammas so they are not included in the image produced from the CCD 130. The gamma camera can prevent scattered gamma rays from being included in the image by at least two different methods. The first method uses the PMT's 190 to determine the gamma energy deposited in the crystal 120. The second method analyzes the pixels of the CCD image to determine the gamma energy deposited in the crystal 120. Any data from gammas having lost energy through scattering is rejected. Many other configurations using a CCD 130 to determine the location of the gamma ray interaction within a scintillation crystal have been contemplated and are within the scope of this invention. Numerous embodiments have been described herein. It will be apparent to those skilled in the art that the above methods and apparatuses may incorporate changes and modifications without departing from the general scope of this invention. It is intended to include all such modifications and alterations in so far as they come within the scope of the appended claims or the equivalents thereof. |
|
description | This application is a continuation of U.S. application Ser. No. 10/647,120, filed Aug. 25, 2003, which claims priority to EP 02078528.3, filed Aug. 27, 2002, the entire contents of all of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a lithographic projection apparatus and a reflector assembly for use therein. 2. Description of the Related Art The term “patterning device” as here employed should be broadly interpreted as referring to device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate. The term “light valve” can also be used in this context. Generally, the pattern will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit or other device (see below). An example of such a patterning device is a mask. The concept of a mask is well known in lithography, and it includes mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. Placement of such a mask in the radiation beam causes selective transmission (in the case of a transmissive mask) or reflection (in the case of a reflective mask) of the radiation impinging on the mask, according to the pattern on the mask. In the case of a mask, the support will generally be a mask table, which ensures that the mask can be held at a desired position in the incoming radiation beam, and that it can be moved relative to the beam if so desired. Another example of a patterning device is a programmable mirror array. One example of such an array is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such an apparatus is that, for example, addressed areas of the reflective surface reflect incident light as diffracted light, whereas unaddressed areas reflect incident light as undiffracted light. Using an appropriate filter, the undiffracted light can be filtered out of the reflected beam, leaving only the diffracted light behind. In this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. An alternative embodiment of a programmable mirror array employs a matrix arrangement of tiny mirrors, each of which can be individually tilted about an axis by applying a suitable localized electric field, or by employing piezoelectric actuators. Once again, the mirrors are matrix-addressable, such that addressed mirrors will reflect an incoming radiation beam in a different direction to unaddressed mirrors. In this manner, the reflected beam is patterned according to the addressing pattern of the matrix-addressable mirrors. The required matrix addressing can be performed using suitable electronics. In both of the situations described hereabove, the patterning device can comprise one or more programmable mirror arrays. More information on mirror arrays as here referred to can be seen, for example, from U.S. Pat. Nos. 5,296,891 and 5,523,193, and WO 98/38597 and WO 98/33096. In the case of a programmable mirror array, the support may be embodied as a frame or table, for example, which may be fixed or movable as required. Another example of a patterning device is a programmable LCD array. An example of such a construction is given in U.S. Pat. No. 5,229,872. As above, the support in this case may be embodied as a frame or table, for example, which may be fixed or movable as required. For purposes of simplicity the rest of this text may, at certain locations, specifically direct itself to examples involving a mask and mask table. However, the general principles discussed in such instances should be seen in the broader context of the patterning device as hereabove set forth. Lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, the patterning device may generate a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (silicon wafer) that has been coated with a layer of radiation-sensitive material (resist). In general, a single wafer will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time. In current apparatus, employing patterning by a mask on a mask table, a distinction can be made between two different types of machine. In one type of lithographic projection apparatus, each target portion is irradiated by exposing the entire mask pattern onto the target portion at once. Such an apparatus is commonly referred to as a wafer stepper. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, each target portion is irradiated by progressively scanning the mask pattern under the beam in a given reference direction (the “scanning” direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction. Since, in general, the projection system will have a magnification factor M (generally <1), the speed V at which the substrate table is scanned will be a factor M times that at which the mask table is scanned. More information with regard to lithographic devices as here described can be seen, for example, from U.S. Pat. No. 6,046,792. In a known manufacturing process using a lithographic projection apparatus, a pattern (e.g. in a mask) is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist). Prior to this imaging, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the imaged features. This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC. Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. It is important to ensure that the overlay juxtaposition) of the various stacked layers is as accurate as possible. For this purpose, a small reference mark is provided at one or more positions on the wafer, thus defining the origin of a coordinate system on the wafer. Using optical and electronic devices in combination with the substrate holder positioning device (referred to hereinafter as “alignment system”), this mark can then be relocated each time a new layer has to be juxtaposed on an existing layer, and can be used as an alignment reference. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. Further information regarding such processes can be obtained, for example, from the book “Microchip Fabrication: A Practical Guide to Semiconductor Processing”, Third Edition, by Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4. For the sake of simplicity, the projection system may hereinafter be referred to as the “lens.” However, this term should be broadly interpreted as encompassing various types of projection system, including refractive optics, reflective optics, and catadioptric systems, for example. The radiation system may also include components operating according to any of these design types for directing, shaping or controlling the beam of radiation, and such components may also be referred to below, collectively or singularly, as a “lens”. Further, the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more mask tables). In such “multiple stage” devices the additional tables may be used in parallel or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures. Dual stage lithographic apparatus are described, for example, in U.S. Pat. Nos. 5,969,441 and 6,262,796. In a lithographic apparatus the size of features that can be imagined onto the substrate is limited by the wavelength of the projection radiation. To produce integrated circuits with a higher density of devices, and hence higher operating speeds, it is desirable to be able to image smaller features. While most current lithographic projection apparatus employ ultraviolet light generated by mercury lamps or excimer lasers, it has been proposed to use shorter wavelength radiation in the range 5 to 20 nm, especially around 13 nm. Such radiation is termed extreme ultraviolet (EUV) or soft x-ray and possible sources include, for instance, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings. Apparatus using discharge plasma sources are described in: W. Partlo, I. Fomenkov, R. Oliver, D. Birx, “Development of an EUV (13.5 nm) Light Source Employing a Dense Plasma Focus in Lithium Vapor”, Proc. SPIE 3997, pp. 136-156 (2000); M. W. McGeoch, “Power Scaling of a Z-pinch Extreme Ultraviolet Source”, Proc. SPIE 3997, pp. 861-866 (2000); W. T. Silfvast, M. Klosner, G. Shimkaveg, H. Bender, G. Kubiak, N. Fomaciari, “High-Power Plasma Discharge Source at 13.5 and 11.4 nm for EUV lithography”, Proc. SPIE 3676, pp. 272-275 (1999); and K. Bergmann et al., “Highly Repetitive, Extreme Ultraviolet Radiation Source Based on a Gas-Discharge Plasma”, Applied Optics, Vol. 38, pp. 5413-5417 (1999). EUV radiation sources may require the use of a rather high partial pressure of a gas or vapor to emit EUV radiation, such as discharge plasma radiation sources referred to above. In a discharge plasma source, for instance, a discharge is created in between electrodes, and a resulting partially ionized plasma may subsequently be caused to collapse to yield a very hot plasma that emits radiation in the EUV range. The very hot plasma is quite often created in Xe, since a Xe plasma radiates in the Extreme UV (EUV) range around 13.5 nm. For an efficient EUV production, a typical pressure of 0.1 mbar is required near the electrodes to the radiation source. A drawback of having such a rather high Xe pressure is that Xe gas absorbs EUV radiation. For example, 0.1 mbar Xe transmits over 1 m only 0.3% EUV radiation having a wavelength of 13.5 nm. It is therefore required to confine the rather high Xe pressure to a limited region around the source. To reach this the source can be contained in its own vacuum chamber that is separated by a chamber wall from a subsequent vacuum chamber in which the collector mirror and illumination optics may be obtained. Thermal radiation emanating from, among others, the EUV source and the foil trap in a lithographic projection apparatus results in heating of the objects on which it impinges. In a lithographic projection apparatus these objects will generally be the optical components which make up the apparatus. An example of an optical component placed in the vicinity of the source, may be formed by a set of reflectors which function as a collector for light emanating from the source. Heating up of the collector due to this thermal radiation leads to expansion of parts in the collector causing geometrical aberrations of the collector and, ultimately, leads to its destruction. It is an aspect of the present invention to provide a lithographic projection apparatus with a reflective element, in particular a collector, for which the radiative heat load is reduced. It is another aspect of the present invention to provide a lithographic projection apparatus with a reflective element, in particular a collector, that is effectively cooled. It is yet another aspect of the present invention to provide a collector with a relatively long life span. This and other aspects are achieved according to the present invention in a lithographic apparatus an illumination system configured to form a beam of radiation from radiation provided by a radiation source, a support configured to hold a patterning device which is to be irradiated by the beam to pattern the beam, a substrate table configured to hold a substrate, and a projection system configured to image an irradiated portion of the patterning device onto a target portion of the substrate, wherein a reflector assembly is placed in the vicinity of the source or an image of the source, the reflector assembly including at least an inner and an outer reflector extending in the direction of an optical axis on which the source or an image of the source is located, the inner reflector being closer than the outer reflector to the optical axis, the reflectors each having an inner reflective surface and an outer backing layer, the backing layer of the inner reflector being covered with a reflective layer having a reflectivity of between 0.7 and 0.99, preferably between 0.8 and 0.99, for wavelengths between 0.1 and 100 μm, preferably between 1 and 10 μm. Thus, the reflector assembly will reflect a substantial amount of the infrared radiation that impinges upon the back of the reflector, which will reduce the heat load on the reflector assembly. In another embodiment of the invention, a reflector assembly is placed in the vicinity of the source or an image of the source, the reflector assembly comprising at least an inner and an outer reflector extending in the direction of an optical axis on which the source or an image of the source is located, the inner reflector being closer to the optical axis than the outer reflector, the reflectors each having an inner reflective surface and an outer backing layer, the backing layer of the outer reflector being covered with a radiative layer having an emissivity of, typically 0.8, for wavelengths between 0.1 and 100 μm, preferably between 1 and 10 μm. By providing the backing layer of the outer reflector with relatively strong infrared radiation emitting properties, increased amounts of radiation are emitted by the reflector assembly resulting in improved radiation cooling. In yet another embodiment of the invention a reflector assembly is placed in the vicinity of the source or an image of the source, the reflector assembly comprising at least an inner and an outer reflector extending in the direction of an optical axis on which the source or an image of the source is located, the inner reflector being closer to the optical axis than the outer reflector, the reflectors each having an inner reflective surface and an outer backing layer, the backing layer of the outer reflector being covered with a radiative layer having an emissivity of, typically 0.8, for wavelengths between 0.1 and 100 μm, preferably between 1 and 10 μm and the backing layer of the inner reflector is covered with a reflective layer having a reflectivity of, typically 0.9 or more, for wavelengths between 0.1 and 100 μm, preferably between 1 and 10 μm. The reflector assembly thus has both a reflective coating on the backing layer of the inner reflectors and a radiative coating on the backing of the outermost reflector, for both reducing the absorbed heat radiation and increasing the emitted heat radiation. The reflective layer may be made of a noble metal, such as, for example, gold or ruthenium. The radiative layer may be made of carbon for heat load reductive properties. Each reflector may comprise at least two adjacent reflecting surfaces, the reflecting surfaces further from the source being placed at smaller angles to the optical axis than the reflecting surface that is closer to the source. In this way, a grazing incidence collector is constructed for generating a beam of UV radiation propagating along the optical axis. Preferably, at least two reflectors are placed substantially coaxially and extend substantially rotationally symmetric around the optical axis. A grazing incidence collector of this (Wolter-) type is, for instance, described in German patent application, DE 101 38 284.7, which is equivalent to U.S. Patent Application Publication 2003/0095623 A1 which is incorporated herein by reference. The collector which results can be used as an (E)UV radiation focusing device in a lithographic projection apparatus. Although specific reference may be made in this text to the use of the apparatus according to the invention in the manufacture of ICs, it should be explicitly understood that such an apparatus has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. One of ordinary skill in the art will appreciate that, in the context of such alternative applications, any use of the terms “reticle”, “wafer” or “die” in this text should be considered as being replaced by the more general terms “mask”, “substrate” and “target portion”, respectively. In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range 5-20 nm), as well as particle beams, such as ion beams or electron beams. FIG. 1 schematically depicts a lithographic projection apparatus 1 according to an embodiment of the invention. The apparatus 1 includes a base plate BP. The apparatus may also include a radiation source LA (e.g. UV or EUV radiation, such as, for example, generated by an excimer laser operating at a wavelength of 248 nm, 193 nm or 157 nm, or by a laser-fired plasma source operating at 13.6 nm). A first object (mask) table MT is provided with a mask holder configured to hold a mask MA (e.g. a reticle), and is connected to a first positioning device PM that accurately positions the mask with respect to a projection system or lens PL. A second object (substrate) table WT is provided with a substrate holder configured to hold a substrate W (e.g. a resist-coated silicon wafer), and is connected to a second positioning device PW that accurately positions the substrate with respect to the projection system PL. The projection system or lens PL (e.g. a mirror group) is configured to image an irradiated portion of the mask MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. As here depicted, the apparatus is of a reflective type (i.e. has a reflective mask). However, in general, it may also be of a transmissive type, for example with a transmissive mask. Alternatively, the apparatus may employ another kind of patterning device, such as a programmable mirror array of a type as referred to above. The source LA (e.g. a discharge or laser-produced plasma source) produces radiation. This radiation is fed into an illumination system (illuminator) IL, either directly or after having traversed a conditioning device, such as a beam expander, for example. The illuminator IL may comprise an adjusting device configured to set the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the beam PB. In addition, it will generally comprise various other components, such as an integrator and a condenser. In this way, the beam PB impinging on the mask MA has a desired uniformity and intensity distribution in its cross-section. It should be noted with regard to FIG. 1 that the source LA may be within the housing of the lithographic projection apparatus, as is often the case when the source LA is a mercury lamp, for example, but that it may also be remote from the lithographic projection apparatus, the radiation which it produces being led into the apparatus (e.g. with the aid of suitable directing mirrors). This latter scenario is often the case when the source LA is an excimer laser. The present invention encompasses both of these scenarios. The beam PB subsequently intercepts the mask MA, which is held on a mask table MT. Having traversed the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target portion C of the substrate W. With the aid of the second positioning device PW and interferometer(s) IF, the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning device PM can be used to accurately position the mask MA with respect to the path of the beam PB, e.g. after mechanical retrieval of the mask MA from a mask library, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in FIG. 1. However, in the case of a wafer stepper (as opposed to a step and scan apparatus) the mask table MT may just be connected to a short stroke actuator, or may be fixed. The mask MA and the substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. 1. The depicted apparatus can be used in two different modes: In step mode, the mask table MT is kept essentially stationary, and an entire mask image is projected at once, i.e. a single “flash,” onto a target portion C. The substrate table WT is then shifted in the X and/or Y directions so that a different target portion C can be irradiated by the beam PB; 2. In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single “flash.” Instead, the mask table MT is movable in a given direction (the so-called “scan direction”, e.g., the Y direction) with a speed v, so that the beam PB is caused to scan over a mask image. Concurrently, the substrate table WT is simultaneously moved in the same or opposite direction at a speed V=Mv, in which M is the magnification of the lens PL (typically, M=¼ or ⅕). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution. FIG. 2 shows the projection apparatus 1 comprising an illumination system IL, a source-collector module or radiation unit 3, illumination optics unit 4, and projection optics system PL. A radiation system 2 comprises the source-collector module or radiation unit 3 and the illumination optics unit 4. The radiation unit 3 is provided with a radiation source LA, which may be formed by a discharge plasma. Referring to FIG. 3, an EUV radiation source 6 may employ a gas or vapor, such as Xe gas or Li vapor in which a very hot plasma may be created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma is created by causing a partially ionized plasma of an electrical discharge to collapse onto the optical axis O. Partial pressures of 0.1 mbar of Xe, Li vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. The radiation emitted by radiation source LA is passed from the source chamber 7 into collector chamber 8 via a gas barrier or “foil trap” 9. The gas barrier 9 comprises a channel structure such as, for instance, described in detail in U.S. Patent Application Publication 2002/0154279 A1 and U.S. Pat. No. 6,359,969. The collector chamber 8 comprises a radiation collector 10 which according to the present invention is formed by a grazing incidence collector. Radiation passed by collector 10 is reflected off a grating spectral filter 11 to be focused in a virtual source point 12 at an aperture in the collector chamber 8. From chamber 8, the beam 16 is reflected in illumination optics unit 4 via normal incidence reflectors 13, 14 onto a reticle or mask positioned on reticle or mask table MT. A patterned beam 17 is formed which is imaged in projection optics system PL via reflective elements 18, 19 onto wafer stage or substrate table WT. More elements than shown may generally be present in illumination optics unit 4 and projection system PL. As can be seen in FIG. 3, the grazing incidence collector 10 comprises a number of nested reflector elements 21, 22, 23. A grazing incidence collector of this type is, for example, shown in U.S. Patent Application Publication 2003/0095623 A1. As indicated in FIG. 4, the infrared radiation 40 impinges on a collector 50 which is aligned along an optical axis 47. The collector 50 may comprise several reflectors 42, 43, 46. An example of such a collector is shown in FIG. 3 with reference numeral 10. In FIG. 4, the inner reflector is indicated by reference numeral 42, the outer reflector is indicated by reference numeral 46. In between the reflectors 42 and 46 several other reflectors 43 may be located, the outlines of which are shown in FIG. 4 with dashed lines. All the reflectors 42 and 43 are coated on their backing layer 52 with a heat/infrared radiation reflecting layer 56, such that infrared radiation 40 on these reflectors is reflected as indicated by the arrows 44. The outer reflector 46 has on its backing layer 52 a radiative coating 62. The arrows 48 in FIG. 4 indicate heat/infrared radiation. In FIG. 4, further detailed composition of the inner reflector 42 of the collector 50 is illustrated. The reflector 42 includes a backing layer 52 made of material that gives the reflector 42 its mechanical strength e.g. nickel (Ni) of thickness 0.5 to 1 mm. The reflectors 42, 43 and 46 include an (E)UV reflecting side, in FIG. 4, as an example, shown including two parts 58 and 59. On the (E)UV mirroring side 58 of the reflector 42, a coating 54 is added of a material that will give the reflector its requested (E)UV reflecting properties, such as, for example, gold (Au) or ruthenium (Ru), of thicknesses in the range of approximately 50 nanometers to several microns. According to the present invention, the manufacturing process of depositing a noble metal layer 54 as an (E)UV reflective layer is extended in that on the side 60 of the backing layer 52 a further coating 56, such as, for example, gold of thickness such that in can be considered as infinitely thick for the infrared radiation, i.e. approximately several microns, or another infrared radiation reflecting material, is added, by known techniques such as, for example, chemical vapor or electrochemical deposition. Coating 56 is substantially reflecting for heat/infrared radiation, which results in less heat/infrared absorption of the backing layer 52. In FIG. 4, the detailed composition of the outer reflector 46 is illustrated. Instead of a heat/infrared mirroring layer 56 that covers the backing layer 52 as is the case for the inner layers 42, 43, the backing layer 52 of the outer reflector 46 is covered on the outside 60 with a heat/infrared radiative layer 62 made of, for example, carbon (C), several microns thick or any other heat/infrared radiative material known to the those of ordinary skill. The carbon coating will enhance the “black body” emissivity of the outermost reflector 46 and hence of the entire collector 50. The mirroring side 58 of the reflectors 42, 43 and 46 in FIG. 4 may be curved. It may include two joining segments one of which is shaped as the segment of a hyperbola and one of which is shaped as a ellipsoid. In FIG. 5, a collector 50 is shown which has on its outer reflector 46 several radiation fins 72-75 attached. These radiation fins 72-75 may be arbitrarily distributed on the outer reflector 46. The radiation fins 72-75 may increase the heat/infrared “black body” reflecting properties of the collector 50 even further. In another embodiment, an improved vacuum separation between the EUV source and the optical components further along the optical axis may be achieved by using a collector that is part of a vacuum separation. This is realized by pumping the space that separates the collector from the other components in the lithographic projection apparatus. By using a reflector as described in U.S. Patent Application Publication 2003/0095623 A1, use is made of the relatively high flow resistance of the “onion-shell” type collector. The outside of the collector may form a vacuum barrier, while a pump may be employed immediately downstream of the reflector for pumping off residual gas passing through the collector at relatively low pumping rates such as 1 mbar·l/s. This embodiment will be described with reference to FIG. 6. In FIG. 6, part of an EUV illuminator 71 is shown. A channel array or foil trap 61 is provided between EUV source 72 and a collector 63. Due to the limited flow conductance of the channel array or foil trap 61, the pressure behind this array can be at least a 100 times lower than at the side of the EUV source 72, when a pump speed of several 1000 l/s can be reached behind the channel array 61. In view of the close distance of collector 63, this pump speed cannot be achieved by pump 67. A channel array 61 suitable for use in the present invention has been described in U.S. Patent Application Publication 2002/0154279 A1 and U.S. Pat. No. 6,359,696. The collector 63 may be a multi-shell grazing-incidence EUV collector 63 of the type as described in U.S. Patent Application Publication 2003/0095623A1. These two components are connected via circumferential walls 66, 68 to housing 70 to constitute a vacuum chamber 65. The vacuum chamber 65 is evacuated by a pump 67. Due to the small separation 93 between the foil trap 61 and the grazing incidence EUV collector 63 of a few centimeters, which is kept as small as possible to limit the size of the EUV illuminator, the pump 67 will not be able to create a sufficient vacuum in the chamber 65 as the effective pump speed of pump 67 may be only a few 100 l/s. Therefore, a second pump 69 is arranged behind the grazing incidence EUV collector 63. The grazing incidence EUV collector 63 has a limited flow conductance such as 200 l/s. The pumps 67 and 69 together create the desired vacuum in the vacuum chamber 65, at a pump speed of several 100 l/s for pump 67 and several 1000 l/s for pump 69. In FIG. 7 the detailed structure 81 of a part of the foil trap 61 is shown. The structure 81 consists of narrowly spaced slits or narrow elongated channels 83 which together form an open laminar structure. Also, the grazing incidence EUV collector 63 includes, due to its onion like shell structure, open laminar channels. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The description is not intended to limit the invention. |
|
abstract | An apparatus for recording an image on a sheet comprises a linear array of light sources and an optical element for transmitting light emitted from the light sources. The optical element comprises a light-transmissive member, having an entry surface for disposal near the light sources. The entry surface defines at least one set of prisms. The optical element can further define a DCPC (dielectric compound parabolic concentrator) in cross-section. Collection optics, such as a SELFOC® lens, receives light reflected from the sheet. Each prism of the optical element defines an angle whereby light exiting the optical element is outside the acceptance angle of the collection optics. |
|
summary | ||
claims | 1. A shipping container comprising:a tubular or cylindrical shell having a closed end and an open end;a top end cap removably secured to the open end of the tubular or cylindrical shell; andat least one fuel assembly compartment defined inside the tubular or cylindrical shell, each fuel assembly compartment including sidewalls, the at least one fuel assembly compartment being sized and shaped to receive an unirradiated nuclear fuel assembly through the open end of the tubular or cylindrical shell,wherein at least one of the sidewalls the fuel assembly compartment is coated with an elastomeric layer. 2. The shipping container of claim 1 wherein each fuel assembly compartment has a square cross-section sized to receive an unirradiated nuclear fuel assembly having a square cross-section. 3. The shipping container of claim 2 wherein the tubular or cylindrical shell includes support features protruding outward from the tubular or cylindrical shell, the support features being configured to support the shipping container horizontally on a level floor with the sides of the square cross-section of each fuel assembly compartment oriented at 45 degree angles to the level floor. 4. The shipping container of claim 2 wherein the tubular or cylindrical shell includes forklift engagement features by which the shipping container oriented horizontally with the sides of the square cross-section of each fuel assembly compartment oriented at 45 degree angles to the level floor is configured to be lifted using a forklift. 5. The shipping container of claim 2 further comprising:a divider component having a cross-shaped cross-section with ends of the cross secured to inner walls of the tubular or cylindrical shell, the divider component and the inner walls of the tubular or cylindrical shell defining four said fuel assembly compartments. 6. The shipping container of claim 1 wherein each fuel assembly compartment has a square cross-section sized to receive an unirradiated nuclear fuel assembly having a square cross-section in the range of about 8 inches×8 inches to about 9 inches×9 inches. 7. The shipping container of claim 1 further comprising:a divider component disposed inside the tubular or cylindrical shell, the divider component and the inner walls of the tubular or cylindrical shell defining a plurality of said fuel assembly compartments. 8. The shipping container of claim 7 wherein both the divider component and the tubular or cylindrical shell comprise neutron absorbing material. 9. The shipping container of claim 1 including a bottom end cap closing the closed end of the tubular or cylindrical shell. 10. An apparatus comprising:a shipping container as set forth in claim 1; andan unirradiated nuclear fuel assembly comprising 235U enriched fuel disposed in each fuel assembly compartment of the shipping container and compressing the elastomeric sidewalls of the fuel assembly compartment. 11. The apparatus of claim 10 wherein each unirradiated nuclear fuel assembly comprises an array of parallel fuel rods each comprising a hollow cladding inside of which are disposed 235U enriched fuel pellets. 12. A shipping container comprising:a tubular or cylindrical shell having a closed end and an open end;a top end cap removably secured to the open end of the tubular or cylindrical shell;a plurality of fuel compartments, each fuel compartment comprising a first side and a second side;a chamber wall enclosing a portion of the fuel compartment;a shock absorbing material peripherally surrounding the chamber wall, and;an outer shell peripherally surrounding shock absorbing material,wherein the shock absorbing material is a deformable foam. 13. The shipping container according to claim 12, wherein a second shock absorbing material is interposed between the first shock absorbing material and the outer shell. 14. The shipping container of claim 12 wherein the plurality of fuel compartments are arranged in a square configuration. 15. The shipping container according to claim 12, wherein at least one side of the fuel compartment is coated with elastomeric layer. 16. The shipping container according to claim 12, wherein the first and second side of each fuel compartment comprises a neutron moderator. 17. The shipping container according to claim 12, wherein a neutron moderator is interposed between the compartment walls of adjacent fuel compartments. |
|
summary |
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.