patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
claims
1. A method for protecting components of a primary system of a boiling water reactor having a pressure vessel and a feedwater line opening out into the pressure vessel, the primary system being exposed to a primary coolant, the method comprising:doping surfaces of the components of the reactor with platinum; andcontinuously feeding an alcohol into the primary coolant to establish a desired concentration of the alcohol in the primary coolant, the desired concentration being such that the doping of the component surfaces and the continuously feeding of the alcohol provides corrosion protection potential of values lower than −230 mV for the component surfaces. 2. The method as recited in claim 1 wherein the alcohol is methanol. 3. The method as recited in claim 2 wherein continuously feeding the alcohol includes establishing a methanol/oxygen molar ratio in the primary coolant to provide corrosion protection potential of values lower than −230 mV for the component surfaces. 4. The method as recited in claim 1 wherein the components consist of a stainless steel. 5. The method as recited in claim 4 wherein the stainless steel is a CrNi steel. 6. The method as recited in claim 1 wherein the components consist of a Ni-base alloy. 7. The method as recited in claim 6 wherein the Ni-base alloy is Alloy 600. 8. The method as recited in claim 1 wherein the desired concentration of the alcohol in the primary coolant is lower than a concentration of alcohol required to provide corrosion protection potential of values lower than −230 mV for component surfaces of the reactor that are undoped. 9. A method for protecting components of a primary system of a boiling water reactor having a pressure vessel and a feedwater line opening out into the pressure vessel, the primary system being exposed to a primary coolant, the method comprising:doping surfaces of the components of the reactor with platinum; andcontinuously feeding an alcohol into the primary coolant to establish a desired concentration of the alcohol in the primary coolant, the desired concentration being dependent on the presence of the doping. 10. The method as recited in claim 9 wherein the alcohol is methanol. 11. The method as recited in claim 10 wherein continuously feeding the alcohol includes establishing a methanol/oxygen molar ratio in the primary coolant that is lower than a methanol/oxygen molar ratio in the primary coolant required to provide the same corrosion protection potential for component surfaces of the reactor that are undoped. 12. The method as recited in claim 9 wherein the components consist of a stainless steel. 13. The method as recited in claim 12 wherein the stainless steel is a CrNi steel. 14. The method as recited in claim 9 wherein the components consist of a Ni-base alloy. 15. The method as recited in claim 14 wherein the Ni-base alloy is Alloy 600.
055725643
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 is a schematic cross sectional view of the pattern part of a reflection-type mask for X-ray exposure, in accordance with the present invention, with a graph of the distribution of X-ray intensity I in the position P in the pitch direction of the mask pattern corresponding to it. In the reflection-type mask for X-ray exposure shown in FIG. 1, a desirable pattern composed of X-ray reflectable multi layer 1 is formed partially on substrate 2 composed of a material that does not reflect X-ray radiation. At the essential use of such mask, the incident X ray 3 into the multilayer 1 is reflected on the interface of each layer within the multilayer and exits as reflected X ray 4; the cross section of the multilayer 1, represented on the paper face of FIG. 1, is a face where the reflected X ray 4 is included when the mask of the present invention is used, while the individual edge faces of the multilayer pattern are formed as slant faces 1a and 1b, in almost parallel with the exit direction of X ray. In the example, if viewed from the parallel cross section to the incident face, the edge faces 1a and 1b on the incident and exit sides of the cross section have separately a slope approximately consistent with the exit direction of the X ray after the reflection thereof on the interface of each layer within the multilayer 1. Consequently, the cross sectional form of the multilayer 1 represented on the paper face of FIG. 1 is parallelogram with the oblique sides being parallel with the progressing direction of the reflected X ray 4. By such a configuration, all of the layers within the multilayer (i.e. the interfaces) contribute to overlapping of reflection light, even in the proximity of the pattern edges (inside the pattern) on the incident side of X ray, so no reduction in reflection intensity occurs, as is observed in conventional examples. In the proximity of the pattern edges on the exit side of X ray (outside of the pattern), no exit X ray from the part of the pattern edge face is generated, as is observed in conventional examples. Consequently, at the pattern edge part, no diversity in the form of exponential curve of the intensity distribution of reflected X ray reaching the side of a substrate. One example of manufacturing such a reflection-type mask for X-ray exposure is illustrated below. On substrate 2 composed of Si as a material that does reflect X-ray radiation, 50 periods of Mo layer and Si layer, were formed to form multilayer 1 of a period length of 88 angstroms. A line-and-space pattern of 1 .mu.m was formed on multilayer 1 as a resist pattern, according to photolithography. Thereafter, etching of the multilayer 1 was effected by an ion milling system as shown in FIG. 5. During the etching, the substrate 2 with the multilayer 1 being formed thereon was arranged, in slant manner with an angle of 45.degree. to the incident direction of ion beam 10 from ion beam generator 9. The pattern direction of the mask was set up to be vertical to the incident direction of the ion beam (the paper face of FIG. 5), whereby the edge faces of the pattern line part, individually formed by the multilayer 1, became slant faces having an angle of 45.degree. to the substrate 2. If viewed in the cross sectional forms, a pattern of the multilayer, in the form of parallelogram with the oblique sides having a slope of 45.degree., was formed. Finally, the resist remaining was removed to complete a reflection-type mask for X-ray exposure as shown in FIG. 1. The types of ions for the irradiation in etching may be inert gases or reactive gases, or a mixture of inert gases and reactive gases. The inert gases include Ar (argon), Kr (krypton), Xe (xenon) and the like. In this case, physical sputter-etching is effected. The inert gases if used have advantageous in that etching can be effected, notwithstanding any of the types of the materials of the multilayer. The reactive gases include CF.sub.4, CCl.sub.4, CHF.sub.3, O.sub.2 and the like. In this case, chemical etching involving chemical reaction is effected. The reactive gases if used can promote the etching rate, although the material of the multilayer is necessarily changed into a volatile substance such as halides. If the ratio of a material etching rate to a resist etching rate is defined as a selectable ratio and the selectable ratio is not satisfactory, the resist forming the pattern is more rapidly etched than the material so the material cannot be etched in a desirable pattern. In etching, therefore, a multilayer resist process realizing a larger thickness of resist film is utilized if the selectable ratio of a monolayer resist is not satisfactory. Examples therefor are depicted in FIGS. 7a and 7b. As is shown in FIG. 7a, multilayer 21 is formed on substrate 22, on which resin layer 23 of a first resist, polyimide or the like is formed. Furthermore, SiO.sub.2 layer 24 is formed as an intermediate layer on the resin layer 23, and a second resist layer 25 is simultaneously formed further on the SiO.sub.2 layer 24. The first resist (or polyimide resin) layer 23 should have a film thickness sufficient enough to work as a mask in the etching of multilayer 21. The SiO.sub.2 layer 24 is for patterning the first resist (or polyimide resin) layer 23. In ion milling, the SiO.sub.2 layer 24 has a higher selectable ratio relative to the resist, so the film thickness thereof may be thin, satisfactorily. The second resist layer 25 is a resin layer similar to the first resist layer, which works as a mask for effecting the etching of the SiO.sub.2 layer 24 as the layer below thereof. The etching of the SiO.sub.2 layer 24 is effected with the reactive gases, so the thickness of the second resist layer 25 may be thin. During the etching, patterning is effected by photolithography in order that the second resist layer 25 acquires a desirable mask pattern (FIG. 7a). Then, a reactive gas such as CHF.sub.3 and the like is used to effect etching of the SiO.sub.2 layer 24 (FIG. 7b). After the completion of the etching of the SiO.sub.2 layer 24, the second resist layer 25 remaining on the surface is removed. Subsequently, the irradiation of ion beam on the substrate 22 is effected in the state of grazing incidence. The ion then may be an inert gas ion such as Ar , or a gas for chemical etching of a multilayer material, such as CF.sub.4, CCl.sub.4 and the like. Through the process, etching of the first resist (or polyimide resin) layer 23 is effected in a slant form. (FIG. 7c) When the surface of the multilayer 21 is subsequently exposed, the multilayer 21 in a pattern following the resist pattern is etched in a slant form in the cross section of the mask. During the process, the SiO.sub.2 layer 24 on the surface is also etched little by little, which layer is almost eliminated at the completion of the etching of the multilayer 21. (FIG. 7d) Finally, the first resist (or polyimide resin) layer 23 remaining is removed to form a reflection-type mask for X ray exposure of a desirable pattern. (FIG. 7e) With reference to FIG. 6, there will follow a description of the results of exposure tests which were carried out by using the reflection-type mask for X-ray exposure in accordance with the present invention. As is shown in FIG. 6, the X-ray beam of a wave length of 124 angstroms, which was obtained through spectroscopy of radiation, was made incident into the reflection-type mask for X-ray exposure 6 at an angle of 45.degree.. Then, an image with reflected X ray 4 was transferred to Si wafer 8 coated with positive-type PMMA resist. In this case, the pitch direction of the line-and-space pattern of the mask was arranged to be parallel to the incident face (paper face of FIG. 6). Because the mask was herein slanted at 45.degree., the pattern width of the mask if projected on the wafer can be converted into a line-and-space of 0.7 .mu.m. When the pattern of the formed wafer was actually measured, a line-and-space of 0.7 .mu.m was accurately transferred onto the wafer. For comparison, an identical experiment was carried out by using a reflection-type mask for X-ray exposure manufactured following conventional examples, wherein the edge faces of a multilayer pattern were not slanted. On measuring the outcome of the transfer, the width of the pattern part got as wide as 0.8 .mu.m, and the width of the space part got as narrow as 0.6 .mu.m. That is, no accurate transfer of the pattern on the mask onto the wafer could be achieved. In the present example, no special reduction of the pattern image through an optical system was effected. For the actual use in a process, however, a pattern image is reduced about 1/10 fold, by passing the reflected X ray on mask 6 through a reduction optical system 7 composed of a multilayer mirror and the like, as is explained in FIG. 3, thereby enabling accurate transfer of such pattern. The individual examples described insofar are those for illustrating the present invention, and should not be interpreted to a limitation. The present invention includes various versions or modifications, possibly employed by the skilled person in the art in a variety of manners not shown herein.
claims
1. A method of determining a current position of a rod that is movable within at least a portion of a passageway, the method comprising:transmitting an electromagnetic signal at a first location along the passageway;detecting as an input at least a portion of the electromagnetic signal at a second location along the passageway;determining a group delay of at least a portion of the input; andemploying the group delay in conjunction with a calibration data set in determining a current position of the rod that corresponds with the group delay. 2. The method of claim 1, further comprising:detecting a temperature in at least the vicinity of the passageway;employing the temperature in conjunction with a correction data set to determine a temperature correction factor; andapplying the temperature correction factor to the current position of the rod to obtain a corrected current position of the rod. 3. The method of claim 1 wherein the employing of the group delay in conjunction with the calibration data set comprises inputting the group delay into a calibration routine and outputting from the calibration routine as the current position a position that corresponds with the group delay. 4. The method of claim 1, further comprising:employing as the rod a drive rod within a nuclear containment; andemploying as the passageway a drive rod housing within the nuclear containment. 5. A method of generating a calibration data set that can be used for determining a current position of a rod that is movable within at least a portion of a passageway, the method comprising:transmitting a calibration electromagnetic signal at a first location along the passageway;moving the rod to each of a plurality of positions within the passageway;detecting as a plurality of calibration inputs at least a portion of the electromagnetic signal at a second location along the passageway for each of at least some of the plurality of positions of the rod within the passageway;determining a calibration group delay for each of at least some of the plurality of calibration inputs; anddeveloping a calibration data set based at least in part upon the calibration group delays, the calibration data set being structured to provide a current position of the rod that corresponds with a group delay determined from an input in the form of a detection of at least a portion of an electromagnetic signal at the second location along the passageway when the electromagnetic signal has been transmitted at the first location along the passageway. 6. The method of claim 5, further comprising:developing as the calibration data set a first calibration data set based upon the rod being submerged in water; anddeveloping a second calibration data set based upon the rod being at least partially surrounded by air. 7. The method of claim 5, further comprising:developing the calibration data set based at least in part upon the rod being at a first position and the environment in at least the vicinity of passageway being at a first temperature;developing a correction data set based at least in part upon the rod being at the first position and the environment in at least the vicinity of passageway being at a second temperature different from the first temperature, the correction data set being structured to provide a temperature correction factor for the current position of the rod that corresponds with a temperature detected in at least the vicinity of the passageway. 8. An apparatus for determining a current position of a rod that is movable within at least a portion of a passageway, the apparatus comprising:a processor apparatus comprising a processor and a memory;an input apparatus in communication with the processor apparatus and comprising at least a first transmission antenna structured to be situated at a first location along the passageway and at least a first receiving antenna structured to be situated at a second location along the passageway;an output apparatus in communication with the processor apparatus;the memory having stored therein a number of routines including a signal analysis routine which, when executed on the processor, cause the apparatus to perform operations comprising:transmitting an electromagnetic signal from the at least first transmission antenna;detecting as an input at least a portion of the electromagnetic signal from the at least first receiving antenna;subjecting at least a portion of the input to the signal analysis routine to determine a group delay of the input;employing the group delay in conjunction with a calibration data set in determining a current position of the rod that corresponds with the group delay; andoutputting the current position with the output apparatus. 9. The apparatus of claim 8 wherein the operations further comprise:detecting a temperature in at least the vicinity of the passageway;employing the temperature in conjunction with a correction data set to determine a temperature correction factor; andapplying the temperature correction factor to the current position of the rod to obtain a corrected current position of the rod. 10. The apparatus of claim 8 wherein the employing of the group delay in conjunction with the calibration data set comprises inputting the group delay into a calibration routine and outputting from the calibration routine as the current position a position that corresponds with the group delay. 11. The apparatus of claim 8 wherein the operations further comprise:employing as the rod a drive rod within a nuclear containment; andemploying as the passageway a drive rod housing within the nuclear containment.
claims
1. A method for removing radionuclides from a radioactive material comprising:a. contacting the radioactive material with a radionuclide containment composition, allowing the radionuclides to be exchanged with ions in the radionuclide containment composition,i. the contacting resulting in an aqueous slurry; andii. the radionuclide containment composition being an aqueous clay suspension comprising a mixture of a clay mineral and water;b. sequestering the radionuclides from the aqueous slurry using a sorption based media; andc. using a probe to detect the radionuclides and to aid in pushing the aqueous slurry towards the sorption based media, the probe having:i. an illuminator device;ii. a camera; andiii. a sensor. 2. The method according to claim 1, wherein the probe is an ultrasonic probe. 3. The method according to claim 1, wherein the clay mineral is montmorillonite. 4. The method according to claim 1, wherein a weight ratio of the clay mineral to the water ranges from 1:99 to 99:1. 5. The method according to claim 1, wherein the mixture is refined by using sieves to filter and remove coarse material. 6. The method according to claim 5, wherein an aperture size of the sieves ranges from 300 μm to <38 μm. 7. The method according to claim 1, wherein the sorption based media comprises at least one mineral from a palygorskite-sepiolite mineral group. 8. The method according to claim 1, wherein the sorption based media sequesters the radionuclides by:a. chemical ion exchange;b. mechanical separation of floccules, the floccules produced by the contact of the radionuclide containment composition with the radioactive material; andc. a combination thereof.
062401548
claims
1. A cooling system for a CEDM contained in a head lift rig of a nuclear reactor comprising: at least one pipe through which cooled air is forced under pressure into the head lift rig; and means for regulating air flow; wherein, when said cooled air is circulating, said means is held closed by pressure created by said circulating air, but when said cooled air is not circulated, said means automatically fall open to allow hot air from said head lift rig to exhaust and cooler ambient air to enter said head lift rig. at least one pipe through which cooled air is, forced into the head lift rig; and a louver; wherein, when said cooled air is circulating, said louver is held closed by pressure created by said circulating air, but when said cooled air is not circulated, said louver automatically falls open to allow hot air from said head lift rig to exhaust and cooler ambient air to enter said head lift rig. forcing cooled air through at least one pipe into the head lift rig; and regulating a vent opening in either of said at least one pipe or said head lift rig with a louver; wherein, when said cooled air is circulating, said louver is held closed by pressure created by said circulating air, but when said cooled air is not circulated, said louver automatically falls open to allow hot air from said head lift rig to exhaust and cooler ambient air to enter said head lift rig. 2. The system of claim 1, wherein said means for regulating air flow is self-actuating means for regulating air flow through a vent opening in either of said at least one pipe or said head lift rig. 3. The system of claim 2, wherein said means are disposed over a vent opening in said at least one pipe. 4. The system of claim 2, wherein said means are disposed over a vent opening in said head lift rig. 5. The system of claim 2, wherein said means are disposed over a first vent opening in said at least one pipe and over a second vent opening in said head lift rig. 6. The system of claim 1, wherein said means for regulating air flow is a flap valve louver means for regulating a vent opening in each pipe. 7. The system of claim 6, wherein said at least one pipe comprises two pipes connected to said head lift rig. 8. The system of claim 6, further comprising a flow regulating means over a vent opening in said head lift rig, wherein, when said cooled air is circulating, said flow regulating means are held closed by pressure created by said circulating air, but when said cooled air is not circulated, said flow regulating means automatically fall open to allow hot air from said head lift rig to exhaust and cooler ambient air to enter said head lift rig. 9. A cooling-system for a CEDM contained in a head lift rig of a nuclear reactor comprising: 10. The system of claim 9, wherein said louver is at least one self-actuating louver over a vent opening in either of said at least one pipe or said head lift rig. 11. The system of claim 10, further comprising a chamber within said head lift rig with exhaust openings for said forced cooled air, said chamber further comprising at least one louver which opens to admit cooler ambient air to said chamber when said cooled air is not circulated. 12. The system of claim 10, wherein said at least one louver is disposed over a vent opening in said at least one pipe. 13. The system of claim 10, wherein said at least one louver is disposed over a vent opening in said head lift rig. 14. The system of claim 10, wherein said at least one louver comprises at least two self-actuating louvers, one of which is disposed over a vent opening in said at least one pipe and one of which is disposed over a vent opening in said head lift rig. 15. The system of claim 9, wherein said louver is a flap valve louver regulating a vent opening in each pipe. 16. The system of claim 15, further comprising a chamber within said head lift rig with exhaust openings for said forced cooled air, said chamber further comprising at least one louver which opens to admit cooler ambient air to said chamber when said cooled air is not circulated. 17. The system of claim 15, wherein said at least one pipe comprises two pipes connected to said head lift rig. 18. The system of claim 15, further comprising a louver over a vent opening in said head lift rig, wherein, when said cooled air is circulating, said louver is held closed by pressure created by said circulating air, but when said cooled air is not circulated, said louver automatically falls open to allow hot air from said head lift rig to exhaust and cooler ambient air to enter said head lift rig. 19. A method of cooling a CEDM contained in a head lift-rig of a nuclear reactor comprising: 20. The method of claim 19 wherein said louver is a self-actuating louver. 21. The method of claim 20, further comprising admitting cooler ambient air to a chamber within said head lift rig through at least one louver which opens when said cooled air is not circulated, said chamber having exhaust openings for said forced cooled air. 22. The method of claim 20, wherein said regulating comprises disposing said louver over a vent opening in said at least one pipe. 23. The method of claim 20, wherein said regulating comprises disposing said louver over a vent opening in said head lift rig. 24. The method of claim 20, wherein said regulating comprises using two self-actuating louvers, one of which is disposed over a vent opening in said at least one pipe and one of which is disposed over a vent opening in said head lift rig. 25. The method of claim 19 wherein said louver is a flap valve louver. 26. The method of claim 25, further comprising admitting cooler ambient air to a chamber within said head lift rig through at least one louver which opens when said cooled air is not circulated, said chamber having exhaust openings for said forced cooled air. 27. The method of claim 25, further comprising regulating a vent opening in said head lift rig with a self-actuating louver, wherein, when said cooled air is circulating, said louver is held closed by pressure created by said circulating air, but when said cooled air is not circulated, said louver automatically falls open to allow hot air from said head lift rig to exhaust and cooler ambient air to enter said head lift rig.
description
This application claims the benefit of priority from U.S. Provisional Application No. 61/821,918, filed May 10, 2013, titled “FUEL ASSEMBLY,” the entire contents of which are hereby incorporated by reference herein. 1. Field of the Invention The present invention relates generally to nuclear fuel assemblies used in the core of a nuclear reactor, and relates more specifically to metal nuclear fuel elements. 2. Description of Related Art U.S. Patent Application Publication No. 2009/0252278 A1, the entire contents of which are incorporated herein by reference, discloses a nuclear fuel assembly that includes seed and blanket sub-assemblies. The blanket sub-assembly includes thorium-based fuel elements. The seed sub-assembly includes Uranium and/or Plutonium metal fuel elements used to release neutrons, which are captured by the Thorium blanket elements, thereby creating fissionable U-233 that burns in situ and releases heat for the nuclear power plant. PCT Publication No. WO2011/143293 (A1), the entire contents of which are incorporated herein by reference, discloses a variety of fuel assemblies and fuel elements that utilize extruded, spiral (i.e., helically twisted) fuel elements with metal or ceramic fuel. The surface area of the cylindrical tube of conventional fuel rods limits the amount of heat that can be transferred from the rod to the primary coolant. To avoid overheating the fuel rod in view of the limited surface area for heat flux removal, the amount of fissile material in these uranium oxide fuel rods or mixed oxide (plutonium and uranium oxide) fuel rods has conventionally been substantially limited. One or more embodiments of the present invention overcome various disadvantages of conventional uranium oxide fuel rods by replacing them with all metal, multi-lobed, powder metallurgy co-extruded fuel rods (fuel elements). The metal fuel elements have significantly more surface area than their uranium oxide rod counterparts, and therefore facilitate significantly more heat transfer from the fuel element to the primary coolant at a lower temperature. The spiral ribs of the multi-lobed fuel elements provide structural support to the fuel element, which may facilitate the reduction in the quantity or elimination of spacer grids that might otherwise have been required. Reduction in the quantity or elimination of such spacer grids advantageously reduces the hydraulic drag on the coolant, which can improve heat transfer to the coolant. Because the metal fuel elements may be relatively more compact than their conventional uranium oxide fuel rod counterparts, more space within the fuel assembly is provided for coolant, which again reduces hydraulic drag and improves heat transfer to the coolant. The higher heat transfer from the metal fuel rods to the coolant means that it is possible to generate more heat (i.e., power), while simultaneously maintaining the fuel elements at a lower operating temperature due to the considerably higher thermal conductivity of metals versus oxides. Although conventional uranium oxide or mixed oxide fuel rods typically are limited to fissile material loading of around 4-5% due to overheating concerns, the higher heat transfer properties of the metal fuel elements according to various embodiments of the present invention enable significantly greater fissile material loadings to be used while still maintaining safe fuel performance. Ultimately, the use of metal fuel elements according to one or more embodiments of the present invention can provide more power from the same reactor core than possible with conventional uranium oxide or mixed oxide fuel rods. The use of all-metal fuel elements according to one or more embodiments of the present invention may advantageously reduce the risk of fuel failure because the metal fuel elements reduce the risk of fission gas release to the primary coolant, as is possible in conventional uranium oxide or mixed oxide fuel rods. The use of all-metal fuel elements according to one or more embodiments of the present invention may also be safer than conventional uranium oxide fuel rods because the all-metal design increases heat transfer within the fuel element, thereby reducing temperature variations within the fuel element, and reducing the risk of localized overheating of the fuel element. One or more embodiments provides an axially elongated fuel element for use in a fuel assembly of a nuclear reactor. The fuel element includes: a kernel including fissionable material; and a cladding enclosing the kernel. A ratio of an axial length of the fuel element to a circumscribed diameter of the fuel element is at least 20:1. An axial centerline of the fuel element is offset from an axial center of mass of the fuel element. According to one or more of these embodiments, the fuel element has a multi-lobed profile that forms spiral ribs, wherein the spiral ribs include fissionable material. According to one or more of these embodiments, the multi-lobed profile includes concave areas between adjacent lobes. According to one or more of these embodiments, at least one circumferential side of the cladding is laterally reduced in size (e.g., shortened) relative to at least one other circumferential side of the cladding. According to one or more of these embodiments, an axial center of mass of the kernel is disposed at the axial centerline, and wherein an axial center of mass of the cladding is offset from the axial centerline. One or more embodiments provides a fuel assembly for use in a core of a nuclear power reactor. The assembly includes a frame including a lower nozzle that is shaped and configured to mount to the nuclear reactor internal core structure; and a plurality of elongated, extruded fuel elements supported by the frame. Each of said plurality of fuel elements includes a fuel kernel including fuel material disposed in a matrix of metal non-fuel material, the fuel material including fissile material, and a cladding surrounding the fuel kernel. Each of the fuel elements has a multi-lobed profile that forms spiral ribs. The plurality of fuel elements provide all of the fissile material of the fuel assembly. Each of the plurality of fuel elements is disposed in a different grid position of a grid pattern defined by the frame such that a subset of the plurality of fuel elements are disposed along an outer perimeter of the grid pattern. At least one outer side of the cladding on at least some of the fuel elements disposed along an outer perimeter of the grid pattern are laterally reduced in size. According to one or more of these embodiments, the frame includes a shroud such that all of the plurality of fuel elements are disposed inside the shroud, and the laterally reduced outer sides of the cladding contact the shroud. According to one or more of these embodiments, in a cross section of the fuel assembly that is perpendicular to an axial direction of the fuel elements, an area of each of the fuel kernels of the at least some of the fuel elements disposed along an outer perimeter of the grid pattern is smaller than an area of at least one of the fuel kernels of in a remainder of the plurality of fuel elements. According to one or more of these embodiments, each of the plurality fuel elements is separated from adjacent fuel elements by a common centerline-to-centerline distance, and a circumscribed diameter of each of the plurality of fuel elements equals the centerline-to-centerline distance. According to one or more of these embodiments, the fuel material includes ceramic fuel material disposed in the matrix of metal non-fuel material. According to one or more of these embodiments, the cladding is at least 0.4 mm thick throughout each of the plurality of fuel elements. According to one or more of these embodiments, the fuel assembly is thermodynamically designed and physically shaped for operation in a conventional land-based nuclear power reactor of a conventional nuclear power plant having a reactor design that was in actual use before 2013. The frame is shaped and configured to fit into the land-based nuclear power reactor in place of a conventional uranium oxide fuel assembly for said reactor. According to one or more of these embodiments, the spiral ribs of adjacent ones of the plurality of fuel elements periodically contact each other over the axial length of the fuel elements, such contact helping to maintain the spacing of the fuel elements relative to each other. According to one or more of these embodiments, a portion of the fuel assembly that supports the subset of the elongated fuel elements is inseparable from a portion of the fuel assembly that supports the rest of the plurality of fuel elements. According to one or more of these embodiments, the grid pattern defines a 17×17 pattern of grid positions, and guide tubes occupy grid positions at row, column positions: 3,6; 3,9; 3,12; 4,4; 4;14; 6,3; 6,15; 9,3; 9,15; 12,3; 12,15; 14,4; 14,14; 15,6; 15,9; and 15,12. One or more embodiments provides a fuel assembly for use in a core of a nuclear power reactor. The assembly includes: a frame including a lower nozzle that is shaped and configured to mount to the nuclear reactor internal core structure; and a plurality of elongated fuel elements supported by the frame, each of said plurality of fuel elements including fissile material. As viewed in a cross section that is perpendicular to an axial direction of the fuel assembly, the plurality of fuel elements are arranged into a mixed grid pattern that includes a first grid pattern and a second grid pattern. The second grid pattern is different from the first grid pattern. According to one or more of these embodiments, the plurality of fuel elements includes non-overlapping first, second, and third subsets, each subset including a plurality of the fuel elements. The plurality of fuel elements of the first subset are disposed within respective grid positions defined by the first grid pattern. The plurality of fuel elements of the second subset are disposed within respective grid positions defined by the second grid pattern. The plurality of fuel elements of the third subset are disposed within respective overlapping grid positions, the overlapping grid positions falling within both the first grid pattern and the second grid pattern. According to one or more of these embodiments, each of the plurality of fuel elements has a common circumscribed diameter. According to one or more of these embodiments, the first grid pattern includes a pattern of square rows and columns. The centerline-to-centerline distance between the rows and columns is the common circumscribed diameter. The second grid pattern includes a pattern of equilateral triangles. A length of each side of each triangle is the common circumscribed diameter. According to one or more of these embodiments, the fuel assembly also includes additional fuel elements supported by the frame. The additional fuel elements are not disposed within any of the grid positions defined by the first or second grid pattern. According to one or more of these embodiments, each of the plurality of fuel elements includes: a fuel kernel including fuel material disposed in a matrix of metal non-fuel material, the fuel material including fissile material, and a cladding surrounding the fuel kernel. Each of the fuel elements has a multi-lobed profile that forms spiral ribs. One or more embodiments of the present invention provide a fuel assembly for use in a core of a nuclear power reactor (e.g., a land-based or marine nuclear reactor). The assembly includes a frame including a lower nozzle that is shaped and configured to mount to the nuclear reactor internal core structure, and a plurality of elongated metal fuel elements supported by the frame. Each of the plurality of fuel elements includes a metal fuel alloy kernel including metal fuel material and a metal non-fuel material. The fuel material includes fissile material. Each fuel element also includes a cladding surrounding the fuel kernel. The plurality of elongated metal fuel elements provide all of the fissile material of the fuel assembly. According to one or more of these embodiments, the fuel assembly is thermodynamically designed and physically shaped for operation in a land-based nuclear power reactor. According to one or more embodiments, the fuel assembly may be used in combination with a land-based nuclear power reactor, wherein the fuel assembly is disposed within the land-based nuclear power reactor. According to one or more of these embodiments, with respect to a plurality of the plurality of fuel elements: the fuel material of the fuel kernel is enriched to 20% or less by uranium-235 and/or uranium-233 and includes between a 20% and 30% volume fraction of the fuel kernel; and the non-fuel metal includes between a 70% and 80% volume fraction of the fuel kernel. With respect to the plurality of the plurality of fuel elements, the fuel material enrichment may be between 15% and 20%. The non-fuel metal of the fuel kernel may include zirconium. According to one or more of these embodiments, the kernel includes δ-phase UZr2. According to one or more of these embodiments, with respect to a plurality of the plurality of fuel elements: the fuel material of the fuel kernel includes plutonium; the non-fuel metal of the fuel kernel includes zirconium; and the non-fuel metal of the fuel kernel includes between a 70% and 97% volume fraction of the fuel kernel. According to one or more of these embodiments, the fuel material includes a combination of: uranium and thorium; plutonium and thorium; or uranium, plutonium, and thorium. According to one or more of these embodiments, the cladding of a plurality of the plurality of fuel elements is metallurgically bonded to the fuel kernel. According to one or more of these embodiments, the non-fuel metal of a plurality of the plurality of fuel elements includes aluminum. According to one or more of these embodiments, the non-fuel metal of a plurality of the plurality of fuel elements includes a refractory metal. According to one or more of these embodiments, the cladding of a plurality of the plurality of fuel elements includes zirconium. According to one or more of these embodiments, a plurality of the plurality of fuel elements are manufactured via co-extrusion of the fuel kernel and cladding. According to one or more of these embodiments, the fuel assembly, one or more fuel elements thereof, and/or one or more fuel kernels thereof includes burnable poison. According to one or more of these embodiments, the plurality of elongated metal fuel elements provide at least 80% by volume of the overall fissile material of the fuel assembly. According to one or more of these embodiments, the land-based nuclear power reactor is a conventional nuclear power plant having a reactor design that was in actual use before 2013. The frame may be shaped and configured to fit into the land-based nuclear power reactor in place of a conventional uranium oxide fuel assembly for the reactor. According to one or more of these embodiments, the kernel may include ceramic fuel material instead of metal fuel material. In one or more such embodiments, the fuel material includes ceramic fuel material disposed in a matrix of metal non-fuel material. Conversely, in one or more metal fuel embodiments, the plurality of elongated, extruded fuel elements include a plurality of elongated, extruded metal fuel elements; the fuel material includes metal fuel material; and the fuel kernel includes a metal fuel alloy kernel including an alloy of the metal fuel material and the matrix of metal non-fuel material. According to one or more of these embodiments, the frame comprises a shroud such that all of the plurality of fuel elements are disposed inside the shroud, and the fuel assembly comprises at least one corner structure disposed at a corner of the fuel assembly and attached to the shroud. According to one or more of these embodiments, the at least one corner structure comprises a burnable poison. According to one or more of these embodiments, the at least one corner structure abuts at least one of the plurality of elongated fuel elements. These and other aspects of various embodiments of the present invention, as well as the methods of operation and functions of the related elements of structure and the combination of parts and economies of manufacture, will become more apparent upon consideration of the following description and the appended claims with reference to the accompanying drawings, all of which form a part of this specification, wherein like reference numerals designate corresponding parts in the various figures. In one embodiment of the invention, the structural components illustrated herein are drawn to scale. It is to be expressly understood, however, that the drawings are for the purpose of illustration and description only and are not intended as a definition of the limits of the invention. In addition, it should be appreciated that structural features shown or described in any one embodiment herein can be used in other embodiments as well. As used in the specification and in the claims, the singular form of “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. FIGS. 1-3 illustrate a fuel assembly 10 according to an embodiment of the present invention. As shown in FIG. 3, the fuel assembly 10 comprises a plurality of fuel elements 20 supported by a frame 25. As shown in FIG. 3, the frame 25 comprises a shroud 30, guide tubes 40, an upper nozzle 50, a lower nozzle 60, a lower tie plate 70, an upper tie plate 80, and/or other structure(s) that enable the assembly 10 to operate as a fuel assembly in a nuclear reactor. One or more of these components of the frame 25 may be omitted according to various embodiments without deviating from the scope of the present invention. As shown in FIG. 3, the shroud 25 mounts to the upper nozzle 50 and lower nozzle 60. The lower nozzle 60 (or other suitable structure of the assembly 10) is constructed and shaped to provide a fluid communication interface between the assembly 10 and the reactor 90 into which the assembly 10 is placed so as to facilitate coolant flow into the reactor core through the assembly 10 via the lower nozzle 60. The upper nozzle 50 facilitates direction of the heated coolant from the assembly 10 to the power plant's steam generators (for PWRs), turbines (for BWRs), etc. The nozzles 50, 60 have a shape that is specifically designed to properly mate with the reactor core internal structure. As shown in FIG. 3, the lower tie plate 70 and upper tie plate 80 are preferably rigidly mounted (e.g., via welding, suitable fasteners (e.g., bolts, screws), etc.) to the shroud 30 or lower nozzle 60 (and/or other suitable structural components of the assembly 10). Lower axial ends of the elements 20 form pins 20a that fit into holes 70a in the lower tie plate 70 to support the elements 20 and help maintain proper element 20 spacing. The pins 20a mount to the holes 70a in a manner that prevents the elements 20 from rotating about their axes or axially moving relative to the lower tie plate 70. This restriction on rotation helps to ensure that contact points between adjacent elements 20 all occur at the same axial positions along the elements 20 (e.g., at self-spacing planes discussed below). The connection between the pins 20a and holes 70a may be created via welding, interference fit, mating non-cylindrical features that prevent rotation (e.g., keyway and spline), and/or any other suitable mechanism for restricting axial and/or rotational movement of the elements 20 relative to the lower tie plate 70. The lower tie plate 70 includes axially extending channels (e.g., a grid of openings) through which coolant flows toward the elements 20. Upper axial ends of the elements 20 form pins 20a that freely fit into holes 80a in the upper tie plate 80 to permit the upper pins 20a to freely axially move upwardly through to the upper tie plate 80 while helping to maintain the spacing between elements 20. As a result, when the elements 20 axially grow during fission, the elongating elements 20 can freely extend further into the upper tie plate 80. As shown in FIG. 4, the pins 20a transition into a central portion of the element 20. FIGS. 4 and 5 illustrate an individual fuel element/rod 20 of the assembly 10. As shown in FIG. 5, the elongated central portion of the fuel element 20 has a four-lobed cross-section. A cross-section of the element 20 remains substantially uniform over the length of the central portion of the element 20. Each fuel element 20 has a fuel kernel 100, which includes a refractory metal and fuel material that includes fissile material. A displacer 110 that comprises a refractory metal is placed along the longitudinal axis in the center of the fuel kernel 100. The displacer 110 helps to limit the temperature in the center of the thickest part of the fuel element 20 by displacing fissile material that would otherwise occupy such space and minimize variations in heat flux along the surface of the fuel element. According to various embodiments, the displacer 110 may be eliminated altogether. As shown in FIG. 5, the fuel kernel 100 is enclosed by a refractory metal cladding 120. The cladding 120 is preferably thick enough, strong enough, and flexible enough to endure the radiation-induced swelling of the kernel 100 without failure (e.g., without exposing the kernel 100 to the environment outside the cladding 120). According to one or more embodiments, the entire cladding 120 is at least 0.3 mm, 0.4 mm, 0.5 mm, and/or 0.7 mm thick. According to one or more embodiments, the cladding 120 thickness is at least 0.4 mm in order to reduce a chance of swelling-based failure, oxidation based failure, and/or any other failure mechanism of the cladding 120. The cladding 120 may have a substantially uniform thickness in the annular direction (i.e., around the perimeter of the cladding 120 as shown in the cross-sectional view of FIG. 5) and over the axial/longitudinal length of the kernel 100 (as shown in FIG. 4). Alternatively, as shown in FIG. 5, according to one or more embodiments, the cladding 120 is thicker at the tips of the lobes 20b than at the concave intersection/area 20c between the lobes 20b. For example, according to one or more embodiments, the cladding 120 at the tips of the lobes 20b is at least 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 100%, 125%, and/or 150% thicker than the cladding 120 at the concave intersections/areas 20c. The thicker cladding 120 at the tips of the lobes 20b provides improved wear resistance at the tips of the lobes 20b where adjacent fuel elements 20 touch each other at the self-spacing planes (discussed below). The refractory metal used in the displacer 110, the fuel kernel 100, and the cladding 120 comprises zirconium according to one or more embodiments of the invention. As used herein, the term zirconium means pure zirconium or zirconium in combination with other alloy material(s). However, other refractory metals may be used instead of zirconium without deviating from the scope of the present invention (e.g., niobium, molybdenum, tantalum, tungsten, rhenium, titanium, vanadium, chromium, zirconium, hafnium, ruthenium, osmium, iridium, and/or other metals). As used herein, the term “refractory metal” means any metal/alloy that has a melting point above 1800 degrees Celsius (2073K). Moreover, in certain embodiments, the refractory metal may be replaced with another non-fuel metal, e.g., aluminum. However, the use of a non-refractory non-fuel metal is best suited for reactor cores that operate at lower temperatures (e.g., small cores that have a height of about 1 meter and an electric power rating of 100 MWe or less). Refractory metals are preferred for use in cores with higher operating temperatures. As shown in FIG. 5, the central portion of the fuel kernel 100 and cladding 120 has a four-lobed profile forming spiral spacer ribs 130. The displacer 110 may also be shaped so as to protrude outwardly at the ribs 130 (e.g., corners of the square displacer 110 are aligned with the ribs 130). According to alternative embodiments of the present invention, the fuel elements 20 may have greater or fewer numbers of ribs 130 without deviating from the scope of the present invention. For example, as generally illustrated in FIG. 5 of U.S. Patent Application Publication No. 2009/0252278 A1, a fuel element may have three ribs/lobes, which are preferably equally circumferentially spaced from each other. The number of lobes/ribs 130 may depend, at least in part, on the shape of the fuel assembly 10. For example, a four-lobed element 20 may work well with a square cross-sectioned fuel assembly 10 (e.g., as is used in the AP-1000). In contrast, a three-lobed fuel element may work well with a hexagonal fuel assembly (e.g., as is used in the VVER). FIG. 9 illustrates various dimensions of the fuel element 20 according to one or more embodiments. According to one or more embodiments, any of these dimensions, parameters and/or ranges, as identified in the below table, can be increased or decreased by up to 5%, 10%, 15%, 20%, 25%, 30%, 40%, 50%, or more without deviating from the scope of the present invention. Fuel Element 20 ParameterSymbolExample ValuesUnitCircumscribed diameterD9-14 (e.g., 12.3, 12.4, 12.,mm12.6)Lobe thicknessΔ2.5-3.8 (e.g., 2.5, 2.6, 2.7, 2.8,mm2.9, 3.0, 3.1, 3.2, 3.3, 3.4, 3.5,3.6, 3.7, 3.8), variableMinimum cladding thicknessδ0.4-1.2 (e.g., 0.4, 0.5, 0.6, 0.7,mm0.8, 0.9, 1.0, 1.1, 1.2)Cladding thickness at the lobeδmax0.4-2.2 (e.g., 0.4, 0.5, 0.6, 0.7,mm0.8, 0.9, 1.0, 1.1, 1.2, 1.3, 1.4,1.5, 1.6, 1.7, 1.8, 1.9, 2.0, 2.1,2.2), 1.5δ, 2δ, 2.5δAverage cladding thickness0.4-1.8 (e.g., 0.4, 0.5, 0.6,mm0.7, 0.8, 0.9, 1.0, 1.1, 1.2, 1.3,1.4, 1.5, 1.6, 1.7, 1.8), at least0.4, 0.5, or 0.6Curvature radius of cladding at loberΔ/2, Δ/1.9, variablemmperipheryCurvature radius of fuel kernel at loberf0.5-2.0 (e.g., 0.5, 0.6, 0.7, 0.8,mmperiphery0.9, 1.0, 1.1, 1.2, 1.3, 1.4, 1.5,1.6, 1.7, 1.8, 1.9, 2.0), (Δ-2δ)/2, variableRadius of curvature between adjacentR2-5 (e.g., 2, 3, 4, 5), variablemmlobesCentral displacer side lengtha1.5-3.5 (e.g., 1.5, 1.6, 1.7, 1.8,mm1.9, 2.0, 2.1, 2.2, 2.3, 2.4, 2.5,2.6, 2.7, 2.8, 2.9, 3.0, 3.1, 3.2,3.3, 3.4, 3.5)Fuel element perimeter25-60 (e.g., 25, 30, 35, 40, 45,mm50, 55, 60)Fuel element area50-100 (e.g., 50, 60, 70, 80,mm290, 100)Fuel kernel area, mm230-70 (e.g., 30, 40, 50, 60, 70)mm2Enrichment≤19.7w/oU fraction≤25v/o As shown in FIG. 5, the displacer 110 has a cross-sectional shape of a square regular quadrilateral with the corners of the square regular quadrilateral being aligned with the ribs 130. The displacer 110 forms a spiral that follows the spiral of the ribs 130 so that the corners of the displacer 110 remain aligned with the ribs 130 along the axial length of the fuel kernel 100. In alternative embodiments with greater or fewer ribs 130, the displacer 110 preferably has the cross-sectional shape of a regular polygon having as many sides as the element 20 has ribs. As shown in FIG. 6, the cross-sectional area of the central portion of the element 20 is preferably substantially smaller than the area of a square 200 in which the tip of each of the ribs 130 is tangent to one side of the square 200. In more generic terms, the cross-sectional area of an element 20 having n ribs is preferably smaller than the area of a regular polygon having n sides in which the tip of each of the ribs 130 is tangent to one side of the polygon. According to various embodiments, a ratio of the area of the element 20 to the area of the square (or relevant regular polygon for elements 20 having greater or fewer than four ribs 130) is less than 0.7, 0.6, 0.5, 0.4, 0.35, 0.3. As shown in FIG. 1, this area ratio approximates how much of the available space within the shroud 30 is taken up by the fuel elements 20, such that a lower ratio means that more space is advantageously available for coolant, which also acts as a neutron moderator and which increases the moderator-to-fuel ratio (important for neutronics), reduces hydraulic drag, and increases the heat transfer from the elements 20 to the coolant. According to various embodiments, the resulting moderator to fuel ratio is at least 2.0, 2.25, 2.5, 2.75, and/or 3.0 (as opposed to 1.96 when conventional cylindrical uranium oxide rods are used). Similarly, according to various embodiments, the fuel assembly 10 flow area is increased by over 16% as compared to the use of one or more conventional fuel assemblies that use cylindrical uranium oxide rods. The increased flow area may decrease the coolant pressure drop through the assembly 10 (relative to conventional uranium oxide assemblies), which may have advantages with respect to pumping coolant through the assembly 10. As shown in FIG. 4, the element 20 is axially elongated. In the illustrated embodiment, each element 20 is a full-length element and extends the entire way from lower tie plate 70 at or near the bottom of the assembly 10 to the upper tie plate 80 at or near the top of the assembly 10. According to various embodiments and reactor designs, this may result in elements 20 that are anywhere from 1 meter long (for compact reactors) to over 4 meters long. Thus, for typical reactors, the elements 20 may be between 1 and 5 meters long. However, the elements 20 may be lengthened or shortened to accommodate any other sized reactor without deviating from the scope of the present invention. While the illustrated elements 20 are themselves full length, the elements 20 may alternatively be segmented, such that the multiple segments together make a full length element. For example, 4 individual 1 meter element segments 20 may be aligned end to end to effectively create the full-length element. Additional tie plates 70, 80 may be provided at the intersections between segments to maintain the axial spacing and arrangement of the segments. According to one or more embodiments, the fuel kernel 100 comprises a combination of a refractory metal/alloy and fuel material. The refractory metal/alloy may comprise a zirconium alloy. The fuel material may comprise low enriched uranium (e.g., U235, U233), plutonium, or thorium combined with low enriched uranium as defined below and/or plutonium. As used herein, “low enriched uranium” means that the whole fuel material contains less than 20% by weight fissile material (e.g., uranium-235 or uranium-233). According to various embodiments, the uranium fuel material is enriched to between 1% and 20%, 5% and 20%, 10% and 20%, and/or 15% and 20% by weight of uranium-235. According to one or more embodiments, the fuel material comprises 19.7% enriched uranium-235. According to various embodiments, the fuel material may comprise a 3-10%, 10-40%, 15-35%, and/or 20-30% volume fraction of the fuel kernel 100. According to various embodiments, the refractory metal may comprise a 60-99%, 60-97%, 70-97%, 60-90%, 65-85%, and/or 70-80% volume fraction of the fuel kernel 100. According to one or more embodiments, volume fractions within one or more of these ranges provide an alloy with beneficial properties as defined by the material phase diagram for the specified alloy composition. The fuel kernel 100 may comprise a Zr—U alloy that is a high-alloy fuel (i.e., relatively high concentration of the alloy constituent relative to the uranium constituent) comprised of either δ-phase UZr2, or a combination of δ-phase UZr2 and α-phase Zr. According to one or more embodiments, the δ-phase of the U—Zr binary alloy system may range from a zirconium composition of approximately 65-81 volume percent (approximately 63 to 80 atom percent) of the fuel kernel 100. One or more of these embodiments have been found to result in low volumetric, irradiation-induced swelling of the fuel element 20. According to one or more such embodiments, fission gases are entrained within the metal kernel 100 itself, such that one or more embodiments of the fuel element 20 can omit a conventional gas gap from the fuel element 20. According to one or more embodiments, such swelling may be significantly less than would occur if low alloy (α-phase only) compositions were used (e.g., at least 10%, 20%, 30%, 50%, 75%, 100%, 200%, 300%, 500%, 1000%, 1200%, 1500%, or greater reduction in volume percent swelling per atom percent burnup than if a low alloy α-phase U-10Zr fuel was used). According to one or more embodiments of the present invention, irradiation-induced swelling of the fuel element 20 or kernel 100 thereof may be less than 20, 15, 10, 5, 4, 3, and/or 2 volume percent per atom percent burnup. According to one or more embodiments, swelling is expected to be around one volume percent per atom percent burnup. According to one or more alternative embodiments of the present invention, the fuel kernel is replaced with a plutonium-zirconium binary alloy with the same or similar volume percentages as with the above-discussed U—Zr fuel kernels 100, or with different volume percentages than with the above-discussed U—Zr fuel kernels 100. For example, the plutonium fraction in the kernel 100 may be substantially less than a corresponding uranium fraction in a corresponding uranium-based kernel 100 because plutonium typically has about 60-70% weight fraction of fissile isotopes, while LEU uranium has 20% or less weight fraction of fissile U-235 isotopes. According to various embodiments, the plutonium volume fraction in the kernel 100 may be less than 15%, less than 10%, and/or less than 5%, with the volume fraction of the refractory metal being adjusted accordingly. The use of a high-alloy kernel 100 according to one or more embodiments of the present invention may also result in the advantageous retention of fission gases during irradiation. Oxide fuels and low-alloy metal fuels typically exhibit significant fission gas release that is typically accommodated by the fuel design, usually with a plenum within the fuel rod to contain released fission gases. The fuel kernel 100 according to one or more embodiments of the present invention, in contrast, does not release fission gases. This is in part due to the low operating temperature of the fuel kernel 100 and the fact that fission gas atoms (specifically Xe and Kr) behave like solid fission products. Fission gas bubble formation and migration along grain boundaries to the exterior of the fuel kernel 100 does not occur according to one or more embodiments. At sufficiently high temperatures according to one or more embodiments, small (a few micron diameter) fission gas bubbles may form. However, these bubbles remain isolated within the fuel kernel 100 and do not form an interconnected network that would facilitate fission gas release, according to one or more embodiments of the present invention. The metallurgical bond between the fuel kernel 100 and cladding 120 may provide an additional barrier to fission gas release. According to various embodiments, the fuel kernel 100 (or the cladding 120 or other suitable part of the fuel element 20) of one or more of the fuel elements 20 can be alloyed with a burnable poison such as gadolinium, boron, erbium or other suitable neutron absorbing material to form an integral burnable poison fuel element. Different fuel elements 20 within a fuel assembly 10 may utilize different burnable poisons and/or different amounts of burnable poison. For example, some of fuel elements 20 of a fuel assembly 10 (e.g., less than 75%, less than 50%, less than 20%, 1-15%, 1-12%, 2-12%, etc.) may include kernels 100 with 25, 20, and/or 15 weight percent or less Gd (e.g., 1-25 weight percent, 1-15 weight percent, 5-15 weight percent, etc.). Other fuel elements 20 of the fuel assembly 10 (e.g., 10-95%, 10-50%, 20-50%, a greater number of the fuel elements 20 than the fuel elements 20 that utilize Gd) may include kernels 100 with 10 or 5 weight percent or less Er (e.g., 0.1-10.0 weight percent, 0.1 to 5.0 weight percent etc.). According to various embodiments, the burnable poison displaces the fuel material (rather than the refractory metal) relative to fuel elements 20 that do not include burnable poison in their kernels 100. For example, according to one embodiment of a fuel element 20 whose kernel 100 would otherwise include 65 volume percent zirconium and 35 volume percent uranium in the absence of a poison, the fuel element 20 includes a kernel 100 that is 16.5 volume percent Gd, 65 volume percent zirconium, and 18.5 volume percent uranium. According to one or more other embodiments, the burnable poison instead displaces the refractory metal, rather than the fuel material. According to one or more other embodiments, the burnable poison in the fuel kernel 100 displaces the refractory metal and the fuel material proportionally. Consequently, according to various of these embodiments, the burnable poison within the fuel kernel 100 may be disposed in the δ-phase of UZr2 or α-phase of Zr such that the presence of the burnable poison does not change the phase of the UZr2 alloy or Zr alloy in which the burnable poison is disposed. Fuel elements 20 with a kernel 100 with a burnable poison may make up a portion (e.g., 0-100%, 1-99%, 1-50%, etc.) of the fuel elements 20 of one or more fuel assemblies 10 used in a reactor core. For example, fuel elements 20 with burnable poison may be positioned in strategic locations within the fuel assembly lattice of the assembly 10 that also includes fuel elements 20 without burnable poison to provide power distribution control and to reduce soluble boron concentrations early in the operating cycle. Similarly, select fuel assemblies 10 that include fuel elements 20 with burnable poison may be positioned in strategic locations within the reactor core relative to assemblies 10 that do not include fuel elements 20 with burnable poison to provide power distribution control and to reduce soluble boron concentrations early in the operating cycle. The use of such integral burnable absorbers may facilitate the design of extended operating cycles. Alternatively and/or additionally, separate non-fuel bearing burnable poison rods may be included in the fuel assembly 10 (e.g., adjacent to fuel elements 20, in place of one or more fuel elements 20, inserted into guide tubes in fuel assemblies 10 that do not receive control rods, etc.). In one or more embodiments, such non-fuel burnable poison rods can be designed into a spider assembly similar to that which is used in the Babcock and Wilcox or Westinghouse designed reactors (referred to as burnable poison rod assemblies (BPRA)). These then may be inserted into the control rod guide tubes and locked into select fuel assemblies 10 where there are no control banks for the initial cycle of operation for reactivity control. When the burnable poison cluster is used it may be removed when the fuel assembly is relocated for the next fuel cycle. According to an alternative embodiment in which the separate non-fuel bearing burnable poison rods are positioned in place of one or more fuel elements 20, the non-fuel burnable poison rods remain in the fuel assembly 10 and are discharged along with other fuel elements 20 when the fuel assembly 10 reaches its usable life. The fuel elements 20 are manufactured via powder-metallurgy co-extrusion. Typically, the powdered refractory metal and powdered metal fuel material (as well as the powdered burnable poison, if included in the kernel 100) for the fuel kernel 100 are mixed, the displacer 110 blank is positioned within the powder mixture, and then the combination of powder and displacer 110 is pressed and sintered into fuel core stock/billet (e.g., in a mold that is heated to varying extents over various time periods so as to sinter the mixture). The displacer 110 blank may have the same or similar cross-sectional shape as the ultimately formed displacer 110. Alternatively, the displacer 110 blank may have a shape that is designed to deform into the intended cross-sectional shape of the displacer 110 upon extrusion. The fuel core stock (including the displacer 110 and the sintered fuel kernel 100 material) is inserted into a hollow cladding 120 tube that has a sealed tube base and an opening on the other end. The opening on the other end is then sealed by an end plug made of the same material as the cladding to form a billet. The billet may be cylindrically shaped, or may have a shape that more closely resembles the ultimate cross-sectional shape of the element 20, for example, as shown in FIGS. 5 and 9. The billet is then co-extruded under temperature and pressure through a die set to create the element 20, including the finally shaped kernel 100, cladding 110, and displacer 120. According to various embodiments that utilize a non-cylindrical displacer 110, the billet may be properly oriented relative to the extrusion press die so that corners of the displacer 110 align with the lobes 20b of the fuel element 20. The extrusion process may be done by either direct extrusion (i.e., moving the billet through a stationary die) or indirect extrusion (i.e., moving the die toward a stationary billet). The process results in the cladding 120 being metallurgically bonded to the fuel kernel 100, which reduces the risk of delamination of the cladding 120 from the fuel kernel 100. The tube and end plug of the cladding 120 metallurgically bond to each other to seal the fuel kernel 100 within the cladding 120. The high melting points of refractory metals used in the fuel elements 10 tend to make powder metallurgy the method of choice for fabricating components from these metals. According to one or more alternative embodiments, the fuel core stock of the fuel elements 20 may be manufactured via casting instead of sintering. Powdered or monolithic refractory metal and powdered or monolithic fuel material (as well as the powdered burnable poison, if included in the kernel 100) may be mixed, melted, and cast into a mold. The mold may create a displacer-blank-shaped void in the cast kernel 100 such that the displacer 110 blank may be inserted after the kernel 100 is cast, in the same manner that the cladding 120 is added to form the billet to be extruded. The remaining steps for manufacturing the fuel elements 20 may remain the same as or similar to the above-discuss embodiment that utilizes sintering instead of casting. Subsequent extrusion results in metallurgical bonding between the displacer 110 and kernel 100, as well as between the kernel 100 and cladding 120. According to one or more alternative embodiments, the fuel elements 20 are manufactured using powdered ceramic fuel material instead of powdered metal fuel material. The remaining manufacturing steps may be the same as discussed above with respect to the embodiments using powdered metal fuel material. In various metal fuel embodiments and ceramic fuel embodiments, the manufacturing process may result in a fuel kernel 100 comprising fuel material disposed in a matrix of metal non-fuel material. In one or more of the metal fuel embodiments, the resulting fuel kernel 100 comprises a metal fuel alloy kernel comprising an alloy of the metal fuel material and the matrix of metal non-fuel material (e.g., a uranium-zirconium alloy). In one or more of the ceramic fuel embodiments, the kernel 100 comprises ceramic fuel material disposed in (e.g., interspersed throughout) the matrix of metal non-fuel material. According to various embodiments, the ceramic fuel material used in the manufacturing process may comprise powdered uranium or plutonium oxide, powdered uranium or plutonium nitride, powdered uranium or plutonium carbide, powdered uranium or plutonium hydride, or a combination thereof. In contrast with conventional UO2 fuel elements in which UO2 pellets are disposed in a tube, the manufacturing process according to one or more embodiments of the present invention results in ceramic fuel being disposed in a solid matrix of non-fuel material (e.g., a zirconium matrix). As shown in FIG. 4, the axial coiling pitch of the spiral ribs 130 is selected according to the condition of placing the axes of adjacent fuel elements 10 with a spacing equal to the width across corners in the cross section of a fuel element and may be 5% to 20% of the fuel element 20 length. According to one embodiment, the pitch (i.e., the axial length over which a lobe/rib makes a complete rotation) is about 21.5 cm, while the full active length of the element 20 is about 420 cm. As shown in FIG. 3, stability of the vertical arrangement of the fuel elements 10 is provided: at the bottom—by the lower tie plate 70; at the top—by the upper tie plate 80; and relative to the height of the core—by the shroud 30. As shown in FIG. 1, the fuel elements 10 have a circumferential orientation such that the lobed profiles of any two adjacent fuel elements 10 have a common plane of symmetry which passes through the axes of the two adjacent fuel elements 10 in at least one cross section of the fuel element bundle. As shown in FIG. 1, the helical twist of the fuel elements 20 in combination with their orientation ensures that there exists one or more self-spacing planes. As shown in FIG. 1, in such self spacing planes, the ribs of adjacent elements 20 contact each other to ensure proper spacing between such elements 20. Thus, the center-to-center spacing of elements 20 will be about the same as the corner-to-corner width of each element 20 (12.6 mm in the element illustrated in FIG. 5). Depending on the number of lobes 20b in each fuel element 20 and the relative geometrical arrangement of the fuel elements 20, all adjacent fuel elements 20 or only a portion of the adjacent fuel elements 20 will contact each other. For example, in the illustrated four-lobed embodiment, each fuel element 20 contacts all four adjacent fuel elements 20 at each self-spacing plane. However, in a three-lobed fuel element embodiment in which the fuel elements are arranged in a hexagonal pattern, each fuel element will only contact three of the six adjacent fuel elements in a given self-spacing plane. The three-lobed fuel element will contact the other three adjacent fuel elements in the next axially-spaced self-spacing plane (i.e., ⅙ of a turn offset from the previous self-spacing plane). In an n-lobed element 20 in which n fuel elements are adjacent to a particular fuel element 20, a self-spacing plane will exist every 1/n helical turn (e.g., every ¼helical turn for a four-lobed element 20 arranged in a square pattern such that four other fuel elements 20 are adjacent to the fuel element 20; every ⅓ helical turn for a three-lobed element in which three fuel elements are adjacent to the fuel element (i.e., every 120 degrees around the perimeter of the fuel element)). The pitch of the helix may be modified to create greater or fewer self-spacing planes over the axial length of the fuel elements 20. According to one embodiment, each four-lobed fuel element 20 includes multiple twists such that there are multiple self-spacing planes over the axial length of the bundle of fuel elements 20. In the illustrated embodiment, all of the elements 20 twist in the same direction. However, according to an alternative embodiment, adjacent elements 20 may twist in opposite directions without deviating from the scope of the present invention. The formula for the number of self-spacing planes along the fuel rod length is as follows:N=n*L/h, where: L—Fuel rod length n—Number of lobes (ribs) and the number of fuel elements adjacent to a fuel element h—Helical twist pitch The formula is slightly different if the number of lobes and the number of fuel elements adjacent to a fuel element are not the same. As a result of such self-spacing, the fuel assembly 10 may omit spacer grids that may otherwise have been necessary to assure proper element spacing along the length of the assembly 10. By eliminating spacer grids, coolant may more freely flow through the assembly 10, which advantageously increases the heat transfer from the elements 20 to the coolant. However, according to alternative embodiments of the present invention, the assembly 10 may include spacer grid(s) without deviating from the scope of the present invention. As shown in FIG. 3, the shroud 30 forms a tubular shell that extends axially along the entire length of the fuel elements 20 and surrounds the elements 20. However, according to an alternative embodiment of the present invention, the shroud 30 may comprise axially-spaced bands, each of which surrounds the fuel elements 20. One or more such bands may be axially aligned with the self-spacing planes. Axially extending corner supports may extend between such axially spaced bands to support the bands, maintain the bands' alignment, and strengthen the assembly. Alternatively and/or additionally, holes may be cut into the otherwise tubular/polygonal shroud 30 in places where the shroud 30 is not needed or desired for support. Use of a full shroud 30 may facilitate greater control of the separate coolant flows through each individual fuel assembly 10. Conversely, the use of bands or a shroud with holes may facilitate better coolant mixing between adjacent fuel assemblies 10, which may advantageously reduce coolant temperature gradients between adjacent fuel assemblies 10. As shown in FIG. 1, the cross-sectional perimeter of the shroud 30 has a shape that accommodates the reactor in which the assembly 10 is used. In reactors such as the AP-1000 that utilize square fuel assemblies, the shroud has a square cross-section. However, the shroud 30 may alternatively take any suitable shape depending on the reactor in which it is used (e.g., a hexagonal shape for use in a VVER reactor (e.g., as shown in FIG. 1 of U.S. Patent Application Publication No. 2009/0252278 A1). The guide tubes 40 provide for the insertion of control absorber elements based on boron carbide (B4C), silver indium cadmium (Ag, In, Cd), dysprosium titanate (Dy2O3.TiO2) or other suitable alloys or materials used for reactivity control (not shown) and burnable absorber elements based on boron carbide, gadolinium oxide (Gd2O3) or other suitable materials (not shown) and are placed in the upper nozzle 50 with the capability of elastic axial displacement. The guide tubes 40 may comprise a zirconium alloy. For example, the guide tube 40 arrangement shown in FIG. 1 is in an arrangement used in the AP-1000 reactor (e.g., 24 guide tubes arranged in two annular rows at the positions shown in the 17×17 grid). The shape, size, and features of the frame 25 depend on the specific reactor core for which the assembly 10 is to be used. Thus, one of ordinary skill in the art would understand how to make appropriately shaped and sized frame for the fuel assembly 10. For example, the frame 25 may be shaped and configured to fit into a reactor core of a conventional nuclear power plant in place of a conventional uranium oxide or mixed oxide fuel assembly for that plant's reactor core. The nuclear power plant may comprise a reactor core design that was in actual use before 2010 (e.g., 2, 3 or 4-loop PWRs; BWR-4). Alternatively, the nuclear power plant may be of an entirely new design that is specifically tailored for use with the fuel assembly 10. As explained above, the illustrated fuel assembly 10 is designed for use in an AP-1000 or EPR reactor. The assembly includes a 17×17 array of fuel elements 20, 24 of which are replaced with guide tubes 40 as explained above for a total of 265 fuel elements 20 in EPR or 264 fuel elements 20 in AP-1000 (in the AP-1000, in addition to the 24 fuel elements being replaced with the guide tubes, a central fuel element is also replaced with an instrumented tube). The elements 20 preferably provide 100% of the overall fissile material of the fuel assembly 10. Alternatively, some of the fissile material of the assembly 10 may be provided via fuel elements other than the elements 20 (e.g., non-lobed fuel elements, uranium oxide elements, elements having fuel ratios and/or enrichments that differ from the elements 20). According to various such alternative embodiments, the fuel elements 20 provide at least 50%, 60%, 70%, 75%, 80%, 85%, 90%, and/or 95% by volume of the overall fissile material of the fuel assembly 10. Use of the metal fuel elements 20 according to one or more embodiments of the present invention facilitate various advantages over the uranium oxide or mixed oxide fuel conventionally used in light water nuclear reactors (LWR) (including boiling water reactors and pressurized water reactors) such as the Westinghouse-designed AP-1000, AREVA-designed EPR reactors, or GE-designed ABWR. For example, according to one or more embodiments, the power rating for an LWR operating on standard uranium oxide or mixed oxide fuel could be increased by up to about 30% by substituting the all-metal fuel elements 20 and/or fuel assembly 10 for standard uranium oxide fuel and fuel assemblies currently used in existing types of LWRs or new types of LWRs that have been proposed. One of the key constraints for increasing power rating of LWRs operating on standard uranium oxide fuel has been the small surface area of cylindrical fuel elements that such fuel utilizes. A cylindrical fuel element has the lowest surface area to volume ratio for any type of fuel element cross-section profile. Another major constraint for standard uranium oxide fuel has been a relatively low burnup that such fuel elements could possibly reach while still meeting acceptable fuel performance criteria. As a result, these factors associated with standard uranium oxide or mixed oxide fuel significantly limit the degree to which existing reactor power rating could be increased. One or more embodiments of the all-metal fuel elements 20 overcome the above limitations. For example, as explained above, the lack of spacer grids may reduce hydraulic resistance, and therefore increase coolant flow and heat flux from the elements 20 to the primary coolant. The helical twist of the fuel elements 20 may increase coolant intermixing and turbulence, which may also increase heat flux from the elements 20 to the coolant. Preliminary neutronic and thermal-hydraulic analyses have shown the following according to one or more embodiments of the present invention: The thermal power rating of an LWR reactor could be increased by up to 30.7% or more (e.g., the thermal power rating of an EPR reactor could be increased from 4.59 GWth to 6.0 GWth). With a uranium volume fraction of 25% in the uranium-zirconium mixture and uranium-235 enrichment of 19.7%, an EPR reactor core with a four-lobe metallic fuel element 20 configuration could operate for about 500-520 effective full power days (EFPDs) at the increased thermal power rating of 6.0 GWth if 72 fuel assemblies were replaced per batch (once every 18 months) or 540-560 EFPDs if 80 fuel assemblies were replaced per batch (once every 18 months). Due to the increased surface area in the multi-lobe fuel element, even at the increased power rating of 6.0 GWth, the average surface heat flux of the multi-lobe fuel element is shown to be 4-5% lower than that for cylindrical uranium oxide fuel elements operating at the thermal power rating of 4.59 GWth. This could provide an increased safety margin with respect to critical heat flux (e.g., increased departure from nucleate boiling margin in PWRs or maximum fraction limiting critical power ratio in BWRs). Further, this could allow a possibility of using 12 fuel elements per assembly with burnable poisons. Burnable poisons could be used to remove excess reactivity at the beginning of cycle or to increase the Doppler Effect during the heat-up of the core. Thus, the fuel assemblies 10 may provide greater thermal power output at a lower fuel operating temperature than conventional uranium oxide or mixed oxide fuel assemblies. To utilize the increased power output of the assembly 10, conventional power plants could be upgraded (e.g., larger and/or additional coolant pumps, steam generators, heat exchangers, pressurizers, turbines). Indeed, according to one or more embodiments, the upgrade could provide 30-40% more electricity from an existing reactor. Such a possibility may avoid the need to build a complete second reactor. The modification cost may quickly pay for itself via increased electrical output. Alternatively, new power plants could be constructed to include adequate features to handle and utilize the higher thermal output of the assemblies 10. Further, one or more embodiments of the present invention could allow an LWR to operate at the same power rating as with standard uranium oxide or mixed oxide fuel using existing reactor systems without any major reactor modifications. For example, according to one embodiment: An EPR would have the same power output as if conventional uranium-oxide fuel were used: 4.59 GWt; With a uranium volume fraction of 25% in the uranium-zirconium mixture and uranium-235 enrichment of approximately 15%, an EPR reactor core with a four-lobe metallic fuel element 20 configuration could operate for about 500-520 effective full power days (EFPDs) if 72 fuel assemblies were replaced per batch or 540-560 EFPDs if 80 fuel assemblies were replaced per batch. The average surface heat flux for the elements 20 is reduced by approximately 30% compared to that for cylindrical rods with conventional uranium oxide fuel (e.g., 39.94 v. 57.34 W/cm2). Because the temperature rise of the coolant through the assembly 10 (e.g., the difference between the inlet and outlet temperature) and the coolant flow rate through the assembly 10 remain approximately the same relative to conventional fuel assemblies, the reduced average surface heat flux results in a corresponding reduction in the fuel rod surface temperature that contributes to increased safety margins with respect to critical heat flux (e.g., increased departure from nucleate boiling margin in PWRs or maximum fraction limiting critical power ratio in BWRs). Additionally and/or alternatively, fuel assemblies 10 according to one or more embodiments of the present invention can be phased/laddered into a reactor core in place of conventional fuel assemblies. During the transition period, fuel assemblies 10 having comparable fissile/neutronic/thermal outputs as conventional fuel assemblies can gradually replace such conventional fuel assemblies over sequential fuel changes without changing the operating parameters of the power plant. Thus, fuel assemblies 10 can be retrofitted into an existing core that may be important during a transition period (i.e., start with a partial core with fuel assemblies 10 and gradually transition to a full core of fuel assemblies 10). Moreover, the fissile loading of assemblies 10 can be tailored to the particular transition desired by a plant operator. For example, the fissile loading can be increased appropriately so as to increase the thermal output of the reactor by anywhere from 0% to 30% or more higher, relative to the use of conventional fuel assemblies that the assemblies 10 replace. Consequently, the power plant operator can chose the specific power uprate desired, based on the existing plant infrastructure or the capabilities of the power plant at various times during upgrades. One or more embodiments of the fuel assemblies 10 and fuel elements 20 may be used in fast reactors (as opposed to light water reactors) without deviating from the scope of the present invention. In fast reactors, the non-fuel metal of the fuel kernel 100 is preferably a refractory metal, for example a molybdenum alloy (e.g., pure molybdenum or a combination of molybdenum and other metals), and the cladding 120 is preferably stainless steel (which includes any alloy variation thereof) or other material suitable for use with coolant in such reactors (e.g., sodium). Such fuel elements 20 may be manufactured via the above-discussed co-extrusion process or may be manufactured by any other suitable method (e.g., vacuum melt). As shown in FIGS. 7A, 7B, and 8, fuel assemblies 510 accordingly to one or more embodiments of the present invention may be used in a pressurized heavy water reactor 500 (see FIG. 8) such as a CANDU reactor. As shown in FIGS. 7A and 7B, the fuel assembly 510 comprises a plurality of fuel elements 20 mounted to a frame 520. The frame 520 comprises two end plates 520a, 520b that mount to opposite axial ends of the fuel elements 20 (e.g., via welding, interference fits, any of the various types of attachment methods described above for attaching the elements 20 to the lower tie plate 70). The elements 20 used in the fuel assembly 510 are typically much shorter than the elements 20 used in the assembly 10. According to various embodiments and reactors 500, the elements 20 and assemblies 510 used in the reactor 500 may be about 18 inches long. The elements 20 may be positioned relative to each other in the assembly 510 so that self-spacing planes maintain spacing between the elements 20 in the manner described above with respect to the assembly 10. Alternatively, the elements 20 of the assembly 510 may be so spaced from each other that adjacent elements 20 never touch each other, and instead rely entirely on the frame 520 to maintain element 20 spacing. Additionally, spacers may be attached to the elements 20 or their ribs at various positions along the axial length of the elements 20 to contact adjacent elements 20 and help maintain element spacing 20 (e.g., in a manner similar to how spacers are used on conventional fuel rods of conventional fuel assemblies for pressurized heavy water reactors to help maintain rod spacing). As shown in FIG. 8, the assemblies 510 are fed into calandria tubes 500a of the reactor 500 (sometimes referred to in the art as a calandria 500). The reactor 500 uses heavy water 500b as a moderator and primary coolant. The primary coolant 500b circulates horizontally through the tubes 500a and then to a heat exchanger where heat is transferred to a secondary coolant loop that is typically used to generate electricity via turbines. Fuel assembly loading mechanisms (not shown) are used to load fuel assemblies 510 into one side of the calandria tubes 500a and push spent assemblies 510 out of the opposite side of the tubes 500a, typically while the reactor 500 is operating. The fuel assemblies 510 may be designed to be a direct substitute for conventional fuel assemblies (also known as fuel bundles in the art) for existing, conventional pressurized heavy water reactors (e.g., CANDU reactors). In such an embodiment, the assemblies 510 are fed into the reactor 500 in place of the conventional assemblies/bundles. Such fuel assemblies 510 may be designed to have neutronic/thermal properties similar to the conventional assemblies being replaced. Alternatively, the fuel assemblies 510 may be designed to provide a thermal power uprate. In such uprate embodiments, new or upgraded reactors 500 can be designed to accommodate the higher thermal output. According to various embodiments of the present invention, the fuel assembly 10 is designed to replace a conventional fuel assembly of a conventional nuclear reactor. For example, the fuel assembly 10 illustrated in FIG. 1 is specifically designed to replace a conventional fuel assembly that utilizes a 17×17 array of UO2 fuel rods. If the guide tubes 40 of the assembly 10 are left in the exact same position as they would be for use with a conventional fuel assembly, and if all of the fuel elements 20 are the same size, then the pitch between fuel elements/rods remains unchanged between the conventional UO2 fuel assembly and one or more embodiments of the fuel assembly 10 (e.g., 12.6 mm pitch). In other words, the longitudinal axes of the fuel elements 20 may be disposed in the same locations as the longitudinal axes of conventional UO2 fuel rods would be in a comparable conventional fuel assembly. According to various embodiments, the fuel elements 20 may have a larger circumscribed diameter than the comparable UO2 fuel rods (e.g., 12.6 mm as compared to an outer diameter of 9.5 mm for a typical UO2 fuel rod). As a result, in the self-aligning plane illustrated in FIG. 1, the cross-sectional length and width of the space occupied by the fuel elements 20 may be slightly larger than that occupied by conventional UO2 fuel rods in a conventional fuel assembly (e.g., 214.2 mm for the fuel assembly 10 (i.e., 17 fuel elements 20×12.6 mm circumscribed diameter per fuel element), as opposed to 211.1 mm for a conventional UO2 fuel assembly that includes a 17×17 array of 9.5 min UO2 fuel rods separated from each other by a 12.6 mm pitch). In conventional UO2 fuel assemblies, a spacer grid surrounds the fuel rods, and increases the overall cross-sectional envelope of the conventional fuel assembly to 214 mm×214 mm. In the fuel assembly 10, the shroud 30 similarly increases the cross-sectional envelope of the fuel assembly 10. The shroud 30 may be any suitable thickness (e.g., 0.5 mm or 1.0 mm thick). In an embodiment that utilizes a 1.0 mm thick shroud 30, the overall cross-sectional envelope of an embodiment of the fuel assembly 10 may be 216.2 mm×216.2 mm (e.g., the 214 mm occupied by the 17 12.6 mm diameter fuel elements 20 plus twice the 1.0 mm thickness of the shroud 30). As a result, according to one or more embodiments of the present invention, the fuel assembly 10 may be slightly larger (e.g., 216.2 mm×216.2 mm) than a typical UO2 fuel assembly (214 mm×214 mm). The larger size may impair the ability of the assembly 10 to properly fit into the fuel assembly positions of one or more conventional reactors, which were designed for use with conventional UO2 fuel assemblies. To accommodate this size change, according to one or more embodiments of the present invention, a new reactor may be designed and built to accommodate the larger size of the fuel assemblies 10. According to an alternative embodiment of the present invention, the circumscribed diameter of all of the fuel elements 20 may be reduced slightly so as to reduce the overall cross-sectional size of the fuel assembly 10. For example, the circumscribed diameter of each fuel element 20 may be reduced by 0.13 mm to 12.47 mm, so that the overall cross-sectional space occupied by the fuel assembly 10 remains comparable to a conventional 214 mm by 214 mm fuel assembly (e.g., 17 12.47 mm diameter fuel elements 20 plus two 1.0 mm thickness of the shroud, which totals about 214 mm). Such a reduction in the size of the 17 by 17 array will slightly change the positions of the guide tubes 40 in the fuel assembly 10 relative to the guide tube positions in a conventional fuel assembly. To accommodate this slight position change in the tube 40 positions, the positions of the corresponding control rod array and control rod drive mechanisms in the reactor may be similarly shifted to accommodate the repositioned guide tubes 40. Alternatively, if sufficient clearances and tolerances are provided for the control rods in a conventional reactor, conventionally positioned control rods may adequately fit into the slightly shifted tubes 40 of the fuel assembly 10. Alternatively, the diameter of the peripheral fuel elements 20 may be reduced slightly so that the overall assembly 10 fits into a conventional reactor designed for conventional fuel assemblies. For example, the circumscribed diameter of the outer row of fuel elements 20 may be reduced by 1.1 mm such that the total size of the fuel assembly is 214 mm×214 mm (e.g., 15 12.6 mm fuel elements 20 plus 2 11.5 mm fuel elements 20 plus 2 1.0 mm thicknesses of the shroud 30). Alternatively, the circumscribed diameter of the outer two rows of fuel elements 20 may be reduced by 0.55 mm each such that the total size of the fuel assembly remains 214 mm×214 mm (e.g., 13 12.6 mm fuel elements 20 plus 4 12.05 mm fuel assemblies plus 2 1.0 mm thicknesses of the shroud 30). In each embodiment, the pitch and position of the central 13×13 array of fuel elements 20 and guide tubes 40 remains unaltered such that the guide tubes 40 align with the control rod array and control rod drive mechanisms in a conventional reactor. FIG. 10 illustrates a fuel assembly 610 according to an alternative embodiment of the present invention. According to various embodiments, the fuel assembly 610 is designed to replace a conventional UO2 fuel assembly in a conventional reactor while maintaining the control rod positioning of reactors designed for use with various conventional UO2 fuel assemblies. The fuel assembly 610 is generally similar to the fuel assembly 10, which is described above and illustrated in FIG. 1, but includes several differences that help the assembly 610 to better fit into one or more existing reactor types (e.g., reactors using Westinghouse's fuel assembly design that utilizes a 17 by 17 array of UO2 rods) without modifying the control rod positions or control rod drive mechanisms. As shown in FIG. 10, the fuel assembly includes a 17 by 17 array of spaces. The central 15 by 15 array is occupied by 200 fuel elements 20 and 25 guide tubes 40, as described above with respect to the similar fuel assembly 10 illustrated in FIG. 1. Depending on the specific reactor design, the central guide tube 40 may be replaced by an additional fuel element 20 if the reactor design does not utilize a central tube 40 (i.e., 201 fuel elements 20 and 24 guide tubes 40). The guide tube 40 positions correspond to the guide tube positions used in reactors designed to use conventional UO2 fuel assemblies. The peripheral positions (i.e., the positions disposed laterally outward from the fuel elements 20) of the 17 by 17 array/pattern of the fuel assembly 610 are occupied by 64 UO2 fuel elements/rods 650. As is known in the art, the fuel rods 650 may comprise standard UO2 pelletized fuel disposed in a hollow rod. The UO2 pelletized fuel may be enriched with U-235 by less than 20%, less than 15%, less than 10%, and/or less than 5%. The rods 650 may have a slightly smaller diameter (e.g., 9.50 mm) than the circumscribed diameter of the fuel elements 20, which slightly reduces the overall cross-sectional dimensions of the fuel assembly 610 so that the assembly 610 better fits into the space allocated for a conventional UO2 fuel assembly. In the illustrated embodiment, the fuel rods/elements 650 comprise UO2 pelletized fuel. However, the fuel rods/elements 650 may alternatively utilize any other suitable combination of one or more fissile and/or fertile materials (e.g., thorium, plutonium, uranium-235, uranium-233, any combinations thereof). Such fuel rods/elements 650 may comprise metal and/or oxide fuel. According to one or more alternative embodiments, the fuel rods 650 may occupy less than all of the 64 peripheral positions. For example, the fuel rods 650 may occupy the top row and left column of the periphery, while the bottom row and right column of the periphery may be occupied by fuel elements 20. Alternatively, the fuel rods 650 may occupy any other two sides of the periphery of the fuel assembly. The shroud 630 may be modified so as to enclose the additional fuel elements 20 in the periphery of the fuel assembly. Such modified fuel assemblies may be positioned adjacent each other such that a row/column of peripheral fuel elements 650 in one assembly is always adjacent to a row/column of fuel elements 20 in the adjacent fuel assembly. As a result, additional space for the fuel assemblies is provided by the fact that the interface between adjacent assemblies is shifted slightly toward the assembly that includes fuel elements 650 in the peripheral, interface side. Such a modification may provide for the use of a greater number of higher heat output fuel elements 20 than is provided by the fuel assemblies 610. A shroud 630 surrounds the array of fuel elements 20 and separates the elements 20 from the elements 650. The nozzles 50, 60, shroud 630, coolant passages formed therebetween, relative pressure drops through the elements 20 and elements 650, and/or the increased pressure drop through the spacer grid 660 (discussed below) surrounding the elements 650 may result in a higher coolant flow rate within the shroud 630 and past the higher heat output fuel elements 20 than the flow rate outside of the shroud 630 and past the relatively lower heat output fuel rods 650. The passageways and/or orifices therein may be designed to optimize the relative coolant flow rates past the elements 20, 650 based on their respective heat outputs and designed operating temperatures. According to various embodiments, the moderator:fuel ratio for the fuel elements 20 of the fuel assembly 610 is less than or equal to 2.7, 2.6, 2.5, 2.4, 2.3, 2.2, 2.1, 2.0, 1.9, and/or 1.8. In the illustrated embodiment, the moderator:fuel ratio equals a ratio of (1) the total area within the shroud 630 available for coolant/moderator (e.g., approximated by the total cross-sectional area within the shroud 630 minus the total cross-sectional area taken up by the fuel elements 20 (assuming the guide tubes 40 are filled with coolant)) to (2) the total cross-sectional area of the kernels 100 of the fuel elements 20 within the shroud 630. According to an alternative embodiment of the invention, the shroud 630 may be replaced with one or more annular bands or may be provided with holes in the shroud 630, as explained above. The use of bands or holes in the shroud 630 may facilitate cross-mixing of coolant between the fuel elements 20 and the fuel elements 650. As shown in FIG. 10, the fuel elements 650 are disposed within an annular spacer grid 660 that is generally comparable to the outer part of a spacer grid used in a conventional UO2 fuel assembly. The spacer grid 660 may rigidly connect to the shroud 630 (e.g., via welds, bolts, screws, or other fasteners). The spacer grid 660 is preferably sized so as to provide the same pitch between the fuel elements 650 and the fuel elements 20 as is provided between the central fuel elements 20 (e.g., 12.6 mm pitch between axes of all fuel elements 20, 650). To provide such spacing, the fuel elements 650 may be disposed closer to the outer side of the spacer grid 660 than to the shroud 630 and inner side of the spacer grid 660. The fuel assembly 610 and spacer grid 660 are also preferably sized and positioned such that the same pitch is provided between fuel elements 650 of adjacent fuel assemblies (e.g., 12.6 mm pitch). However, the spacing between any of the fuel elements 20, 650 may vary relative to the spacing between other fuel elements 20, 650 without deviating from the scope of the present invention. According to various embodiments, the fuel elements 20 provide at least 60%, 65%, 70%, 75%, and/or 80% of a total volume of all fissile-material-containing fuel elements 20, 650 of the fuel assembly 610. For example, according to one or more embodiments in which the fuel assembly 610 includes 201 fuel elements 20, each having a cross-sectional area of about 70 mm2, and 64 fuel elements 650, each having a 9.5 mm diameter, the fuel elements 20 provide about 75.6% of a total volume of all fuel elements 20, 650 (201 fuel elements 20×70 mm2 equals 14070 mm2; 64 fuel elements 650×π×(9.5/2)2=4534 mm2; fuel element 20, 650 areas are essentially proportional to fuel element volumes; (14070 mm2/(14070 mm2+4534 mm2)=75.6%)). The height of the fuel assembly 610 matches a height of a comparable conventional fuel assembly that the assembly 610 can replace (e.g., the height of a standard fuel assembly for a Westinghouse or AREVA reactor design). The illustrated fuel assembly 610 may be used in a 17×17 PWR such as the Westinghouse 4-loop design, AP1000, or AREVA EPR. However, the design of the fuel assembly 610 may also be modified to accommodate a variety of other reactor designs (e.g., reactor designs that utilize a hexagonal fuel assembly, in which case the outer periphery of the hexagon is occupied by UO2 rods, while the inner positions are occupied by fuel elements 20, or boiling water reactors, or small modular reactors). While particular dimensions are described with regard to particular embodiments, a variety of alternatively dimensioned fuel elements 20, 650 and fuel assemblies 10 may be used in connection with a variety of reactors or reactor types without deviating from the scope of the present invention. Depending on the specific reactor design, additional rod positions of a fuel assembly may be replaced with UO2 rods. For example, while the fuel assembly 610 includes UO2 rods only in the outer peripheral row, the assembly 610 could alternatively include UO2 rods in the outer two rows without deviating from the scope of the present invention. According to various embodiments, the portion of the fuel assembly 610 that supports the fuel elements 650 is inseparable from the portion of the fuel assembly 610 that supports the fuel elements 20. According to various embodiments, the fuel elements 20 are not separable as a unit from the fuel elements 650 of the fuel assembly 610 (even though individual fuel elements 20, 650 may be removed from the assembly 610, for example, based on individual fuel element failure). Similarly, there is not a locking mechanism that selectively locks the fuel element 650 portion of the fuel assembly to the fuel element 20 portion of the fuel assembly 610. According to various embodiments, the fuel elements 20 and fuel elements 650 of the fuel assembly 610 have the same designed life cycle, such that the entire fuel assembly 610 is used within the reactor, and then removed as a single spent unit. According to various embodiments, the increased heat output of the fuel elements 20 within the fuel assembly 610 can provide a power uprate relative to the conventional all UO2 fuel rod assembly that the assembly 610 replaces. According to various embodiments, the power uprate is at least 5%, 10%, and/or 15%. The uprate may be between 1 and 30%, 5 and 25%, and/or 10 and 20% according to various embodiments. According to various embodiments, the fuel assembly 610 provides at least an 18-month fuel cycle, but may also facilitate moving to a 24+ or 36+ month fuel cycle. According to an embodiment of the fuel assembly 610, which uses fuel elements 20 having the example parameters discussed above with respect to the element 20 shown in FIG. 10, the assembly 17 provides a 17% uprate relative to a conventional UO2 fuel assembly under the operating parameters identified in the below tables. Operating Parameter for AREVAEPR ReactorValueUnitReactor power5.37GWtFuel cycle length18monthsReload batch size1/3coreEnrichment of Fuel Element 20≤19.7w/oEnrichment of UO2 of the Rods 650≤5w/oCoolant flow rate117%rv* rv = reference value Fuel Assembly ParameterValueUnitFuel assembly design17 × 17Fuel assembly pitch215mmFuel assembly envelope214mmActive fuel height4200mmNumber of fuel rods265Fuel element 20 pitch (i.e., axis to axis spacing)12.6mmAverage outer fuel element 20 diameter12.6mm(circumscribed diameter)Average minimum fuel element 20 diameter10.44mmModerator to fuel ratio, seed region (around2.36elements 20)Moderator to fuel ratio, blanket (around 1.9the fuel rods 650) FIGS. 11-13 illustrate a fuel assembly 710 according to an alternative embodiment of the present invention. According to various embodiments, the fuel assembly 710 is designed to replace a conventional UO2 fuel assembly in a conventional reactor while maintaining the conventional UO2-fuel based control rod positioning of the reactor. The fuel assembly 710 is generally similar to or identical to the fuel assembly 610, except that the UO2 rods 650 in the peripheral row of the fuel assembly 610 are replaced with metal fuel elements 730, 740. As explained below, the fuel elements 730, 740 are modified to help the assembly 710 to better fit into one or more existing reactor types (e.g., reactors using Westinghouse's fuel assembly design that utilizes a 17 by 17 array of UO2 rods) without modifying the control rod positions, control rod drive mechanisms, or outer dimensions of the fuel assembly. The fuel elements 730, 740 define a subset of the overall fuel elements 20, 730, 740 of the fuel assembly 710, wherein the subset is disposed along an outer peripheral row/perimeter/ring of grid positions of the 17 by 17 grid pattern of the fuel assembly 710. FIG. 11 is a partial cross-sectional view of the fuel assembly 710 shown in a self-spacing plane. The fuel elements 20, 730, 740 are arranged such that their centerline axes are disposed in a square 17 by 17 grid pattern. In the illustrated embodiment, the centerline-to-centerline spacing between any two adjacent fuel elements 20, 730, 740 in the fuel assembly 710 is preferably the same (e.g., 12.6 mm) and matches the circumscribed diameter of the fuel elements 20, 730, 740. To fit into the space envelope available in conventional reactors (e.g., the AP-1000) with conventional guide tube 40 locations, the outer sides of the fuel elements 730, 740 are laterally reduced in size so as to fit within the shroud 750. In FIG. 11, the area of lateral reduction is illustrated by dotted lines. As shown in FIGS. 13A and 13B, the fuel elements 20, 730 are similar, and preferably have the same circumscribed diameter (e.g., 12.6 mm), which facilitates self-spacing between the fuel elements 20, 730. The fuel element 730 may be similar to or identical to the fuel element 20, except that: (1) the fuel kernel 760 of the fuel element 730 is smaller than the fuel kernel 100 of the fuel element 20, (2) the cladding 770 of fuel element 730 is on average thicker than the cladding 120 of the fuel element 20, and (3) one circumferential side 770a of the cladding 770 has been laterally reduced relative to other circumferential sides to remove a portion 770b of the original cladding 770. Making the fuel kernel 760 smaller and the cladding 770 thicker enables the portion 770b of the cladding 770 to be removed while still ensuring a sufficiently thick layer of cladding 770 around the kernel 760. According to various embodiments, the cladding 700 thickness is at least 0.4, 0.5, and/or 0.6 mm throughout the fuel element 730. The removed portion 770b is preferably removed after the fuel element 730 is formed into the spiral, lobed shape. The removed portion 770b may be removed in any suitable way (e.g., grinding, honing, milling, etc.). As a result of the spiral, the removed portion 770b will be removed from the circumferentially aligned portions on a plurality of the lobes of the fuel element 730. In other words, portions 770b of lobes of the cladding 770 are removed in the area where the lobe is disposed at the side 770a of the fuel element 730 that will be adjacent to and abut the shroud 750. Due to the helical twist of the fuel elements 730, the cladding 770 is not removed uniformly from the fuel element 730, but rather only at the tips 770a of the lobes that impinge on the assembly 710 envelope boundary, as limited by the shroud 750. According to various embodiments, a radial shortening distance 780 of the removed portion 770b may be at least 2, 3, 4, 5, 6, 7, 8, 9, and/or 10%, and/or less then 30, 20, and/or 15% of the circumscribed diameter D of the fuel element 730. According to various embodiments, the radial shortening distance 780 may be at least 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 1.1, 1.2, and/or 1.3 mm, and/or less than 4.0, 3.0, 2.0, and/or 1.5 mm. According to alternative embodiments, the fuel element 730 may be originally formed in its final shape such that the removed portions 770b were never present and need not be removed. As shown in FIG. 11, the corner fuel element 740 may be essentially identical to the side fuel element 730 except that two circumferentially spaced sides 770a of the cladding 770 of the fuel element 740 (rather than just one side 770a as is done in the fuel element 730) have been laterally reduced to remove portions 770b so that the fuel element 740 appropriately fits in the corner grid position of the fuel assembly 710 and abut the two orthogonal sides of the shroud 750. Although, according to some non-limiting embodiments, the fuel kernels 760 of the fuel elements 730, 740 have a smaller volume (or area as viewed in cross-section perpendicular to the elongated, axial direction of the fuel assembly) than the kernels 100 of the fuel elements 20, the fuel kernels 730, 740 retain various other benefits provided by the fuel element 20's shape and design, as explained elsewhere herein. According to various embodiments, the fissile loading of the kernels 760 may be increased (e.g., via more highly enriched uranium) relative to the non-peripheral kernels 20 to offset for the smaller kernel 760 volume. As shown in FIG. 13B, the removal of the removed portion 770b results in a geometric axial centerline 800 (i.e., the center of the circle that circumscribes the helically twisted shape of the fuel element 730) of the fuel elements 730, 740 being offset from the axial center of mass 810 of the fuel elements 730, 740 (and/or the axial center of mass of the cladding 770). According to various non-limiting embodiments, the offset may be at least 0.1, 0.3, 0.4, 0.5, 1.0, 2.0, 3.0, 4.0, and/or 5.0% of the circumscribed diameter D, and/or less then 30, 20, and/or 10% of the circumscribed diameter D. According to various non-limiting embodiments, an axial center of mass of the kernel 760 (see FIG. 13B) remains at the axial centerline 800 of the fuel element 730. According to various embodiments, the fuel elements 20, 730, 740 are between 1 and 5 meters long (measured in the axial direction) and the circumscribed diameter is between 6 and 40 mm, between 6 and 30 mm, between 6 and 20 mm, between 9 and 15 mm, and/or about 12.6 mm. According to various embodiments, a ratio of the axial length of the fuel elements 730, 740 to their circumscribed diameter D is at least 10:1, 20:1, 30:1, 40:1, 50:1, 100:1, 200:1, and/or 300:1, and/or less than 1000:1. In the self-spacing plane shown in FIG. 11, the laterally reduced down sides 770a of the fuel elements 730, 740 contact the shroud 750 to facilitate self-spacing of the fuel elements 20, 730, 740. FIG. 12 is a cross-sectional view of the fuel assembly 710 shown in a plane that is axially disposed (e.g., along the elongated length of the fuel assembly 710) half way between two self-spacing planes. In this plane, none of the cladding 770 has been removed from the fuel elements 730, 740 because the lobes of the fuel elements 730, 740 are sufficiently spaced from the shroud 750 such that the fuel elements 730,740 fit without having material 770b removed at this axial position of the fuel elements 730, 740. Although the fuel elements 730, 740 and fuel assembly 710 are illustrated as being designed for use in a reactor that utilizes a 17 by 17 square grid pattern fuel assembly with a specific guide tube pattern embedded therein, the fuel assembly 710 and fuel elements 20, 730, 740 may alternatively be used with a variety of other types of reactors (e.g., reactors that utilize 16 by 16 or 14 by 14 grid patterns, reactors with hexagonal fuel element grid patterns and fuel assemblies). For example, if fuel elements 20 would not properly fit into a fuel assembly for use in a reactor designed for hexagonal fuel assemblies and grid patterns, the peripheral row of the hexagonal grid of such a fuel assembly may comprise fuel elements like the fuel elements 730, 740 that have been modified so that their outer side(s) are ground down to fit in the particular required envelope, preferably without having to relocate the guide tube positions of the reactor. FIGS. 14-20 illustrate a fuel assembly 910 according to an alternative embodiment of the present invention. According to various embodiments, the fuel assembly 910 is designed to replace a conventional UO2 fuel assembly in a conventional reactor while maintaining the control rod positioning of the conventional reactor (e.g., a reactor in use as of 2012). The fuel assembly 910 is generally similar to or identical to the fuel assemblies 610, 710, except that: (1) all of the fuel elements 920a, 920b, 920c, 920d of the fuel assembly 910 are preferably geometrically identical to each other; (2) four fuel elements are removed from the peripheral row; (3) the centerlines 920a′ of the fuel elements 920a in the non-corner peripheral row are shifted inwardly to form equilateral triangles with centerlines 920a′ of adjacent non-corner peripheral fuel element(s) 920a and the centerline(s) 920c′ of the outermost non-peripheral row of fuel element(s) 920c; and (4) the centerline 920b′ of the peripheral corner fuel elements 920b is shifted inwardly relative to the fuel elements 740, 650 of the fuel assemblies 610, 710. As shown in FIG. 14, all of the fuel elements 920, 920a, 920b, 920c may be geometrically identical to each other, and may all comprise fuel elements 20 as discussed above. However, the fissile loading of different ones of the fuel elements 920, 920a, 920b, 920c may be different (e.g., to level out the heat load generated across the fuel assembly 910). Each of the fuel elements 920a, 920b, 920c, 920d have the same circumscribed diameter (e.g., 12.6 mm). According to alternative embodiments, the fuel elements 920a, 920b, 920c, 920d are not geometrically identical to each other. By shifting the outer peripheral row/subset of fuel elements 920a, 920b laterally inwardly, sufficient space is provided such that fuel elements 920a, 920b, 920c, 920d with a circumscribed diameter that is the same as the centerline-to-centerline spacing between fuel elements 920a, 920b, 920c, 920d can be used while fitting within the envelope of space provided in the above-discussed conventional reactors. As shown in FIG. 14, the central 15 by 15 square pattern of grid positions for the central fuel elements 920c, 920d and guide tubes 40 matches the central 15 by 15 square pattern and positions of conventional fuel assemblies for the reactor. The outer peripheral row of fuel elements 920a, 920c are shifted laterally inwardly toward the center of the fuel assembly 910. The inward shifting helps the assembly 910 to better fit into one or more existing reactor types (e.g., reactors using Westinghouse's fuel assembly design that utilizes a 17 by 17 array of UO2 rods) without modifying the control rod/guide tube 40 positions, control rod drive mechanisms, or fuel assembly dimensions. In the embodiment illustrated in FIG. 16, the 12.6 mm circumscribed diameter fuel elements 920a are shifted laterally inwardly such that a center-to-center distance between the centerlines 920a′ of the fuel elements 920a and the centerlines 920c′ of the fuel elements 920c are offset by about 10.9 mm as projected in the row/column grid direction of the central 15 by 15 grid pattern. Had the fuel elements 920a maintained the positions of the conventional 17 by 17 grid pattern, the offset would have been 12.6 mm, rather than 10.9 mm. The inward shifting of the fuel elements 920a results in an overall row or column width of about 211 mm (15 total 12.6 mm pitches plus 2 total 10.9 mm pitches), which fits within the about 211 mm row and column envelope within the shroud 940. When the thickness of the shroud 940 is added, the overall row and column width of the fuel assembly 910 fits within the 214 mm envelope provided by an exemplary conventional reactor into which the fuel assembly 910 is designed to fit. Four fuel elements are omitted from the outer peripheral row/ring relative to a conventional fuel assembly so as to facilitate the inward shifting of the fuel elements 920a, 920b. In the embodiment illustrated in FIG. 14, the outer peripheral row/ring of fuel elements includes 56 fuel elements 920a and 4 fuel elements 920b for a total of 60 fuel elements. For reference, a conventional 17 by 17 fuel assembly would include 64 fuel elements in the outer peripheral row/ring of grid positions. As shown in FIG. 15, the axial centerlines 920c′ of the fuel elements 920c in the next-to-peripheral row and the axial centerlines 920a′ of the non-corner peripheral row fuel elements 920a form equilateral triangles in which the center-to-center distances equal the circumscribed diameter of the fuel elements 920a, 920c. As shown in FIGS. 15-18, the fuel elements 920a, 920c and shroud 940 have a variety of different partial self-spacing planes at different axial positions along the fuel assembly 910. In the cross section shown in FIGS. 15 and 16, the fuel elements 920a abut and self-space with each other and the shroud 940. In the cross-sections shown in FIGS. 17 and 18, each fuel element 920a abuts and self-spaces with one of the fuel elements 920c. In total, as viewed in the orientation shown in FIG. 15, each fuel element 920a has a self-spacing point at: 0 degrees with the shroud 940 (shown in FIG. 15); 90 degrees and 270 degrees with adjacent fuel elements 920a (shown in FIG. 15); 150 degrees with one inner fuel element 920c (shown in FIGS. 18); and 210 degrees with another inner fuel element 920c (shown in FIG. 17). This combination of partial self-spacing planes combine to provide proper self-spacing of the fuel elements 920a. As shown in FIGS. 14 and 15, the plurality of fuel elements 920, 920a, 920c are arranged into a mixed grid pattern that comprises: (1) a first grid pattern (the middle 15 by 15 array of fuel elements 920c, 920d) made of squarely arranged rows and columns having a centerline-to-centerline distance between the rows and columns that equals the common circumscribed diameter D of the fuel elements 920a, 920b, 920c, 920d, and (2) a second grid pattern (the outer two peripheral rows made up of the fuel elements 920a, 920c) made up of equilateral triangles in which a length of each side of each triangle (i.e., the centerline-to-centerline distance between adjacent fuel elements defining the corners of each triangle) is the common circumscribed diameter D of the fuel elements 920a, 920b, 920c, 920d. Thus, the second/triangular grid pattern is different from the first/square grid pattern. According to alternative embodiments, additional and/or alternative grid patterns could also be used (e.g., rectangular grid patterns, isometric triangle patterns, parallelogram patterns, other regular repeating patterns) without deviating from the scope of the present invention. The fuel elements 920a, 920b, 920c, 920d include non-overlapping first (the fuel elements 920d), second (the fuel elements 920a), third (the fuel elements 920c), and fourth (the fuel elements 920b) subsets. The first subset (the fuel elements 920d) are disposed within respective grid positions defined by the first/square grid pattern. The second subset (the fuel elements 920a) are disposed within respective grid positions defined by the second/triangular grid pattern. The third subset (the fuel elements 920c) are disposed within respective overlapping grid positions that each fall within both the first/square grid pattern and the second/triangular grid pattern. The fourth subset (the fuel elements 920b) are not disposed within any of the grid positions defined by the first or second grid pattern. As shown in FIG. 19, the peripheral corner fuel elements 920b have a centerline 920b′-to-centerline 920c′ distance of about 8.9 mm, as projected into the row and column direction. As shown in FIG. 20, for a 12.6 mm circumscribed fuel element 920b, this provides a partial self-spacing plane between the fuel element 920b and the inner, adjacent fuel element 920c at the fuel element 920b's 225 degree position. As shown in FIG. 19, the corner of the shroud 940 may be shaped to provide a two-point partial self-spacing plane between the fuel element 920c and shroud 940 at about the fuel element 920b's 0 and 90 degree positions. This combination of partial self-spacing planes combine to provide proper self-spacing of the fuel elements 920b. While various exemplary diameters, center-to-center spacing, grid sizes, and other dimensions are described with respect to the fuel assembly 910, these exemplary values are non-limiting. Rather, those of ordinary skill in the art would understand that a variety of alternative values could be used without deviating from the scope of the present invention. FIG. 21 illustrates a fuel assembly 1010, which is generally similar to the fuel assembly 910, except that the four outer corner fuel elements 920b present in the fuel assembly 910 are omitted and/or replaced by guide tubes 1020, 1030. FIGS. 22-38 illustrate various embodiments of fuel assemblies 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 that may be used in place of conventional/standard 16×16 fuel assemblies of the type described in FIGS. 39-44. Various embodiments of these assemblies 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 are designed to replace a conventional 16×16 Combustion Engineering (CE) UO2 fuel assembly in a conventional light water, PWR reactor while maintaining the control rod positioning of the conventional CE reactor (e.g., a reactor in use as of 2012). FIG. 22 illustrates a fuel assembly 1110 according to an alternative embodiment that is directed toward a 16×16 fuel assembly design. The assembly 1110 comprises 236 fuel elements 1120, which may be similar to or identical to the above-discussed elements 20, such that a redundant discussion of the common aspects of the elements 20, 1120 is omitted. According to various embodiments, all of the fuel elements 1120 of the fuel assembly 1110 are geometrically identical to each other. In the reactor space available for an assembly 1110 that replaces a conventional 16×16 assembly (e.g., as described in FIGS. 39-44), there is a relatively large initial water gap between adjacent fuel assemblies (e.g., 5.3 mm), with a fuel assembly pitch of 207.8 mm. As a result, according to various embodiments, the assembly 1110 may comprise identical or substantially identical fuel elements 1120 arranged in a square array/arrangement in all 16 rows without changing the existing positioning of the conventionally-positioned guide tubes and while maintaining the existing rod-to-rod pitch of, for example 0.506 inches (12.852 mm). According to various embodiments, the assembly 1110 includes a shroud 1130 that is generally similar to the shroud 940, but is sized for a 16×16 fuel assembly. According to various embodiments, the shroud thickness is between 0.1 and 2.0 mm, between 0.2 and 0.8 mm, between 0.3 and 0.7 mm, and/or approximately 0.48 mm. The relatively thin shroud 1130 provides sufficient spacing for the fuel elements 1120 and water gap while remaining suitably adapted for use in place of conventional 16×16 fuel assemblies. According to one or more embodiments, the assembly 1110 fits within a reactor that permits a maximum fuel assembly envelope/width of 8.134 inches (206.6 mm), with the water gap of 1.2 mm. For example, according to one or more such embodiments in which the fuel element pitch and width is 12.852 mm and the shroud 1130 is 0.48 mm thick, the width of the fuel assembly 1110 is 206.95 mm ((12.852 mm/element×16 elements)+(2×0.48 mm/shroud side)), which fits within a 206.6 mm envelope. As shown in FIG. 22, the assembly 1110 includes five guide tubes 1140 for control rods. As shown in FIG. 23, each guide tube 1140 comprises an inner guide tube portion 1140a and an outer spacer ring portion 1140b. The inner and outer portions 1140a, 1140b may be integrally formed, or may be separately formed and attached to each other. According to various embodiments, an inner diameter of the inner guide tube portion 1140a is slightly larger than an outside diameter of control rod tube to be inserted therein. For example, according to various embodiments, the inside diameter of the inner guide tube portion 1140a is about 0.9 inches, and is configured to accommodate therein a control rod that has an outside diameter of 0.816 inches and contains burnable absorber/poison material (e.g., having a diameter of 0.737 inches). As shown in FIG. 23, the outer spacer ring portion 1140b has an outer diameter that abuts the circles (shown in FIG. 23) defined by the outer diameter of the fuel elements 1120 and define the outer extents of the fuel elements 1120 over the spiral twist of the fuel elements 1120. For example, in an embodiment in which the fuel elements 1120 have an outer diameter of 0.506 inches and the guide tube 1140 has an outer diameter of 1.094 inches, the circumscribed circles have a 0.506 inch diameter and are centered on the centerline position of the grid/array position of the respective fuel elements 1120. Consequently, the eight fuel elements 1120 that are in the rows and columns adjacent to the guide tube 1140 abut the guide tube 1140 at a variety of positions along the axial length of each fuel element 1120 (e.g., one fuel-element-to-guide-tube contact point for each of the four ribs for each full 360 degree twist of the fuel element 1120). FIGS. 24 and 25 illustrate the cross-sections in which different combinations of four adjacent fuel elements 1120 abut the guide tube 1140. If the cross-section illustrated in FIG. 23 is considered a home or 0 degree position, FIG. 24 illustrates a cross-section in a plane in which the fuel elements 1120 are rotated/twisted clockwise by about 18° (i.e., a plane that is offset from the home/0 position plane by about 1/20 of a full 360° twist of the element 1120). Similarly, FIG. 25 illustrates a cross-section that is offset from the home/0 position plane by a 72° twist of the elements 1120 and about ⅕ of a full 360° twist of the element 120. FIGS. 26-30 illustrate a fuel assembly 1210 according to an alternative embodiment. The assembly 1210 comprises a central 14×14 array of fuel elements 1220c, 1220d and guide tubes 1240 that are similar or identical to the position, shape, and structure of the central 14×14 array of fuel elements 1120 and guide tubes 1140 of the assembly 1110. However, the number and positions of the outermost peripheral ring (i.e., in rows 1 and 16 and columns 1 and 16) of fuel elements 1220a in the assembly 1210 differs from that of the assembly 1110. Instead of being arranged in grid positions within a square 16×16 array, the outer peripheral ring of fuel elements 1220, the fuel elements 1220a are arranged so as to form equilateral triangles with the fuel elements 1220c in the same manner as described above for the comparable 17×17 fuel assembly 910. Also as in the assembly 910, as best illustrated in FIG. 30, the assembly 1210 includes corner fuel elements 1220b and a shroud 1230 that are positioned relative to the other fuel elements such that the fuel elements 1220b contact the shroud 1230 at at least two different positions (or a continuous arc) and contact a corner one of the fuel elements 1220c so as to provide three contact points to maintain the fuel elements 1220b in their proper positions. As shown in FIG. 26, each of the fuel elements 1220a, b, c, d may be identical or substantially identical to each other according to various non-limiting embodiments, and may be identical to or substantially identical to the fuel elements 20. As shown in FIG. 26, according to various embodiments, the assembly 1210 comprises 232 fuel elements 1220a,b,c,d. If the cross-sectional plane illustrated in FIG. 27 is considered a home/0° plane, the cross-section illustrated in FIG. 28 corresponds to a cross-sectional plane that is offset from the home/0° plane by 30° of twist in the elements 1220 (i.e., 1/12 of a complete 360° twist of the elements 1220). Similarly, FIG. 29 corresponds to a cross-sectional plane that is offset from the home/0° plane by 60° of twist in the elements 1220 (i.e., ⅙ of a complete 360° twist of the elements 1220). Similarly, FIG. 30 corresponds to a cross-sectional plane that is offset from the home/0° plane by 45° of twist in the elements 1220 (i.e., ⅛ of a complete twist of the elements 1220). According to various embodiments, the use of a triangular grid along the outer perimeter of the assembly 1210 facilitates the use of (a) a thicker, stronger shroud 1230 than is possible according to various embodiments in which all elements are disposed in a square 16×16 grid/array (e.g., one or more embodiments of the assembly 1110 illustrated in FIG. 22), and/or (b) a larger water gap. According to various embodiments, a thickness of the shroud 1230 is between 0.4 and 4 mm, between 0.4 and 3 mm, between 0.5 and 2.5 mm, between 1 and 2 mm, and/or about 2 mm. According to various embodiments, all of the fuel elements 1220a,b,c,d of the fuel assembly 1210 are geometrically identical to each other, and may be identical to or substantially identical to the elements 20. FIG. 31 illustrates a fuel assembly 1310 which is generally identical to the fuel assembly 1210, except that a corner structure 1350 is disposed outside of and attached to the shroud 1230. As shown in FIG. 31, the corner structure 1350 has a cross-sectional shape that generally follows the curved contour of the corner of the shroud 1230 and fits within a square that would be defined by the shroud 1230 if the corners of the shroud 1230 were not curved. According to various embodiments, the corner structure 1350 extends over the full axial length of the fuel assembly 1310 (or a full axial length of the fuel elements 1220 and/or shroud 1230). Alternatively, the corner structure 1350 may be axially shorter than the assembly 1310, shroud 1230, and/or fuel elements 1220 (including fuel elements 1220a, b, c, and d). The corner structure 1350 may retain the cross-sectional shape illustrated in FIG. 31 over its full axial length, or the cross-sectional shape may vary over the axial length of the corner structure 1350. Use of the corner structure 1350 may enable the fuel assembly 1310 to take advantage of the available space disposed outside of the shroud 1230. FIG. 32 illustrates a fuel assembly 1410 that is substantially similar to the fuel assembly 1310, except that a corner structure 1450 of the assembly 1410 is disposed inside of a shroud 1430 of the assembly 1410, as opposed to outside of the shroud 1230 as is shown with respect to the assembly 1310. The corner structure 1450 is attached to the inner corner of the shroud 1430. The shroud 1430 is generally similar to the shroud 1230, except that the corners of the shroud 1430 are sharper (i.e., less curved/chamfered) than in the shroud 1230. As shown in FIG. 32, an inner contour of the corner structure 1450 is partially-cylindrical so as to abut the fuel element 1220b at multiple places (or continuously over an arc defined by the partial cylinder). According to various embodiments, the partial cylinder shape covers about a 90 degree arc and has a radius that matches the radius of the fuel element 1220b so as to maintain the fuel element 1220b in its correct position. Use of the corner structure 1450 enables the fuel assembly 1310 to take advantage of the available space disposed inside one or more of the corners of the shroud 1430. FIG. 33 illustrates a fuel assembly 1510 that is substantially similar to the fuel assembly 1410, except that a partially-cylindrical inner surface a corner structure 1550 of the assembly 1410 extends over a larger arc A than the corner structure 1450 of the assembly 1410. According to various embodiments, the arc A is between 90° and 310° degrees, between 120° and 310° degrees, between 150° and 310° degrees, between 180° and 310° degrees, and/or about 270°. As shown in FIG. 33, according to various embodiments, the corner structure 1450 also abuts the adjacent fuel elements 1220a so as to maintain the fuel elements 1220a in their correct positions. Use of the corner structure 1550 may enable the fuel assembly 1510 to take advantage of the available space disposed inside one or more of the corners of the shroud 1430. FIG. 34 illustrates a fuel assembly 1610 that is substantially similar to the fuel assembly 1510, except that corner fuel elements in the outer perimeter are omitted entirely (e.g., the fuel element 1220b present in the assembly 1510 is omitted), and the corner structure 1650 is expanded to take up the space that would otherwise be taken by such a corner fuel element 1220b. As shown in FIG. 34, the corner structure 1650 abuts two adjacent fuel elements 1220a and the adjacent fuel element 1220c to maintain these three elements 1220a, c in their correct positions. Use of the corner structure 1650 may enable the fuel assembly 1610 to take advantage of the available space disposed inside one or more of the corners of the shroud 1430. FIG. 35 illustrates a fuel assembly 1710 that is substantially similar to the fuel assembly 1210, except that the corner fuel element 1220b of the assembly 1210 is replaced with a corner structure 1750. According to various embodiments, the structure 1750 is tubular and has a diameter (e.g., 15 mm) that causes it to abut multiple points on the shroud 1230 and the corner fuel element 1220c to keep the corner fuel element 1220c in its correct position. The corner structure 1750 may comprise a tube that is helically wrapped with material such a wire that is attached to the tube (e.g., via welding) so that the corner structure maintains the adjacent fuel elements in their correct position in the same or similar way that the spiral twists of adjacent fuel elements do so, as discussed above. Use of the corner structure 1750 may enable the fuel assembly 1710 to take advantage of the available space disposed inside one or more of the corners of the shroud 1230. FIG. 36 illustrates a fuel assembly 1810 that is substantially similar to the fuel assembly 1610, except that the corner structure 1850 has three concave, partially-cylindrically shaped surfaces, one abutting each of the adjacent fuel elements 1220a and adjacent corner fuel element 1220c. A radius and position of the three concave, partially-cylindrically shaped surfaces matches the radii and positions of the mating fuel elements 1220a, 1220c such that the corner structure 1810 abuts the fuel elements 1220a, 1220c over extended arcs A, B, C. The extended arcs A, B, C of contact maintain the abutting fuel elements 1220a, 1220c in their correct positions. As shown in FIG. 36, the corner structure 1850 may define a corner of the shroud 1830. For example, the shroud 1830 may comprise plates 1830a whose ends connect to the corner structures 1850. Alternatively, the shroud 1830 may be similar to or identical to the shroud 1230, and the corner structure 1850 may be disposed inside of and mounted to the shroud 1830. Use of the corner structure 1850 may enable the fuel assembly 1810 to take advantage of the available space disposed inside one or more of the corners of the assembly 1810. According to various embodiments, a corner structure 1350, 1450, 1550, 1650, 1750, 1850 is disposed at each of the four corners of the fuel assembly 1310, 1410, 1510, 1610, 1710, 1810. However, according to alternative embodiments, the corner structure 1350, 1450, 1550, 1650, 1750, 1850 may be disposed at just 1, 2, and/or 3 of the 4 corners of the assembly 1310, 1410, 1510, 1610, 1710, 1810. According to various embodiments, the corner structure 1350, 1450, 1550, 1650, 1750, 1850 may comprise one or more of a burnable poison, steel, alloys or ceramics of zirconium, and/or uranium, and/or plutonium, and/or thorium and/or none of these materials. According to various embodiments, the corner structure 1350, 1450, 1550, 1650, 1750, 1850 may be solid. According to various embodiments, the corner structure 1350, 1450, 1550, 1650, 1750, 1850 may comprise a hollow structure (e.g., made of tubular steel and/or zirconium metals or alloys) that may be (1) open-ended and empty to permit flow therethrough, (2) closed-ended and empty, and/or (3) closed-ended and partially or fully filled with material (e.g., oxide fuel, burnable poison, etc. in pellet or other form)). Although the corner structures 1350, 1450, 1550, 1650, 1750, 1850 and associated shrouds 1230, 1430, 1830 are illustrated with respect to fuel assemblies 1310, 1410, 1510, 1610, 1710, 1810 that are designed for use in place of conventional 16×16 fuel assemblies, such corner structures 1350, 1450, 1550, 1650, 1750, 1850 and associated shroud configurations could alternatively be applied to the above-discussed fuel assemblies 910, 1010 that are designed for use in place of conventional 17×17 fuel assemblies without deviating from the scope of the present invention. FIG. 37 illustrates a fuel assembly 1910 that is substantially similar to the fuel assembly 1210, except that both the outermost ring of fuel elements 1920a and the second outermost ring of fuel elements 1920b (rather than just the outer ring as in the assembly 1210) are shifted inwardly into an equilateral triangle grid array with the third outermost ring of elements 1920c. The third outermost ring of elements 1920c and the central 10×10 array of elements 1920d (collectively a central 12×12 array of elements 1920c, 1920d) are arranged in a square grid/array. As shown in FIG. 37, fuel elements are omitted from the corners of the outermost ring of fuel elements 1920a (i.e., omitting four fuel elements relative to the number of fuel elements in an assembly in which each grid position within the outermost ring is occupied by a fuel element (e.g., as illustrated in FIG. 22 with respect to assembly 1110). Four fuel elements are similarly omitted from the second outermost ring of elements 1920b relative to the number of fuel elements in an assembly in which each grid position within the second outermost ring is occupied by a fuel element (e.g., as illustrated in FIG. 22 with respect to assembly 1110). A remaining fuel element 1920b′ of the second outermost ring of fuel elements 1920b is disposed at each of the corners of the second outermost ring of fuel elements 1920b. According to various embodiments, spacers and/or corner structures may be added to help maintain the correct positions of the fuel elements 1920a that are adjacent to the corners and the fuel elements 1920b′. According to various embodiments, use of the equilateral triangle spacing in two outer rings of elements (as opposed to just one ring as in the assembly of FIG. 26) provides additional space within the envelope available for the fuel assembly 1910. Such space may be used, for example, for a thicker shroud 1930 or a larger water gap. According to various embodiments, all of the fuel elements 1920a, 1920b, 1920b′, 1920c, 1920d of the fuel assembly 1910 are geometrically identical to each other, and may be identical to or substantially identical to the elements 20. As shown in FIG. 37, according to various embodiments, the assembly 1910 comprises 228 fuel elements 1920a,b,b′,c,d. FIG. 38 illustrates a fuel assembly 2010 that is substantially similar to the fuel assembly 1910, except that a single corner fuel element 2020a′ is used in the outermost ring of elements 2020a, instead of the two fuel elements 1920a that are disposed adjacent to the corner in the assembly 1910 illustrated in FIG. 37. As a result, the fuel assembly 2010 has four fewer fuel elements than are present in the fuel assembly 1910. As shown in FIG. 38, according to various embodiments, the assembly 2010 comprises 224 fuel elements 2020a,a′,b,b′,c,d. According to various embodiments, all of the fuel elements 2020a, 2020a′, 2020b, 2020b′, 2020c, 2020d of the fuel assembly 2010 are geometrically identical to each other, and may be identical to or substantially identical to the elements 20. While various dimensions are illustrated in various of the figures, it should be understood that such dimensions are exemplary only, and do not limit the scope of the invention. Rather, these dimensions may be modified in a variety of ways (larger or smaller, or qualitatively different) without deviating from the scope of the invention. The fuel assemblies 10, 510, 610, 710, 910, 1010, 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 are preferably thermodynamically designed for and physically shaped for use in a land-based nuclear power reactor 90, 500 (e.g., land-based LWRS (including BWRs and PWRs), land-based fast reactors, land-based heavy water reactors) that is designed to generate electricity and/or heat that is used for a purpose other than electricity (e.g., desalinization, chemical processing, steam generation, etc.). Such land-based nuclear power reactors 90 include, among others, VVER, AP-1000, EPR, APR-1400, ABWR, BWR-6, CANDU, BN-600, BN-800, Toshiba 4S, Monju, CE, etc. However, according to alternative embodiments of the present invention, the fuel assemblies 10, 510, 610, 710, 910, 1010, 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 may be designed for use in and used in marine-based nuclear reactors (e.g., ship or submarine power plants; floating power plants designed to generate power (e.g., electricity) for onshore use) or other nuclear reactor applications. The fuel assemblies 10, 510, 610, 710, 910, 1010, 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 and the associated reactor cores are designed and configured so that the fuel assemblies 10, 510, 610, 710, 910, 1010, 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 are disposed directly adjacent to other fuel assemblies having matching geometric envelopes (e.g., a plurality of directly adjacent fuel assemblies 10, 510, 610, 710, 910, 1010, 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010). According to various embodiments, a plurality of fuel assemblies 10, 510, 610, 710, 910, 1010, 1110, 1210, 1310, 1410, 1510, 1610, 1710, 1810, 1910, 2010 are disposed adjacent to each other in the fuel assembly grid pattern defined by the reactor core (e.g., in a square pattern for a reactor core designed to accept square fuel assemblies (e.g., AP-1000, CE), in a triangular/hexagonal pattern for a reactor core designed to accept hexagonal fuel assemblies (e.g., VVER)). The foregoing illustrated embodiments are provided to illustrate the structural and functional principles of the present invention and are not intended to be limiting. To the contrary, the principles of the present invention are intended to encompass any and all changes, alterations and/or substitutions within the spirit and scope of the following claims.
summary
abstract
The invention relates to an apparatus and method for compensation of three-dimensional movements of a target volume (1) during ion beam irradiation. For the purpose, the apparatus comprises a position location and tracking system (4) for detecting the movements and a depth modulator (6) for modifying the depth of penetration of the ion beam. For the purpose of compensation, the movements are divided vectorially into a transverse component and a longitudinal component. The transverse component is compensated from irradiation point to irradiation point using the raster scanning apparatus (3) and the longitudinal component is compensated from irradiation point to irradiation point using the depth modulator (6) by means of the fact that, in addition to the change in the location of the target volume, the change in the structure of healthy tissue covering the target volume is, in the preliminaries to irradiation, detected and modelled and stored in the form of a look-up table in a memory of a movement measurement, control and read-out module SAMB and compared with the actual values during irradiation.
abstract
A method includes: a pre-treatment step of mixing radiocontaminated grains and a sodium phosphate-based dispersant; and a decontamination step of mixing the radiocontaminated grains processed by the pre-treatment step and paper sludge-derived sintered carbonized porous grains so as to incorporate radioactive 134Cs and 137Cs of the radiocontaminated grains in the sintered carbonized porous grains.
050826034
description
PREFERRED EMBODIMENTS OF THE INVENTION A high-level radioactive waste is usually in the form of a nitric acid solution thereof obtained as an extraction residue in the step of reprocessing spent fuels and contains almost all of fission products in the spent fuels. In the present invention, as shown in FIG. 1, the high-level radioactive waste is heated to evaporate water and nitric acid, thereby obtaining a calcined material or a calcination product. Boron or a boron compound is added to the calcined material, and the resultant mixture is melted by heating in a reduction condition at a high temperature of 1000.degree. C. or above. This causes platinum group elements in the calcined material to alloy with boron, and a layer of the resultant platinum group alloys settles down and therefore can be separated from a layer of residual oxides. Examples of the boron compound to be added to the calcined material include sodium boron hydride, boron nitride and boron carbide. It is a matter of course that the boron compound is not limited to those only. In particular, boron nitride is most suitable because it is easy to handle and low in the cost. The use of boron or a boron compound in an amount of 10% by weight or less in terms of boron as a simple substance will suffice. The addition of boron or a boron compound in a larger amount brings about an increase in the amount of waste and therefore is unfavorable. The amount is preferably 5% or less. The aim of the present invention is to lower the melting point of the platinum group alloy. Although the formation of a eutectic crystal is most desirable for this purpose, an effect can be attained even when boron is added in an amount of 0.5%. Therefore, the amount of addition of boron may be 0.5% or more, preferably 1% or more. The oxidation-reduction state of the calcined material of the high-level radioactive waste in the heat treatment is controlled by the temperature, atmosphere and addition of a reducing agent. The heating temperature is 1000.degree. C. or above. When the temperature is below 1000.degree. C., Ru and Mo cannot be reduced to metallic state although Pd and Rh are reduced. The temperature is thus preferably 1500.degree. C. or above. Since Ru-, Pd-, Rh-, Mo- and B-base alloys melt at 2000.degree. C. or below, there is no need to employ a temperature above 2000.degree. C. The control of the atmosphere is conducted for accelerating the reduction reaction. In the present invention, the reaction is preferably carried out in an atmosphere of air having a reduced oxygen content, nitrogen or argon. A reducing agent as well is used for accelerating the reduction reaction. Gaseous reducing agents such as hydrogen and carbon monoxide, reducing agents such as carbon which gasify in a redox reaction, and reducing agents such as alkaline earth metals and rare earth elements which are elements constitute the residual oxide layer are used for the purpose of avoiding the occurrence of a secondary waste. It is also possible to use as a reducing agent, substances such as aluminum, which do not have any adverse effect on the residual oxide phase even when it remains as an oxide. The above-described temperature, atmosphere and reducing agent are properly combined with one another depending upon the reaction conditions. Fission products in spent fuels are broadly classified into (1) metallic elements, (2) non-metallic elements, and (3) rare earth elements. Examples of the metallic elements include alkaline earth metals, transition metals such as Mo, and platinum group elements Most of the non-metallic elements described in the above item (2) and the alkaline earth metals in the metallic elements described in the above item (1) are removed by heating the high-level radioactive waste. Examples thereof include Sb, Te, Cs, and Rb. As a result, in the case of spent fuels of 45000MWD/MTU in the burnup and five years in the cooling time, major components of the calcined material except for elements having a content of 100g/MTU or less are as follows: Alkaline earth metals (Sr, Ba) ..... 3.3Kg/MTU: 8.7% by weight PA1 Transition metals (Zr, Mo, Tc) ..... 10.5Kg/MTU: 27.9% by weight PA1 Platinum group elements (Ru, Rh, Pd) ..... 5.4Kg/ MTU: 14.3% by weight PA1 Rare earth elements (Y, La, Ce, etc.) ..... 18.5Kg/MTU: 49.1% by weight PA1 Total ..... 37.7Kg/MTU The heat-melting of this calcined material provides a high-level radioactive residual solidified waste having a higher degree of volume reduction than that of a usual solidification product (fission products content: about 10% by weight) of the high-level radioactive waste. It is to be noted that in the case of a vitrification product, the weight thereof is 10 times that of the fission products and the volume thereof is several hundreds of liters per ton of spent fuel, while in the present invention the volume of the volume-reduced residual solidified waste is several tens of liters. Further, in the present invention, platinum group elements are separated and recovered. As is known, the platinum group element has a small free energy of formation of its oxide and is reduced into a metallic state when heated. The melting point of the platinum group element is 1554.degree. C. for Pd, 1963.degree. C. for Rh, and 2254.degree. C. for Ru. Ru and Rh do not form a solid solution perfectly because they are different from each other in the crystal form. Pd does not form an alloy having a eutectic point with Rh and Ru. Therefore, in the platinum group element and its alloy system, the melting point often exceeds 2000.degree. C., which makes it difficult to separate the platinum group element alone or in the form of an alloy from the residual oxides through melting of the calcined material Namely, even when they can be separated as a phase, a very high melting temperature is required for separating the two layers from each other in the molten state Mo in the calcined material has a relatively small free energy of formation of an oxide and forms an alloy having a low melting point with the platinum group elements. However, the content of Mo and the platinum group elements in the fission products is determined by the burnup of spent fuels. Therefore, it is difficult to realize a composition having the lowest melting point in the respective alloy systems comprising four components. In the heating step of the present invention, boron or a boron compound is added to the calcined material This causes alloys of Mo or the platinum group elements with boron to be formed, and these alloys melt at a low temperature. In general, numerous elements (M) combine with boron (B) to form an M/B or 2M/B compound. This compound forms a eutectic crystal together with the element (M). The melting point of the eutectic crystal is much lower than those of the original elements. Since the atomic weight of boron is as small as about 11, the weight content of boron in a eutection point with other element is 5% at the highest. Therefore, the amount of boron to be added for the purpose of lowering the melting temperature of the platinum group elements and Mo may be very small. Thus, the platinum group elements and Mo are reduced at a temperature of 2000.degree. C. or below into an easily meltable form, so that a layer of the molten alloys is formed. Since the molten alloy layer separates from the residual oxide layer, the platinum group elements can be recovered and the residual oxide layer becomes a high-level radioactive solidified waste of a high degree of volume reduction. FIG. 2 is a schematic view of one embodiment of an apparatus for practicing the method of the present invention. This apparatus exemplifies a bottom flow type apparatus. A calcined high-level radioactive waste and boron or a boron compound are placed in a melting container 10. The calcined waste is reduced under heating and separated into a layer 12 of platinum group element alloys having a higher specific gravity and a layer 14 of residual oxides having a smaller specific gravity. The platinum group element alloy layer 12 and the oxide layer 14 successively flow down through a flow-down nozzle 16 to be poured into separate containers for solidification. FIG. 3 is a schematic view of another embodiment of an apparatus used for practicing the method of the present invention. This apparatus exemplifies an overflow type apparatus. A calcined high-level radioactive waste and boron or a boron compound are placed in the central part of a melting container 20 to be heat melted. A layer 12 of platinum group element alloys located in the lower part and a layer 14 of residual oxides located in the upper part respectively pass through passages 22, 24, flow down through flow-down nozzles 26 and 28, and are poured into separate containers for solidification. The construction of the apparatus is not limited to the two types above-described and may be a compromise between the bottom flow type and the overlow type. Namely, the platinum group element alloy layer is flowed down from the bottom and poured into one container for solidification, while the oxide layer is flowed down by overflow and poured into another container for solidification. For the calcination of the high-level radioactive waste, a rotary kiln system, a microwave heating system, etc., which are under research in relation to vitrification, can be used. For the heat treatment of the calcined waste, a heater system, a direct energization system, a high-frequency heating system, etc., may be employed. Particular Experimental Examples will now be described hereinbelow. EXPERIMENTAL EXAMPLE 1 A composition of fission products in a spent fuel of 45000MWD/MTU in the burnup and 5 years in the cooling time was calculated by using ORIGEN code to prepare a simulated waste solution of the corresponding high-level radioactive waste solution. The simulated waste solution was heated to 600.degree. C. to prepare a calcined material. A mixture of 45g of the calcined material and 5g of boron nitride (BN) were placed in a crucible and heat-treated in an argon atmosphere at 1800.degree. C. for 1 hr. The contents of the container were observed after cooling to reveal that the upper surface is smooth, indicating that the mixture had melted. The crucible was broken and the contents were taken out. The contents were separated into two phases, and a metal mass was present in the bottom and could easily be separated from the residual portion. The metal mass was analyzed with an X-ray micro-analyzer (EPMA). As a result, Ru, Rh, Pd, Mo and B were detected. The oxide as the residue was subjected to measurement of the leaching rate in water according to JIS R3502. The leaching rate was 8.times.10.sup.-5 g/cm.sup.2 d and substantially the same as that of the vitrification product. Thus it has been confirmed that the residue has a chemical durability sufficient as a high-level radioactive solid waste. EXPERIMENTAL EXAMPLE 2 The simulated waste solution was treated in the same manner as that of Experimental Example 1, except that the amount of addition of boron nitride was change to 2.5g. The results of observation after the treatment were the same as those of Experimental Example 1. COMPARATIVE EXAMPLE An experiment was conducted under the same conditions as those of Experimental Example 1, except that no boron nitride was added. The contents were observed after cooling to reveal that they were in a baked state and no evidence of melting was observed. The mass could easily be taken out of the crucible but did not separate into two phases, so that no metallic mass could be formed. As described above, the method of the present invention comprises adding boron or a boron compound to a calcined high-level radioactive waste and heat-melting the mixture in a reduction condition at a high temperature of 1000.degree. C. or above. This method makes it possible to separate and recover useful platinum group elements, simplify the treatment process and reduce the size of an apparatus for the treatment. Further, since the resulting residue of oxides is solidified as it is, the solidification is accompanied by such a remarkable volume reduction that the volume is below one-tenth of that of the conventional vitrification. This enables the cost of storage and disposal of the high-level radioactive waste to be remarkably reduced. In the present invention, the heat-treatment can be conducted at a temperature of 2000.degree. C. or below because boron or a boron compound is added to the waste. Therefore, it becomes possible to adopt a heat-treatment wherein heating is conducted with a heater without the necessity for using a special heating system (e.g., electron beam heating, plasma heating, etc.), and the material for the melting furnace may be zirconia, etc. without the necessity for using special high-melting materials (e.g., thorium oxide), which enables the facilities for treatment to be easily constructed at a low cost.
046726527
abstract
The invention relates to a radiodiagnostic apparatus with an x-ray tube, a diaphragm which is semitransparent at least areawise and which clears a slit variable in its width and letting the radiation go through, with an x-ray image intensifier and a television camera coupled thereto for the generation of video signals which is connected to a monitor for reproduction of the video signals. The diaphragm consists of several individual blades which abut against one another in parallel on both sides of the slit and are adjustable in longitudinal direction individually dependent on the size of the object to be viewed. To the television camera an evaluating circuit is connected which supplies a control signal obtained from the video signal to a setting device for the individual blades.
052710513
abstract
The spent fuel pit of a pressured water reactor (PWR) nuclear power plant has sufficient coolant capacity that a safety rated cooling system is not required. A non-safety rated combined cooling and purification system with redundant branches selectively provides simultaneously cooling and purification for the spent fuel pit, the refueling cavity, and the refueling water storage tank, and transfers coolant from the refueling water storage tank to the refueling cavity without it passing through the reactor core. Skimmers on the suction piping of the combined cooling and purification system eliminate the need for separate skimmer circuits with dedicated pumps.
summary
abstract
A focused ion beam system includes a sample holder having a fixing plane for fixing a sample, a sample base on which the sample holder is provided, a focused ion beam irradiating mechanism that irradiates a focused ion beam to the sample, microtweezers that hold the sample and have the axial direction at a predetermined angle to a surface of the sample base, an opening/closing mechanism that opens and closes the microtweezers, a rotating mechanism that rotates the microtweezers about the axial direction, and a moving mechanism that moves the position of the microtweezers.
052415732
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The field of invention relates to shield apparatus, and more particularly pertains to a new and improved shield apparatus wherein the same is arranged for ease of assemblage in use. 2. Description of the Prior Art In the shielding of nuclear radiation relative to nuclear plants, typically, large steel containers are utilized in a position and subsequently filled with water for erection of such sealed structure. To overcome the bulky and awkward nature of such prior art structure, the instant invention attempts to overcome deficiencies of the prior art by providing interlocking and interengaging structure utilizing tongue and groove interrelationships. Prior art radiation shielding is exemplified in U.S. Pat. No. 4,878,324 to Rissel wherein shielding blocks formed of steel for filling with concrete are set forth. U.S. Pat. No. 4,712,015 to Aspden sets forth shields for nuclear reactors, including an inner portion rotatable about a vertical axis and connection between an inner and outer portion permitting relative angular movement between the portions without loss of containment of the structure. Further examples of shielding structure for nuclear facilities is set forth in U.S. Pat. No. 4,071,404 to Aoki; U.S. Pat. No. 4,729,869 to Schukei, et al.; and U.S. Pat. No. 4,795,654 to Teleki. Accordingly, it may be appreciated that there continues to be a need for a new and improved shield apparatus as set forth by the instant invention which addresses both the problems of ease of use as well as effectiveness in construction in providing assemblage to officially shield gamma radiation relative to a nuclear facility and in this respect, the present invention substantially fulfills this need. SUMMARY OF THE INVENTION In view of the foregoing disadvantages inherent in the known types of shield apparatus now present in the prior art, the present invention provides a shield apparatus wherein the same is arranged for shielding gamma radiation relative to a radiation emitting facility. As such, the general purpose of the present invention, which will be described subsequently in greater detail, is to provide a new and improved shield apparatus which has all the advantages of the prior art shield apparatus and none of the disadvantages. To attain this, the present invention provides a shield apparatus arranged for use in shielding various radiations, such as relative to nuclear plants. The shielding structure is arranged for use in emergency as well as in a permanent relationship relative to such radiation emitting structure. My invention resides not in any one of these features per se, but rather in the particular combination of all of them herein disclosed and claimed and it is distinguished from the prior art in this particular combination of all of its structures for the functions specified. There has thus been outlined, rather broadly, the more important features of the invention in order that the detailed description thereof that follows may be better understood, and in order that the present contribution to the art may be better appreciated. There are, of course, additional features of the invention that will be described hereinafter and which will form the subject matter of the claims appended hereto. Those skilled in the art will appreciate that the conception, upon which this disclosure is based, may readily be utilized as a basis for the designing of other structures, methods and systems for carrying out the several purposes of the present invention. It is important, therefore, that the claims be regarded as including such equivalent constructions insofar as they do not depart from the spirit and scope of the present invention. Further, the purpose of the foregoing abstract is to enable the U.S. Patent and Trademark Office and the public generally, and especially the scientists, engineers and practitioners in the art who are not familiar with patent or legal terms or phraseology, to determine quickly from a cursory inspection the nature and essence of the technical disclosure of the application. The abstract is neither intended to define the invention of the application, which is measured by the claims, nor is it intended to be limiting as to the scope of the invention in any way. It is therefore an object of the present invention to provide a new and improved shield apparatus which has all the advantages of the prior art shield apparatus and none of the disadvantages. It is another object of the present invention to provide a new and improved shield apparatus which may be easily and efficiently manufactured and marketed. It is a further object of the present invention to provide a new and improved shield apparatus which is of a durable and reliable construction. An even further object of the present invention is to provide a new and improved shield apparatus which is susceptible of a low cost of manufacture with regard to both materials and labor, and which accordingly is then susceptible of low prices of sale to the consuming public, thereby making such shield apparatus economically available to the buying public. Still yet another object of the present invention is to provide a new and improved shield apparatus which provides in the apparatuses and methods of the prior art some of the advantages thereof, while simultaneously overcoming some of the disadvantages normally associated therewith. These together with other objects of the invention, along with the various features of novelty which characterize the invention, are pointed out with particularity in the claims annexed to and forming a part of this disclosure. For a better understanding of the invention, its operating advantages and the specific objects attained by its uses, reference should be had to the accompanying drawings and descriptive matter in which there is illustrated preferred embodiments of the invention.
039980570
description
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS FIGS. 1 and 2 show a pressure-tight safety tank 1 of cylindrical shape made of reinforced concrete, closed at the top by a domed cap 2. Centrally inside the safety tank a likewise cylindrical structure of pre-stressed concrete 3 is placed which encloses a high-temperature reactor 4 together with the set of components that makes up the primary circuit described in detail below (the turbine assembly, heat exchangers, gas lines). Also placed inside the safety tank 1 are all auxiliary components that carry the active gas, and all other equipment needed for the operation of the primary components. Of these the drawings show only the revolving crane 5 serving as the main lifting device, located in a plane some distance below the lower edge of the domed cap 2. The work area of this crane is large enough to allow for the movement of all components installed in safety tank 1. The high-temperature reactor 4 is built into a cavity or vessel 6. It is a helium-cooled ball-pile reactor with graphite moderation, shown in the drawing as consisting of core 7, located at the bottom of the core, a connecting ball-release tube 8 and a suspended ceiling reflector 9. Underneath the floor of the reactor core is the collector chamber 10 which receives the hot gas released by the ball pile. The reactor 4 is connected with the primary circuit by six intake- and four vents (as described below.). Perpendicularly under the high-temperature reactor 4 and at a distance adequate to assure shielding, a horizontal chamber 11 is built inside the pre-stressed concrete housing 3. In the chamber have been placed a single-phase gas turbine 12 together with high and low-pressure compressors 13, 14, installed coaxially with the gas turbine 12 on a common axle 15. The turbine and the compressors are inserted in the assembly as one unit on a rail leading into the horizontal chamber 11, by the so-called "insertable construction" method. A removable generator 16, located in a cylindrical recess 17, is rigidly coupled to the gas turbine 12. This cylindrical recess 17 is closed gas- and pressure-tight by lid 63. Four radial connecting pipes 18 carry the gas from hot-gas collectors 10 to the vertical hot-gas lines 19, which, in turn, are connected by horizontal tubes 20 to the four symmetrical intake-valves 21 of turbine 12. The four radial connectors 18 by which helium, heated to 850.degree. C., is carried to turbine 12, extend together with graphite packings 22 of the reactor, to the vertical hot-gas pipes 19. This placement facilitates the installation and removal of the hot-gas pipes 19 which each are composed of four pieces. In a circle around reactor vessel 6, eight perpendicular shafts or pods 23, 24 . . . 30 are provided, spaced symmetrically to each other and at a suitable distance from the wall of the concrete housing 3, extending nearly the total height of the structure. These large pods are closed off by explosion-proof lids. These lids are shown in FIG. 3 both at the top and at the base of concrete housing 3. In six of these pods, namely in pods 23, 24 . . . 28, a recuperator 31 is placed, on a level with reactor 4, and a pre-cooler 32 is connected to each recuperator vertically beneath it. The remaining pods 29, 30 are used for holding the four intermediate coolers 33 installed therein one above the other in pairs. The six recuperators are designed as counter-flow elements with the tube bundles in a triangular arrangement that facilitates the replacement of individual defective cells. The pre-coolers 32 as well as the intermediate coolers 33 are arranged in a helical construction, thus permitting pressure tests to be taken on individual tubes or areas from outside of housing 3, also permitting these areas to be inactivated and by-passed. By the use of such well-known and proven elements of design, a high degree of reliability is attained in connection with the heat exchangers. The system for collecting and distributing low-pressure gases discharged by the turbine is located underneath turbine chamber 11. The exhaust gas, heated to 500.degree. C. first flows in a vertical duct 34 downward into distributor 35, then through two coaxial feeder ducts 36 and into distributors 37. Connected to each distributor are two coaxial feeder tubes 38 which serve to distribute the gas over the six pods 23, 24 . . . 28. The gas now flows through the interior pipe of the coaxial feeder ducts 36, 38. Inside the pods, the gas moves through the interior ducts 39 (FIG. 3) which are leading through the pre-coolers 32; it then enters the recuperators 31 through which it flows on the side of the jacket. The gas is thereby cooled to approximately 160.degree. C. Upon being reversed by 180.degree. in a collection chamber 40 located on top of recuperator 31, the gas is carried through an annular shaped aperture 41 between the recuperator and the wall of the pod, and reaches pre-coolers 32 through which it flows on the side of the jacket. The gas, now cooled down to approximately 30.degree. C. then enters the exterior passages of the coaxial ducts 38 and is then collected in collectors 42, 43, which are located coaxially with respect to distributors 35, 37, or in other words, with the exterior ducts now acting as collectors and the interior ducts as distributors for the gas. The entire system of tubes underneath turbine chamber 11 is designed in such a manner that the turbine exhaust duct at about 500.degree. C. is surrounded on all sides by cold gas, thereby preventing the build-up of temperature stresses in the concrete hull. The coaxial gas ducts as well as all other cavities in the concrete structure are moreover covered with gas-tight steel liners which are protected by heat insulation and cooled by water. The liners also are subjected to merely moderate temperature stresses, since streams of hot gas are always surrounded by streams of cooler gas. The pressure differential between gas streams flowing coaxially, in normal operation, and depending upon the load, amount to 0.7 - 4 bar. Tubing which is freely distributed inside the liners is therefore exposed to relatively low pressures, while the pressures of the exterior gas streams are absorbed by the cement liner. From collectors 42, 43 the cooled gas passes through two simple (not coaxial) horizontal passages 44 and into a vertical cylindrical duct 45, from which it proceeds to low-pressure compressor 14 where it is compressed to 36 bar. From low-pressure compressor 14 two coaxial ducts 46 lead to pods 29, 30 in which the four intermediate coolers 33 are installed. The helium flows to the two pods in the exterior tubes at approximately 125.degree. C. and is divided into two streams, one directed upward, the other downward. Both parts of the split stream then flow through an annular shaped aperture 47 located between the pod and the intermediate coolers 33, then through the intermediate coolers on the jacket side and then enter in region 48 into the inner duct of the coaxial tube 46 which is located between the stacked intermediate coolers. The gas, now cooled to about 30.degree. C. reaches high-pressure compressor 13. From high-pressure compressor 13 the gas, now compressed to 64 bar, enters into the hollow space 49 surrounding the turbine housing and the intake valves 21. The pressurized gas then flows through two simple vertical ducts 50 and four manifold gas pipes 51 which run partially coaxially to the hot-gas ducts 19, and then into the distributor heads 52 of recuperators 31. The four high-pressure tubes 19 connecting the reactor 4 with the turbine 12 are likewise surrounded by relatively cold pressurized gas (125.degree. C.) so that neither the liners nor the concrete are affected by high temperature. The helium gas flows from the distributor heads 52 through the bundled tubes of the recuperators 31 and is heated to about 450.degree. C. by the exhaust gas from the turbine flowing in opposite direction. It is then brought back to the collector heads 53 of the recuperators 31 located on top of distributor head 52. By way of six tubes 54 which partially pass through high-pressurized cold-gas ducts 50, 51 the pre-heated gas is finally returned to a collecting space 55 on the reactor 4. Before returning to the reactor core, the helium flows along the space between the thermal shield and the reactor wall, thereby cooling these areas (not shown). The gas is then deflected by ceiling reflector 9, it enters into the space 56 on top of the ball pile, and is returned to the ball pile. In four vertical shafts or pods 57 symmetrically distributed around the reactor pod 6 on the same circle as pods 23, 24 . . . 30 and at the same height as the recuperators 31, an auxilliary or back-up cooling system 58 of a known design is installed with a capacity of 4 .times. 50% and consisting of blowers, recuperators and coolers. Since this auxilliary system is not a part of the present invention, these components are not shown in detail. The system 58 receives hot gas through duct 59, cools it in the recuperator to about 450.degree. C. and subsequently in the cooler, to about 50.degree. C. It increases the pressure by means of the blower, and the temperature rises to about 70.degree. C. The condensed gas flows back into the recuperator through a centrally located pipe, it is re-heated to 400.degree. C. and is carried back onto the reactor 4 through duct 60 on the side of the cold gas. In order to be able to separate the reactor 4 from the primary circuit, shut-off valves 61 are installed in the input ducts 54 and the hot-gas ducts 19. For the purpose of repaid and maintenance operations these shut-off valves 61 are accessible through vertical shafts 62, while other valves are located in the shafts or pods for cold-gas lines 50, 51 and are accessible from the top. In the following paragraph the main or turbine circuit is once more summarized in brief. The work process of the primary circuit takes place within the range between a maximal pressure of 64.3 bar and a minimum pressure of 19.9 bar; the temperature ranges between an upper limit of 850.degree. C. and the lower limit of 30.degree. C. The gas, heated to 850.degree. C. under 60 bar pressure, flows by way of tubes 19 from the hot-gas accumulator 10 directly into the four intake valves 21 of turbine 12. In turbine 12 the working gas is expanded to 20.7 bar and it temperature reduced to about 500.degree. C. It enters into the recuperators 31 by way of coaxial tubes 38 and the central pipes 39, flowing through the recuperator 31 on the side of the jacket. On contact with the cold gas that flows along the high-pressure side of the recuperators 31 the gas is further cooled to about 160.degree. C. prior to entering pre-coolers 32. Here it is chilled to the lowest temperature in the process range of 30.degree. C. and collected in collectors 42, 43 prior to entering low-pressure compressor 14 by way of gas pipes 44 and duct 45. In the low-pressure compressor 14 the working gas is raised in ambient pressure to 64.3 bar and its temperature raised to 125.6.degree. C., and it is transported by way of coaxial tubes 46 into intermediate coolers 33. There the gas is recooled to 30.degree. C. after which it flows through the interior tube of coaxial tubing 46 and enters the high-pressure compressor 13 under a pressure of 35.8 bar. There the pressure is raised to the maximum of 64.3 bar and the gas is returned to the distributor head 52 by way of cold-gas lines 50, 51, and subsequently distributed over the tube bundles of recuperators 31 at a temperature of 125.6.degree. C. The high-pressure gas is then heated to 455.2.degree. C. by the heat supplied by the low-pressure surface of the recuperators and subsequently brought directly through tubes 54 to the cold-gas collection space 55 of the high temperature reactor 4.
052971775
abstract
A fuel assembly, where crystallographic orientations of a channel box are brought into a random distribution; and cladding tubes, spacers and a channel box are made from highly corrosion-resistant, Fe--Ni, zirconium-based alloy, hardened in the (.alpha.+.beta.) phase or .beta.-phase temperature region, has an average discharge burnup level of 50 to 550 GWd/t.
abstract
A control rod for a nuclear reactor has a structure which is capable of suppressing expansion of a reduced-diameter portion of a neutron absorber in a radial direction under shocks applied upon every stepwise driving of a control rod cluster and which can ensure integrity of a cladding tube over an extended period. The control rod includes a cladding tube closed hermetically at both ends thereof by a top end plug and a bottom end plug, respectively, a neutron absorber loaded into the cladding tube and includes a reduced-diameter portion having a smaller diameter than the other portion, the reduced-diameter portion being disposed at the bottom end plug side of the control rod, and a hold-down spring for pressing the neutron absorber downwardly against the bottom end plug. A sleeve is disposed within an annular space defined between an outer peripheral surface of the reduced-diameter portion and an inner peripheral surface of the cladding tube.
044877374
summary
BACKGROUND OF THE DISCLOSURE Pulsed neutron irradiation is a known technique for logging a wellbore and the strata adjacent to the wellbore. Many type of annalysis can be obtained as a result of pulsed neutron logging. A pulsed neutron generator tube obtained from Kaman Sciences Corporation of Colorado Springs, Colo. is installed in a sonde for use in downhole conditions. It serves as a source of pulsed neutrons for irradiation of the surrounding formations as the sonde traverses the wellbore. A pulsed neutron tube known as Model A-302A installed in a sonde required reasonably precisely controlled operating voltages and current. In the ordinary circumstance, a sonde is lowered on a multiconductor logging cable. Ordinarily, the AC power source for the system is maintained in the logging truck. The logging truck is normally parked on the surface, and the logging cable that is connected from the truck is spooled on a large storage drum to be fed into the wellbore. The cable is extremely long, and can even reach lengths as great as up to 30,000 feet. Of necessity, the cable must be longer than the depth of the well. The logging cable is ordinarily a multistrand cable encased within a sheath for protection. The logging cable appears as a long line to an AC input, and therefore has an AC impedance interposed between the AC generator on the truck and the sonde which is supported by the cable. In part, this is aggravated as the pulsed neutron generator tube is switched from idle to 100% output. Such wide variations in current demand by the equipment in the sonde inevitably produces a wide fluctuation in input voltage at the sonde as a result of the impedance imposed between the sonde and the truck located generator. The variations are not minor; the variations can range as high as 40% in an extra long cable at full load conditions. The present apparatus takes into account line voltage fluctuations in conjunction with the sequence of events required to power the pulsed neutron generator for logging operations. The sequence of events involves the supportive supply circuitry for the neutron generator tube. This disclosure therefore sets forth an unobvious interlock system which enables stabilized operation of the neutron generator over a logging run under stabilized conditions. Consistency for its operation is assured by the disclosed apparatus. Moreover, the apparatus is able to test for and determine adequate terminal voltage at the sonde to power up the equipment, control the switch-on procedure, initiate a switch-off procedure as necessary and to also protect the neutron generator tube in the event of circuit malfunction. The procedure involved between switching on, switching off and protection in the event of a malfunction is implemented by the circuit means of this disclosure. It thus enables the apparatus to apply a relatively high voltage DC level to the target high voltage power supply driver circuit, also apply a 3,800 hertz, 6 microsecond pulse and a logic zero control signal to the same circuit. This increases the target high voltage power supply output to about 70 kilovolts. An additional operational step achieved on measuring the current drain to the high voltage power supply driver circuit is the formation of a 3,000 volt ion source pulse train and a replenisher current of about 2 amperes. As the pulled neutron generator tube emits neutrons which is indicated by measuring the target current at the low side of the target high voltage power supply, a logic one is applied to the control voltage for the target high voltage power supply driver circuit. This provides the target with the high voltage, nominally 100 kilovolts. In the event of malfunction, the ion source pulses are turned off. The replenisher current (approximately 2 amperes during full load) is reduced substantially to zero, and the target high voltage is reduced from about 100 kilovolts to about 70 kilovolts. These protective steps prevent damage in the event of loss of adequate terminal voltage at the sonde. One advantage of the present apparatus is the feature which checks terminal voltage at the sonde to verify that the proper voltage level has been furnished. In the event the voltage level is improper, the equipment does not initiate operation of the neutron generator. Rather, it will not start and thereby avoids damage, namely the risk of damage to the expensive tube. While neutron generator tubes can be replaced, it is not an easy procedure to carry out in the field. The neutron generator tube is a relatively expensive device and is protected. The present invention may therefore be summarized as a pulsed neutron generator tube control circuit capable of monitoring the line voltage applied to it for initiating the turn-on sequence of the components for operation of the generator tube. The apparatus includes an interlock arrangement whereby successful turn-on of the various signals is observed to carry out the various steps providing adequate power to the pulsed neutron generator tube. Moreover, the equipment controls a procedure for switching off, the procedure having a sequence which prevents damage to the generator tube.
045086770
claims
1. A transportable prefabricated fast-breeder nuclear heat supply module for shipment to and erection at an electric-power generating facility comprising: a primary vessel having a removable recessed head including a cylindrical wall; an outer vessel surrounding said primary vessel and close-coupled thereto to define an interstitial region therebetween, said outer vessel having a removable head and having dimensions sized for shipment on a railroad car; a fast-breeder reactor core unit mounted within said primary vessel, said reactor core unit including a plurality of control rods; a heat exchanger of cylindrical annular shape mounted within said primary vessel and having an outer cylindrical wall in common with said primary vessel, said heat exchanger having an inlet and an outlet for secondary coolant; inlet means and outlet means communicating through said outer and primary vessels with said heat-exchanger inlet and outlet, respectively, said inlet means and said outlet means being adapted for connection to a secondary cooling system; a pump mounted within said primary vessel underneath the reactor core unit for pumping a primary coolant upwardly through said reactor core unit and said heat exchanger; first means defining an inlet plenum positioned intermediate the pump and the overlying reactor core, said pump and said reactor core unit communicating directly with said intermediate inlet plenum to define a direct upward primary coolant flow path from said pump to said reactor core unit; second means defining a primary coolant flow path from said reactor core unit to said heat exchanger including an inner cylindrical wall of said heat exchanger and said cylindrical wall of said recessed head, whereby said heat exchanger serves to isolate said primary coolant from said primary vessel to reduce thermal stress in said primary vessel, said heat exchanger providing a downward flow path for said primary coolant in counterflow to a secondary coolant, and third means defining a primary coolant flow path from said heat exchanger to an inlet of said pump mounted underneath the reactor core, said third means including a first shielding member surrounding said reactor core unit and extending upward therefrom thereby to shield said heat exchanger from said reactor core unit, and a second shielding member interiorly lining a portion of said primary vessel, said second means and said third means being devoid of piping; and a control rod drive unit mounted within the recess defined by said recessed head and operatively connected through the bottom of said recessed head to said control rods; whereby primary coolant will be contained entirely within said primary vessel and said nuclear heat supply module will be in fluid communication with the balance of said electric-power generating facility only through said secondary coolant inlet means and outlet means. a primary vessel, a containment vessel surrounding said primary vessel, and a confinement vessel surrounding said containment vessel, said containment vessel being close-coupled to said primary vessel to defne a first interstitial region therebetween and said confinement vessel being close-coupled to said containment vessel to define a second interstitial region therebetween, said confinement vessel having outer dimensions sized for shipment on a railway car; a fast-breeder reactor core unit mounted within said primary vessel and including a plurality of control rods; a counterflow heat exchanger mounted within said primary vessel and extending about said reactor core unit, said heat exchanger having a first wall in common with said primary vessel, said heat exchanger having an inlet at a lower extremity thereof and an outlet at an upper extremity thereof for secondary coolant at said first wall; inlet means and outlet means communicating through said confinement and containment vessels with said heat exchanger inlet and outlet, respectively, said inlet means and said outlet means being adapted for connection to a secondary cooling system; an electromagnetic pump mounted within said primary vessel directly underneath the reactor core unit for pumping a liquid metal primary coolant upwardly through said reactor core unit and said heat exchanger; first means defining an inlet plenum positioned intermediate the pump and the overlying reactor core unit, said pump and said reactor core unit communicating directly with said intermediate inlet plenum to define a direct upward primary coolant flow path from said pump to said reactor core unit; wherein a second wall of said primary vessel and a third wall of said heat exchanger define a flow path for said primary coolant from said reactor core unit to said heat exchanger, said third wall having an inlet at an upper extremity thereof for primary coolant and to provide a downward flow path for said primary coolant through the heat exchanger in counterflow to a secondary coolant; and wherein a fourth wall and a fifth wall define a flow path for said primary coolant from a lower extremity of said heat exchanger to an inlet of said pump mounted underneath the reactor core unit; and a control rod drive unit mounted within said containment vessel outside said primary vessel and operatively connected through said primary vessel to said control rods; whereby said primary coolant flows upward through said reactor core unit and to the upper extremity of said heat exchanger, and downward through said heat exchanger and to said pump inlet in a flow path devoid of piping and said nuclear heat supply module is in fluid communication with the balance of said electric-power generating facility only through said secondary coolant inlet means and outlet means. a nuclear heat supply module having an outer wall; a plurality of shell segments adapted to be joined to one another in surrounding relation to said nuclear heat supply module, the joined shell segments defining an inner wall immediately adjacent the outer wall of said nuclear heat supply module, an outer wall spaced apart from said inner wall and an intermediate wall spaced apart from said inner and outer walls to define therebetween an inner interstitial region providing a water jacket and an outer interstitial region for receiving a cementatious material; a plurality of heat exchange loops secured to said segments, each loop having an inner branch defining a first heat exchange area disposed at the inner wall of the shell segments for placement intimate to the outer wall of said heat supply module and an outer branch defining a second heat exchange area disposed at the outer surface of said segmented shell outer wall; whereby said segmented shell when assembled about said heat supply module provides a form for the pouring and curing of a biological shield of cementatious material and said heat exchange loops provide an emergency heat removal system. a primary vessel having a removable recessed head; an outer vessel surrounding said primary vessel and close-coupled thereto to define a first interstitial region therebetween; a reactor core unit mounted within said primary vessel, said reactor core unit including a plurality of control rods; a heat exchanger mounted within said primary vessel, said heat exchanger having an inlet and an outlet for secondary coolant; inlet means and outlet means communicating through said outer and primary vessels with said heat exchanger inlet and outlet, respectively, said inlet means and said outlet means being adapted for connection to a secondary cooling system; a pump mounted within said primary vessel directly underneath the reactor core unit for pumping a primary coolant upwardly through said reactor core unit and said heat exchanger; first means defining an inlet plenum positioned intermediate the pump and the overlying reactor core unit, said pump and said reactor core unit communicating directly with said intermediate inlet plenum to define a direct upward primary coolant flow path from said pump to said reactor core unit; second means defining a primary coolant flow path from said reactor core unit to said heat exchanger and downwardly therethrough in counterflow to an upwardly flowing secondary coolant, and third means defining a primary coolant flow path from said heat exchanger to an inlet of said pump mounted directly underneath the reactor core unit, said second means and said third means being devoid of piping; and a control rod drive unit mounted within the recess defined by said recessed head and operatively connected to said control rods; an annular shell surrounding said outer vessel, said shell having an inner wall adjacent the outer wall of said outer vessel and an outer wall spaced apart from said inner wall to define therebetween a second interstitial region; a plurality of heat exchange loops, each having an inner branch defining a first heat exchange area disposed intimate to said outer vessel outer wall and an outer branch defining a second heat exchange area disposed at the outer surface of said segmented shell outer wall thereby to provide emergency heat removal from said nuclear reactor; and a hardened cementatious material within said second interstitial region providing a biological shield for said nuclear reactor; wherein said secondary coolant inlet means and outlet means extend through said biological shield and said annular shell to provide fluid communication between said modular reactor and the balance of an electric-power generating facility. 2. The nuclear heat supply module of claim 1, wherein said reactor core unit comprises a plurality of nuclear fuel assembly ducts and wherein said first means defining said inlet plenum includes a perforate support plate for supporting said reactor core unit, said fuel assembly ducts seating in the perforations of said support plate, and said reactor core unit further including a plurality of tubular coolant-receiving members in communication with said fuel assembly ducts and passing through said perforations into said inlet plenum, whereby high pressure primary coolant passes from said inlet plenum to said fuel assembly ducts. 3. The nuclear heat supply module of claim 1, wherein said primary vessel head and said outer vessel head are adapted to be hermetically resealable to their respective vessels. 4. The nuclear heat supply module of claim 1, wherein said outer vessel comprises a containment vessel and a confinement vessel surrounding said containment vessel and close-coupled thereto to define an interstitial region therebetween, said primary vessel, said containment vessel, and said confinement vessel each having a removable head, said primary vessel head and said containment vessel head being adapted to be hermetically resealable to their respective vessels. 5. The nuclear heat supply module of claim 1, wherein said pump is provided by an electromagnetic pump for circulating a liquid metal primary coolant. 6. A transportable prefabricated fast-breeder nuclear heat supply module for shipment to and erection at an electric-power generating facility comprising: 7. The nuclear heat supply module of claim 6, wherein said primary vessel, said containment vessel, and said confinement vessel are of a generally cylindrical shape, said confinement vessel having a diameter not exceeding 14 feet and a length not exceeding 70 feet, whereby said nuclear heat supply module will not exceed the maximum dimensions transportable by railway car. 8. The nuclear heat supply module of claim 7, wherein said heat exchanger has a generally cylindrical annular shape and wherein said primary vessel has a generally cylindrical recessed head, said second and third walls defining said primary coolant flow path being provided, respectively, by the inner wall of said annular heat exchanger and by the cylindrical wall of said recessed head. 9. The nuclear heat supply module of claim 8, wherein said recessed head is removable and is adapted to be hermetically resealable to said primary vessel, said control rod drive unit being mounted within the recess of said head and communicating through a wall of said head for operative connection with said control rods. 10. The nuclear heat supply module of claim 9, wherein said containment vessel has a removable head adapted to be hermetically resealable to said containment vessel, and said primary vessel head and containment vessel head are each provided with means for introducing inert gas into said primary vessel and into said first interstitial region, respectively. 11. The nuclear heat supply module of claim 8, wherein said first means defining said inlet plenum includes a support plate for supporting said reactor core unit and coolant-receiving means communicating with said reactor core unit through said support plate and with said inlet plenum, whereby high-pressure coolant passes directly from said inlet plenum through said reactor core unit. 12. The nuclear heat supply module of claim 11 wherein said reactor core unit includes a plurality of fuel assembly ducts and said coolant-receiving means includes a plurality of coolant-receiving members in fluid communication with said fuel assembly ducts and wherein said pump has a high-pressure discharge centrally disposed beneath said reactor core unit, said first means including means defining a primary chamber for receiving primary coolant from said high-pressure discharge and means defining a distribution path from said primary chamber to said coolant-receiving members, whereby said coolant is distributed uniformly from said high-pressure discharge to said coolant receiving members. 13. A segmented shell for assembly about a nuclear heat supply module comprising: 14. The segmented shell of claim 13 wherein said heat exchange loops are provided by free-convection loops to provide passive emergency heat removal from said nuclear heat supply module. 15. The segmented shell of claim 14, wherein said inner and outer branches of said heat exchange loops are provided by tubular members having vertically extending serpentine configurations joined at the upper and lower extremities thereof by tubular portions traversing said interstitial region thereby to provide passive free-convection circulation of coolant within said loops. 16. The segmented shell of claim 13 wherein the joined shell segments further define an intermediate wall between said inner and outer walls, said intermediate wall and said inner wall forming a water jacket about said nuclear heat supply module. 17. The segmented shell of claim 16 further including means venting said water jacket to the exterior of said shell. 18. A modular nuclear reactor comprising: 19. The modular reactor of claim 18, further comprising transport means in operative association with said reactor for transporting said reactor into and out of position for coupling to said electric-power generating facility.
claims
1. An electron microscope for directing an electron beam at a specimen supported to be tilted about a fixed axis in plural incremental angles and gaining TEM images based on electrons transmitted through the specimen, the microscope being fitted with a signal processing circuit for implementing computerized tomography according to data about the gained TEM images of the specimen,wherein said signal processing circuit performs two-dimensional correlation processing between each image of a series of TEM images obtained from the specimen tilted by plural angles and a reference image comprising one of said images in the series of images to thereby select and extract an identical field of view from each image, whereby correcting positional deviation of the specimen and using said extracted fields of view for implementing computerized tomography. 2. An electron microscope as set forth in claim 1, wherein said signal processing circuit removes effects of trend of the contrast of each TEM image before the two-dimensional correlation processing is performed. 3. An electron microscope as set forth in claim 1, wherein said signal processing circuit has a certain decision criterion about a maximum value of correlation results of the two-dimensional correlation processing and does not use values not satisfying the criterion as the results of the two-dimensional correlation processing. 4. An electron microscope as set forth in claim 1, wherein said signal processing circuit corrects translational shifts of the TEM images and rotations within the TEM images when the two-dimensional correlation processing is performed. 5. An electron microscope as set forth in claim 1, wherein said signal processing circuit performs two-dimensional correlation processing between a new reference image and a TEM image at a further tilt angle, the new reference image being an image of the same field of view extracted from a TEM image at a different tilt angle using the first-mentioned reference image. 6. An electron microscope as set forth in claim 1, wherein said signal processing circuit adds an amount of deviation between a center of a range in which three-dimensional images are reconstructed and a mechanical center of axis when an inverse Radon transform is performed.
061782181
claims
1. A method for the nondestructive determination of embrittlement, fatigue or dislocations within a metal, comprising: (a) providing a metal specimen having a positron emitter source therein; (b) activating the positron emitter source by neutron activation to generate gamma ray energy from positron annihilation within the metal specimen, the gamma rays then being emitted from the metal specimen; (c) detecting the emitted gamma rays and establishing a width and high momentum structure of a detected 511 keV peak; (d) comparing the established width and high momentum structure of the 511 keV peak with a width and high momentum structure of a 511 keV gamma ray peak from positron annihilation of a known metal sample, said known metal sample being metallurgically similar in its composition to the metal specimen, and said known metal sample having known embrittlement or fatigue characteristics, whereby said comparison facilitates characterization of embrittlement, fatigue or dislocations within the metal specimen. (a) providing a metal specimen having a positron emitter source therein; (b) activating the positron emitter source by neutron activation to generate gamma ray energy from positron annihilation within the metal specimen, the gamma ray energy then being emitted from the metal specimen; (c) detecting the emitted gamma ray energy (d) establishing a 511 keV peak .+-.10 keV spectrum section; (e) adjusting a centroid of the established peak to a previously determined energy within 0.1 keV of the 511 keV peak; (f) extracting channel contents of channels above the adjusted centroid; (g) calculating a full width at half max for a portion of the adjusted centroid above 511 keV; and (h) comparing on a channel-by-channel basis the established spectrum section above 511 keV with a reference spectra having known fatigue or embrittlement levels to determine the embrittlement, fatigue or dislocations characteristics of the metal specimen. 2. The method of claim 1 wherein the positron emitter source within the metal specimen is a copper isotope. 3. The method of claim 1 wherein the positron emitter source within the metal specimen is a cobalt isotope. 4. The method of claim 1 wherein the positron emitter source within the metal specimen is a zinc isotope. 5. The method of claim 1 wherein the metal specimen is steel. 6. The method of claim 1 wherein the metal specimen is aluminum or alloys thereof. 7. The method of claim 1 wherein the neutron activation is by use of a neutron generator. 8. The method of claim 1 wherein the neutron activation is by use of an isotopic neutron source. 9. The method of claim 8 wherein the isotopic neutron source is .sup.252 Cf. 10. A method for the nondestructive determination of embrittlement, fatigue and dislocations within a metal, comprising: 11. The method of claim 10 wherein the positron emitter source within the metal specimen is a copper isotope. 12. The method of claim 10 wherein the positron emitter source within the metal specimen is a cobalt isotope. 13. The method of claim 10 wherein the positron emitter source within the metal specimen is a zinc isotope. 14. The method of claim 10 wherein the metal specimen is steel. 15. The method of claim 10 wherein the metal specimen is aluminum or alloys thereof. 16. The method of claim 10 wherein the neutron activation is by use of a neutron generator. 17. The method of claim 10 wherein the neutron activation is by use of an isotopic neutron source. 18. The method of claim 17 wherein the isotopic neutron source is .sup.252 Cf.
description
The application is a continuation-in-part of U.S. utility patent application No. 10/850,931 filed May 22, 2004 now abandoned in the name of the same inventor, Stuart McCord, and entitled LEAD FREE BARIUM SULFATE COMPOSITE, and claims the priority and benefit of that earlier application and all related applications, the entire disclosures of which are incorporated herein by this reference. This invention relates to generally to X-ray and Ion beam electrical insulators and particularly to polymer-metal-precursor composite insulators in which the metal-precursor component is barium sulfate. X-ray and gamma ray sources are presently being used in a wide array of medical and industrial machinery, and the breadth of such use expands from year to year. Consumer Consumers tend to notice medical and dental X-ray machines, but in addition to these applications there are baggage screening machines, CAT scan machines, non-destructive industrial inspection machinery and ion implantation machines used in the manufacture of silicon wafer computer chips. All require that high voltage generated within the device be contained, and furthermore that radiation be contained and directed. In particular, the ion implantation machinery increased in the 1980's and 1990's with the silicon chip boom. In the past, lead itself or lead-polymer composites were used to make electrical insulator items. But there are numerous problems with the use of lead. One problem with lead is that it is toxic and thus subject to increasingly stringent legal controls. Another issue is that lead may not have the mechanical or electrical properties desired for a given application. Lead has been used in various forms in wide range of applications: machined, as a solid casting, as a solid encased within a matrix such as a polymer matrix, or as a filler. As a filler, it may be lead particles, tribasic lead-sulfate or lead-oxide particles or particles of a specified shape or size, or as a mixture with other materials such as tin. Tungsten shielding, or polymer-tungsten shielding has also been used. Examples of all of these methods may be found in the prior art. In general, polymer-metal composites are materials having a polymer matrix containing particles of a metal compound intermixed therein. The polymer may advantageously have plastic properties allowing for ease of manufacture, but a wide variety of polymers are known for use in such composites. In the prior art, lead has been a particularly favored material for its density and ease of working. Tungsten has been favored more recently, despite cost concerns. Three characteristics in particular which make such materials desirable are electrical non-conductivity, radiological shielding ability, and high density. There is a growing list of applications for which polymer-metal composite materials are either required or advantageous. Ion implantation machine source insulators, X-ray tube insulation, radioisotope housings, other castings and housings could benefit from the properties of polymer-metal composite materials. In the case of typical high voltage insulators for ion implantation machinery, a thick walled generally round or cylindrical part is created out of lead or polymer-lead-oxide ranging from an inch to several feet or more in long dimension and weighing anywhere up to 500 pounds. Wall thickness may range from ½ inch to several inches. Such parts must resist high voltages, shield against x-ray or gamma ray emission and hold a high vacuum state when connected to the vacuum chamber. High voltage X-ray shielding for X-ray tube insulators is generally thinner (often 0.070 inch thickness), generally smaller, and of different shape, having an aperture for the X-ray beam, but once again must offer high voltage insulation and radiation protection. The lead in such devices obviously presents an environmental challenge to manufacture, use and disposal. In the processing of lead precursor filled plastics known in the art, specialized facilities, handling procedures, training and safety equipment must be used to protect the employees from the lead precursor they handle. Lead-based dust is a particular concern, being airborne and inhalable. Such dust may be generated during mixing, molding, deflashing, machining and finishing of final products such as insulators or shields, to say nothing of earlier stages of mining, smelting and refining of lead and the final disposal of the used product at the end of its useful life. Even during the life span of the product, it is illegal to sand, machine, alter or use the product in any way that will generate dust. All such processes must be carried out at special lead handling sites, and all waste dust from any of these processes must be collected in accordance with OSHA regulations and transported to hazardous waste land fills in accordance with OSHA and DES guidelines. Various radio-opaque agents are known which are used for diverse applications. Importantly, however, certain families of compounds are disfavored as having many of the same issues as lead and lead oxides. For example, the barium family of compounds are almost without exception subject to regulation due to their toxic nature. It is not previously known to use such barium family compounds in amounts greater than 10% by volume, since the structures in which they are emplaced are radio-opaque, not radiation barriers. Internalized by law into the manufacturing process, such safety issues dramatically increase the cost of such products, which in turn increases other medical or industrial costs. One recent invention to deal with this issue is TUNGSTEN-PRECURSOR COMPOSITE, for which application Ser. No. 10/095,350 filed Mar. 9, 2002 in the name of the same inventor, Stuart J. McCord was filed and has been allowed, issued on May 25, 2004 as U.S. Pat. No. 6,740,260 B2. This invention addresses material and cost concerns of tungsten shielding by proposing the use of tungsten precursor materials which testing reveals to have favorable properties. However, an entire range of desirable properties is not attainable with a single family of compounds, and so additional compounds may be desirable in order to expand the range of properties which may be attained in a lead-free shield device. Cost, of course, is one issue. Availability is another, as are actual material properties. During prosecution of that patent, U.S. Pat. No. 5,548,125 issued to Sandback (RADIATION PROTECTIVE GLOVE) and U.S. Pat. No. 4,957,943 issued to McAllister et al. (PARTICLE-FILLED MICROPOROUS MATERIALS) were cited by the examiner prior to allowance. However, the glove patent, for example, teaches a flexible material most likely to be extruded. Other prior art cited includes U.S. Pat. No. 3,473,028 issued to Curry for X-RAY TUBE HOUSEING CONSISTING OF A DIELECTRIC MATERIAL WITH AN ELECTRICALLY CONDUCTIVE LINER, issued Oct. 14, 1969. The device disclosed is neither annular nor composed of truncated cone shapes. Much more importantly, it teaches towards use of a specific dielectric material and thus teaches away from the material of the invention, and for that reason may not be combined with prior art showing the materials of the present invention. U.S. Pat. No. 5,443,775 to Brannon on Aug. 22, 1995 for PROCESS FOR PREPARING PIGMENTED THERMOPLASTIC POLYMER COMPOSITIONS AND LOW SHRINKING THERMOSETTING RESIN MOLDING COMPOSITION is directed towards making of desirable colors and refractive properties in polymer products and is thus not relevant prior art for the present invention. U.S. Pat. No. 4,938,233 issued to Orrison, Jr. for RADIATION SHIELD on Jul. 3, 1990 teaches a flexible radiation shield not manufacturable by casting and not having thick walls suitable for high voltage insulation. Since the device teaches flexibility, it teaches away from thick walls and thus cannot be combined with a device having useful high voltage insulation properties (i.e. having thick walls). U.S. Pat. No. 7,079,624 to Miller et al for X-RAY TUBE AND METHOD OF MANUFACTURE, granted Jul. 18, 2006, teaches a device having an entirely different configuration, and teaches away from barium sulfate in a polymer matrix. Another attempt to deal with the issue of environmental lead contamination may be found in U.S. Pat. No. 6,048,379 issued Apr. 11, 2000 to Bray et al for “HIGH DENSITY COMPOSITE MATERIAL”. This patent teaches the use of tungsten powder, a binder and a polymer to provide a composite material offering a density high enough for use as ammunition. As stated, a serious issue with the use of tungsten is that of cost. Tungsten metal is quite expensive in comparison to lead. For example, tungsten-composite materials may cost as much as $20$ per pound. U.S. Pat. Nos. 5,730,664, 5,719,352, and 5,665,808, respectively issued to Asakura, Griffin, Bilsbury all disclose metal-polymer composites for projectiles, respectively golf balls and shot pellets. Other patents from the same art (projectiles) also propose non-toxic materials. In the actual radiation shielding art itself, various patents propose polymer-metal composites of various forms. EcoMASS (a registered trademark of the PolyOne Corporation) is a combination of tungsten metal and nylon and elastomer compounds used for shielding, apparently based upon the Bray '379 patent related to ammunition and thus developed specifically in response to military/sporting needs for non-toxic ammunition. It does not teach that materials other than tungsten may be used, thus limiting the range of characteristics of the final product. For example, tungsten is electrically conductive and thus is not normally suitable for insulators. As mentioned earlier, this material also faces cost limitations. In addition, this material has manufacturing limitations in terms of thickness and size of the final item. U.S. Pat. No. 4,619,963 issued Oct. 28, 1986 to Shoji et al for “RADIATION SHIELDING COMPOSITE SHEET MATERIAL” teaches a lead-tin fiber and resin shield, as does U.S. Pat. No. 4,485,838 issued Dec. 4, 1984 to the same inventors. Obviously the lead inclusion leads to toxicity and thus regulation questions. U.S. Pat. No. 6,310,355 issued Oct. 30, 2001 to Cadwalader for “LIGHTWEIGHT RADIATION SHIELD SYSTEM” teaches a flexible matrix having a radiation attenuating material and at least one void. U.S. Pat. No. 6,166,390 issued Dec. 26, 2000 to Quapp et al for “RADIATION SHIELDING COMPOSITION” teaches a concrete composite material. U.S. Pat. No. 5,360,666 issued Nov. 1, 1994 and U.S. Pat. No. 5,190,990 issued Mar. 2, 1993 to Eichmiller for “DEVICE AND METHOD FOR SHIELDING HEALTHY TISSUE DURING RADIATION THERAPY” teach a radiation shield for the human body comprising an elastomeric material and certain mixtures (see the summary of the invention) of various metals in the form of spherical particles. Various metals might be explored for lead replacement. In such cases, it is natural enough to skip metals having families which are generally considered toxic or too expensive, and to skip those generally used in radio-opaque applications rather than radiological blocking applications. Thus, it would be natural to skip the barium family of compounds, since these are highly regulated. It would be preferable to explore the use of other materials which are non-toxic and thus considerably safer than lead or certain available alternatives. General Summary The present invention teaches a novel lead-free plastic material that may act as a replacement for lead or lead oxide filled plastics, particularly in the role of electrical insulators in radiation devices. The present invention teaches a polymer-barium sulfate composite comprising a plastic matrix having barium sulfate materials within it as “filler” at an increased percentage of the total volume. The properties of barium sulfate are favorable and unexpected for a number of reasons. The use as an electrical insulator and materials for rigid radiation shields is unexpected due to the fact that most other members of the family are toxic and thus subject to environmental regulation, thus reducing or eliminating the key reason for lead replacement in any case. It is further unexpected in that barium sulfate is normally used in “radio-opaque” applications such as medical X-ray procedures, and it not normally considered a suitable material for actual higher density electrical insulators of radiation shielding and similar applications. The new material allows a wider range of function and use when compared with previous methods using a single metal, lead, or a lead and polymer composite. The present invention further teaches the use of binders, fibers, and secondary fillers in the polymer-barium sulfate composite in order to further broaden the range of achievable desirable physical, radiological and/or electrical properties. The present invention importantly teaches casting of the device as a process of manufacture. Summary in Reference to Claims It is a first aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure comprising: a first truncated cone section and a second truncated cone section; the two truncated cone sections secured together at their respective bases by an overlap joint; an interior space defined by the two truncated cones sections; the first and second truncated cone sections having walls, the walls made of a material comprising: a) a polymer matrix and b) barium sulfate within the polymer matrix in an approximate amount of at least 10% by volume; a first transmission port passing through at least one wall; and a second electrical port passing through at least one walls wall. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure further comprising an X-ray tube disposed within the hollow body. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure, further comprising at least one oil port passing through the walls. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure wherein the polymer matrix comprises at least one member selected from the following group: epoxy, polyester, polyurethane, silicone rubber, bismaleimides, polyimides, vinylesters, urethane hybrids, polyurea elastomer, phenolics, cyanates, cellulose, flouro-polymer, ethylene inter-polymer alloy elastomer, ethylene vinyl acetate, nylon, polyetherimide, polyester elastomer, polyester sulfone, polyphenyl amide, polypropylene, polyvinylidene flouride, acrylic, homopolymers, acetates, copolymers, acrlonitrile-butadienestryene butadienestyrene, flouropolymers, ionimers, polyamides, polyamideimides, polyacrylates, polyether ketones, polyaryl-sulfones, polybenzimidazoles, polycarbonates, polybutylene, terephthalates, polyether sulfones, thermoplastic polyimides, thermoplastic polyurethanes, polyphenylene sulfides, polyethylene, polypropylene, polysulfones, polyvinylchlorides, stryrene styrene acrylonitriles, polystyrenes, polyphenylene, ether blends, styrene maleic anhydrides, allyls, aminos, polyphenylene oxide, and combinations thereof. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure wherein the polymer matrix comprises epoxy resin is an approximate amount of 50% to 70% by volume. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure further comprising: c) a third material. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure wherein the third material comprises at least one member selected from the following group: electrically insulating materials, binders, high density materials and combinations thereof. It is another aspect, advantage, objective and embodiment of the invention to provide a high voltage insulating radiation enclosure wherein the third material comprises at least one member selected from the following group: tungsten, lead, platinum, gold, silver, tantalum, calcium carbonate, hydrated alumina, tabular alumina, silica, glass beads, glass fibers, magnesium oxide/sulfate, wollastonite, stainless steel fibers, copper, carbonyl iron, iron, molybdenum, nickel and combinations thereof. It is another aspect, advantage, objective and embodiment of the invention to provide an electrical insulator for an ion source, the insulator comprising: a generally annular body having a diameter of at least 6 inches; the body having at least one vacuum sealing surface dimensioned and configured to provide a tight seal; at least one alignment pin projecting from the vacuum sealing surface of the insulator; at least one metal insert secured to the body; the body made of a material comprising: a. a polymer matrix and b. barium sulfate within the polymer matrix in an approximate amount of at least 35% by volume. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties, the method comprising: a) mixing uncured liquid polymers with desired percentages of powdered barium sulfate; b) blending the mixture in high shear vacuum mixers for a first predetermined time; c) placing the material into a mold having a generally annular body cavity having a diameter of at least 6 inches, the body cavity having at least one vacuum sealing surface; d) placing the material into an autoclave; e) curing it at a first temperature and first pressure for a first time. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the step a) further comprises use of epoxy polymers. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties further comprising at step a) mixing powdered hydrated alumina. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the step of mixing further comprises use of a single blade mixer. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the step of placing the mixture into a mold further comprises vacuum casting the mixture in the mold. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the step of placing the mixture into a mold further comprises pouring the mixture into the mold. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the step of placing the mixture into a mold further comprises injecting the mixture into the mold. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the first temperature comprises a range from at least 70 degrees F. to 400 degrees F. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the first time comprises a range from at least two hours to 24 hours. It is another aspect, advantage, objective and embodiment of the invention to provide a method of producing a high voltage insulator having radiation shielding properties wherein the first pressure comprises at least 50 to 250 psi. The present invention teaches novel lead-free electrical insulators of a cast plastic material that may act as replacements for lead or lead oxide filled plastics, particularly in radiation device devices. The presently preferred embodiment and best mode presently contemplated of the invention teaches a high voltage electrical insulator for ion implanter machines and a high voltage insulator for X-ray tube enclosures, both made of a cast polymer-barium sulfate composite comprising a high density plastic matrix having barium sulfate materials within it as filler. It is not presently known to use such barium family compounds in amounts greater than 10% by volume, since the structures in which they are emplaced in prior art are flexible and radio-opaque, not cast insulators with radiation shielding properties. Barium sulfate is a white, soluble and somewhat heavy compound normally used in paper manufacture. It is also administered prior to X-ray of patients, either as a liquid or for marking of items inserted into the patient: in either case, it's its radio-opaque properties are used for internal navigation and diagnosis of patient's patients after the relatively low radiation exposure of such patients. By teaching the use of barium sulfate, the range of materials which may be used instead of the single metal lead is increased and thus the breadth of the properties which may be achieved is increased, another benefit of the invention. In particular, when compared to lead-composites: a. Barium sulfate consists of a combination of the barium atom, a sulfur atom, and four oxygen atoms, having properties such as a high electrical resistance, an average atomic weight of approximately 233.4, a density of roughly 4.25-4.5 grams/centimeter cubed and thus the reasonably good radiation shielding properties that are partially dependent thereon. While it does not actually meet lead oxide in terms of radiation shielding ability, it can be used in applications previously having a lower percentage of lead oxide, for example, an application having a 14% (v/v) lead component could be replaced by a component having a 35% to 45% barium sulfate component. b. Barium sulfate offers commercial advantages over tungsten metal and even over lead oxide. While a tungsten-composite may cost $20$ per pound to manufacture, and even lead oxide is roughly $1.00/lb, barium sulfate is roughly $0.30/lb at current prices, thus offering a similar or lower price. In addition, handling and manufacturing costs may be lower due to differing environmental requirements. c. Barium sulfate offer offers environmental advantages over lead composites. While lead causes adverse consequences after ingestion, barium sulfate does not. While lead is subject to very stringent regulations as laid out in the BACKGROUND OF THE INVENTION, barium sulfate is not. d. Barium sulfate is an unexpected choice in lead replacement applications, due to the fact that barium sulfate is the only commonly available form of barium which is not itself an environmental hazard. Thus, replacing lead in a metal-composite application with barium carbonates, nitrates, oxides, etc, would appear to be pointless in terms of avoiding hazardous material regulations, as these substances are subject to such regulation. Barium sulfate itself is relatively harmless, even being used for the infamous “barium milkshake” given to patients suffering ulcers or other gastrointestinal disorders. The barium liquid coats the interior of the GI tract and thus provides contrast during an X-ray examination of the patient. The present invention may be manufactured by casting with thermosetting materials and/or thermoplastic materials. In general, higher filler loadings may be advantageously employed. The polymers, plastics and resins which may be advantageously employed in the present invention are too numerous for a complete list, however, a partial and exemplary list includes epoxy, polyester, polyurethane, silicone rubber, bismaleimides, polyimides, vinylesters, urethane hybrids, polyurea elastomer, phenolics, cyanates, cellulose, flouro-polymer, ethylene inter-polymer alloy elastomer, ethylene vinyl acetate, nylon, polyetherimide, polyester elastomer, polyester sulfone, polyphenyl amide, polypropylene, polyvinylidene flouride, acrylic, homopolymers, acetates, copolymers, acrlonitrile-butadiene-stryene styrene, flouropolymers, ionimers, polyamides, polyamide-imides, polyacrylates, polyether ketones, polyaryl-sulfones, polybenzimidazoles, polycarbonates, polybutylene, terephthalates, polyether sulfones, thermoplastic polyimides, thermoplastic polyurethanes, polyphenylene sulfides, polyethylene, polypropylene, polysulfones, polyvinylchlorides, stryrene styrene acrylonitriles, polystyrenes, polyphenylene, ether blends, styrene maleic anhydrides, allyls, aminos, and polyphenylene oxide. Numerous variations and equivalents are possible. The invention is not limited to a single matrix component and a single barium sulfate composite, on the contrary multiple components may be included, for example, copolymers may be used or other mixtures of matrix elements. As another example, in tailoring of the physical properties of the composition, a blend of more than one shielding compound (such as a blend of barium sulfate and tungsten, tungsten-precursor, lead compounds, etc.) may be used. In addition, the invention supports addition to the mixture of secondary fillers, binders, fibers and other components. As examples, additional electrically insulating materials, strengthening materials, materials to provide a uniform composition or bind other components, and/or density increasing materials may be used. A more specific list of examples includes such materials as tungsten metal, calcium carbonate, hydrated alumina, tabular alumina, silica, glass beads, glass fibers, magnesium oxide, wollastonite, stainless steel fibers, copper, carbonyl iron, steel, iron, molybdenum, and/or nickel. In addition, the composite material of the present invention is susceptible to a wide range of processing methods both for creation of the material and creation of items incorporating the material. In addition to casting, other techniques including molding, aggregation, machining, liquid resin casting, transfer molding, injection molding, compression molding, extrusion, pultrusion, centrifugal molding, calerending, filament winding, and other methods of handling are possible. Additionally, the composite of the invention may advantageously be worked with known equipment such as molds and machine tools, thus avoiding costs associated with re-equipping production facilities. Furthermore, since the material contains no lead, significant cost and time savings may be realized and burdensome regulations regarding lead may be properly avoided during these processes. In theory, the material may be substituted for lead oxide shielding on a basis of approximately 3.5 to 1. Thus, for typical lead oxide shielding of 0.070 inches thickness, a replacement may be manufactured at a ratio of 3.5 to 1 in thickness. In the case of liquid resin casting, this increased thickness further allows easier molding. A first formulation and embodiment of the invention was derived from barium sulfate, epoxy resin and hydrated alumina. The formulation comprised 57% by volume of an epoxy resin (438 Novolac/HHPA curative, a trademark and product of the Dow Corporation), 35% barium sulfate (catalog no. RS-22BS-35) and 8% hydrated alumina. 12 inch square plates of 0.25 inch thickness were vacuum cast and examined. Test panels were machined from the plates. The test item was compared to an equivalent lead-epoxy plate with a 14% vol/vol percentage. The cast plate was of good quality and very producible. Machined panels were of good quality, strength and durability. Material density was 0.085 lb/cubic inch, equivalent. Electrical testing showed the material to be a good insulator: Dielectric strength was 300 volts/mil per D-149, Arc resistance was 130 seconds per D-150. Shielding effectiveness was equivalent to lead oxide composite items. Despite being a barium compound, the material is non-toxic, thus despite expectations, it may be used in lead replacement roles without excessive environmental regulation. The dielectric strength was equal to the 14% lead item (300 volts/mil in both cases), and the arc resistance was approximately double that of the lead test item. This is an important factor in calculating MTBF for items made with the materials, as one source of failures is failure under arc, leading to carbon paths on the surface. Since the carbon paths are conductive, the item is rendered quickly unusable and the equipment in which it is used (micro-chip production, for example) must be shut down, interrupting manufacturing, therapy, etc. A second test item was produced, using a second formulation and embodiment of the invention derived from barium sulfate and epoxy resin. The formulation comprised 60% by volume of an epoxy resin (438 Novolac/HHPA curative, a trademark and product of the Dow Corporation) and 40% barium sulfate. 12 inch square plates of 0.25 inch thickness were vacuum cast and examined. Test panels were machined from the plates. The cast plate was of good quality and very producible. Machined panels were of good quality, strength and durability. Electrical testing showed the material to be a good insulator. Material density was 0.093 lb/cubic inch, equivalent. Shielding effectiveness was equivalent to lead oxide composite items. In summary of the test results, it can be seen that for applications requiring high resistivity and high arc resistance, barium sulfate composites may be advantageously used to achieve the desired properties. While the two tests both utilized epoxy resin, the present invention is not so limited, neither to the specific epoxy resin used nor to epoxy resin in general. Applicant reiterates that the examples presented are only examples: further development will produce numerous other materials with a wide range of characteristics, components, and methods of production. Two examples of an application of the composite are presented below, that of a an ion implantation device source insulator, and a an high voltage insulating X-ray box, though the invention is not so limited. It can also be seen that for applications requiring high shielding ability (such as X-ray source shielding in the medical field) the invention may be formulated to provide a shielding ability sufficient for lead replacement. Without undue experimentation higher density formulations may be produced on demand by mixing additional secondary fillers into the composition. While use of lead would under some circumstances be self-defeating, lead, tungsten, platinum, gold, iridium, silver, tantalum, and similar materials may be used. Alternatively, the barium sulfate volumetric percentage may be increased by use of injection molding, compression molding or transfer molding as permitted by materials handling techniques. As demonstrated by the example using hydrated alumina, other properties such as electrical resistivity/conductivity, workability, ductility, density, and so on may also be adjusted by use of secondary fillers, binders, and other agents in the composition. Thus it is apparent that a wide variety of products may be produced, as the characteristics of the barium sulfate composite of the present invention may be tailored depending upon the desired end characteristics. In addition, the environmental contamination engendered by the product is of a different order of magnitude than that produced by products containing lead. An exemplary list of embodiments which may advantageously be produced using the material of the present invention includes X-ray tube insulators, apertures and enclosures, X-ray tube high-voltage insulators and enclosures, X-ray tube high voltage apertures, X-ray tube high voltage encapsulation devices, high voltage insulating radioactive shielding containers and other medical X-ray and gamma ray housings. Industrially, an exemplary list of embodiments in which the composition of the invention may advantageously be incorporated include ion source insulators for ion implantation machinery and other devices for insulating, isolating, directing or shielding any radiation producing device. As stated, these lists are exemplary only and embodiments of the invention may be utilized within the art field of radiation shielding in a broad range of equivalent ways. FIG. 1 is a perspective view of an embodiment of an ion source electrical insulator according to the present invention. Ion source insulator 2 is generally annular in shape so as to allow to pass therethrough an ion implantation beam such as those used in the creation of microchip wafers. Such a device may advantageously have a desirable combination of radiation shielding ability, electrical resistivity/conductivity, physical parameters and other characteristics as are allowed by use of the polymer-barium sulfate composite of the present invention. In use, the device may be placed directly against the ion source and/or may be placed around the ion stream at later points, for example, after magnetic devices which may focus, re-direct or otherwise alter the ion beam, or in any other location in which radiation or electrical charges may need to be blocked. Vacuum sealing surfaces 10 may facilitate provision of a tight seal. Alignment pin 20, one of several possible, may be used to assure proper alignment, the number and arrangement of pins obviously allows proper alignment to be assured in as many degrees of freedom as must be restricted. Metallic inserts 30 allow attachment of the device to the overall structure of the ion implanter device, medical device, or other device to which it belongs. The inserts have internal threads (not shown) allowing easy bolting to the larger machine of which the invention will be a part or a retrofit. Such features may be produced by molding, inserts, machining, or other means suitable for use with polymer materials as are known in the art. One additional desirable quality is that these features may be created “on demand” as requested by end users of the item. Surface convolutions 40 may be used to provide additional properties such as to increase surface distance/area in order to prevent electrical arcing, to locally increase shielding or insulation, fit with other components of the overall system and so on. While the exemplary ion source insulator is quite simple, such devices may be complex, having a much greater depth, having a much greater thickness, having multiple grooves and ridges and so on. Items created using the composite of the present invention need not be annular nor even circular but may be any shape as required. The range of sizes in such insulators is quite broad: from 1 inch to 20 or more inches tall, diameters from 6 to 40 inches, wall thicknesses which might be from ½ inch thick up to 3 inches thick and weights anywhere from under 1 pound to over 500 pounds. The material of the device may be a barium sulfate composite as discussed previously. As another example, FIG. 2 teaches one example of a high voltage insulating and X-ray shielding enclosure or box. X-ray shielding insulators are typically of an extremely wide range of shapes and sizes: cylinders, three dimensional conic sections, prisms, regular and irregular solids and composite shapes. A typical “box” might be irregular, 16 inches on a side and have a weight from 1 to 30 pounds. The thickness of the walls may be even greater than that of industrial ion source insulators. The enclosure 102 shown in cross-sectional perspective in FIG. 2 is a composite of two truncated conical sections, but is an example only. It contains X-ray tube 104, having plating 106 and emitting X-ray beam 108 by means of an emission port dimensioned and configured to allow the X-ray beam to pass therethrough. Enclosure/box 102 has a number of features required to allow X-ray tube 104 to function properly. Enclosure 102 has thick walls 110 of the desired composite material: on a 3.5 to 1 replacement basis, the walls may be approximately 3.5 times as thick as a corresponding lead oxide product, but at reduced cost. Oil cooling port 120 and electrical port 130 allow oil and electrical connections to the interior of the box. Overlap joint 140 is designed to prevent radiation leakage from the joint during the case manufacture. While the exemplary ion source insulator is quite simple, such devices may be complex, having a much greater depth, having a much greater thickness, having multiple grooves and ridges and so on. Items created using the composite of the present invention need not be annular nor even circular but may be any shape as required. The range of sizes in such insulators is quite large: from 1 inch to 20 or more inches tall, diameters from 6 to 40 inches, wall thicknesses which might be from ½ inch thick up to 3 inches thick and weights anywhere from under 1 pound to over 500 pounds. High voltage insulating X-ray shielding enclosures are typically of an even wider range of shapes and sizes, cylinders, three dimensional conic sections, prisms, regular and irregular solids and composite shapes. A typical “box” might be irregular, 16 inches on a side and have a weight from 1 to 30 pounds. The thickness of the walls may be even greater than that of industrial ion source insulators. In short, regardless of shape or size of the item to be made the present invention may be adapted to any radioactive/ion/gamma ray/x-ray shielding application without undue experimentation and without departing from the scope of the invention. Formulations other than those specifically provided may be employed without departing from the scope of the invention. The method of the invention, a process for producing a high voltage insulator having radiation shielding properties, may have the following steps: TABLE IA)mixing uncured liquid epoxy polymers with desired percentages ofpowdered barium sulfate and powdered hydrated alumina.B)blending the mixture in high shear single blade vacuum mixers fora first predetermined time.C)Pouring, injecting or vacuum casting the material in a mold havinga generally annular body cavity having a diameter of at least 6 inches,the body cavity having at least one vacuum sealing surface.D)Placing the material into an autoclave.E)Curing the mold and material therein at a temperature in a range fromat least 70 degrees F. to 400 degrees F. for a period depending uponthe size, configuration and exact choice of materials, the time rangingfrom at least two hours to 24 hours, at a pressure ranging from atleast 50 to 250 psi. This is in contrast to methods of creating thin and flexible radiation barriers, which do not involve casting. This disclosure is provided to allow practice of the invention by those skilled in the art without undue experimentation, including the best mode presently contemplated and the presently preferred embodiment. Nothing in this disclosure is to be taken to limit the scope of the invention, which is susceptible to numerous alterations, equivalents and substitutions without departing from the scope and spirit of the invention. The scope of the invention is to be understood from the appended claims.
claims
1. A charged particle beam apparatus comprising:a source of a charged particle beam; a condenser optical system for irradiating a specimen with the charged particle beam emitted from the source; a specimen holder for holding the specimen irradiated with the charged particle beam; an imaging lens system for imaging the specimen; a device for observing or recording the specimen image; an objective lens system formed by one lens or a plurality of lenses capable of controlling focal lengths independently in the imaging lens system positioned on the downstream side on the traveling direction of the charged particle beam from the specimen position on an optical axis of the charged particle beam; an upper-stage biprism located in a plane orthogonal to the optical axis at a position of an image plane of the specimen determined by the objective lens system on the downstream side of the objective lens system; an intermediate-stage biprism located in a plane in parallel with the plane where the upper-stage biprism is placed formed on the downstream side of the upper-stage biprism through one or more lenses in the imaging lens system; and a lower-stage biprism located in a plane in parallel with the plane where the upper-stage biprism is placed on the downstream side of the intermediate-stage biprism through one or more lenses in the imaging lens system, the three biprisms being capable of moving of their positions and rotating of their azimuth in the respective plane independently, wherein voltages can be applied to the upper-stage biprism, the intermediate-stage biprism, and the lower-stage biprism independently to deflect the charged particle beam in an arbitrary direction, andwherein in a case that an azimuth angle between the intermediate-stage biprism and the upper-stage biprism is Φ1, and an azimuth angle between the intermediate-stage briprism and the lower-stage biprism is Φ3, the charged particle beam is deflected in a condition that Φ3 is not zero and Φ3 is not Φ1. 2. The charged particle beam apparatus according to claim 1, wherein the specimen image formed in an arbitrary magnification in a plane where the upper-stage biprism is positioned with orthogonal to the optical axis, by adjusting the focal lengths of the respective lenses of the objective lens system having the plurality of lenses. 3. The charged particle beam apparatus according to claim 1, wherein the lower-stage biprism is positioned on the downstream side of a lens placed on the downstream side of the intermediate-stage biprism on the optical axis of the charged particle beam, and is positioned on the downstream side of an image of the source formed by the lens. 4. The charged particle beam apparatus according to claim 1, wherein the lower-stage biprism is positioned on the downstream side of a lens placed on the downstream side of the intermediate-stage biprism on the optical axis of the charged particle beam, and is positioned between the lens and an image of the source formed by the lens. 5. A charged particle beam apparatus comprising: a source of a charged particle beam; a condenser optical system for irradiating a specimen with the charged particle beam emitted from the source; a specimen holder for holding the specimen irradiated with the charged particle beam; an imaging lens system for imaging the specimen; a device for observing or recording the specimen image; an objective lens system formed by one lens or a plurality of lenses capable of controlling focal lengths independently in the imaging lens system positioned on the downstream side on the traveling direction of the charged particle beam from the specimen position on an optical axis of the charged particle beam; an upper-stage quadrangular-pyramid prism located in a plane orthogonal to the optical axis at a position of an image plane of the specimen determined by the objective lens system on the downstream side of the objective lens system; and a lower-stage biprism located in a plane in parallel with the plane where the upper-stage quadrangular-pyramid prism is placed on the downstream side of the upper-stage quadrangular-pyramid prism through one or more lenses in the imaging lens system, the two prisms being capable of moving of the positions and rotating of their azimuth in the respective plane independently, wherein voltages can be applied to the upper-stage quadrangular-pyramid prism and the lower-stage biprism independently to deflect the charged particle beam in an arbitrary direction. 6. The charged particle beam apparatus according to claim 5, wherein the specimen image formed in an arbitrary magnification in a plane where the upper quadrangular-pyramid prism is positioned with orthogonal to the optical axis by adjusting the focal lengths of the respective lenses of the objective lens system having the plurality of lenses. 7. The charged particle beam apparatus according to claim 5, wherein the lower-stage biprism is positioned on the downstream side of a lens placed on the downstream side of the upper-stage quadrangular-pyramid prism on the optical axis of the charged particle beam, and is positioned on the downstream side of an image of the source formed by the lens. 8. The charged particle beam apparatus according to claim 5, wherein the lower-stage biprism is positioned on the downstream side of a lens placed on the downstream side of the upper-stage quadrangular-pyramid prism on the optical axis of the charged particle beam, and is positioned between the lens and an image of the source formed by the lens. 9. The charged particle beam apparatus according to claim 5, wherein the deflection direction of the charged particle beam by the quadrangular-pyramid prism can be controlled by adjusting an azimuth angle formed between filament electrodes of two electron biprisms in the same image plane constituting the quadrangular-pyramid prism. 10. The charged particle beam apparatus according to claim 5, wherein the deflection angle of the charged particle beam by the quadrangular-pyramid prism can be controlled independently in the vertical direction with respect to the filament electrodes by adjusting applied voltages to filament electrodes of two electron biprisms in the same image plane constituting the quadrangular-pyramid prism.
047160110
summary
CROSS REFERENCE TO RELATED APPLICATIONS Reference is hereby made to the following copending applications dealing with related subject matter and assigned to the assignee of the present invention: 1. "Nuclear Fuel Assembly" by Robert F. Barry et al, assigned U.S. Ser. No. 368,555, and filed Apr. 15, 1982 (W.E. 50,013). 2. "Coolant Flow Paths Within a Nuclear Fuel Assembly" by Pratap K. Doshi, assigned U.S. Ser. No. 602,089 and filed Apr. 19, 1984, a continuation of U.S. Ser. No. 368,552, filed Apr. 15, 1982 and now abandoned (W.E. 50,105C). 3. "Water Tubes Arranged In Cross-Like Pattern In A Fuel Assembly" by Carl A. Olson et al, assigned U.S. Ser. No. 642,844 and filed Aug. 20, 1984 (W.E. 51,464). 4. "Cross Brace For Stiffening A Water Cross In A Fuel Assembly" by C. K. Lui, assigned U.S. Ser. No. 672,042 and filed Nov. 16, 1984 (W.E. 52,237). 5. "Improved Boiling Water Nuclear Reactor Fuel Assembly" by Rusi Taleyarkhan, assigned U.S. Ser. No. 726,602 and filed May 2, 1985 (W.E. 52,509). 6. "BWR Fuel Assembly With Water Flow Mixing Chamber At FuelBundle/Water Cross Entrance" by Rusi Taleyarkhan, assigned U.S. Ser. No. 746,619 and filed June 19, 1985 (W.E. 52,755). BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to fuel assemblies for a nuclear reactor and, more particularly, is concerned with a boiling water reactor (BWR) fuel assembly having a one-way coolant flow valve at its bottom nozzle inlet which allows inflow of coolant but automatically closes upon flow reversal to prevent rapid depletion of fuel assembly coolant inventory in the event of a loss of coolant accident (LOCA). 2. Description of the Prior Art Typically, large amounts of energy are released through nuclear fission in a nuclear reactor with the energy being dissipated as heat in the elongated fuel elements or rods of the reactor. The heat is commonly removed by passing a coolant in heat exchange relation to the fuel rods so that the heat can be extracted from the coolant to perform useful work. In a nuclear reactor generally, a plurality of the fuel rods are grouped together to form a fuel assembly. A number of such fuel assemblies are typically arranged in a matrix to form a nuclear reactor core capable of a self-sustained, nuclear fission reaction. The core is submersed in a flowing liquid, such as light water, that serves as the coolant for removing heat from the fuel rods and as a neutron moderator. Specifically, in a BWR the fuel assemblies are typically grouped in clusters of four with one control rod associated with each four assemblies. The control rod is insertable within the fuel assemblies for controlling the reactivity of the core. Each such cluster of four fuel assembies surrounding a control rod is commonly referred to as a fuel cell of the reactor core. A typical BWR fuel assembly in the cluster is ordinarily formed by a N by N array of the elongated fuel rods. The bundle of fuel rods are supported in laterally spaced-apart relation and encircled by an outer tubular channel having a generally rectangular cross-section. The outer flow channel extends along substantially the entire length of the fuel assembly and interconnects a top nozzle with a bottom nozzle. The bottom nozzle fits into the reactor core support plate and serves as an inlet for coolant flow into the outer channel of the fuel assembly. Coolant enters through the bottom nozzle and thereafter flows along the fuel rods removing energy from their heated surfaces. During LOCA incident (e.g. a recirculation line break), a break in the primary circuit causes coolant depletion from the reactor core. Coolant in each fuel assembly then reverses its upward flow direction and exits downward through the inlet of the fuel assembly bottom nozzle into the lower plenum of the core and then out of the primary circuit. This loss of coolant causes the fuel in the assembly to overheat (after occurrence of critical heat flux (CHF)) and possibly start to melt until the emergency core cooling systems (ECCS) get activated and provide sufficient heat transfer. In plants subject to such shortcoming in LOCA performance, NCR guidelines restrict operation of plants to remain at or below a certain power level. Such plants are often referred to as "LOCA limited." Consequently, it is readily apparent that a need exists for some means to prevent short-term depletion of coolant from the fuel assemblies due to occurrence of a LOCA event so that efficient heat transfer can still proceed until activation of the ECCS. The implementation of such means would allow LOCA limited plants to be operated at higher power levels, resulting in significant gains in economy and safety. SUMMARY OF THE INVENTION The present invention provides a coolant flow direction control device in the fuel assembly bottom nozzle which is designed to satisfy the aforementioned needs. Underlying the present invention is a recognition that the provision of a simple one-way or unidirectional flow (check) valve at the bottom nozzle inlet would allow coolant to enter but not leave the fuel assembly through the bottom nozzle. The valve automatically (by fluid action) closes with flow reversal to contain the coolant inventory of the fuel assembly within it and thereby significantly improve LOCA cooling. Thus, as external recirculation of coolant flow decays to zero upon a loss of coolant and as coolant flow reversal through the fuel assembly begins, the unidirectional valve shuts off coolant depletion from the bottom nozzle. This allows for "pool boiling" heat transfer to occur. Pool boiling in the fuel assemblies produces a pressure gradient which causes coolant flow from the bypass into the fuel assembly (via the bypass flow holes in the bottom nozzle), restricting temperature rise in the assembly and preventing fuel rod overheating before the start of the ECCS. The present invention also provides for improved performance (cooling) during all three modes of ECCS: core spray, interstitial injection and in-vessel injection. Further, the impact of the valve on thermal hydraulics, nuclear and structural performance characteristics are largely beneficial in nature. In summary, the present invention provides for significant improvements in LOCA performance and reduced negligible fuel rod temperature rise. These improvements are felt to be substantial enough to overcome or minimize any regulatory LOCA constraints on maximum linear heat generation rate (LHGR) and average planar heat generation rates (APLHGR), respectively. This results in high power operation, and, therefore, improved fuel cycle economics and safety. Accordingly, the present invention sets forth an improved feature in a BWR fuel assembly. The fuel assembly includes a bundle of elongated fuel rods disposed in side-by-side relationship so as to form an array of spaced fuel rods, an outer tubular flow channel surrounding the fuel rods so as to direct flow of coolant/moderator fluid along the fuel rods, and bottom and top nozzles mounted at opposite ends of the flow channel and having an inlet and outlet respectively for allowing entry and exit of coolant fluid into and from the flow channel and along the fuel rods contained therein. The improved feature of the fuel assembly comprises a coolant flow direction control device operatively disposed in the bottom nozzle of the fuel assembly so as to open the inlet to flow of coolant fluid in an inflow direction into the flow channel through the bottom nozzle inlet but close the inlet to flow of coolant fluid from the channel through the bottom nozzle inlet upon reversal of coolant liquid flow from the inflow direction. More particularly, the coolant flow direction control device is in the form of a one-way or unidirectional flow check valve positioned across the inlet of the fuel assembly bottom nozzle for sensing the direction of coolant flow and automatically opening when the flow direction sensed is into the bottom nozzle and closing when the flow direction sensed is out of the bottom nozzle. In an exemplary embodiment, the valve has a plurality of outer portions mounted to the bottom nozzle adjacent to the inlet and a plurality of inner portions being connected to the respective outer portions for pivotal movement toward and away from one another between lowered and raised positions. The inner valve portions are configured to extend in close fitting relationship adjacent to one another and coplanarly across the inlet so as to close it when disposed in their respective lowered positions and to extend in generally parallel relationship remote from one another so as to open the inlet when disposed in their respective raised positions. The outer valve portions, in being mounted to the bottom nozzle, are configured for attachment on respective circumferentially spaced sectors of an annular surface being defined in the bottom nozzle and concentrically surrounding the inlet thereof. The inner valve portions, when in their respective lowered positions, are configured for seating on respective circumferentially spaced segments of the annular surface which alternate with the spaced sectors of the annular surface and constitute the remainder thereof. When in their raised positions, the inner valve portions extend toward the bottom nozzle in the direction of coolant flow into the bottom nozzle. Their seating on the annular surface when in their lowered positions stops them from pivoting past the lowered position so as to extend away from the bottom nozzle and allow reverse flow of coolant therefrom. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention.
summary
claims
1. A laser irradiation apparatus comprising:a substrate stage;a substrate over the substrate stage, a semiconductor film being formed over the substrate; anda laser oscillator which irradiates the semiconductor film with a laser beam,wherein the semiconductor film is irradiated with the laser beam at an incident angle θ, andwherein the incident angle θ satisfiesθ≧arctan(w/(14×D)), (w=(w1+w2)/2),where w1 indicates a beam width of the laser beam irradiated onto the semiconductor film,w2 indicates a beam width of the laser beam at the semiconductor film after reflected by a back surface of the substrate, andD indicates a thickness of the substrate. 2. The laser irradiation apparatus according to claim 1, wherein the semiconductor film is crystallized by the laser beam. 3. The laser irradiation apparatus according to claim 1, wherein an energy distribution of the laser beam is uniformed by using long focal length cylindrical lenses at or near an irradiation plane. 4. The laser irradiation apparatus according to claim 1, wherein the laser beam is linear in shape at or near an irradiation plane. 5. The laser irradiation apparatus according to claim 1, wherein the laser beam has a wavelength of 350 nm or more. 6. The laser irradiation apparatus according to claim 1, wherein the laser beam has a wavelength of 400 nm or more. 7. The laser irradiation apparatus according to claim 1, wherein the laser beam is the second harmonic of one kind selected from the group consisting of a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite layer, a Ti:sapphire layer, and a glass laser. 8. A laser irradiation apparatus comprising:a substrate stage;a substrate over the substrate stage, a semiconductor film being formed over the substrate;a laser oscillator which irradiates the semiconductor film with a laser beam; anda mirror configured to adjust a direction of the laser beam,wherein the semiconductor film is irradiated with the laser beam at an incident angle θ after reflected by the mirror, andwherein the incident angle θ satisfiesθ≧arctan(w/(14×D)), (w=(w1+w2)/2),where w1 indicates a beam width of the laser beam irradiated onto the semiconductor film,w2 indicates a beam width of the laser beam at the semiconductor film after reflected by a back surface of the substrate, andD indicates a thickness of the substrate. 9. The laser irradiation apparatus according to claim 8, wherein the semiconductor film is crystallized by the laser beam. 10. The laser irradiation apparatus according to claim 8, wherein an energy distribution of the laser beam is uniformed by using long focal length cylindrical lenses at or near an irradiation plane. 11. The laser irradiation apparatus according to claim 8, wherein the laser beam is linear in shape at or near an irradiation plane. 12. The laser irradiation apparatus according to claim 8, wherein the laser beam has a wavelength of 350 nm or more. 13. The laser irradiation apparatus according to claim 8, wherein the laser beam has a wavelength of 400 nm or more. 14. The laser irradiation apparatus according to claim 8, wherein the laser beam is the second harmonic of one kind selected from the group consisting of a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite layer, a Ti:sapphire layer, and a glass laser. 15. A laser irradiation apparatus comprising:a substrate stage which is inclined from a horizontal direction;a substrate over the substrate stage, a semiconductor film being formed over the substrate; anda laser oscillator which irradiates the semiconductor film with a laser beam,wherein the semiconductor film is irradiated with the laser beam at an incident angle θ, andwherein the incident angle θ satisfiesθ≧arctan(w/(14×D)), (w=(w1+w2)/2),where w1 indicates a beam width of the laser beam irradiated onto the semiconductor film,w2 indicates a beam width of the laser beam at the semiconductor film after reflected by a back surface of the substrate, andD indicates a thickness of the substrate. 16. The laser irradiation apparatus according to claim 15, wherein the semiconductor film is crystallized by the laser beam. 17. The laser irradiation apparatus according to claim 15, wherein an energy distribution of the laser beam is uniformed by using long focal length cylindrical lenses at or near an irradiation plane. 18. The laser irradiation apparatus according to claim 15, wherein the laser beam is linear in shape at or near an irradiation plane. 19. The laser irradiation apparatus according to claim 15, wherein the laser beam has a wavelength of 350 nm or more. 20. The laser irradiation apparatus according to claim 15, wherein the laser beam has a wavelength of 400 nm or more. 21. The laser irradiation apparatus according to claim 15, wherein the laser beam is the second harmonic of one kind selected from the group consisting of a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite layer, a Ti:sapphire layer, and a glass laser. 22. A laser irradiation apparatus comprising:a substrate stage;a substrate over the substrate stage, a semiconductor film being formed over the substrate; anda laser oscillator which irradiates the semiconductor film with a laser beam,wherein the semiconductor film is irradiated with the laser beam at an incident angle θ, andwherein the incident angle θ satisfiesθ≧arctan(w/(2×D)), (w=(w1+w2)/2),where w1 indicates a beam width of the laser beam irradiated onto the semiconductor film,w2 indicates a beam width of the laser beam at the semiconductor film after reflected by a back surface of the substrate, andD indicates a thickness of the substrate. 23. The laser irradiation apparatus according to claim 22, wherein the semiconductor film is crystallized by the laser beam. 24. The laser irradiation apparatus according to claim 22, wherein an energy distribution of the laser beam is uniformed by using long focal length cylindrical lenses at or near an irradiation plane. 25. The laser irradiation apparatus according to claim 22, wherein the laser beam is linear in shape at or near an irradiation plane. 26. The laser irradiation apparatus according to claim 22, wherein the laser beam has a wavelength of 350 nm or more. 27. The laser irradiation apparatus according to claim 22, wherein the laser beam has a wavelength of 400 nm or more. 28. The laser irradiation apparatus according to claim 22, wherein the laser beam is the second harmonic of one kind selected from the group consisting of a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite layer, a Ti:sapphire layer, and a glass laser. 29. A laser irradiation apparatus comprising:a substrate stage;a substrate over the substrate stage, a semiconductor film being formed over the substrate;a laser oscillator which irradiates the semiconductor film with a laser beam; anda mirror configured to adjust a direction of the laser beam,wherein the semiconductor film is irradiated with the laser beam at an incident angle θ after reflected by the mirror, andwherein the incident angle θ satisfiesθ≧arctan(w/(2×D)), (w=(w1+w2)/2),where w1 indicates a beam width of the laser beam irradiated onto the semiconductor film,w2 indicates a beam width of the laser beam at the semiconductor film after reflected by a back surface of the substrate, andD indicates a thickness of the substrate. 30. The laser irradiation apparatus according to claim 29, wherein the semiconductor film is crystallized by the laser beam. 31. The laser irradiation apparatus according to claim 29, wherein an energy distribution of the laser beam is uniformed by using long focal length cylindrical lenses at or near an irradiation plane. 32. The laser irradiation apparatus according to claim 29, wherein the laser beam is linear in shape at or near an irradiation plane. 33. The laser irradiation apparatus according to claim 29, wherein the laser beam has a wavelength of 350 nm or more. 34. The laser irradiation apparatus according to claim 29, wherein the laser beam has a wavelength of 400 nm or more. 35. The laser irradiation apparatus according to claim 29, wherein the laser beam is the second harmonic of one kind selected from the group consisting of a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite layer, a Ti:sapphire layer, and a glass laser. 36. A laser irradiation apparatus comprising:a substrate stage which is inclined from a horizontal direction;a substrate over the substrate stage, a semiconductor film being formed over the substrate; anda laser oscillator which irradiates the semiconductor film with a laser beam,wherein the semiconductor film is irradiated with the laser beam at an incident angle θ, andwherein the incident angle θ satisfiesθ≧arctan(w/(2×D)), (w=(w1+w2)/2),where w1 indicates a beam width of the laser beam irradiated onto the semiconductor film,w2 indicates a beam width of the laser beam at the semiconductor film after reflected by a back surface of the substrate, andD indicates a thickness of the substrate. 37. The laser irradiation apparatus according to claim 36, wherein the semiconductor film is crystallized by the laser beam. 38. The laser irradiation apparatus according to claim 36, wherein an energy distribution of the laser beam is uniformed by using long focal length cylindrical lenses at or near an irradiation plane. 39. The laser irradiation apparatus according to claim 36, wherein the laser beam is linear in shape at or near an irradiation plane. 40. The laser irradiation apparatus according to claim 36, wherein the laser beam has a wavelength of 350 nm or more. 41. The laser irradiation apparatus according to claim 36, wherein the laser beam has a wavelength of 400 nm or more. 42. The laser irradiation apparatus according to claim 36, wherein the laser beam is the second harmonic of one kind selected from the group consisting of a YAG laser, a YVO4 laser, a YLF laser, a YAIO3 laser, a ruby laser, an alexandrite layer, a Ti:sapphire layer, and a glass laser.
062367013
abstract
A fuel assembly for a boiling water reactor comprising at least one rotary cell (13a-13d) which has fuel rods (4) arranged in a number of concentric rings with a substantially circular shape, and a steam conducting channel (17a-17d) arranged in the centre of the concentric rings through which steam flows upwards through the fuel assembly. At least certain of the fuel rods in the rings are arranged such that their upper ends are displaced in relation to their lower ends in the tangential direction such that water and steam are brought to rotate around the steam conducting channel.
050930710
claims
1. A method for avoiding potential accidents in water-cooled nuclear rectors of the type having an enclosing containment, due to the formation of an explosive gas mixture in the containment, comprising the steps of: a) withdrawing air from the containment and feeding air to at least one internal combustion engine as combustion air for the engine, said at least one internal combustion engine being used to drive a pump adapted to be used for water supply to the reactor cooling system or for afterheat removal therefrom, and b) recycling the exhaust gases created by the internal combustion engine back into said containment, whereby the oxygen partial pressure in the containment is lowered below the critical limit for oxyhydrogen explosion. a) withdrawing air from the containment and feeding the air to at least one internal combustion engine as combustion air for the engine, said at least one internal combustion engine being used to drive a pump for supplying water to a spray system for pressure relief in the reactor cooling circuit and/or in the containment vessel, and b) recycling the exhaust gases created by the internal combustion engine back into said containment, whereby the oxygen partial pressure in the containment is lowered below the critical limit for oxyhydrogen explosion. 2. The method as claimed in claim 1, wherein said at least one internal combustion engine is operated using know fuels selected from the group consisting of gasoline, diesel oil, alcohol, hydrazide, hydrogen gas, and propane. 3. The method as claimed in claim 1, further including the step of adding oxygen to the containment air supplied to said engine for combustion. 4. The method as claimed in claim 1, further including the step of feeding inert gas to said containment to compensate for the vacuum produced by withdrawal of combustible air. 5. The method of claim 4, wherein said inert gas is nitrogen. 6. The method as claimed in claim 1, wherein said containment is comprised of at least one internal subcompartment, combustible air being drawn off from said at least one subcompartment and exhaust gas recycled back thereto. 7. The method as claimed in claim 6, wherein said containment includes other subcompartments, and further including the step of feeding inert gas into said other subcompartments. 8. The method of claim 7, wherein said inert gas is selected from the group consisting of helium and recombiners. 9. The method of claim 1, wherein two internal combustion engines are utilized. 10. A method for avoiding potential accidents in water-cooled nuclear reactors of the type having an enclosing containment, due to the formation of an explosive gas mixture in the containment, comprising the steps of: 11. The method as claimed in claim 10, wherein said at least one internal combustion engine is operated using known fuels selected from the group consisting of gasoline, diesel oil, alcohol, hydrazide, hydrogen gas, and propane. 12. The method as claimed in claim 10, further including the step of adding oxygen to the containment air supplied to said engine for combustion. 13. The method as claimed in claim 10, further including the step of feeding inert gas to said containment to compensate for the reduced pressure produced by withdrawal of combustible air. 14. The method of claim 13, wherein said inert gas is nitrogen. 15. The method as claimed in claim 10, wherein said containment is comprised of at least one internal subcompartment, combustible air being drawn off from said at least one subcompartment and exhaust gas recycled back thereto. 16. The method as claimed in claim 15, wherein said containment includes other subcomponents, and further including the step of feeding inert gas into said other subcompartments. 17. The method of claim 16, wherein said inert gas is selected from the group consisting of helium and recombiners. 18. The method as claimed in claim 10, wherein said pump is adapted to be used for water supply to the reactor cooling system or for afterheat removal therefrom. 19. The method of claim 10, wherein two internal combustion engines are utilized.
summary
047028815
description
DETAILED DESCRIPTION OF THE INVENTION Reference will now be made in detail to a presently preferred embodiment of the invention, an example of which is illustrated in the accompanying drawings. In the following description, it is to be understood that terms such as "forward", "rearward", "left", "right", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. Referring now to the drawings and particularly to FIG. 1, there is shown a conventional fuel assembly constructed in accordance with well known practices and generally indicated by the reference numeral 10. The fuel assembly 10 basically comprises a lower end structure or bottom nozzle 12 for supporting the assembly on the lower core plate (not shown) in the core region of a reactor (not shown). A number of axially extending control guide tubes or thimbles 14 project upwardly from the bottom of nozzle 12. A plurality (only 4 of which are shown) of spacer grids 16 for transversely spacing supporting an organized array of elongated fuel rods 18 are axially spaced along the guide thimbles 14. Preferably, an instrumentation tube 20 is located in the center of the assembly and an upper end structure or top nozzle 22 is attached to the upper ends of the guide thimbles 14 in a conventional manner to form an integral assembly capable of being conventionally handled without damaging the assembly components. The bottom nozzle 12 and the top nozzle 22 are conventional, including end plates (not shown) with flow openings (not shown) for the upward longitudinal flow of a liquid coolant, such as water, to pass up and along the various fuel rods 18 to receive the thermal energy therefrom. The top nozzle 22 includes a transversely extending end plate or adapter plate (not shown) having upstanding sidewalls secured to the peripheral edges thereof and defining an enclosure or housing. An annular flange (not shown) is secured to the top of the sidewalls and suitably clamped to this flange are leaf springs 26, only one of which is shown in FIG. 1, which cooperates with the upper core plate (not shown) in a conventional manner to prevent hydraulic lifting of the fuel assembly 10 caused by upward coolant flow while allowing for changes in the fuel assembly length due to core induced thermal expansion and the like. Disposed within the opening defined by the sidewalls of the top nozzle 22 is a conventional rod cluster control assembly 28 having radially extending flukes 30 connected to the upper end of control rod 32 for vertically moving the control rods in the control rod guide thimbles 14 in a well known manner. To form the fuel assembly 10, spacer grids 16 are attached to the longitudinally extending guide thimbles 14 at predetermined axially spaced locations. The fuel rods 18 are inserted into and through standard cells formed by the interlocking grid straps. The lower nozzle 12 is suitably attached to the lower ends of the guide thimbles 14 and the top nozzle 22 is attached to the upper ends of the guide thimbles 14. For a further description of the fuel assembly 10, reference should be made to U.S. Pat. No. 4,061,536, the contents of which are hereby incorporated by reference. The fuel assembly 10 depicted in the drawings is of the type having a square array of fuel rods 18 with the control rod guide thimbles 14 being strategically arranged within the fuel rod array. Further, the bottom nozzle 12, the top nozzle 22, and likewise the spacer grid 16 are generally square in cross-section. In that the specific fuel assembly presented in the drawings is for illustrational purposes only, it is to be understood that neither the shape of the nozzles or the grids nor the number and configuration of the fuel rods and guide thimbles are to be limiting, and the invention is equally applicable to shapes, configurations, and arrangements other than the ones specifically illustrated. Before describing the spacer grid structure 16 of the present invention in detail, it is noted that the fuel rods 18 are laterally positioned in a predetermined array by the support of spacer grids 16. Spacer grids per se are well known in the art and are used to precisely maintain spacing between fuel rods, to prevent rod vibration, to provide lateral support and, to some extent, to frictionally retain the rods against longitudinal movement. Conventional spacer grids, such as the ones shown and described in U.S. Pat. Nos. 3,379,619 and 4,061,536, hereby incorporated by reference, comprise a plurality of straps interfitted into an egg-crate configuration which form cells for accepting the fuel rods. Each cell supports one fuel rod at a given axial location through the use of spring fingers and dimples which frictionally engage the fuel rods, normally in a six-point support arrangement. The fuel rods 18 are of a generally cylindrical configuration and are supported in an upstanding spaced array by the spacer grids. The spacer grids may be provided with coolant flow mixing vanes which is a design consideration of the specific fuel assembly involved. Turning now to FIG. 2 there is depicted a partially broken away, perspective view of a spacer grid 16 which includes a plurality of interfitted grid straps 24 which are arranged in an egg-crate fashion to create standard cells 34 for separately enclosing the fuel rods 18. The spacer grid 16 may also have outer straps 36 interconnected to form a generally square-shaped array which surrounds the grids straps 24 about their heightwise edges 38. For fuel assemblies which will be used in a boiling water reactor and therefore enclosed in a "can", it is advantageous for each outer strap 36 to have a central portion 40 and top and bottom resilient border portions 42 and 44, respectively. Preferably, the border portions 42 and 44 are integral with the central portion 40. In any event, the grid straps heightwise edges are generally fastened to the surrounding outer straps 36. The border portions 42 and 44 may vertically extend beyond the central portions 40 of the outer strap 36. Preferably, the border portions 42 and 44 may also project horizontally outward beyond the central portion 40 of the outer strap 36 in order to cushion the enclosed fuel rods from the effects of forces acting on a shipping container or the like used to transport fuel assemblies to reactors. The border portions 42 and 44 may alternatively be fashioned to include mixing vane structures to create turbulence and mixing of the coolant flow through the fuel assembly. While mention has been made above of the use of the spacer grids in a boiling water reactor fuel assembly, it is emphasized that the spacer grid 16 can be utilized in any fuel assembly, including a pressurized water reactor fuel assembly such as that illustrated in FIG. 1. It is recommended that the outer straps 36 be made of a low neutron capture cross-section material such as zirconium or a zirconium alloy, and that they be attached together and to the grid straps heightwise edges 38 by welding. In the spacer grid 16, each standard cell 34 has a longitudinal axis and each of its associated grid straps 24 has at least one, and preferably two, longitudinally spaced, relatively rigid dimples 46 projecting into the cell 24 on a wall opposing a grid spring 48 for supporting an associated fuel rod 18 therein. Further, it is preferred that each cell have two grids springs, deposed on adjacent walls. Thus, in the preferred embodiment, each fuel rod is supported in each cell at six points. The dimples 46 on a pair of adjacent associated grid straps are preferably generally open to longitudinal coolant flow therethrough, i.e. they face the coolant flow edgewise, while the grid springs 48 on the other adjacent cell walls are generally closed to fluid flow, i.e. their edges are arranged longitudinally with respect to the direction of coolant flow. As will be understood by the artisan, the orientation of the dimples and grid springs can, of course, be reversed. Alternatively, both the springs and dimples can be formed open with respect to coolant flow or both can be formed closed with respect to coolant flow. It is desirable that the dimples 46 and grid springs 48 project generally perpendicularly towards the longitudinal axis of the cell 34. It is also preferred that where a pair of dimples 46 are formed in the same wall of a cell, those dimples be axially spaced and aligned. Preferably, the dimples 46 are generally longitudinally running arches and are generally trapezoidal in shape, while the grid springs 48 are generally transversely running arches having a raised portion 50 for cradling a fuel rod 18 and are likewise generally trapezoidal in shape. It is preferred that the dimples 46 and grid springs 48 be integral with the grid straps 24 and that the grid straps be made from a low neutron capture cross section material such as zirconium or a zirconium alloy. As used above, words such as "longitudinal" and "transverse" are intended to indicate directions relative to the axis of the spacer grid cells. Turning now to FIGS. 3 through 6, the fuel rod cradling grid springs 48 will be described in detail. As alluded to above, the springs 48 may be integrally formed in the grid strap 24 from a strip formed between slots 52 and 54 which may be punched or otherwise formed in the grid strap 24. According to the invention, the raised portion 50 of the grid spring is modified to provide a greater bearing surface between the grid spring and fuel rod, thereby distributing any impact forces, arising from flow-induced vibration, transportation, or the like, over a larger surface area. In addition, the larger contact surface between the fuel rods and the grid springs lessens or eliminates scratching of the fuel rod cladding during loading or unloading operations. In order to provide a larger bearing surface, the raised portion 50 of the grid springs 48 is provided with an arcuate surface 56 which is curved to approximately follow the surface contour of the generally cylindrical fuel rods. To further lessen any fretting tendency, the springs 48 may be provided with ridges 58 formed in the transverse center of the arch and running along the arch from a base portion 60 through a portion 62 of the base which extends generally parallel to the grid strap 24 on either side of the center portion 50. The ridge 58 adds stiffness to the grid spring 48. Additional stiffness may be provided by rolling down the edges of the springs to form a stiffening flange 64 in the form of a ramped edge. As best seen in FIG. 5, the stiffening flange 64 may be formed at an angle .alpha. with respect to the major face of the grid spring. Preferably, the stiffening flange 64 extends longitudinally the entire length of the grid spring. The angle .alpha. may vary between 0 and 90 degrees and is preferably in the range of 30 to 60 degrees. Where a ridge 58 is utilized, it is preferably formed concave downwardly with respect to the arcuate surface 56. The stiffening flange 64 and the ridge 58 are independent and either or both may be used with the cradle grid spring design to improved the stiffness of the grid spring and to help center the fuel rods as they are loaded into the fuel assembly. Referring now to FIGS. 7 through 10, the fuel rod cradling dimples 46 will be described in detail. Like the springs 48, the dimples 46 are preferably formed from a strip of grid strap material, the strip being defined by the space between the slots 66 and 68. As best seen in FIG. 8, the dimples 46, like the grid springs discussed above, are generally formed in the shape of an arch. The dimples 46 have a center portion 70 and base portion 72 extending from the grid strap 24. Since, in the illustrated embodiment, the dimples 46 are open in the direction of flow of coolant through the fuel assembly, the center portion 70 is curved in an arc extending between the base portions 72 in order to cradle the generally cylindrical fuel rod as it passes through the grid cells. Preferably, the radius of curvature, r, of the arc formed in either the grid springs or dimples is slightly greater than the radius of curvature of the outside diameter of the fuel rod to be supported in order to cradle the fuel rod generally along at least a line of contact while tolerating small deviations in the location of grid springs and dimples in the spacer grid assembly. While the cradling action of the inventive grid springs and dimples will also be realized if the radius of curvature, r, is similar to that of the fuel rod, care should be taken to ensure that at a minimum, the radius r is at least equal to the radius of curvature of the fuel rod to be supported when the fuel rod is in its expanded, i.e. heated condition. Since the fuel rods are inserted parallel to the face of the dimples 46, it is desirable to roll down the edge of the dimple facing the direction of insertion of the fuel rods to form a ramp which will avoid carving scratches on the fuel rod cladding. As best seen in FIGS. 9 and 10, the rolled down or ramp portion may comprise a flange 74 disposed at an angle .beta., with respect to the arch to form a generally smooth ramp with respect to the base portions 72 and center portion 70. The angle .beta. between the face of the dimple and the flange 74 may vary from 0 to 90 degrees with a preferred range of between about 30 to 60 degrees. Within this range, the flange will function to provide additional stiffness to the dimple as well as to assist the loading of fuel rods without scratching. As shown in FIG. 11, the base portion 72 of the dimples may also be provided with a stiffening rib 76 if additional stiffness is required. During fabrication of a fuel assembly or operation in a reactor, a fuel rod could begin to deviate from its nominal center position aligned with the axis of the grid cell. The fuel rod cradle spacer grid design according to the present invention requires a fuel rod to "ride up" to a higher position on the dimple or spring in order to deviate from this nominal position. This riding up movement tends to force a deviating fuel rod back into the "valley" of the cradle, thereby reducing any tendency toward large deviations from the nominal center position which could otherwise bend dimples and springs and alter the nuclear, thermal, and hydraulic characteristics of the fuel assembly. During operation, the cradle grid spring and dimple design of the present invention also tend to maintain the fuel rods centered in the grid cells. This is particularly important after radiation begins to relax the force exerted on the fuel rods by the grid springs. In this regard, the cradle design tends to maintain a more uniform coolant flow distribution about the fuel rods. If, during operation, a fuel rod experiences "lift off" from a grid spring or dimple, generally no more than a few thousandths of an inch, the flow of coolant into and out of the cavity between the fuel rod and the spring or dimple surface will be hydraulic damped more by the cradle design than by the prior art flat design due to the more restrictive flow configuration. This is because with conventional flat dimples, the fuel rod only touches the dimple along a tangent line or point after which they curve away from each other providing a large cavity in which coolant flow can induce additional lift off. Reduction of this lift off cavity will in turn reduce somewhat the frequency of vibration of the fuel rod and thereby tend to reduce the severity of any impact of the fuel rod cladding on the spring or dimple, thus improving stability and reducing any tendency toward fretting. The foregoing description of a preferred embodiment of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications and variations are possible in light of the above teachings. The embodiments chosen and described were selected in order to best explain the principles of the invention and its practical application to thereby enable others skilled in the art to best utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the claims appended hereto.
summary
058922385
claims
1. A radiation therapy shielding assembly for positioning closely adjacent a patient to be treated, said shield assembly being designed to be used with a radiation beam mechanism that produces a radiation beam for treating a patient, said radiation beam mechanism being designed to move relative to said shield assembly, said radiation therapy shielding assembly comprising first and second generally arcuate shielding sections, each of such sections having a contoured predetermined edge surface, said edge surface of said first and second shielding sections being spaced from one another to define a variable predetermined treatment area adjacent the patient whereby the edge surfaces of said first and second shielding sections control the shape of said radiation beam that contacts said patient as said radiation beam mechanism moves relative to said shielding assembly. 2. A radiation therapy shielding assembly, according to claim 1, wherein said arcuate shielding sections define a patient receiving cavity. 3. A radiation therapy shielding assembly, according to claim 1, wherein said arcuate shielding sections are formed from a lead composition. 4. A radiation therapy shielding apparatus, according to claim 1, including an attachment arm extending between said first and second generally arcuate shielding sections. 5. A radiation therapy shielding apparatus, according to claim 4, wherein said attachment arm is longitudinally adjustable to adjust the spacing between said first and second generally arcuate shielding sections. 6. A radiation therapy shielding apparatus, according to claim 1, wherein each of said first and second generally arcuate shielding sections comprise a plurality of adjacent shielding elements, said shielding elements being longitudinally movable with respect to one another, each of said shielding elements defining an element surface which forms a unit of a section edge surface, said plurality of shielding elements defining such predetermined treatment area adjacent the patient. 7. A radiation therapy shielding assembly, according to claim 6, including a holder assembly retaining said plurality of shielding elements into an adjacent relationship whereby a plurality of element surfaces defined by said plurality of shielding elements define said predetermined edge surface.
summary
summary
abstract
A method of evaluating a resolution of a scanning electron microscope includes picking up a first image of a concave and convex pattern formed on a surface of a sample utilizing a first scanning electron microscope, picking up a second image of the concave and convex pattern on the sample utilizing a second scanning electron microscope, respectively processing the first image and the second image in order to evaluate unevenness in resolution between the first scanning electron microscope and the second scanning electron microscope, and determining whether a height of the concave and convex pattern as measured from a bottom thereof is sufficient so that no affection by a secondary electron emitted from the bottom of the concave and convex pattern is exhibited.
claims
1. A fixture for the production of Mo-99, the fixture configured to be disposed within a nuclear reactor to expose the fixture to a neutron field of the nuclear reactor, the fixture comprising:a positively charged anode;a source material disposed within the fixture, the source material at least partially comprising Mo-98 target material, the Mo-98 target material disposed in an annular canister and exposed to a neutron flux field when the fixture is disposed in the neutron field of the nuclear reactor, the positively charged anode providing a positive electric charge to the Mo-98 target material;a negatively charged cathode;a cathode collector disposed within the fixture and spaced apart from the source material such that an environment is disposed between the cathode collector and the source material, the negatively charged cathode being electrically coupled to the cathode collector to provide a negative electric charge to the cathode collector; anda vacuum port connected to the fixture, the vacuum port allowing a vacuum environment to be disposed within the fixture, the vacuum environment including at least a portion of the environment disposed between the cathode collector and the source material, the vacuum environment disposed between the cathode collector and the source material having a generally annular configuration;wherein the exposure of the Mo-98 target material to the neutron flux field of the nuclear reactor produces Mo-99 ions from the Mo-98 target material; andwherein the cathode collector is configured to collect the Mo-99 ions. 2. The fixture for the production of Mo-99 of claim 1, wherein the positively charged anode is connected to the fixture; andwherein the negatively charged cathode is connected to the fixture. 3. The fixture for the production of Mo-99 of claim 1, wherein the Mo-98 target material is disposed near an outer surface to facilitate production of Mo-99. 4. The fixture for the production of Mo-99 of claim 1, wherein the positively charged anode is electrically coupled to the Mo-98 target material. 5. The fixture for the production of Mo-99 of claim 1, further comprising an electrical conducting layer disposed on the Mo-98 target material, the electrical conducting layer connected to the positively charged anode. 6. The fixture for the production of Mo-99 of claim 1, wherein the Mo-98 target material includes at least one of the following: a sheet of the Mo-98 target material, a wire gauze of the Mo-98 target material, particulates of the Mo-98 target material, powders of the Mo-98 target material, spheres of the Mo-98 target material, and/or whiskers of the Mo-98 target material. 7. The fixture for the production of Mo-99 of claim 1, further comprising a containment shell and a structural support. 8. The fixture for the production of Mo-99 of cm 1, wherein the source material is disposed within a source material canister. 9. The fixture for the production of Mo-99 of claim 1, wherein the Mo-98 target material comprises one or more whiskers. 10. The fixture for the production of Mo-99 of claim 1, wherein the Mo-98 target material comprises one or more or a combination of a sheet of the Mo-98 target material, a wire gauze of the Mo-98 target material, particulates of the Mo-98 target material, powders of the Mo-98 target material, spheres of the Mo-98 target material, and whiskers of the Mo-98 target material. 11. The fixture for the production of Mo-99 of claim 1, further comprising a containment shell and a structural support, the source material being disposed within a source material canister, the source material canister being comprised of one or more or a combination of a magnesium metal, an aluminum metal, and zirconium metal. 12. The fixture for the production of Mo-99 of claim 1, further comprising a compaction fencing that includes a mesh with openings that are smaller in size than material particles of the Mo-98 target material. 13. A fixture for the production of Mo-99 of claim 1, wherein an electric field is at least partially disposed between the source material and the cathode collector;wherein the exposure of the Mo-98 target material to the neutron flux field within a nuclear reactor produces positively charged ions from the Mo-98 target material;wherein the positively charged ions move towards the negatively charged cathode collector due to the electric field. 14. The fixture for the production of Mo-99 of claim 12, further comprising a containment shell, a structural support, and a source material canister, the source material being disposed within the source material canister. 15. The fixture for the production of Mo-99 of claim 12, wherein the exposure of the Mo-98 target material to the neutron flux field within the nuclear reactor produces Mo-99 from the target material via neutron capture.
043292488
abstract
A process for immobilizing high level waste (HLW) sludge containing aluminium and/or iron compounds which comprises the steps of:. (1) mixing the sludge with a mixture of oxides, the oxides in said mixture and the relative proportions thereof being selected so as to form a mixture when heated at temperatures between 800.degree. and 1400.degree. C. crystallizes to produce a mineral assemblage containing (i) crystals capable of providing lattice sites in which the fission product and actinide elements of said HLW sludge are securely bound, and (ii) crystals of at least one inert phase containing excess aluminium and/or iron, said crystals belonging to or possessing crystal structures closely related to crystals belonging to mineral classes which are resistant to leaching and alteration in appropriate geologic environments; and PA0 (2) heating and then cooling said mixture under reducing conditions so as to cause crystallization of the mixture to a mineral assemblage having the fission product and actinide elements of said HLW sludge incorporated as solid solutions within the crystals thereof, and the excess aluminium and/or iron crystallized in at least one inert phase.. A mineral assemblage containing immobilized HLW sludge containing aluminium and/or iron compounds incorporated within the crystals thereof is also disclosed.
description
This application is a divisional application and claims the benefit of U.S. patent application Ser. No. 11/852,237, filed Sep. 7, 2007, which claims priority to U.S. patent application Ser. No. 10/821,658, filed Apr. 8, 2004, which claims priority to U.S. Provisional Patent Application No. 60/461,624, filed Apr. 8, 2003, the disclosures of which are hereby incorporated by reference herein. A part of this invention was made with United States Government support from Contract No. DE-AC03-76SF00098 between the U.S. Department of Energy (DOE) and the Lawrence Berkeley National Laboratory. The United States has certain rights in this invention. The present invention relates in general to the detection of special nuclear materials (“SNM”) in suspect containers. In particular, the present invention uses high-energy gamma rays emitted from fission products or fragments to identify SNM (i.e., 235U and 239Pu) in cargo containers and other potential sites. Special nuclear material (SNM) is defined by Title I of the Atomic Energy Act of 1954 as plutonium, uranium-233, or uranium enriched in the isotopes uranium-233 or uranium-235. The definition includes any other material which the Nuclear Regulatory Commission determines to be special nuclear material, but does not include source material. The Nuclear Regulatory Commission (“NRC”) has not declared any other material as SNM. SNM is only mildly radioactive, but it includes some fissile material, uranium-233, uranium-235, and plutonium-239, that, in concentrated form, can be the primary ingredients of nuclear explosives. These materials, in quantities greater than formula quantities, are defined as “strategic special nuclear material” (SSNM). The uranium-235 content of low-enriched uranium can be concentrated (i.e., enriched) to make highly enriched uranium, the primary ingredient of a nuclear weapon. Since Sep. 11, 2001, an increased urgency has been associated with the development of new and improved means for the detection and prevention of the clandestine transport of nuclear weapons materials and other materials for producing weapons of mass destruction. A particularly difficult problem is posed by highly-enriched uranium (e.g., 235U) and plutonium (e.g., 239Pu) that might be hidden in large sea-going cargo containers, which may be filled with masses approaching 27 MT and which might represent areal densities of more than 50 g cm−2 through which an identifying signal must penetrate to reach a detector. Passive detection methods (e.g., see “Passive Nondestructive Assay of Nuclear Materials,” edited by D. Reilly, N. Ensslin, and H. Smith, Jr., NUREG/CR-5550, LA-UR-90-732 (1991)) based on measurements of neutrons and/or photons are either inapplicable or impractical in many such cases. Traditional methods of radiography are unlikely to provide a unique signature of highly-enriched 235U and 239Pu. Active interrogation with neutrons or high-energy photons in a variety of forms (e.g., see “Ionizing Radiation Imaging Technologies for Homeland Security,” D. J. Strom and J. Callerame, Proceedings of the 36th Midyear Topical Meeting, Health Physics Society, Jan. 26-29, 2003, San Antonio, Tex., and “A Review of Neutron Based Non-Intrusive Inspection Technologies,” T. Gozani, Conference on Technology for Preventing Terrorism, Hoover Institution, Mar. 12-13, 2002, Stanford University, Stanford, Calif.) currently depends upon the observation of β-delayed neutrons following induced fission to provide a unique signature for 235U and 239Pu. However, the shielding provided by a thick hydrogenous cargo can be so large that this method will fail or will have very low detection sensitivity. In addition, considering that millions of cargo and other containers enter the United States each year, and considering that SNM might be hidden in some of these containers, in order to prevent the entry of any hidden SNM into the United States, a detection method needs to be effective without having to open and unload the containers. Furthermore, not only does a detection system need to be non-invasive, it must be able to perform its detection function in as short a time as possible, so as to not overly burden the flow of goods into the U.S. via these containers. There is therefore a need for a system and a method of detecting special nuclear materials (“SNM”) in suspect containers that does not suffer from the above described shortcomings. The present invention is directed to methods and systems that use either neutrons or high-energy photons (e.g., gamma-rays) to irradiate a fully loaded cargo or other container. Such neutrons or gamma-rays have a sufficient flux and energy level to induce fission in any SNM inside the container. After the neutron or photon irradiation is completed, a detector, or an array or arrays of detectors are used to interrogate the container for high energy (e.g., above 3 MeV) gamma rays that are produced by radioactive decays of fission products. In one embodiment, the present invention is directed to a method of detecting the presence of special nuclear materials in a suspect container. The method includes irradiating the suspect container with a beam of neutrons, so as to induce a thermal fission in a portion of the special nuclear materials; detecting the gamma rays that are emitted from the fission products formed by the thermal fission, to produce a detector signal; comparing the detector signal with a threshold value to form a comparison; and detecting the presence of the special nuclear materials using the comparison. In another embodiment, the present invention is directed to a system for detecting the presence of special nuclear materials in a suspect container. The system includes a neutron beam source configured for irradiating the suspect container with a beam of neutrons, so as to induce a thermal fission in a portion of the special nuclear materials; a detector configured for detecting the gamma rays that are emitted from the fission products formed by the thermal fission, to produce a detector signal; a comparator for comparing the detector signal with a threshold value to form a comparison; and a presence detector for detecting the presence of the special nuclear materials using the comparison. For a further understanding of the nature and advantages of the invention, reference should be made to the following description taken in conjunction with the accompanying drawings. The embodiments of the present invention are directed to methods and systems that use either neutrons or high-energy photons (e.g., gamma-rays) to irradiate a fully loaded cargo or other container. Such neutrons or gamma-rays have a sufficient flux and energy level to induce fission in any SNM inside the container. After the neutron or photon irradiation is completed, a detector, or an array or arrays of detectors are used to interrogate the container for high energy (e.g., above 3 MeV) gamma rays that are produced by radioactive decays of fission products. The inventors herein have shown that the yields of high-energy gamma rays following the thermal neutron-induced fission of 235U and 239Pu are large enough to permit the detection of kilogram-sized quantities of SNM hidden inside of cargo or other containers. The inventors herein have also shown that the energy spectrum of gamma rays emitted by fission products is qualitatively different from that produced by other material that would be commonly found in cargo or other containers. In addition, the inventors herein have determined the effective half-life of these gamma rays to be approximately 20 seconds. The energy spectrum and/or the time dependence (i.e., half-life) and/or the combination of the energy spectrum and the time dependence of the gamma-ray spectrum provides a unique signature for the SNM and its detection. The embodiments of the method and system of the present invention enable a fully loaded cargo container to be screened for SNM in a period on the order of one minute or less. Furthermore, the embodiments of the method and system of the present invention are easily scalable to enable the screening of smaller sized packages such as luggage items at airports for SNM in a period on the order of one minute or less. This ability of the embodiments of the present invention to detect the presence of SNM in suspect containers is quite remarkable considering that such containers come in an enormous range of sizes and loadings. For example, such containers are closed and randomly loaded where one is unaware whether the contents are apricots, bubblegum, bombs, fabrics, metals, plastics, steel, SNM, or wood. In particular, the embodiments of the present invention use the high-energy gamma rays emitted from short-lived fission fragments to identify SNM in cargo containers and other potential sites. As used herein high-energy gamma rays refer to gamma rays having an energy level higher than approximately 3-4 million electron volts (MeV). Also as used herein, short-lived fission fragments refer to fission fragments having a half that is less than approximately one minute. The active interrogation of a mass of highly enriched uranium (“HEU”), Pu, or SNM, embedded in a cargo container, with either 2.5 MeV deuterium-deuterium (“D-D”) neutrons or 14 MeV deuterium-tritium (“D-T”) neutrons, has been studied by some. A cargo container as used herein, refer to standard containers that are commonly made of steel that are typically available in the 20-foot or 40-foot lengths and which are approximately 8-foot wide by 8.5-foot high, that are used to transport goods on cargo ships. Some containers are larger and some are smaller. In those studies, a reasonable worst-case scenario assumes that the cargo container is otherwise filled with hydrogenous material at a water-equivalent density of about 0.4 gm cm−3 As an example of the effectiveness of the embodiments of the present invention, this worse-case scenario has been considered here with the further constraint that the SNM is located at the center of the container and that a distance of 1.5 m must be penetrated before radiations can reach a detector. It is known that some effort has been expended to investigate the possible use of delayed neutrons as the signal carrier for the presence of SNM. To demonstrate the advantages of the embodiments of the present invention, an evaluation of the relative merits of signals from delayed neutrons and the high-energy gamma rays from short-lived fission products is presented below. This evaluation shows the effectiveness of the embodiments of the present invention for the worst-case scenario, and clearly demonstrates that high-energy gamma rays from the decay of fission products offer a significant advantage in comparison to the signals from delayed neutrons. Delayed Neutrons The yields of delayed neutrons from thermal fission of 235U and 239Pu are about 0.017 and 0.0065 per fission, respectively. The half lives of the delayed neutron precursors lie in the range of about 0.1-56 s, and the ENDFB-IV nuclear data set energy spectra are shown in FIG. 1. The data on the yield of delayed neutrons shows that approximately half of the intensity has an energy less than 0.6 MeV and there are very few neutrons with energies above about 1.5 MeV. Because of thermalization and capture of the neutrons in hydrogen, there may be a very small probability for escape of delayed neutrons to an external detector. The results of calculations using nuclear engineering texts show that the root mean squared distance from birth of a 2 MeV neutron at the target until its absorption in hydrogen is about 15 cm in water at normal density, and thus the effective distance that must be traversed through normal water from the target to the detector is approximately 60 cm. The probability for escape of neutrons to a detector can be approximately estimated in two ways. Beyond about 40 cm from a point source of fission neutrons in water, the flux of neutrons with energies En>1 MeV is approximately G ⁡ ( r ) = 0.12 ⁢ ⅇ - 0.103 ⁢ r w 4 ⁢ π ⁢ ⁢ r 2 ⁢ ⁢ cm - 2 ⁢ ⁢ ( source ⁢ ⁢ particle ) - 1 , where rw is the distance penetrated in water at normal density. The quantity 4πr2 G(r), representing the probability of survival per source particle independent of the 1/r2 flux loss that will affect all radiations emitted from the source, is found to be about 2.5×10−4 (source particle)−1. Because the average thermal neutron will be captured in hydrogen within a few cm of where it is produced, this is a measure of the probability that any fission neutron will produce a thermal neutron that escapes to a detector. A second estimate is obtained from the Fermi-age approximation. This gives the spatial distribution of the neutron density of a given energy that has slowed down from some source energy as q ⁡ ( r , τ ) = ⅇ - r 2 / 4 ⁢ τ ( 4 ⁢ πτ ) 3 / 2 ⁢ ⁢ cm - 3 ⁢ ⁢ ( source ⁢ ⁢ particle ) - 1 , where τ is the Fermi age in cm2. The approximate value of τ for thermal neutrons slowing down from a fission source is 31 cm2 in water. Estimating the velocity of a thermal neutron as 2200 m s−1, the quantity 4πr2 q(r,τ) v is about 2.0×10−6 (source particle)−1. Although both estimates are rather rough approximations, they clearly indicate a very low probability of a fission neutron producing a thermal neutron that can escape to a detector. Because of their smaller average energies, the attenuation of delayed neutrons is expected to be significantly larger than for fission neutrons and thus the probability that they can produce a thermal neutron that can escape to a detector is expected to be smaller yet. The conclusion is that the direct observation of delayed neutrons under the assumed limiting conditions will likely afford a very low sensitivity for detecting SNM. On the other hand, indirect observation of the delayed neutrons is possible via their capture by hydrogen (“H”) to produce 2.2 MeV gamma rays (or by capture by other nuclides in more realistic situations). The attenuation coefficient for 2 MeV gamma rays in water is about 0.049 cm−1. Neglecting the size of the target and the 1/r2 flux loss, the probability for escape of such photons to a detector uncollided would be about 0.053. So, in effect, the direct or indirect observation of delayed neutrons under the assumed limiting conditions will likely afford a very low sensitivity for detecting SNM. Considering that delayed neutrons afford a very low sensitivity for detecting SNM in cargo or other containers, the inventors herein have focused their efforts on the detection of gamma rays from short-lived fission products. The inventors herein have demonstrated that gamma rays from short-lived fission products escape to a detector with significantly higher probability than the delayed neutrons or the capture gamma rays that result from them. Delayed Gamma Rays from Short-Lived Fission Products It is known that approximately 90% of the total yield of fission products from thermal fission of 235U is contained in 32 mass chains located at A=88-103 and A=131-146. For thermal fission of 239Pu, the light-massed peak increases in mass number by about 8-10 but the heavy massed peak remains fixed. Because the charge distribution is so narrow (FWHM˜1.4e), the majority of the chain yield will be found in one or two nuclides. A nuclide produced with Z=ZP, where ZP is the most probable atomic number for a given mass number, has a yield of about 0.5 of the chain yield. The values of ZP for 239Pu fission are 0.2-0.3 e greater than for 235U fission and thus essentially the same nuclides are considered for a fixed mass number in the two cases. For orientation purposes, only those nuclides with half lives less than a few minutes, and for which the probability for emission of a gamma ray with Eγ>4.0 MeV is at least 10−2 per decay, are directly considered. In Table 1 are the nuclides of interest and their relevant properties. TABLE 1Short-lived, high-yield fission products with probability >0.01for emission of γ-rays with Eγ >4.0 MeVHalf-Life235U*239Pu*235U239PuNuclide(s)Eγ— (keV)Iγ— (%)CY (%)CY (%)Iγ—f−1 (%)Iγ—f−1 (%)Br-8655.154074.61.60.4890.0007360.00022555192.80.0004480.00013762110.580.00009282.84E−05Br-8755.6418142.030.690.0008120.00027646452.20.00044660.00015247841.80.00036540.000124496220.0004060.00013851950.530.000107593.66E−0552010.550.00011165 3.8E−0554740.380.000077142.62E−05Br-8816.340221.511.780.510.00026878 7.7E−05414840.0007120.00020444951.20.00021366.12E−0545633.20.00056960.00016347221.760.000313288.98E−0549861.950.00034719.95E−0550201.510.00026878 7.7E−0551970.950.00016914.85E−0552120.640.000113923.26E−0552960.720.000128163.67E−0554560.640.000113923.26E−05Br-894.3540861.81.090.350.00019620.00006341663.80.00041420.00013343541.20.00013080.00004245020.880.000095923.08E−05Rb-9015841366.74.51.280.0030150.000858436680.00360.00102446462.250.00101250.00028851871.170.00052650.00015 Rb-90m25841931.141.240.7420.000141368.46E−0544541.180.000146328.76E−05Rb-9158.440784.15.582.10.00228780.00086142651.40.00078120.000294Rb-924.546382.24.821.920.00106040.00042248091.10.00053020.000211483610.0004820.00019249231.10.00053020.00021151882.50.0012050.00048 52151.10.00053020.00021152491.10.00053020.00021155841.70.00081940.000326563220.0009640.00038457390.70.00033740.00013458790.70.00033740.00013459010.90.00043380.00017360040.590.000284380.00011360300.790.000380780.00015261150.80.00038560.000154Sr-9523.940751.225.273.010.0006430.000367Y-980.5544508.91.921.520.001710.001353I-136m46.945601.411.261.650.000177660.00023348892.20.00027720.00036350910.540.000068048.91E−0551871.040.000131040.00017252550.580.000073089.57E−05Totals31.014.30.031060.0122  The fifth and sixth columns of Table 1 provide cumulative yields of the nuclides, and the seventh and eight columns provide the absolute intensity of gamma rays per fission. As is shown above, eleven nuclides are listed in the table with half lives in the range 0.55-158 s. All but one have half lives in essentially the same range as the delayed neutrons. For most of the nuclides, the cumulative yield is significantly larger than the independent yield and that implies that an additional ten or so nuclides with comparable or shorter half lives might have significant probabilities for emission of high-energy gamma rays. The total of the cumulative yields of the eleven nuclides is approximately twice as large for fission of 235U as it is for 239Pu. The total probability per fission for observing a gamma ray with Eγ>4.0 MeV from decay of these nuclides is about 0.031 and 0.012, respectively, for the two fission systems. These are about a factor of two larger than the delayed neutron yields and represent conservative estimates. The attenuation coefficient for 4 MeV gamma rays in H2O is 0.034 cm−1, and thus 13% of such gamma rays would escape from the container (e.g., 1.5 m distance) uncollided as compared to about 5.3% for 2 MeV gamma rays. If the 2.2 MeV photons from neutron capture on hydrogen were used as a surrogates for delayed neutrons, the high-energy gamma rays from the fission products offer, conservatively, a factor of about 5 larger probability for escape to a detector. While the capture photons are monoenergetic, the fission product gamma rays vary considerably in energy. Unless one used a high-resolution instrument, such as a germanium (“Ge”) detector, one will not be able to resolve these lines but one would also be unlikely to distinguish the capture photons either. Thus, what one is looking for is an elevated continuum that lasts for a few minutes following the neutron burst. The use of gamma ray detection for discovering illicit SNM may be limited by both the natural background and by the decay of activation products, especially those with half lives on the order of seconds or minutes. The natural background is dominated by a gamma ray at 1.461 MeV (40K) and the highest energy line of high intensity is that at 2.614 MeV (208Tl). Apart from very weak lines resulting from neutron capture of the terrestrial neutron background and rare high-energy interactions, no gamma ray lines with energies exceeding 4 MeV are found. The characteristics of short-lived activation products with lifetimes comparable to the fission products listed in Table 1 are shown in Table 2. TABLE 2Neutron activation products with short half livesEγ—Half LifeI_ > 2.0 MeVThreshAct. Prod.Reaction(MeV)(sec)Iγ— (abs)(abs)(MeV)% abundC-1518O (n, a)5.32.40.635.290.2N-1616O (n, p)6.17.10.6710.2599.87.10.049Na-2626Mg (n, p)2.521.1.070 > 2.08.86112.54Al-3030Si (n, p)2.233.61.05 > 2.08.043.12.63.5K-4444Ca (n, p)2.151326>0.4 (>2.0)4.992.092.523.66S-3736Ar (n, γ)3.13000.9400.3440Ar (n, a)2.5699.6 With the exception of the (n,γ) and (n,α) reactions on the Argon (Ar) isotopes, all of the other reactions have thresholds greater than about 5.0 MeV, and, if D-D neutrons are used as the interrogation source, these reactions will not take place. Ar comprises about 0.93% of air. The (n,α) excitation function on 40Ar shows a maximum of 0.02 b at an energy of about 8.7 MeV and drops to less than about 0.001 b at 5.0 MeV. Thus, with D-D neutrons, the source produced by this reaction is expected to be very weak. Therefore, in the zeroth order, the (n,γ) cross section on 36Ar may be neglected because of its low atomic abundance. If D-T neutrons are used, the production of these interfering nuclides will take place only in that volume where the neutrons have not been moderated enough to drop their energies below about 5 MeV. While attractive from the point of view of minimizing interference from activation products, the use of D-D neutrons comes with the handicap of a production cross section of about a factor of 100 less than that possible with D-T sources, a deficit that may be too large to incur. However, by using a partially moderated D-T source the fraction of incident neutrons that lies above 5 MeV is substantially reduced. As an example, it may be possible to surround the D-T source with Be of an optimum thickness determined by detailed Monte Carlo calculations. Therefore, the intensity enhancement from a D-T target may be maintained without undue production of neutron activation products. Regardless of which neutron source is chosen, the average neutron that can penetrate to the target will be thermal or very nearly so. In order to detect the presence of SNM using high-energy gammas emitted from fission products, the characteristic energy spectrum and time dependence of these high-energy gammas was measured. In order to do so, it was advantageous to have a switchable high-intensity source of neutrons of variable energy that can be used to irradiate targets of 235U and/or 239Pu. The 88″ Cyclotron at Lawrence Berkeley National Laboratory (“LBNL”) provides such a beam, measurement and shielding facility. At the 88″, neutrons were produced in large numbers by deuteron fragmentation. The 88″ provided deuteron beams up to 60 MeV with currents up to 10 μA. The neutrons were produced on average with half the deuteron energy and their angular distribution was forward peaked. In this manner, a large number of neutrons were directed onto a suitable moderator and then onto the target of interest. A target delivery and transfer system (e.g., rabbit system) was also used at the LBNL facility that enabled the irradiation of the target inside an existing cave and then the transfer of the target to a remote shielded counting station where Ge, sodium iodide (“NaI”), or plastic scintillator detectors were located. In addition, appropriate electronics and data acquisition systems necessary for such measurements, were used to make the measurements. Using the system described above, the feasibility of the methodology and system of the embodiments of the present invention was demonstrated by conducting the following exemplary experiment. A deuteron source (e.g., 1 μA of 16-MeV such as the LBNL's 88″ Cyclotron) was used to bombard a beryllium (“Be”) source to produce source of neutrons. The neutrons were then moderated (i.e., slowed down) using a combination of steel and polyethylene. Highly enriched 235U, depleted U, and 239Pu targets were irradiated with the neutrons and then transported to a shielded counting station using a pneumatic transfer system, as is known to those skilled in the art of nuclide detection. Gamma ray counting was performed with large germanium (“Ge”) scintillator detectors. Time-based data was acquired using an ORTEC NOMAD system running GAMMAVISION. Using this bombardment and detection setup, many gamma particles above 4 MeV were detected and decay curves as a function of energy were determined. These as well as other aspects of the embodiments of the present invention and how it is generalized for containers in general and cargo containers in particular are described below in further detail. The measurement methodology disclosed above describes a method that provides unequivocal signatures of 235U and 239Pu that provides high sensitivity in the presence of thick hydrogenous and other cargos. The system and method in accordance with the embodiments of the present invention is based in part on the relatively high intensity of γ rays with Eγ≧3.0 MeV that are emitted from short-lived fission fragments (e.g., see Chu, S. Y. F., Ekstrom, L. P., and Firestone, R. B., WWW Table of Radioactive Isotopes, http:ie.lbl.gov/toi (1999), and England, T. R. and Rider, B. F., ENDF-349, LA-UR-94-3106 (1994)). These β-delayed γ rays have yields in fission that are approximately an order of magnitude larger than the corresponding β-delayed neutron intensities from the thermal fission of 235U and 239Pu. They are likely to be transmitted through thick hydrogenous material with 102-103 times the probability likely for β-delayed neutrons. Their energies lie above interferences from normal environmental radioactivity. In addition, the energy spectra and time dependencies for emission of the β-delayed γ rays provide unique signatures for 235U and 239Pu. In order to capture the main properties of the high-energy delayed γ rays, the γ-ray spectra following thermal neutron induced fission of 235U and 239Pu was measured. Using the setup and facility described above, neutrons were produced by bombarding a 1-inch thick water-cooled Be target with 16-MeV deuterons from the Lawrence Berkeley National Laboratory's 88-Inch Cyclotron. Neutrons were then moderated using a 15 cm cube of steel surrounded by up to 45 cm of polyethylene. The steel cube was located immediately downstream of the Be target. A pneumatic transfer system shuttled targets between an irradiation location inside the polyethylene and a remote shielded counting station with a transit time of 2-3 s. The thermal neutron flux at the irradiation site was approximately 1.5×106 cm−2 s−1. 235 U (93% isotopic content), 239Pu (95% isotopic content) and, as representative of the characteristics of some cargo loadings, wood, polyethylene, aluminum, sandstone, and steel were irradiated. In each case, targets were repeatedly subjected to cycles of 30-s irradiations followed by 30-s counting periods, during which 10 sequential 3.0-s γ-ray spectra were acquired. Counting began 3 s after the end of irradiation. γ-rays were detected with an 80% relative efficiency coaxial high purity Ge (“HPGe”) detector and with a 30-cm×30-cm×10-cm plastic scintillator. Data were acquired and sorted using ORTEC PC-based electronics and software. FIG. 2 is a γ-ray spectra observed in the HPGe detector in 30 seconds of live time following the neutron irradiation of 0.568 grams of 239Pu and of 115 grams of steel. In order to display these two spectra on the same plot, offsets of 30 and 10 counts per channel were added to the data obtained from the 239Pu and steel targets, respectively. FIG. 2A (inset) is a graph of background-corrected decay curves for gamma rays in the energy intervals 3000-4000 keV and 4000-8000 keV observed from the 239Pu target. Similar results were obtained from a 235U target. FIG. 2 shows γ-ray spectra for E≧1.0 MeV acquired with the HPGe detector from irradiation of 0.568 grams of 239Pu and 115 grams of steel. The temporal behavior of detected high-energy events is shown in the inset, FIG. 2A. Both the energy and temporal distributions of the high-energy γ rays from thermal fission of 235U are quite similar to those shown for 239Pu but their intensity per fission is about a factor of 3 larger. Also, results similar to those shown for steel were found from the irradiation of wood, polyethylene, aluminum and sandstone in the most important characteristic, i.e., no spectrum indicated the presence γ rays with energies exceeding 3.0 MeV. From the steel target, a small number of lower-energy γ rays produced by the decays of long-lived isotopes such as 56Mn (t1/2=2.58 hours) were observed. To the contrary, the spectrum from 239Pu is indicative of fairly intense γ-ray emission at E≧3.0 MeV that extends to at least 5.5-6.0 MeV. It is also clear, as expected, that the high-energy intensity is spread over a relatively large number of lines rather than concentrated in only a few. Thus, a simple and sensitive method to identify fissile material may integrate the total number of events in a wide energy interval, regardless of whether the events represent full- or partial-energy depositions. The results from this type of analysis for the energy intervals 3-4 MeV and 4-8 MeV are shown in FIG. 2A (inset). The integrated numbers of events from irradiated 235U and 239Pu showed decays with a short effective half-life of approximately 25 seconds, whereas those from all other materials tested showed much longer decay times. The two features—large numbers of γ rays with energies above 3.0 MeV and a short effective half-life—are unique signatures of 235U and 239Pu. Because of the high-density of γ-ray lines produced by the decay of fission fragments, a practical system for interrogating large objects does not necessarily require high-resolution detectors, such as the above HPGe detector. For example, the energy spectrum shown in FIG. 2 was generated using a high-resolution detector and thus various sharp energy counts are displayed. However, had a low-resolution detector been used, then the overall triangular shape of the spectrum of FIG. 2, without the sharp lines would have been produced. In fact, essentially the same results shown in FIG. 2 were obtained with the low-resolution plastic scintillator described above. This is particularly significant because such scintillators are sufficiently low in cost that allow one to form a large array of such devices surrounding a cargo container to provide a large solid angle for detecting photons. To demonstrate that a system and method as described above is easily scalable, even all the way up to a large container, and thus yields practical results in reasonable times, the response of an array of detectors following a 30-s irradiation of a cargo container with a source producing 1011 14 MeV neutrons s−1 is estimated as follows. As a worse-case scenario, in the full-scale system, the cargo is assumed to be wood with a 5-cm (diameter) sphere of 239Pu located at its center. An embodiment of such a full-scale system 300 is shown in FIG. 3. FIG. 3 shows neutron beam source 302 directing neutrons at a cargo container 304 that is suspected of containing SNM 306. Any beam generating system that is capable of providing such a flux may be used with the system of the present invention. For example, a compact linear accelerator, such as a LINAC and an appropriate target (e.g., Be) may be configured to provide the necessary flux. Preferably the beam emits neutrons isotropically so as to adequately scan the container. Alternately, the beam may be an anisotropic beam that is scanned across the container using a scanning system. The neutron beam may be a D-D or a D-T produced beam. The cargo container 304 is surrounded by an array of detectors 308 that are used to detect high-energy gammas that are emitted from fission products produced by the thermal fission of the SNM nuclei by the neutrons that have been moderated on their way to the SNM target 306. The detector or detectors, or array of detectors may be Ge or HPGe detectors or liquid or plastic scintillators, or other suitable gamma ray detectors. In one embodiment, the cargo container 304 is moved on a rail car in position relative to the beam source 302 and the detectors 308. The cargo is then irradiated for a time period (e.g., 30 sec.) and then after the irradiation, counting is conducted for another time period (e.g., 30 sec.). Alternately, the cargo container 304 is placed on a moving conveyor and it is irradiated and counted in a continually moving configuration. The counting period is not limited to a 30-second period, so long as the period is capable of adequately capturing gamma rays having a half-life on the order of 20 to 30 seconds. For a worse-case determination, the 1011 14 MeV neutrons s−1 beam is considered to be approximately 15 feet away from the container 304. With no attenuation, the neutron flux at a distance of approximately 15 feet will be approximately 3.84 neutrons/cm2-sec (e.g. 1/r2 attenuation). Based on a very conservative estimate that 90% of all neutrons are absorbed by other cargo, then the resulting flux at the SNM target will be approximately 3.83 neutrons/cm2-sec. Integrated over a 30-second irradiation window, the resulting neutron fluence is approximately 1×105 n/cm2. Referring to FIG. 4, and considering that a thermal neutron has an attenuation length in 235U or 239Pu of on the order of 1 mm, then the available target mass for a 5 cm diameter target is approximately 500 grams. Using conservative text book calculations, the resulting gamma yield of gamma particles above 3 MeV that are emitted in a 30 second window is approximately 1.0×105 gamma particles. Again using a very conservative estimate and estimating that approximately 10% of the high-energy gammas escape the container, then it is estimated that approximately 1000 high-energy gamma events are expected to be detected in a 30-second counting window following the thermal fission of 239Pu, and approximately 350 detected γ-ray events above 3 MeV for 235U. These very conservative scaling calculations show that with currently available technology, an entire cargo container may be scanned for 235U and 239Pu and other SNM in approximately less than one minute. Possible interferences from activities induced in other materials are few and can be negated substantially by appropriate choice of the interrogating source, as is known to those of skill in the detection of radio nuclides. Furthermore, the system in accordance with the embodiments of the present invention, when combined with a radiographic imaging system, is even more attractive for rapid identification of 235U and 239Pu and other fissile materials in a wide range of applications. As will be understood by those skilled in the art, the present invention may be embodied in other specific forms without departing from the essential characteristics thereof. For example, the source of neutrons may be any source including a D-D or a D-T source that gets moderated on its way to the SNM target to induce a thermal fission in a portion of the SNM. Or that the detectors and their signal processing software and devices may be any setup that is capable of obtaining a time-dependant energy spectrum for the high-energy gamma rays that have been emitted from the fission products of the thermal fission of a portion of the SNM. These other embodiments are intended to be included within the scope of the present invention, which is set forth in the following claims.
description
Embodiments of the present invention will be described with reference to the accompanying drawings. FIG. 1 is a block diagram showing an exemplary configuration of a CT apparatus according to an embodiment of the present invention. The CT apparatus may include a gantry section 100, a control section 101, an operation section 102, and a data processing section 103. The gantry section 100 is for implementing radiography against a specimen, such as a patient, P. The control section 101 is for controlling the gantry section 100, and the data processing section 103, for example. The operation section 102 is for inputting various kinds of information and/or operations by a doctor and/or a radiological technologist (hereinafter referred to as an operator). The data processing section 103 is for data processing including an image reconstruction processing. The gantry section 100 may include an X-ray tube 1, an X-ray detector 2, a rotation mechanism 3, and a bed 4. The X-ray tube 1 radiates (generates) an X-ray which is exposed to the specimen P lying on the bed 4. The X-ray detector 2 may comprise a plurality of detecting elements aligned in two-dimensions. In more detail, a plurality of detecting elements are provided along a body axis of the specimen P in the X-ray detector 2. Also, there are a plurality of detecting elements along an axis orthogonal with the body axis (hereinafter referred to as channel directions). These detecting elements aligned along the body axis and in the channel directions form the two dimensions. In the following description, unless otherwise mentioned, the explanation will be made only regarding the detecting elements along the body axis. Therefore, the detecting elements regarding another dimension (i.e. the channel direction) are omitted in the following explanation. The X-ray detector 2 detects an X-ray which has been transmitted through the specimen P as a result of the X-ray exposure to the specimen P from the X-ray tube 1. The X-ray detector 2 outputs detected X-ray data. The rotation mechanism 3 holds the X-ray tube 1 and the X-ray detector 2 facing to each other and also at the opposite side of the specimen P each other. The rotation mechanism 3 rotates the X-ray tube 1 and the X-ray detector 2 around the specimen P. The rotation mechanism 3 may usually be fixed at a predetermined position, but may also be movable along the body axis and/or in the channel directions if it is needed. The bed 4 is what the specimen P lies on for an examination. The bed 4 is moved along the body axis and also in the channel directions so that a predetermined part of the specimen P can be positioned appropriately for the examination. The bed 4 is further movable up and down against a floor where the CT apparatus is provided. The control section 101 may include a rotation control unit 5, an X-ray control unit 6, a bed control unit 7, a detector control unit 8, a scan control unit 9, and a system control unit 10. The rotation control unit 5 controls the rotation mechanism 3 to rotate and stop the rotation. The X-ray control unit 6 controls the X-ray tube 1 to be supplied a power and to radiate an X-ray in a predetermined condition. The X-ray control unit 6 may also control a collimator (described later) to collimate the X-ray radiated from the X-ray tube 1 so as to change an X-ray radiation angle or so that the X-ray (transmitted through the specimen P) is exposed to a predetermined range, particularly to a predetermined exposure width along the body axis, of the X-ray detector 2. The rotation control unit 5 described before or the X-ray control unit 6 may further control a position of the X-ray tube 1 to shift towards the X-ray detector 2 in a direction orthogonal with the body axis. This shift may have a similar effect to the control of the collimator since an X-ray exposure range or width can be changed by the shift. The bed control unit 7 controls the bed 4 to move in three-dimensional directions. The detector control unit 8 controls the X-ray detector 2 to change detection timings, for example. The scan control unit 9 controls the rotation control unit 5, the X-ray control unit 6, the bed control unit 7, and the detector control unit 8 so that the controls by these control units described before may be accomplished. The scan control unit 9 further controls a DAS unit 13. This control will be described later. The system control unit 10 controls a whole part of the CT apparatus. Especially, the system control unit 10 controls the scan control unit 9. Other control operations by the system control unit 10 will be described later. The operation section 102 may include a button switch 11 and an. operation panel 12. The button switch 11 is connected with the system control unit 10 and is operative to input a designation that a pre-scan should be terminated. The pre-scan will be described later. The operation panel 12 is connected with the system control unit 10, too. The operation panel 12 is operative to input information regarding, for example, a position of the pre-scan, a condition of the pre-scan, a position of an image scan, a condition of the image scan, and a delay-time from the termination of the pre-scan to the initiation of the image scan. In other words, the delay time is defined as a time difference between the termination of the pre-scan and the initiation of the image scan. The image scan will be explained later. The data processing section 103 may include the DAS unit 13, a memory 14, a reconstruction unit 15, an image memory 16, an ROI setting unit 17, a CT number calculation unit 18, a chart preparation unit 19, an image memory 20, an adder 21, and an image display 22. Here, DAS stands for data acquisition system. The DAS unit 13 comprises a plurality of data acquisition system elements (hereinafter referred to as DAS elements). The DAS unit 13 acquires data detected and output by the X-ray detector 2 in accordance with a connection between the detecting elements and the DAS elements. The acquisition result may depend on how the detecting elements are connected to the DAS elements. The connection may be controlled or switched by an analog switch. The analog switch will be explained later. The DAS unit 13 outputs acquired data as projection data. The memory 14 stores the projection data output from the DAS unit 13. The reconstruction unit 15 is controlled by the system control unit 10 and performs a reconstruction processing based on the projection data stored in the memory 14 so as to produce reconstructed images (hereinafter referred to as tomographies). The reconstruction processing by the reconstruction unit 15 is performed substantially in real time. The real time reconstruction may be defined that a tomography T or, more generally, an image is reconstructed based on projection data obtained from a plurality of (the number, enough or necessary for the reconstruction, of) directions around the specimen P, for example, covering 360 degrees around the specimen P within a time less than a time required for acquiring projection data from the number, enough or necessary for the reconstruction of the tomography T, of directions. In practice, one of the examples is a reconstruction processing using projection data which are acquired in first predetermined degrees and projection data already acquired for the previous image. In this case, the projection data for the previous image, from directions corresponding to the first predetermined degrees are not used for the reconstruction processing. The image memory 16 stores the reconstructed data as the tomographies. The ROI setting unit 17 sets a region of interest (ROI) for the tomographies stored in the image memory 16. The ROI is an area determined to be focused in diagnosis. The CT number calculation unit 18 calculates a mean CT number in the ROI of each of the tomographies stored in the image memory 16. The chart preparation unit 19 produces and prepares a chart showing the CT numbers plotted along a time elapse updated as each of the CT numbers is calculated for each of the tomographies. The image memory 20 stores the chart. The adder 21 adds the chart stored in the image memory 20 to the tomographies stored in the image memory 16. The image display 22 displays the tomographies with the chart in its monitor. In fact, each tomography corresponding to each scanned slice is displayed with the chart in real time. The chart shows a CT number corresponding to the each tomography with the past CT numbers as shown in FIG. 7, for example. Next, the system control unit 10 is described in more detail with reference to FIG. 2. FIG. 2 is a diagram showing an exemplary configuration of the system control unit 10 according to an embodiment of the present invention. The system control unit 10 may include a pre-scan control unit 31, an image scan control unit 32, and a universal control unit 33. The pre-scan control unit 31 is connected with the button switch 11 and the operation panel 12. The pre-scan control unit 31 may also be connected with the CT number calculation unit 18. The image scan control unit 32 is connected with the operation panel 12. The pre-scan control unit 31 receives the designation signal designating termination of the pre-scan from the button switch 11. The designation signal may be generated in response to a press of the button switch 11 by the operator. The pre-scan control unit 31 also receives the information input from the operation panel. 12. The information to be received by the pre-scan control unit 31 includes a position of the pre-scan and a condition of the pre-scan, such as, for example, an X-ray tube current, a slice thickness, and a scanning period, if necessary. The position and the condition received by the pre-scan control unit 31 are provided to the universal control unit 33 and further on to the gantry section 100. Accordingly the pre-scan will be implemented in accordance with the position and the condition. During the pre-scan, the operator observes the CT numbers in the chart and/or changing looks in the ROI of the tomographies displayed in the image display 22. When the operator determines that the CT number has reached a predetermined reference value or the contrast agent has reached enough to the slice position of the pre-scan, for example, as shown in FIG. 6, the operator may press the button switch 11. The button switch 11 generates the designation signal. Responsive to the designation signal, the pre-scan control unit 31 informs the universal control unit 33 of that the pre-scan should be terminated. Accordingly, the X-ray for the pre-scan is terminated in the X-ray tube 1. Further, the pre-scan control unit 31 provides the image scan control unit 32 with a notice of the pre-scan termination. Instead of the designation signal from the button switch 11, which is manually operated, an alternative designation signal may be provided from the CT number calculation unit 18. If the CT number calculation unit 18 has a feature of determining whether the calculated CT number has reached the reference value or not and of giving a notice of such reaching to the pre-scan control unit 31, the pre-scan control unit 31 receives the notice as the alternative designation signal and acts in a similar manner to the above description. In such an automatic operation, it can be possible to avoid missing an appropriate timing for terminating the pre-scan and moving on to the image scan even if the operator accidentally failed to press the button switch 11 at the timing. In practice, it may be preferable to equip both the button switch 11 and the automatic notice feature so that one of these can be selected or both of these can be utilized together as the operator prefers. Similar to the pre-scan control unit 31, the image scan control unit 32 receives the information input from the operation panel 12. The information to be received by the image scan control unit 32 includes a position of the image scan and a condition of the image scan. The position information may include an initial position of the image scan and an end position of the image scan. The condition information may include an X-ray tube current for the image scan, a slice thickness for the image scan, and the delay time. The position and the condition received by the image scan control unit 32 are provided to the universal control unit 33 and further on to the gantry section 100. Accordingly, the image scan will be ready for implementing in accordance with the position and the condition. As explained before, the delay time is a time difference between the termination of the pre-scan and the initiation of the image scan. That is to say, the delay time indicates how long after the termination of the pre-scan the image scan should be initiated. The appropriate or desired delay time may be determined based on experiences of the operator. A period for the delay time may depend on the specimen P, an examining part of the body, a distance between the positions of the pre-scan and the image scan, or the like. After the delay time has elapsed from the time of the notice from the pre-scan control unit 31, the image scan control unit 32 informs the universal control unit 33 of that the image scan should be initiated. Accordingly, the image scan is initiated in the gantry section 100. The universal control unit 33 is connected to the scan control unit 9 and to the reconstruction unit 15. FIG 3 is an illustration showing exemplary positions of the pre-scan and the image scan according to an embodiment of the present invention. In FIG. 3, an exemplary pre-scan position PP is determined at a jugular of the specimen P. Further, an exemplary image scan position IP is determined at a head of the specimen P. As recognized in FIG. 3, the pre-scan position PP may be fixed at one position since the purpose of the pre-scan is to monitor whether the injected contrast agent has reached the pre-scan position or not, which is an indication that the contrast agent may soon be reaching the image scan position. In this example shown in FIG. 3, there is a distance d between the pre-scan position PP and an initial position IIP of the image scan. It may be usual to move the bed 4 towards the feet of the specimen P along the body axis with the distance d when the pre-scan has been terminated at the pre-scan position PP. FIG. 4 is an illustration showing an example of data acquisition patterns according to an embodiment of the present invention. The X-ray detector 2 comprises a plurality of detecting elements in two-dimensional direction as explained before The number of the detecting elements may depend on kinds of X-ray detectors. In FIG. 4, there are shown eight detecting elements a-h of the X-ray detector 2. This means that the X-ray detector 2 includes at least eight detecting elements a-h along the body axis. When the eight detecting elements a-h are ready for a use in detection, this is defined as a slice width with eight detecting elements. Detected data in the detecting elements a-h are acquired in the DAS unit 13. Switching by the analog switch provided between the X-ray detector 2 and the DAS unit 13, a result of the data acquisition may change as explained before. In FIG. 4, when each two of the adjacent detecting elements, such as a detecting element a and a detecting element b, a detecting element c and a detecting element d, a detecting element e and a detecting element f, and a detecting element g and a detecting element h, are added (stacked) by the switching, the acquisition result comprises four segments A, B, C, and D (pattern (i)). Each segment uses detected data from each two detecting elements and has a slice thickness twice as wide as a width of one detecting element. One segment corresponds to one slice in the multi-slice scan. Therefore, in the pattern (i), the X-ray acquisition is made with the slice width of eight detecting elements. In the slice width, four slices are included, each of which has a slice thickness equivalent of two detecting elements. In the pre-scan, the following pattern (ii) may be used. In the pattern (ii), only four detecting elements c-f are used for detection. When each two of adjacent detecting elements, such as a detecting element c and a detecting element d, and a detecting element e and a detecting element f, are added (stacked) by the switching, the acquisition result comprises two segments B and C. Each segment (slice) has a slice thickness twice as wide as the width of one detecting element. Therefore, in the pattern (ii), the X-ray acquisition is made with the slice width of four detecting elements. In the slice width, two slices are included, each of which has a slice thickness equivalent of two detecting elements. This is because the pre-scan does not require a wide range of scanning since it is only for monitoring arrival of the contrast agent. Further, it is important to avoid unnecessary X-ray exposure to the specimen P. Since the pre-scan is usually implemented with a lower intensity of an X-ray than the image scan, the addition (stack) of two detecting elements may be useful in the pre-scan in order to improve a quality of the tomographies displayed in the image display 22. Usually a monitored blood vessel in the ROI where the contrast agent flows with blood is very thin and so it may not be easy to recognize an appearance of the contrast agent if an image quality is inferior. When it comes to the image scan, the following pattern (iii) may be applied. In the pattern (iii), since no addition (stack) of the detecting elements is made, the acquisition result comprises eight segments a to h. Each segment (slice) has the same slice thickness as the width of one detecting element. This makes it possible to scan a wide range in a single rotation scan which makes it shorter in time than when the scan is made in the condition of the pattern (ii). Scanning in a shorter time is helpful to reduce X-ray exposure to the specimen P. Therefore, in the pattern (iii), the X-ray acquisition is made with the slice width of eight detecting elements. In the slice width, eight slices are included, each of which has a slice thickness equivalent of one detecting element. The pre-scan in the above pattern (ii) will be described in more details with reference to FIG. 5. FIG. 5 is a block diagram showing a configuration regarding an X-ray detection and a data acquisition in the pre-scan according to an embodiment of the present invention. In order to have a clear image for the slice thickness equivalent of a width resulting from a stack of the two detecting elements, the X-ray detector 2 is visualized as including four detecting segments DE12, DE34, DE56, and DE78. For example, the detecting segment DE34 means a stack of detecting elements DE3 and DE4. As explained in FIG. 4, the pre-scan is implemented in two-slice scan while the X-ray tube 1 radiates an X-ray so that a transmission X-ray transmitted from the specimen P resulting from the X-ray by the X-ray tube 1 is exposed to two detecting segments DE34 and DE56. Therefore, for example, the detecting segment DE34 may correspond to a first slice and the detecting segment DE56 may correspond to a second slice. In other words, a first transmission X-ray transmitted from a first part of the specimen P regarding the first slice in the pre-scan may be detected in the detecting segment DE34, Similarly, a second transmission X-ray transmitted from a second part of the specimen P regarding the second slice in the pre-scan may be detected in the detecting segment DE56. X-ray data detected by the detecting segments DE34 and DE56 are supplied to the DAS unit 13 through an analog switch 50. The analog switch 50 is provided in between the X-ray detector 2 and the DAS unit 13 and switches the connection or the relationship between detecting elements DE1 to DE8 and DAS elements DAS1 to DAS8. In FIG. 5, for example, the detecting elements DE3 and DE4 included in the detecting segment DE34 may be connected to the DAS element DAS4 by the analog switch 50. Similarly, for example, the detecting elements DE5 and DE6 included in the detecting segment DE56 may be connected to the DAS element DAS5 by the analog switch 50. The DAS element DAS4 acquires data detected by the detecting elements DE3 and DE4 and supplies acquired data to the reconstruction unit 15 for the image reconstruction processing through the memory 14. Similarly, the DAS element DAS5 acquires data detected by the detecting elements DE5 and DE6 and supplies acquired data to the reconstruction unit 15 for the image reconstruction processing through the memory 14. The other DAS elements DAS1, DAS2, DAS3, DAS6, DAS7, and DAS8 may or may not be supplied data which are not based on the X-ray radiation by the X-ray tube 1. Such supplied data may not be supplied to the reconstruction unit 15. Once the pre-scan is initiated with the X-ray detector 2, the analog switch 50, and the DAS unit 13 in the condition described above, the operator begins to observe tomographies displayed in the image display 22. In the observation, the operator concentrates on the ROI set in advance. FIG. 6 is an illustration showing an example of displayed tomographies according to an embodiment of the present invention. Immediately after the initiation of the pre-scan, the image display 22 displays a tomography 60. In the tomography 60, there is set an ROI 62 including the carotid artery 63 as shown in the left side of FIG. 6. Since it is an initial stage, the contrast agent injected to the specimen P has not arrived yet at the carotid artery 63 at the pre-scan slice position. Therefore, the contrast agent is not appearing in the carotid artery 63 in the displayed tomography 60 yet. Contrary to the initial stage, in a predetermined time after the initial stage, a tomography 61 may be displayed in the image display 22. As a result of elapse of the predetermined time, the contrast agent injected to the specimen P has arrived at the carotid artery 63 at the pre-scan slice position. Therefore, the contrast agent is observed in the carotid artery 63 in the tomography 61. In the image display 22, every tomography during the pre-scan is continuously displayed in a manner similar to a cine-mode display, which is like a moving picture. When the tomographies such as those shown in FIG. 6 are displayed, a chart showing CT numbers may be displayed together with the tomographies, too. The tomographies and the chart may alternatively be switched each other and displayed independently. FIGS. 7 to 9 are charts showing exemplary aspects of transition of the contrast agent at the pre-scan position according to an embodiment of the present invention. As explained above, the contrast agent is not appearing in the carotid artery at the pre-scan slice position at the very initial stage. Afterwards, as time goes by, the contrast agent begins to reach the pre-scan slice position little by little. One aspect in such a stage is recognized in a chart showing transition of CT numbers in the ROI of tomographies at the pre-scan slice position as shown in FIG. 7. Since the pre-scan is initiated a little after the contrast agent injection, the CT numbers obtained based on the tomographies in the pre-scan has already started to increase slowly. Further later on, the CT numbers increase more, and reach the predetermined reference value as shown in FIG. 8 while a tomography displayed in the image display 22 at this stage may look like the tomography 61 already shown in FIG. 6. It would be useful to set such a predetermined reference value for the CT number in the event that the pre-scan is set to be automatically terminated. Even if not, it may still be helpful to set the predetermined reference value since it could help the operator to judge the timing to terminate the pre-scan. If the pre-scan continued at the pre-scan slice position, the transition of the CT numbers might be tracked as shown in FIG. 9. After the CT number has reached a peak level, it goes down to, the level equivalent of that at the initial stage as the contrast agent passes and runs off the pre-scan slice position. Responsive to the termination of the pre-scan, the bed 2 or perhaps a set of the X-ray tube 1 and the X-ray detector 2 is moved to the position where the image scan is initiated. When the scan position is changed, the slice width of the X-ray detector 2 is also changed wider as shown in FIG. 10. FIG. 10 is an illustration showing an example of an X-ray exposure width change of the X-ray detector 2 according to an embodiment of the present invention. As explained in FIGS. 4 and 5, the pre-scan is implemented with a narrower first slice width than the image scan and may, for example, be implemented with the first slice width equivalent of a width of four detecting elements. A collimator 70 collimates the X-ray radiated from the X-ray tube 1 so that a collimated X-ray is exposed to a width corresponding to the first slice width of the X-ray detector 2. Further, the slice thickness may be equivalent of a width of two detecting elements. This is recognized in the left of FIG. 10. On the other hand, the image scan can be recognized in the right of FIG. 10. In the image scan, compared to the pre-scan, a second slice width may be set to the equivalence of eight detecting elements as explained as the pattern (iii) in FIG. 4. The collimator 70 collimates the X-ray radiated from the X-ray tube 1 with a wider aperture than in the pre-scan so that a collimated X-ray is exposed to a width corresponding to the second slice width of the X-ray detector 2. Further, the slice thickness may be equivalent of the width of one detecting element. Regarding the pre-scan, the exemplary connection between the X-ray detector 2 and the DAS unit 13 was described with reference to FIG. 5. In a similar fashion, an exemplary connection between the X-ray detector 2 and the DAS unit 13 regarding the image scan will be described with reference to FIG. 11. FIG. 11 is a block diagram showing a configuration regarding an X-ray detection and a data acquisition in the image scan according to an embodiment of the present invention. The X-ray detector 2 may include detecting elements DE1 to DE8 as similar to FIG. 5. Here, however, each detecting element may be used for each slice as one detecting segment. The image scan is implemented in eight-slice scan while the X-ray tube 1 radiates an X-ray so that a transmission X-ray transmitted from the specimen P resulting from the X-ray by the X-ray tube 1 is exposed to eight detecting elements (segments) DE1 to DE8. Therefore, for example, the detecting elements DE1 to DE8 may correspond to a first slice to a eighth slice. In other words, a first transmission X-ray transmitted from a first part of the specimen P regarding the first slice in the image scan may be detected in the detecting element DE1. Similarly, a second transmission X-ray transmitted from a second part of the specimen P regarding the second slice in the image scan may be detected in the detecting element DE2. Further, a similar manner may be applied to the detecting elements DE3 to DE8. X-ray data detected by the detecting elements DE1 to DE8 are supplied to the DAS unit 13 through the analog switch 50. The analog switch 50 is provided in between the X-ray detector 2 and the DAS unit 13 and switches the connection or the relationship between the detecting elements DE1 to DES and the DAS elements DAS1 to DAS8. As a preparation for the switching from the pre-scum to the image scan, the analog switch 50 needs to switch the previous connection made for the pre-scan to a connection for the image scan. This is because, for example, the detecting elements DE3 and DE4 were stacked and their output data were supplied to the DAS element DAS4 in the pre-scan. In FIG. 10, instead, the detecting elements DE1 to DE8 may be connected to the DAS elements DAS1 to DAS8, respectively, by the analog switch 50. The DAS element DAS1 acquires data detected by the detecting element DE1 and supplies acquired data to the reconstruction unit 15 for the image reconstruction processing through the memory 14. Similarly, the DAS elements DAS2 to DAS8 acquire data detected by the detecting elements DE2 to DE8, respectively, and supply acquired data to the reconstruction unit 15 for the image reconstruction processing through the memory 14. With the above condition, the image scan will be initiated in the predetermined delay time after the termination of the pre-scan. When, however, the analog switch 50 has been changed and accordingly the connection status has been changed in between the detecting elements DE1 to DE8 and the DAS elements DAS1 to DAS8, an offset correction may be required for avoiding appearance of undesired noises in tomographies due to the connection change. For the offset correction, it is necessary for the X-ray detector 2 to detect data without an X-ray radiation by the X-ray tube 1. The data detected by the X-ray detector 2 is acquired by the DAS unit 13, and the offset correction is made based on the data acquired by the DAS unit 13. Since there tends to be a time-dependent fluctuation in the offset even if stacks between the detecting elements (a connection between the detecting elements and the DAS elements) are made in a similar fashion, it is not so effective to perform the offset correction in advance of the pre-scan, but rather immediately before the image scan. Therefore, in practice, it may be preferable to initiate the image scan after the offset correction. Even with the offset correction, it may be possible to reduce an X-ray exposure to the specimen P according to an embodiment of the present invention since the pre-scan is implemented in a narrower slice width than in the image scan. In the above case with the offset correction, a required time for the correction may not be so long. In fact, it is supposed to take only a little time (e.g. 0.5 sec) to make the offset correction. Ideally speaking, however, it is not desirable for the image scan to be accompanied by the pre-scan, which requires an extra time, besides the image scan time, and also causes an extra X-ray exposure to the specimen P in addition to the exposure necessary for the image scan. From this point of view, even such a little time for the offset correction may not be preferable. A reduction of time between the pre-scan and the image scan will be described with reference to FIGS. 12 and 13 according to an embodiment of the present invention. FIG. 12 is an illustration showing another example of an X-ray exposure width change of the X-ray detector 2 according to an embodiment of the present invention. As shown in FIG. 12, the pre-scan is implemented with a first exposure width, which comprises four detecting segments. The first exposure width is the same width as the first slice width in the pre-scan shown in FIG. 10. Each of the detecting segments has a slice thickness equivalent of a width of one detecting element. The X-ray radiated from the X-ray tube 1 is collimated by the collimator 70 so that a collimated X-ray is exposed to the first exposure width of the X-ray detector 2. This is recognized in the left of FIG. 12. On the other hand, in the image scan, an exposure width (a second exposure width) and a slice thickness are the same as the second slice width and the slice thickness in the image scan explained in FIG. 10. This is recognized in the right of FIG. 12. FIG. 13 is a block diagram showing another configuration regarding the X-ray detection and the data acquisition in the pre-scan according to an embodiment of the present invention. The X-ray detector 2 may include detecting elements DE1 to DE8 as similar to FIGS. 5 and 11. Here, each detecting element may be used for each slice as one detecting segment, not like in FIG. 5 for the pre-scan but similar to FIG. 11 for the image scan. The pre-scan is implemented in an eight-slice scan while the X-ray tube 1 radiates an X-ray which is collimated by the collimator 70 with an aperture similar to FIG. 5 so that a transmission X-ray transmitted from the specimen P resulting from the X-ray by the X-ray tube 1 is exposed to only four detecting elements (segments), such as, for example, the detecting elements DE3 to DE6. Therefore, the detecting elements DE1 to DE8 may correspond to a first slice to an eighth slice. Substantively, however, the detecting elements DE1, DE2, DE7, and DE8 are not material since these detecting elements are not exposed to the X-ray in substance, and a first transmission X-ray transmitted from a first part of the specimen P in the pre-scan may be detected in the detecting element DE3. Similarly, a second transmission X-ray transmitted from a second part of the specimen P in the pre-scan may be detected in the detecting element DE4. Further, a similar manner may be applied to the detecting elements DE5 and DE6. X-ray data detected by the detecting elements DE1 to DE8 are supplied to the DAS unit 13 through the analog switch 50. The analog switch 50 provided in between the X-ray detector 2 and the DAS unit 13 switches the connection or the relationship between detecting elements DE1 to DE8 and the DAS elements DAS1 to DAS8. Here, the detecting elements DE 1 to DE8 are connected to the DAS elements DAS1 to DAS8, respectively, by the analog switch 50. The DAS element DAS1 acquires data from the detecting element DE1 and supplies acquired data to the reconstruction unit 15 through the memory 14. Similarly, the DAS elements DAS2 to DAS8 acquire data from the detecting elements 2 to 8, respectively, and supply acquired data to the reconstruction unit 16 through the memory 14. In the reconstruction unit 15, the data supplied from the DAS elements DAS1, DAS2, DAS7, and DAS8 are not used for the reconstruction processing. This is because the DAS elements DAS1, DAS2, DAS7, and DAS8 have acquired data from the detecting elements DE1, DE2, DE7, and DE8 where the X-ray has not intentionally been exposed. The reconstruction unit 15 reconstructs tomographies with the data supplied from the DAS elements DAS3 to DAS6. Alternatively, the DAS element DAS1 acquires data from the detecting element DE1, but such acquired data may not be supplied to the reconstruction unit 15 in the end. Similarly, the DAS elements DAS2, DAS7, and DAS8 acquire data from the detecting elements 2, 7, and 8, respectively, but those acquired data may not be supplied to the reconstruction unit 15 in the end. Accordingly, the reconstruction unit 15 reconstructs the tomographies based on the data supplied from the DAS unit 13 (the DAS elements DAS3 to DAS6). This condition shows that the X-ray detector 2 is exposed to the X-ray in the first exposure width but a slice width in this pre-scan is equivalent of a width of eight detecting elements. After the reconstruction processing, image stacks may be implemented by a time of a display in the image display 22. In the image stacks, first image data reconstructed based on the data supplied from the DAS element DAS3 and second image data reconstructed based on the data supplied from the DAS element DAS4 are stacked as first tomography data. Similarly, third image data reconstructed based on the data supplied from the DAS element DAS5 and fourth image data reconstructed based on the data supplied from the DAS element DAS6 are stacked as second tomography data. Accordingly, tomographies based on the first and second tomography data are displayed in the image display 22. The displayed tomographies may have an image quality similar to that of tomographies displayed based on the data detection and acquisition in FIG. 5. According to an embodiment of the present invention, data stacks may not be limited to the way described above. As one of alternative examples, raw data stacks may be applicable regardless whether the data acquired in the DAS elements DAS1, DAS2, DAS7, and DAS8 are supplied to but not used in the reconstruction unit 15 or not supplied. In the alternative way, the data acquired in the DAS elements DAS3 and DAS4 are stacked as one data (first raw data) before the reconstruction processing in the reconstruction unit 15. Similarly, the data acquired in the DAS elements DAS5 and DAS6 are stacked as one data (second raw data) before the reconstruction processing in the reconstruction unit 15. Accordingly, the reconstruction unit 16 reconstructs tomographies based on the first raw data and the second raw data, respectively. Reconstructed tomographies are displayed as tomographies in the image display 22. According to the raw data stacks, the data stacks are implemented with a use of raw data of before the reconstruction processing. Therefore, the displayed tomographies may have an image quality similar to or rather better than that of tomographies displayed based on the image data stacks described before. After the pre scan with the condition described above, the image scan will be followed. As shown in FIG. 13, the slice width and the slice thickness in the pre-scan are the same as those shown in FIG. 11. This indicates that there is no necessity of changing the connection between the detecting elements DE1 to DE8 and the DAS elements DAS1 to DAS 8 by the analog switch 50. Therefore, without making the offset correction, the image scan will be initiated in a predetermined delay time after the termination of the pre-scan. This contributes to a reduction of an examination time. Next, an operation of the CT apparatus will be explained with reference to FIG. 14 when the CT apparatus is configured as described above. FIG. 14 is a flowchart showing an exemplary examination procedure in the CT apparatus according to an embodiment of the present invention. In FIG. 14, an examination with a contrast agent will be taken as an example of the examination procedure. First of all, the contrast agent is injected to the specimen P so as to enhance a particular part of his or her body in tomographies obtained by the CT apparatus (step S1). Immediately after the injection in step S1, the pre-scan is initiated with an X-ray exposed to a first width of the X-ray detector 2 (e.g. to four detecting elements) along the body axis (step S2). The pre-scan may be fixed and performed at the first position of the specimen P with an X-ray intensity lower than that for a coming image scan. The first position may be adjacent to the second position where the image scan is initiated. During the pre-scan, tomographies obtained may be displayed sequentially and substantially in real time in the image display 22. In addition, a chart showing CT numbers is displayed in the image display 22, too. The CT numbers correspond to the images displayed together. The operator observes the images and the CT numbers. During the observation, it is determined whether at least one of the CT numbers has reached or exceeded a predetermined reference value (step S3). The pre-scan is not terminated until that the at least one CT number has reached or exceeded the predetermined reference value. When a CT number corresponding to an image at a time reaches or exceeds the predetermined reference value, the pre-scan is terminated (step S4). The termination may be automatically controlled by the system control unit 10. Alternatively, the operator may terminate the pre-scan by operating the button switch 11. Responsive to the termination of the pre-scan, the scan position is changed to the second position (step S5). For initiating the image scan, it is determined whether it is necessary for the analog switch 50 to change its switching c(r not (step S6). If the connection between the detecting elements and the DAS elements are identical in between the pre-scan and the image scan, (in other words, if a predetermined slice width and a predetermined slice thickness are used in common in between the pre-scan and the image scan), the analog switch 50 is not required to switch and change the connection. If, however, at least one of a slice width and a slice thickness is different in between the pre-scan and the image scan, the analog switch 50 switches the connection between the detecting elements and the DAS elements (step S7). Following the connection switching by the analog switch 50, the offset correction may be made without radiation by the X-ray tube 1 (step S8). After completing the offset correction, the CT apparatus begins to implement the image scan (step S9). The image scan is initiated at the second position with an X-ray intensity required for the imaging. The required intensity may be higher than that in the pre-scan. The image scan may be performed in a helical (or spiral) manner. A set of the X-ray tube 1 and the X-ray detector 2 may continue to rotate around the specimen P from the initiation of the pre-scan until the termination of the image scan. When the image scan has been completed over a predetermined scanning range of the specimen P, the image scan is terminated. Although the flowchart shown in FIG. 14 has been explained above, the procedure flow of the CT apparatus according to embodiments of the present invention is not limited to the above description made for FIG 14. Any explanation made in the embodiments described above can be applied to any applicable process as appropriately. Further, the pre-scan is not limited to a two-slice scan or a four-slice scan described above. Any number of slice scan, including a single slice scan, may be applied to the pre-scan as appropriately in accordance with an examination situation, according to embodiments of the present invention. Still further, in the embodiments of the present invention, the CT apparatus may have a random access memory (RAM), which can receive and store computer programs and applications as computer readable instructions in a temporary and/or non-volatile state. The CT apparatus may further have a hard disk drive as part of the controller for reading from and writing to a hard disk, a magnetic disk drive for reading from and writing to a magnetic disk, and/or an optical disk drive for reading from and writing to an optical disk (such as a CD, CDR, CD-RW, DVD, or other optical device). Those skilled in the art will appreciate that one or more of such memory, drives, and their respective media are examples of a computer program product for storing computer readable instructions, which when executed, may implement an embodiment of the present invention. Accordingly, a CT apparatus which does not incorporate features of embodiments of the present invention can benefit the features as long as the CT apparatus is equipped with a feature of a multi-slice scan and a real time scan as well as a feature of reading and performing a computer readable program. The embodiments of the present invention described above are examples described only for making it easier to understand the present invention, and are not described for the limitation of the present invention. Consequently, each component and element disclosed in the embodiments of the present invention may be redesigned or modified to its equivalent within a scope of the present invention. Furthermore, any possible combination of such components and elements may be included in a scope of the present invention as long as an advantage similar to those obtained according to the above embodiments of the present invention is obtained.
abstract
Processes, systems, and methods for selectively regenerating an ion exchange resin generally comprises washing the ion exchange resin with an elution agent that encourages only selected contaminants, and especially selected radioactive isotopes, to disengage or decouple from the resin and enter solution in the elution agent, which thereafter is identified as the elution agent solution. The elution agent solution is then passed through a column of isotope-specific media (ISM). When the selected radioactive isotopes within the elution agent solution come into contact with the constituent media isotopes of the ISM, the selected radioactive isotopes are retained on the reactive surface areas of the ISM or within the interstitial spaces of the porous structures of the constituent media isotopes of the ISM. In some embodiments, the constituent media isotopes of the ISM are embedded, impregnated, or coated with the specific radioactive isotope that the particular ISM are adapted to separate.
abstract
[Solving Means] A resonance calculation program is configured to calculate an effective cross section including Step S4 of setting a calculation point in the gray range in the resonance region; Step S5 of calculating a neutron flux set at the calculation point and corresponding to a macroscopic cross section based on Method of Characteristics; Step S6 of fitting a fitting equation to the calculated macroscopic cross section and the calculated neutron flux, and calculating first and second rational coefficients; Step S7 of calculating a background cross section based on the first rational coefficient; Step S8 of interpolating the effective cross section, with the background cross section used as an argument; Step S9 of calculating the neutron flux based on the background cross section; and Step S10 of calculating the effective cross section based on the effective cross section, the neutron flux, and the second rational coefficient obtained at the coefficient calculation step.
description
The present invention claims priority under 35 U.S.C. § 119 to Japanese Patent Application 2019-50689 filed on Mar. 19, 2019, the entire contents of which is incorporated herein by reference. This disclosure relates to an X-ray analysis apparatus, and more particularly, to a technology of achieving downsizing of the apparatus and measurement of a minute sample. An X-ray analysis apparatus generally includes a goniometer including two arms. An X-ray source and an incident-side optical component are arranged on one arm, and a detector and a receiving-side optical component are arranged on the other arm. An X-ray analysis apparatus including a parallel slit on an incident side of a sample is used. In Japanese Patent Application Laid-open No. H 11-281595, a Schulz slit arranged on an incident side of a sample is disclosed. In Japanese Patent Application Laid-open No. 2015-102432, a length limiting slit arranged on an incident side of a sample is disclosed. In recent years, there has been an increasing demand for X-ray analysis apparatus capable of measuring a minute portion. As disclosed in Japanese Patent Application Laid-open No. Hei 11-281595 and Japanese Patent Application Laid-open No. 2015-102432, with the use of the Schulz slit (or length limiting slit), a line width of an X-ray beam on the incident side can be limited, and hence a region of the sample to be irradiated with the X ray can be limited. It is required of the X-ray analysis apparatus to be downsized and used in general purpose. In a general-purpose X-ray analysis apparatus, a goniometer generally has long arms, and hence a variety of optical system components can be arranged on the arms. However, when the X-ray analysis apparatus is downsized, an optical system including an X-ray generator and an incident optical system component is disadvantageously limited. This disclosure has been made in view of the above-mentioned problem, and therefore has an object to provide an X-ray analysis apparatus configured to achieve an optical system capable of measuring a minute sample, said X-ray analysis apparatus having a simple configuration and a small size. (1) In order to solve the above-mentioned problem, an X-ray analysis apparatus according to at least one embodiment of this disclosure includes: a goniometer including an incident-side arm extending in a first direction, a fixing portion, and a receiving-side arm; an X-ray source portion, which is arranged on the incident-side arm and generates an X-ray source extending in a second direction, which crosses the first direction; a support base, which is arranged on the fixing portion, and is configured to support a sample; a parallel slit, which is arranged on the fixing portion, and is configured to limit a line width along the second direction of the X-ray source generated by the X-ray source portion; and a detector, which is arranged on the receiving-side arm, and is configured to detect a scattered X-ray generated by the sample. (2) The X-ray analysis apparatus according to Item (1) may further include a moving mechanism configured to move the parallel slit in the second direction. (3) In the X-ray analysis apparatus according to Item (1) or (2), the parallel slit may have a shape that forms a part of a hollow circle. According to at least one embodiment of this disclosure, there is provided the X-ray analysis apparatus configured to achieve the optical system capable of measuring a minute portion with a simple configuration and a small size. Now, at least one embodiment of this disclosure is described with reference to the drawings. For clearer illustration, some sizes, shapes, and the like are schematically illustrated in the drawings in comparison to actual ones. However, the sizes, the shapes, and the like are merely an example, and do not limit understanding of the present invention. Further, like elements as those described relating to the drawings already referred to are denoted by like reference symbols herein and in each of the drawings, and detailed description thereof is sometimes omitted as appropriate. FIG. 1 is a schematic diagram for illustrating a configuration of an X-ray analysis apparatus 1 according to a first embodiment of this disclosure. FIG. 2 is a schematic view for illustrating a function of the X-ray analysis apparatus 1 according to the first embodiment. In FIG. 2, for simple description of the function, main parts are schematically illustrated. In this example, the X-ray analysis apparatus 1 according to the first embodiment is an X-ray diffraction measurement apparatus (XRD). However, without being limited thereto, the X-ray analysis apparatus 1 may be a small-angle X-ray scattering measurement apparatus (SAXS), or further, another X-ray analysis apparatus. The X-ray analysis apparatus 1 according to the first embodiment includes an X-ray source portion 11, an incident-side slit 12, a parallel slit 13, a support base 14 configured to support a sample 100, a two-dimensional detector 15, and a goniometer 21. The goniometer 21 is a horizontal sample mount θ-θ goniometer. The goniometer 21 includes an incident-side arm 21A, a fixing portion 21B, and a receiving-side arm 21C. The X-ray source portion 11 and the incident-side slit 12 are arranged on the incident-side arm 21A, the parallel slit 13 and the support base 14 are arranged on the fixing portion 21B, and the two-dimensional detector 15 is mounted on the receiving-side arm 21C. The goniometer 21 can perform 2θ scan while horizontally holding the sample 100 supported on the support base 14. Through horizontal mounting of the sample 100, the effect of distortion caused by the self-weight of the sample 100 can be minimized, and a risk of a drop of the sample 100 can be suppressed. In the goniometer 21, when the incident-side arm 21A (X-ray source portion 11) is rotated by an angle of θ with respect to the fixing portion 21B (support base 14), the receiving-side arm 21C (two-dimensional detector 15) is rotated by an angle of θ in reverse with respect to the fixing portion 21B. In other words, the receiving-side arm 21C is relatively rotated by an angle of 2θ with respect to the incident-side arm 21A. The X-ray source portion 11 includes a rotor target. Through irradiation of the rotor target with an electron beam having a linear cross section, X-rays are generated from the surface of the rotor target. With a slit window arranged in parallel to an axis of rotation of the rotor target, of the X-rays generated by the rotor target, X-rays transmitted through the slit window are emitted to the outside. A direction of extension of the incident-side arm 21A (direction of propagation of the X-rays passing through the slit window: first direction) is defined here as an “x-axis direction”. A plane perpendicular to the x-axis direction is defined as a “yz plane”, a direction passing through a revolved cross section of the incident-side arm 21A is defined as a “y-axis direction”, and a direction parallel to the revolved cross section is defined as a “z-axis direction”. The X-ray source portion 11 may be regarded to have a linear X-ray source 11A extending in the y-axis direction (second direction). As long as the X-ray source portion 11 includes (or may be regarded to include) the linear X-ray source 11A, the X-ray source portion 11 is not limited to the rotor target, and may be a sealed-off tube, for example. It is desired that the second direction be perpendicular to the first direction, but may be any direction crossing the first direction. Even in that case, it is desired that an angle formed by the first direction and the second direction be 85° or more (90° or less). Further, it is desired that the second direction be parallel to the plane (xy plane) of the incident-side arm 21A. The incident-side slit 12 is a single slit having a longitudinal direction in the y-axis direction, and is configured to limit divergence in the z-axis direction of the X-rays generated by the X-ray source portion 11 (width in the z-axis direction of the single slit is appropriately selected). The parallel slit 13 includes two parallel plates opposed to each other while keeping a gap of a first width W. Each parallel plate has a shape forming a part (arc) of a hollow circle (ring shape). In other words, each parallel plate has a shape formed by cutting the hollow circle by two straight lines passing through the center of the hollow circle. In this example, an arc forming the outer edge has a radius of 55 mm, and an arc forming the inner edge has a radius of 35 mm. In this case, the parallel slit 13 has a length L (to be described later) of 20 mm, and the first width W of about 0.44 mm. A stacking direction of the two parallel plates is set along the y-axis direction. The radius of the arc forming the inner edge is determined by the size of the support base 14 configured to support the sample 100. In this example, a region in which the sample 100 is arranged is contained within a distance of 21.5 mm from the center of the sample 100. For example, the sample 100 has a plate shape having a length of 35 mm. It is desired that the position of the center (of the circle) of the shape forming a part of the hollow circle be arranged on the surface of the sample 100. Depending on assembly accuracy, the position of the center may be inside the sample 100 or in the vicinity of the sample 100. The parallel slit 13 is equivalent to the structure in which a plurality of single slits having the first width W are arrayed, and has a function of limiting a line width along the y-axis direction. The parallel slit is also sometimes called as a “Schulz slit”. The support base 14 configured to support the sample 100 is arranged (fixed) on the fixing portion 21B along with the parallel slit 13. Relative positional relationship between the parallel slit 13 and the sample 100 supported by the support base 14 is fixed, and is independent of the rotations of the incident-side arm 21A and the receiving-side arm 21C of the goniometer 21. The two-dimensional detector 15 is configured to detect scattered X-rays generated by the sample 100. The scattered X-rays include diffracted X-rays generated by the sample 100. Moreover, in the first embodiment, the detector is not limited to the two-dimensional detector, and may be a one-dimensional detector or a zero-dimensional detector (for example, scintillation counter). FIG. 3 is a schematic view for illustrating the structure of the parallel slit 13 in the first embodiment. In FIG. 3, a cross section of the parallel slit 13 taken along the xy plane is illustrated. As described above, the parallel slit 13 has the structure in which the single slits having the first width W are arranged in one direction continuously without any interval, and when the length (distance between the arc of the outer edge and the arc of the inner edge) of the parallel slit 13 is represented by L, an divergence angle θ illustrated in FIG. 3 is expressed as: θ=2 arctan(W/L). For θ to be 2.5° or less when W=0.5 mm, it is required that the length L of the parallel slit 13 be 22.91 mm or more. The X-ray analysis apparatus 1 according to the first embodiment can achieve, by a simple method, a Bragg-Brentano optical system (collecting method) or a minute-portion (point) measurement apparatus by means of a parallel beam method, which uses the linear X-ray source 11A. With the combined use of the parallel slit 13 and the two-dimensional detector (N-dimensional detector: N=0, 1, or 2), smearing is prevented from being generated. In the X-ray analysis apparatus 1 according to the first embodiment, the linear X-ray source 11A is used to achieve an optical system with a large amount (high flux) of an X-ray beam with which to irradiate the sample 100. Further, with the combination of the parallel slit 13, switching to a minute-portion optical system can be performed in a simple manner. With the fixed positional relationship of the sample 100 and the parallel slit 13 and the shape of (two parallel plates of) the parallel slit 13, variations in intensity of the X-ray beam with which to irradiate the sample 100 with respect to the rotation of the goniometer 21 are reduced. In the Bragg-Brentano optical system, measurement in which an irradiation area of the X-ray beam is substantially and uniformly limited can be performed. Therefore, through collection of light while limiting the irradiation area, the variations in intensity depending on the orientation of the sample can be reduced. The X-ray analysis apparatus 1 according to the first embodiment can be achieved in a simple manner with the use of an existing X-ray source and an existing incident-side optical component, for example, except that the parallel slit 13 is arranged on the fixing portion 21B, on which the support base 14 is arranged. The X-ray analysis apparatus 1 according to the first embodiment is an optical system configured to measure a minute portion, and is most suitable for pole measurement and stress measurement in addition to the measurement of the minute portion. An X-ray analysis apparatus 1 according to a second embodiment of this disclosure has the same configuration as that of the X-ray analysis apparatus 1 according to the first embodiment except that the parallel slit 13 includes a moving mechanism 25 configured to move the parallel slit 13 at least in the y-axis direction. FIG. 4A and FIG. 4B are schematic views for illustrating a configuration of a main part of the X-ray analysis apparatus 1 according to the second embodiment. With the parallel slit 13 including the moving mechanism 25, a slit portion of the parallel slit 13 can be moved in the y-axis direction. In FIG. 4A and FIG. 4B, the parallel slit 13 at different positions and different regions (irradiation regions IR and IR′) of the sample 100 irradiated with the X-ray beam through the scanning in the y-axis direction are illustrated. As a result, mapping measurement in the y-axis direction can be performed with the sample 100 being fixed. Through restricting the movement of the slit portion (gap of the first width W) of the parallel slit 13 along the y-axis direction within a range of both linear ends (range of linear length P) of the linear X-ray source 11A in the y-axis direction, the variations in intensity of the X-ray beam with which to irradiate the sample 100 can be reduced for the scanning in the y-axis direction. Further, near the both linear ends of the linear X-ray source 11A, the intensity of the X-ray beam is also reduced. Therefore, in order to avoid the effect of the both end portions, it is further desired that the movement of the slit portion of the parallel slit 13 in the y-axis direction be restricted to the inside of a predetermined length q from each of the both linear ends. In other words, a movement range s of the parallel slit 13 is P-2q. In this example, the linear length P of the linear X-ray source 11A is about 12 mm, and the sample position has a range of about 20 mm. The predetermined length q for satisfactorily suppressing the effect of the both linear ends is about 4 mm, and hence the movement range of the slit portion of the parallel slit 13 along the y-axis direction is about 4 mm. In the X-ray analysis apparatus 1 according to the second embodiment, the mapping measurement with the sample 100 being fixed can be performed. Further, during the mapping measurement, variations in amount of the X-ray beam with which to irradiate the sample 100 is reduced. In the second embodiment, the parallel slit 13 includes the moving mechanism 25, and the moving mechanism 25 is configured to move the parallel slit 13 in the y-axis direction. However, this disclosure is not limited thereto. The parallel slit 13 may be fixed, and a moving mechanism configured to move the support base 14 may be included. In this case, the moving mechanism can move the sample 100 in the y-axis direction by moving the support base 14 in the y-axis direction. Further, with the moving mechanism moving the support base 14 on the xy plane, the mapping measurement of the sample 100 can be performed. The X-ray analysis apparatus according to each of the embodiments of this disclosure has been described above. In the above-mentioned embodiments, the shape of each of the two parallel plates of the parallel slit 13 is the shape that forms a part of the hollow circle, but this disclosure is not limited thereto. When the goniometer 21 has a small rotation range (small θ), the shape of each of the two parallel plates of the parallel slit 13 may be rectangular, and an appropriate shape may be selected as required. Further, in view of downsizing the apparatus, on the incident side of the X-ray analysis apparatus 1 according to each of the above-mentioned embodiments, only the X-ray source portion 11, the incident-side slit 12, and the parallel slit 13 are arranged so that the optical system is formed with a simple configuration, but an optical component configured to collimate the X-rays and other components may be further arranged as required. Further, on the receiving side of the X-ray analysis apparatus 1 according to each of the above-mentioned embodiments, only the two-dimensional detector 15 is arranged, but optical components, such as a receiving-side slit, may be arranged as required. In the above-mentioned embodiments, the variations in intensity of the X-ray beam depending on the orientation of the sample can be reduced. In particular, the measurement in which the irradiation area of the X-ray beam is substantially and uniformly limited can be performed. Therefore, through collection of light while limiting the irradiation area, the variations in intensity depending on the orientation of the sample can be reduced. The goniometer 21 of the X-ray analysis apparatus 1 according to each of the above-mentioned embodiments is a horizontal sample mount θ-θ goniometer. However, this disclosure is not limited thereto, and the geometer 21 may be a 20-θ goniometer, in which the incident-side arm 21A is fixed, the fixing portion 21B is rotated by an angle of θwith respect to the incident-side arm 21A, and the receiving-side arm 21C is rotated by an angle of 2θ with respect to the incident-side arm 21A. While there have been described what are at present considered to be certain embodiments of the invention, it will be understood that various modifications may be made thereto, and it is intended that the appended claims cover all such modifications as fall within the true spirit and scope of the invention.
047088460
summary
INTRODUCTION The design of fuel assemblies for boiling water nuclear reactors presents special problems not present in pressurized water reactors. In a BWR, a portion of the core is necessarily occupied by a mixture of water and steam, which, because of its lower density and, therefore, lower spacial concentration of hydrogen atoms, possesses a lesser moderating effect on neutrons than does a core completely filled with liquid water, such as is present in a pressurized water reactor. It has, therefore, become the practice to include in each assembly for a BWR one or more "water rods," i.e., tubes through which liquid water flows. These enhance the moderating effect of the assembly. Another special problem for boiling water reactors resides in the lesser cooling power of the mixture of water and steam present in the upper portion of the reactor core. The coefficient of heat transfer between the fuel elements and steam is significantly less than that between the fuel elements and boiling water. This gives rise to a critical heat transfer zone in the upper portion, which determines the maximum power that can safely be generated by the reactor, termed the "critical power." When the "water rods" are in their simplest form, i.e., open at the bottom and the top, the water passing through them, while effective as a moderator, is relatively ineffective as a coolant. This bypass of a significant portion of the moderator-coolant restricts the number of water rods that may be used in a given fuel assembly. It has been proposed, e.g. in U.S. Pat. No. 3,802,995 granted Apr. 9, 1974, to James R. Fritz and Frederick R. Channon, to provide outlet holes in the water rods to permit flow from them into the space between the fuel rods to enhance the cooling power of the assembly. However, a significant fraction of the water emerges from the top of the rod, and of the assembly, and thus bypasses the zone where maximum cooling is needed. SUMMARY OF THE INVENTION This invention involves an assembly provided with "water rods," in which the total length of the rod is used for moderating purposes, and the total flow through the rod is used for cooling, the coolant being introduced particularly in the upper zone, where the critical fuel rod heat transfer region exists. This critical region extends from about 60% to 80% of the height of the assembly, measured from the bottom. To this end, the water rod, which is preferably made larger than the fuel rods of the assembly, has a closed upper end and an inner tube reaching nearly to that end. Surrounding the inner tube is a reverse-flow annulus, through which water flows downwardly to an exit hole provided in the upper portion of the assembly, and from which water flows and mingles with the coolant surrounding the fuel rods. This increases the number of water rods that may be used in an assembly, and therefore, achieves optimum moderation and also optimum cooling of the assembly, raising the critical power level, i.e. the power level at which boiling adjacent some fuel rods changes from nucleate to film, with possible damage to those rods. Injection of subcooled water rod coolant, i.e., water below its boiling point, into the critical heat transfer region of the assembly improves the heat transfer. Tests show that for a fixed total coolant flow through a fuel bundle, the critical power of the bundle is increased with increasing injection flow. In other words, the best heat transfer performance is achieved by diverting the maximum amount of inlet bundle coolant flow into the water rods and later injecting it into the critical heat transfer region of the core. Other considerations limit the number of fuel rods which may be replaced by water rods, so that there is an optimum number of water rods, which will vary with the particular reactor. With our type of water rods, optimum number of water rods per assembly and the maximum bundle power output are greater than for prior designs.
claims
1. A container for safely storing radioactive debris so that the radioactive debris cannot achieve criticality, the container residing in water or air, the container comprising:an overpack having an elongated cylindrical body extending between a top end and a bottom end, a planar bottom part at the bottom end, and a circular planar lid at the top end;a basket situated inside of the overpack;a plurality of elongated cylindrical canisters that are maintained in parallel along their lengths by the basket, each of the canisters having an elongated cylindrical body extending between a top end and a bottom end, a planar bottom part situated at the bottom end, and a circular planar lid situated at the top end;an elongated perforated columnizing insert situated inside of at least one canister of the canisters, the insert having a plurality of elongated cylindrical tubes that are parallel along their lengths inside of the at least one canister, each of the tubes having a side wall extending between a top end and a bottom end and having a plurality of perforations;screening associated with the side wall of each tube to delimit the perforations;a plurality of columns of the radioactive debris situated in and created by respective tubes of the insert, the columns of the radioactive debris containing an amount of uranium dioxide (UO2) fuel; andwherein the perforations and the screening, in combination, enable gas flow through the side wall to enable evaporation of liquid from the radioactive debris, while containing the columns of debris within the tubes. 2. The container of claim 1, wherein the canister has an internal diameter that is no greater than about 49.5 centimeters (cm) and an interior axial length that is no greater than about 381.0 cm and wherein the radioactive debris contains an amount of uranium dioxide (UO2) fuel that is no greater than about 100 kilograms (kg) and that has an initial enrichment of the UO2 fuel no greater than about 3.7 percent. 3. The container of claim 1, wherein the insert and canister are entirely made with stainless steel. 4. The container of claim 1, wherein the basket further comprises:a plurality of spaced corral plates that confine the plurality of elongated cylindrical canisters, each of the corral plates having a plurality of circular apertures, each of the apertures having a respective canister passing through it; anda plurality of elongated lifting bars distributed equally around a periphery of the basket and extending along the plurality of elongated cylindrical canisters, each of the bars having a top end and a bottom end, the bars attached to the plates. 5. The container of claim 1, wherein each of the canisters and the overpack comprise respective filtered drains at their respective bottom ends to enable liquid to drain out of the container. 6. The container of claim 1, wherein each of the canisters and the overpack comprise respective filtered vents at their respective top ends to enable air and hydrogen to escape the container while preventing radioactive gas from escaping the container. 7. A canister containing radioactive debris, comprising:an elongated cylindrical body extending between a top end and a bottom end, a planar bottom part situated at the bottom end, and a circular planar lid situated at the top end;an elongated insert situated inside of the body of the canister, the insert having an elongated cylindrical body extending between a top end and a bottom end, the insert having a plurality of elongated cylindrical tubes that are parallel along their lengths inside of the canister, each of the tubes having a side wall extending between a top end and a bottom end, the side wall having a plurality of perforations;screening associated with the side wall of each tube to delimit the perforations;a plurality of columns of the radioactive debris situated in and created by respective tubes of the insert, the columns of the radioactive debris containing an amount of uranium dioxide (UO2) fuel; andwherein the perforations and the screening, in combination, enable gas flow through the side wall to enable evaporation of liquid from the radioactive debris, while containing the columns of debris within the tubes. 8. A container, comprising:the canister of claim 7;a basket containing the canister along with a plurality of other canisters having radioactive debris; andan overpack containing the basket. 9. The container of claim 8, wherein the basket further comprises:a plurality of spaced corral plates that confine the plurality of elongated cylindrical canisters, each of the corral plates having a plurality of circular apertures, each of the apertures having a respective canister passing through it; anda plurality of elongated lifting bars distributed equally around a periphery of the basket and extending along the plurality of elongated cylindrical canisters, each of the bars having a top end and a bottom end, the bars attached to the plates. 10. The container of claim 9, wherein each of the canisters and the overpack comprise respective filtered drains at their respective bottom ends to enable liquid to drain out of the container. 11. The container of claim 9, wherein each of the canisters and the overpack comprise respective filtered vents, with or without hydrogen getters, at their respective top ends to enable air and hydrogen to escape the container while preventing radioactive gas from escaping the container. 12. The canister of claim 7, wherein the canister has an internal diameter that is no greater than about 49.5 centimeters (cm) and an interior axial length that is no greater than about 381.0 cm and wherein the radioactive debris contains an amount of uranium dioxide (UO2) fuel that is no greater than about 100 kilograms (kg) and that has an initial enrichment of the UO2 fuel no greater than about 3.7 percent. 13. The canister of claim 7, wherein the insert and the canister are made with stainless steel. 14. A perforated columnizing insert containing radioactive debris and designed for insertion into a canister, the insert comprising:an elongated cylindrical body extending between a top end and a bottom end, the insert having a plurality of elongated cylindrical tubes that are parallel along their lengths inside of the canister, each of the tubes having a side wall extending between a top end and a bottom end, the side wall having a plurality of perforations;screening associated with the side wall of each tube to delimit the perforations;a plurality of columns of the radioactive debris situated in and created by respective tubes of the insert, the columns of the radioactive debris containing an amount of uranium dioxide (UO2) fuel; andwherein the perforations and the screening, in combination, enable gas flow through the side wall to enable evaporation of liquid from the radioactive debris, while containing the columns of debris within the tubes. 15. A canister, comprising:an elongated cylindrical body extending between a top end and a bottom end, a planar bottom part situated at the bottom end, and a circular planar lid situated at the top end; andthe insert of claim 14 situated inside the body of the canister. 16. A basket, comprising:a plurality of spaced corral plates that confine a plurality of elongated cylindrical canisters, each of the corral plates having a plurality of circular apertures, each of the apertures having a respective canister passing through it;a plurality of elongated lifting bars distributed equally around a periphery of the basket and extending along the plurality of elongated cylindrical canisters, each of the bars having a top end and a bottom end, the bars attached to the plates; andwherein the plurality of elongated cylindrical canisters includes the canister of claim 15. 17. An overpack, comprising:an elongated cylindrical body extending between a top end and a bottom end, a planar bottom part at the bottom end, and a circular planar lid at the top end; andthe basket of claim 16 situated inside the body of the overpack. 18. The overpack of claim 17, wherein each of the canisters and the overpack comprise respective filtered drains at their respective bottom ends to enable liquid to drain out of the container. 19. The overpack of claim 17, wherein each of the canisters and the overpack comprise respective filtered vents at their respective top ends to enable air and hydrogen to escape the container while preventing radioactive gas from escaping the container. 20. The overpack of claim 17, wherein the canister has an internal diameter that is no greater than about 49.5 centimeters (cm) and an interior axial length that is no greater than about 381.0 cm and wherein the radioactive debris contains an amount of uranium dioxide (UO2) fuel that is no greater than about 100 kilograms (kg) and that has an initial enrichment of the UO2 fuel no greater than about 3.7 percent.
054901855
description
DETAILED DESCRIPTION OF THE INVENTION A system for the automatic refueling of a nuclear power plant reactor is illustrated in FIG. 1. This system integrates the control of the fuel handling equipment located in both the reactor containment building 1 and the fuel storage building 3 in order to provide for rapid and safe movement of fuel assemblies during the refueling of the reactor. The nuclear reactor 5 contains a core support plate 7 having a plurality of core support locations 9 upon which the fuel assemblies 11 are positioned during operation of the reactor 5. Located above the reactor 5, and typically supported on a pair of rails attached to the containment building structure 1, is a refueling machine 13. The refueling machine 13 provides a means for lifting and moving fuel assemblies 11, and it may include a bridge spanning the pair of rails and moveable along the rails in a first horizontal direction, a trolley mounted on the bridge and moveable along the bridge in a second horizontal direction typically perpendicular to the direction of movement of the bridge, a hoist suspended from the trolley and moveable in a vertical direction, and a gripper 15 on the end of the hoist for engaging the top nozzle 17 of the fuel assembly 11. The gripper 15 may be moveable within a mast 19 which surrounds and protects the fuel assembly 11 as it is lifted vertically out of the reactor core support location 9. The refueling machine 13 is operable to move fuel assemblies 11 among the various core support locations 9 in the reactor 5, as well as between the reactor 5 and a fuel transfer system 21. The fuel transfer system 21 is a machine which is used to transfer fuel assemblies 11 between the containment building 1 and the fuel storage building 3. The fuel transfer system 21 typically includes a horizontal fuel transfer tube 23 between the two buildings 1,3, with an upender 25 at either end of the tube 23 for rotating the fuel assemblies 11 from the vertical to the horizontal position. The automatic refueling system of FIG. 1 also includes the spent fuel handling machine 27 located in the fuel storage building 3. The spent fuel handling machine 27 is used to move fuel assemblies 11 between the fuel transfer system 21 and a plurality of fuel assembly storage locations 29 in the fuel storage building 3, as well as among the various fuel assembly storage locations 29. The spent fuel handling machine 27 is similar to the refueling machine 13 in that it is capable of engaging the top nozzle 17 of a fuel assembly 11 and moving the fuel assembly 11 along three axes of movement. Refueling operations are currently performed as a series of separate, manually controlled operations of the refueling machine 13, the fuel transfer system 21, and the spent fuel handling machine 27. A refueling plan is developed by the designer of the nuclear core. The refueling plan stipulates the exact fuel assembly 11 to be placed in each core support location 9 for the next period of operation of the reactor 5. A sequence plan is then developed to define a series of fuel assembly 11 moves which will accomplish the refueling plan. The sequence plan may involve moving fuel assemblies 11 in or out of storage locations 29, and/or moving fuel assemblies 11 from one core support location 9 to another. During the plant refueling shutdown, operators manually control the various fuel handling machines to accomplish the sequence plan. To coordinate the operation of the various fuel handling machines in the automatic refueling system of FIG. 1, the system includes a network. A network is a plurality of nodes connected by one or more data links 31, as is well known in the art of computer and communication systems. The data link 31 my be an electrical connection such as coax cable or telephone line, or it may be an optical connection. The network of the automatic refueling system of FIG. 1 includes nodes connected to the refueling machine 13, the fuel transfer system 21, and the spent fuel handling machine 27. The operation of these machines is controlled via the network by a controller 33. The controller 33 can be programmed with the desired sequence plan 37, and when initiated by an operator at an operator interface 35, it is capable of automatically controlling the various machines connected as nodes on the network to move the fuel assemblies in accordance with the sequence plan 37. Automatic operation of the system permits a more rapid and safe refueling operation, since once it is correctly programmed, the controller 33 will perform the required moves without deviation from the desired sequence plan 37. Furthermore, some of the safety precautions necessary to simplify the fuel moves for a human operator may not be required for automatic operation. For example, most plants permit the fuel assemblies 11 to be moved over the reactor 5 by operation of only the bridge or the trolley, since simultaneous operation of the bridge and the trolley is deemed too confusing for safe manual operation. A computer can be programmed to safely move the fuel assemblies 11 more rapidly by moving them with the bridge and the trolley together. Another example may be the speed of movement permitted for the fuel transfer system 21. The computer can be programmed to safely utilize higher speeds, with a lower speed programmed near the stop points at either end of the fuel transfer system 21. Although the refueling system of FIG. 1 is automatic, operator interface 35 is still an important consideration. The operator must be able to input information regarding the system configuration, available fuel assemblies 11, and sequence plan 37. The operator initiates the automatic operation process, and he/she can respond to a prompt or an operator verification interlock 39 when it is desirable to have a human confirm that it is safe or desirable to proceed, for example prior to enabling a movement of a fuel assembly 11. An important advantage to having the means for operator interface 35 connected to the system via a network is that it becomes possible to provide operator interface 35 at a variety of locations, both within the radioactive controlled areas and outside of these areas. For example, it may be desirable to have an operator interface located within the reactor building 1 so that a human can watch the critical phases of insertion of the fuel assembly 11 into the reactor 5. Similarly, it may be desirable to have an operator interface 35 located in the fuel storage building 3 to monitor activities in that building. A remote operator interface may also be located in the plant control room, the plant manager's office, or at an off-site location of a vendor involved with the refueling operation. The operator interfaces would typically be an operator display consisting of a personal computer or a specially designed touch screen panel. Every operator interface panel need not have the same capability. For example, the operator interface located within the reactor building may have complete control and monitoring functions, while the operator interface located in the plant manager's office may have only monitoring functions. The means for operator interface 35 can replace the commonly used fuel status board, which is a manual display showing the location of fuel assemblies 11 and fuel assembly inserts. A typical display within the reactor building may provide position status information regarding the refueling machine 13, the fuel transfer system 21, and the spent fuel handling machine 27, as well as a display of the sequence plan 37 status, and the actual location of each fuel assembly 11. The display may also include a video display of portions of the system, including controls for the operator to choose from among a plurality of remotely operable video cameras 39. The more complicated a network becomes, the higher the likelihood of some type of system failure. Nuclear power plant refueling systems generally sit idle for more than a year, then during a refueling outage, their operation becomes a critical path item. The advantages of the integrated system of this invention must therefore be made with assurance that safety and availability are assured even in the event of a system or network failure. FIG. 2 illustrates a control system which accomplishes this objective. In FIG. 2, a refueling machine 55 contains sensors 57 and control functions 59. The sensors 57 may be analog, digital, or discrete, e.g. limit switches. The control functions may typically be motors, valves, lights, cameras, etc. The refueling machine 55 is connected to a refueling machine operator console 61, which may contain control and indicator instruments 63, a computer with graphics display 65, a programmable logic controller (PLC) 67, and motor drive or other control components 69. Although the refueling machine 55 is connected via a network 85 to a much larger refueling system, it is important to maintain it's stand alone capability so that operation may continue even in the event of a system failure in other portions of the system. The PLC 67, therefore, provides complete on-line control and sensing capability for operation of the refueling machine 55. Furthermore, a separate computer is provided for generating the operator's graphics displays 65 so that the PLC is not loaded with graphics calculations which may interfere with the control and operation functions. The graphics display computer 65 receives input from the PLC 67, but it functions independently of the PLC 67. In this manner, even in the event of a failure of the operator display 65, the refueling machine 55 is maintained in a safe configuration and the movement of fuel assemblies can continue. The PLC 67 may be programmed with critical safety setpoints to prevent unsafe fuel assembly moves, for example gripper interlocks and bridge travel limits, which are isolated from interaction with the graphics computer 65 or other portions of the network 85. Similarly, the fuel transfer system 71 and spent fuel handling machine 73 are configured with independent programmable logic controllers 75, 77. Both the fuel transfer system 71 and spent fuel handling machine 73 contain sensors and control functions which can be monitored and controlled locally by the PLC's 75, 77. The fuel transfer system PLC 75 may be located outside of the containment building for control of motor drives and other control components 81 located in the fuel storage building, while a related PLC remote unit 79 may be located within the containment building for control of in-containment functions 83. The system programmable logic controllers 67, 75, 77 and 79 are linked on a network 85, which may for example be a data highway as provided by Allen Bradley, a Rockwell International Company. The refueling control station 87 shown in FIG. 2 performs the function of the controller 33 of FIG. 1, i.e. automatic, remote, supervisory control of all fuel assembly movements. This supervisory control capability enables the control station 87 to instruct the programmable logic controllers 67,75, 77, 79 to automatically accomplish certain functions, including feedback and interlock steps as may be appropriate for safe movement of the fuel assemblies 11. The control station 87 represents a node of the network 85, and it may include display, data logging, and control functions. The control station 87 may be programmed with the fuel loading plan, the sequence plan, and fuel assembly inventory and location information. The control station 87 provides a means for controlling the overall operation of the refueling system. A separate operator display 89 is also connected via the network 85, and it may be used in a monitoring role only, such as a control room monitor. Such a monitor would display inventory and status information, but would not be operable for control functions. The network 85 may also be connected to other plant data systems 91, for example a reactivity computer or source range detectors, as well as to remote engineering systems 93 such as reactor core design systems or reload planning software. The stand alone PLC's 67,75,77, and 79 are preferably isolated from the control 87 and monitoring 89 operator displays by isolation devices 95 in order to eliminate potential damage to the stand alone capability of the PLC's resulting from power surges or electrical noise. The network of the automatic refueling system of FIG. 1 can also be connected to other auxiliary systems which do not actually move the fuel assemblies 11, but which are important to the rapid, safe movement of the fuel. For example, one method used to test for damaged or leaking fuel assemblies is called in-mast sipping. An in-mast sipper 41 is used to sense certain radioactive gasses which may escape a leaking or damaged fuel assembly 11 while the fuel assembly 11 is being held within the refueling machine mast 19. Other types of fuel assembly inspection systems such as optical or infrared image systems 43 may also be used. Connection of these subsystems to a node of the automatic refueling system network allows the operation of these subsystems to be controlled in coordination with the overall sequence plan 37. For example, it may be desirable to inspect certain fuel assemblies 11 which are located in one portion of the reactor 5. The controller 33 can be pre-programmed so that when these fuel assemblies 11 are lifted by the refueling machine 13, the in-mast sipper 41 is automatically energized. The controller 33 may also be programmed to provide an operator prompt in the event that the inspection results exceed a predetermined setpoint which would indicate that the fuel assembly 11 is damaged or leaking. The operator could then conduct additional inspections and/or make a decision regarding the further use of the fuel assembly 11. A further capability of the automatic refueling system of FIG. 1 is the ability to react quickly to unanticipated changes in the fuel loading plan 45 or sequence plan 37, such as may occur in the event that a leaking or damaged fuel assembly 11 is identified. With prior art systems, the fuel loading plan 45 is typically generated in advance by the core designer. Subsequently, a sequence plan 37 is generated to accomplish the desired core load plan 45. When an unanticipated decision is made during the refueling outage to take a fuel assembly 11 out of service, the refueling operation must be stopped or delayed until a revised fuel loading plan 45 and sequence plan 37 are generated, and the site procedures are revised accordingly. This may take hours or days, depending on the particular site involved. The automatic refueling system of this invention can streamline this process by accessing the reactor core design software and the sequence planning software via the controller 33 on the system. When a decision is made on-site to remove a fuel assembly 11 from service, this information is communicated to the means for reload planning 45, which may be core design software located on-site or at a remote location. A revised reload plan can then be promptly generated. Once the new core loading pattern is generated, it is communicated via the network to the means for sequence planning 37, which may be software programmed into the controller, or it may be software located on a remote computer connected to the system by the network. The means for sequence planning 37 not only generates a revised sequence plan, but it may be programmed to provide hardcopy output which can be used directly as the site operating procedures. The result is a minimum of delay from the time a fuel assembly 11 is unexpectedly taken out of service to the time that fuel movement is again underway. As fuel assemblies are moved into the reactor 5, it is important to monitor the changes in reactivity of the reactor core to identify any unanticipated reactivity increases. Presently, most refueling teams include one person assigned to monitor the output of the source range detectors and the corresponding plots of reactivity, known as 1/M plots. The automatic refueling system of this invention provides this function automatically by connecting a means for measuring the reactivity of the reactor 47 to the network. The means for measuring reactivity 47 may be a connection to an isolated output from the source range detectors or to a reactivity computer. The system controller 33 may be programmed to provide an alarm or other prompt to the operator 35 whenever the measured reactivity or reactivity change rate exceeds a predetermined setpoint. The safety of the system may be further improved by programming the controller 33 to take a corrective action to reduce the reactivity whenever the output of the means for measuring reactivity 47 exceeds a setpoint. Such a corrective action may be to halt the movement of the fuel assembly 11, or to reverse the direction of movement of the fuel assembly 11. An important capability for an automatic refueling system is the ability to identify a specific fuel assembly. A means for identifying specific fuel assemblies 49 may be an optical device, such as an optical reader which can read identifying numbers and/or figures on the fuel assembly, or it may be a bar code reader, as is well known in the art. The controller 33 is pre-programmed with the inventory of each fuel assembly 11 on-site. At critical locations or operations, such as before the fuel assembly 11 is lowered into the reactor 5, the identification of the fuel assembly 11 is actively confirmed by operation of the means for identifying fuel assemblies 49. This provides additional assurance that the process is under control and that the desired loading pattern will automatically be accomplished. The system may provide an operator prompt or other safety action in the event that the actual fuel assembly identification does not correspond with the programmed sequence plan 37. Once fuel assemblies 11 have operated in the reactor environment for any length of time, it is possible for the assemble to become somewhat bowed. Any non-linearity of a fuel assembly 11 makes the loading of the fuel assembly 11 into a core support location 9 a more difficult task. Manual systems provide for the operator to make minor adjustments to the position of the refueling machine 13 to account for such irregularities. A fuel loading guide 51 may also be used to guide the bottom nozzle 53 of a fuel assembly 11 into the correct core support location 9 in the event of a misalignment between the nozzle and the core support location. The fuel loading guide 51 may be a simple funnel shaped metal device, or a more sophisticated robot which is operable to move along the lower core support plate of the reactor 5. The automatic refueling system of this invention may include a fuel loading guide 51 to facilitate the automatic loading of bowed fuel assemblies 11 into the reactor 5. The refueling guide 51 is preferably positioned automatically by the automatic refueling system, for example by being mechanically attached to the refueling machine 13. If a robotic system is used, the controls for the robot may be connected to a node of the network so that movement of the refueling guide robot 51 is automatically coordinated with the overall refueling process. Alternatively, an automatic final positioning capability may be incorporated into the system, such as an optical pattern recognition system or proximity probe system which allows precise adjustment of the final position of the refueling machine 13 prior to vertical movement of the gripper 15. After the refueling operation is complete, or at any desirable interim stage, the automatic refueling system may be used to verify that proper core spacing has been achieved. A means for core spacing verification 53 may be connected to a node of the network. Such a system may be an optical system or a mechanical gauge. The controller 33 may be programmed to verify proper core spacing at any critical stage of the refueling operation, and it may provide an operator prompt or other output to the operator panel as deemed appropriate. This automatic means for core spacing verification 53 provides an additional level of assurance that the automatic refueling operation is proceeding in a safe and controlled manner. The various elements of the automatic refueling system of FIG. 1 may be installed at a nuclear plant in a series of upgrade steps. As equipment is replaced, or as safety improvements are implemented over time, the elements of this invention can be installed. A phased approach to implementing this invention reduces the economic impact of this improvement, and it allows the plant owner to prioritize those elements which provide the greatest economic and safety improvements. For example, in order to reduce the size of the refueling crew, while at the same time improving the safety of the refueling operation, a plant owner may want to first install a means for measuring the reactivity of the reactor 47 along with the controller 33. The controller may then be programmed to compare the rate of reactivity change to a predetermined setpoint, and when the rate of reactivity change exceeds the setpoint, the controller is operable to interrupt the movement of the refueling machine 13. Other embodiments of the automated refueling system of this invention may also be desired by the plant owner. The above embodiments are provided by means of example, and are not meant to limit the scope of this invention as claimed below.
summary
053393465
summary
BACKGROUND OF THE INVENTION 1. Technical Field The invention relates to fabrication of devices built to submicron design rules. Plasma-derived x-ray radiation serves for pattern delineation for small features considered unattainable by use of longer wavelength electromagnetic radiation. The plasma source is matched to a projection camera operating in a ringfield scanning mode. Very Large Scale Integration ("VLSI") is a prime objective. 2. Description of the Prior Art and of Co-pending U.S. patent application Ser. No. 08/059,924 filed May 10, 1993. State-of-the art VLSI is a 16 megabit chip with circuitry built to design rules of 0.5 .mu.m. Effort directed to further miniaturization takes the initial form of more fully utilizing resolution capability of presently-used ultraviolet ("UV") delineating radiation. "Deep" UV (.lambda.=0.3 .mu.m-0.1 .mu.m), with techniques such as phase masking, off-axis illumination, and step-and-repeat may permit design rules (minimum feature or space dimension) of 0.25 .mu.m or slightly smaller. At still smaller design rules, a different form of delineating radiation is required to avoid wavelength-related resolution limits. An extensive effort depends on electron or other charged-particle radiation. Use of electromagnetic radiation for this purpose will require x-ray wavelengths. Two x-ray radiation sources are under consideration. The first, the electron storage ring synchrotron, has been used for many years and is at advanced stage of development. Electrons, accelerated to relativistic velocity, in following their magnetic-field-constrained orbit, emit x-ray radiation. Radiation, in the wavelength range of consequence for lithography, is reliably produced. The synchrotron produces precisely defined radiation to meet the demands of extremely sophisticated experimentation but is a large, very costly piece of apparatus. Plasma x-ray sources are less costly. These depend upon a high power, pulsed laser--e.g. an yttrium aluminum garnet (YAG) laser, or an excimer laser, delivering 500-1,000 watts of power to a 50 .mu.m-250 .mu.m spot, thereby heating a source material to e.g. 250,000.degree. C., to emit x-ray radiation from the resulting plasma. Plasma sources are compact, and may be dedicated to a single production line (so that malfunction does not close down the entire plant). A variety of x-ray patterning approaches are under study. Probably the most developed is proximity printing. In this approach 1:1 imaging is produced much in the manner of photographic contact printing. A fine-membrane mask is maintained at one or a few microns spacing from the wafer. This spacing lessens likelihood of mask damage, but does not eliminate it. Making perfect masks on a fragile membrane continues to be a major problem. Necessary absence of optics in between the mask and the wafer necessitates a high level of parallelicity in the incident radiation. X-ray radiation of wavelength .lambda..ltoreq.16 .ANG. is required for 0.25 .mu.m patterning to limit scattering at feature edges. Use has been made of the synchrotron in proximity printing. Relatively small power resulting from the 10 mrad-20 mrad arc of collection, together with the high-aspect ratio of the emission fan, has led to use of a scanning high-aspect ratio illumination field (rather than to full-field imaging). Projection lithography has natural advantages over proximity printing. Camera optics in between the mask and the wafer compensate for edge scattering and, so, permit use of longer wavelength radiation. Use of "soft x-ray" in the .lambda.=100 .ANG.-200 .ANG. wavelength range increases the permitted angle of incidence for glancing-angle optics. The resulting system is known as soft x-ray projection lithography (SXPL). A favored form of SXPL is ringfield scanning. The long narrow illumination field is arc-shaped rather than straight, with the arc being a segment of the circular ring with its center of revolution at the optic axis of the camera. Use of such an arcuate field avoids radially-dependent image aberrations in the image. Use of object:image reduction of e.g. 5:1 results in significant cost reduction of the, now, enlarged-feature mask. Co-pending U.S. patent application, Ser. No. 08/059,924 filed May 10, 1993 describes and claims device fabrication using synchrotron derived x-ray radiation. SXPL is one form of lithography described and claimed. It is expected that effort toward adaptation of plasma x-ray sources for SXPL will continue. Design of collection and processing optics--design of the condenser-is complicated by the severe mismatch between the plasma emission pattern and that of the ringfield scan line. A typical plasma x-ray source has a 1:1 aspect ratio emission pattern. The required scan line is likely greater than 10:1. SUMMARY OF THE INVENTION Ringfield projection lithographic definition in the fabrication of 0.25 .mu.m and smaller design rule devices makes use of an x-ray plasma source. Requirements for illumination uniformity, and for other characteristics of the illumination field, necessary for matching the projection camera, are met by a novel condenser. The collector lens--the condenser lens on which the plasma radiation is first incident-includes a number of pairs of facets which are symmetrically placed with respect to the plasma. Each facet collects radiation from a sector of the emission and images the entire radiation field, to produce an image intensity which is the sum of the facet intensities. Facets are complementary so that any gradation in intensity of its field image is equal and opposite to that of its twin. In this way a constant intensity field is effectively produced from essentially the entirety of the plasma emission without need for stitching. Facets may be planar or may be shaped to increase collection angle, the only requirement being that size and shape of paired members be truly complementary so as, to together, produce an evenly illuminated composite image. Species of the invention provide for processing optics for shaping, for directing, and for adjusting divergence of the illumination field as made incident on the reflecting mask. The condenser is of appropriate design for proper pupil fill and for matching other camera requirements. The design is suitable for use with reduction projection, e.g. for 5:1 subject:image reduction.
description
This application claims the benefit under 35 U.S.C. §119(a) of Japanese Patent Application No. 2009-295656, filed on Dec. 25, 2009, and Japanese Patent Application No. 2010-114539 filed on May 18, 2010, the entire contents of which are incorporated herein by reference. 1. Technical Field The present disclosure relates to a fuel assembly, and in particular, relates to a fuel assembly having a particular fuel rod arrangement. 2. Description of the Related Art In general, a fuel assembly for a light water reactor is formed by bundling a plurality of fuel rods having a number of fuel pellets, such as uranium oxide filled into fuel canning pipes, and one or several pieces of water rods parallel to each other with a spacer and surrounding the outer circumference with a channel box. In the related art, a fuel assembly in which fuel rods are arranged to be square-shaped has been widely used. FIG. 20 is a plane sectional view of a fuel assembly having fuel rod arrangements that is square grid-shaped in the related art. Numeral 20 indicates the entire fuel assembly. Numerals 21, 22 and 23 respectively indicate a fuel rod, a channel box and a water rod. As illustrated in FIG. 20, the fuel assembly 20 includes a fuel rod arrangement of eleven rows by eleven columns. The fuel rods 21 are provided at apexes of regular tetragons arranged to be square grid-shaped. The water rod 23 is arranged on a diagonal line from the corner of the channel box 22 that is closest to a control rod (not illustrated) to the opposing corner. Further, in the related art, there has also been proposed a fuel assembly that includes a fuel rod arrangement having the mentioned square grid that is rotated by 45 degrees against one side of the channel box. Recently, demand for enhancing efficiency of a reactor has been strengthened. To address this issue, from a viewpoint that fuel economy can be improved by further enlarging energy extracting from each fuel assembly, it has been attempted to load a larger number of fuel rods into one fuel assembly. Further, also from a viewpoint of waste amount reduction, it is preferable that the number of fuel rods accommodated by one fuel assembly is large in order to reduce the waste amount. Accordingly, when designing a fuel assembly for a boiling-water reactor (BWR) in the related art, there has been a tendency that the number of fuel rods accommodated in the channel box is increased to be eight rows by eight columns, nine rows by nine columns and ten rows by ten columns (see Japanese Patent Application Laid-open (JP-A) No. 2009-145203). Regarding the arrangement of fuel rods, arranging fuel rods to be square grid-shaped constituted with rows and columns parallel to sides of a channel box or arranging fuel rods is a conventional fuel assembly. Further, a conventional fuel assembly that rotates the square grid against one side of a channel box by 45 degrees has been a basic arrangement in the related art. Both of the above fuel rod arrangements are fuel rod arrangements of a square grid. It may be generally perceived that almost every practical fuel rod arrangement has been a fuel rod arrangement of a square grid in the related art. It has been favorable with the fuel rod arrangement having the square grid-shaped arrangement that is parallel to the sides of the channel box against an insertion position of a control rod or the fuel rod arrangement having the square grid rotated against one side of the channel box by 45 degrees because of the way that the fuel assembly advances in the reactor for combustion. With respect to combustion, the fuel assembly advances symmetrically against a diagonal line connecting a corner of the channel box that is close to the center of the control rod and a diagonal corner opposing thereto (hereinafter, merely called the diagonal line when apparent) in relation to the control rod. Here, diagonal symmetry of fuel denotes that fuel is arranged symmetrically against the diagonal line of the channel box as described herein. In the case that the diagonal symmetry of fuel is satisfied, an even burnup can be actualized within the fuel assembly, so that soundness and economy of fuel can be further improved. However, with the fuel rod arrangement that is square grid-shaped, the distance between the fuel rods located on adjacent apexes of the regular tetragon cannot be lessened than a determined distance due to soundness, while the distance between the fuel rods located on the diagonal line is inevitably longer than the distance between the fuel rods at the adjacent apexes. Accordingly, there has been a limitation of the number of fuel rods that can be accommodated by one fuel assembly. Meanwhile, as disclosed in JP-A No. 1992-143694, a fuel rod arrangement having all fuel rods provided at apexes of regular triangles has been proposed solely for the purpose of increasing the number of fuel rods in a fuel assembly. With the fuel rod arrangement having fuel rods arranged to be regular triangle grid-shaped, the respective distances between all of the fuel rods is equalized and each distance between the fuel rods can be shortened. Accordingly, the fuel rods can be arranged at a higher density. However, as can be seen from the drawings of JP-A No. 1992-143694, the fuel rod arrangement that is regular triangle grid-shaped is not a symmetric arrangement against the diagonal line of the channel box. That is, when the fuel rods are arranged in a triangle grid-shaped arrangement, absolute diagonal symmetry of fuel cannot be actualized. Further, as shown in the related art of FIG. 20, a water rod 23 has been provided into a fuel assembly for the purpose of planarization of output distribution of the fuel assembly, improvement of the reactivity, and improvement of the void reactivity coefficient. In an embodiment of the present disclosure, a regular dodecagon fuel rod arrangement in which a fuel rod is provided at each apex of the regular dodecagon is adopted as a basic unit to arrange fuel rods within a fuel assembly, and then, the regular dodecagon fuel rod arrangements are arranged being apart respectively by a determined distance along the transverse direction and the longitudinal direction. Here, the terms of arranging the regular dodecagon fuel rod arrangements as being apart by the determined distance include arranging to connect two adjacent regular dodecagon fuel rod arrangements so as to share one side of the regular dodecagon at the portion that is adjacent each other. In a fuel assembly according to an embodiment of the present disclosure, a plurality of fuel rods are supported vertically and the outer circumference thereof is surrounded by a channel box. The fuel assembly has a regular dodecagon fuel rod arrangement in which a single fuel rod is provided at each apex of the regular dodecagon having sides of length A as viewing from above the fuel assembly in the vertical direction. The plurality of regular dodecagon fuel rod arrangements are arranged in regular intervals respectively in the transverse direction and the longitudinal direction establishing that one direction within a horizontal plane is the transverse direction and a direction perpendicular to the transverse direction is the longitudinal direction. Two of the regular dodecagon fuel rod arrangements adjacent in the transverse direction are arranged so that opposing two sides of the regular dodecagons are parallel and apart by a first distance mA (here, m is a nonnegative integer). Two of the regular dodecagon fuel rod arrangements adjacent in the longitudinal direction are arranged so that opposing two sides of the regular dodecagons are parallel and apart by a second distance nA (here, n is a nonnegative integer). A fuel assembly may be summarized as including a plurality of fuel rods supported vertically; and a channel box surrounding the outer circumference of the plurality of fuel rods; the fuel assembly having a plurality of regular dodecagon fuel rod arrangements, wherein a single fuel rod of the plurality of fuel rods is provided at each apex of each regular dodecagon, wherein each side of the regular dodecagon has length A; the plurality of regular dodecagon fuel rod arrangements being arranged in regular intervals respectively in a transverse direction and a longitudinal direction, the transverse direction perpendicular to the longitudinal direction; a first two regular dodecagon fuel rod arrangements of the plurality of regular dodecagon fuel rod arrangements adjacent in the transverse direction and arranged with two opposing sides of the first two regular dodecagons in parallel and apart by a first distance mA, wherein m is a non-negative integer; and a second two regular dodecagon fuel rod arrangements of the plurality of regular dodecagon fuel rod arrangements adjacent in the longitudinal direction and arranged with two opposing sides of the second two regular dodecagons in parallel and apart by a second distance nA, wherein n is a non-negative integer. According to an embodiment, a diagonal line is formed from a first corner of the channel box to a second corner of the channel box, the second corner being a corner of the channel box furthest from the first corner, the first corner being a corner of the channel box closest to a control rod. Also either the transverse direction or the longitudinal direction is parallel to the diagonal line, and the plurality of regular dodecagon fuel rod arrangements are arranged symmetrically against the diagonal line. According to an embodiment, a row of the plurality of regular dodecagon fuel rod arrangements is aligned in either the transverse direction or the longitudinal direction on the diagonal line. According to an embodiment, rows of the plurality of regular dodecagon fuel rod arrangements are aligned in either the transverse direction or the longitudinal direction and arranged symmetrically sandwiching the diagonal line. According to an embodiment, either the transverse direction or the longitudinal direction is substantially parallel to a side of the channel box. Further, the plurality of regular dodecagon fuel rod arrangements are arranged symmetrically against a diagonal line, the diagonal line connecting a corner of the channel box closest to a control rod and a diagonal corner of the channel box furthest from the corner of the channel box closest to a control rod. According to an embodiment, the plurality of regular dodecagon fuel rod arrangements are further arranged symmetrically against a line connecting midpoints of opposing sides of the channel box. According to an embodiment, at least one of the plurality of regular dodecagon fuel rod arrangements includes, at the inside thereof, a regular hexagon fuel rod arrangement in which a single fuel rod of the plurality of fuel rods is provided at each apex of the regular hexagon. In addition, each side of the regular hexagon has length A, and each side of the regular hexagon is parallel to a corresponding side of the regular dodecagon. According to an embodiment, the regular hexagon fuel rod arrangement includes a fuel rod of the plurality of fuel rods at the center of the regular hexagon. According to an embodiment, the regular hexagon fuel rod arrangement includes a water rod at the center of the regular hexagon. According to an embodiment, a determined regular dodecagon fuel rod arrangement among the plurality of regular dodecagon fuel rod arrangements includes a water rod at the center of the determined regular dodecagon. According to an embodiment, a determined regular dodecagon fuel rod arrangement position among the plurality of regular dodecagon fuel rod arrangements is occupied by a water rod. According to an embodiment, at least one of the plurality of regular dodecagon fuel rod arrangements includes, at the inside thereof, a regular tetragon fuel rod arrangement in which a single fuel rod of the plurality of fuel rods is provided at each apex of the regular tetragon. In addition, each apex of the regular tetragon is located at a vertex of a regular triangle, the regular triangle having as the base one of every three sides of the regular dodecagon. According to an embodiment, the regular tetragon fuel rod arrangement includes a fuel rod of the plurality of fuel rods at the center of the regular tetragon. According to an embodiment, a determined regular tetragon fuel rod arrangement includes a water rod at the center of the regular tetragon. According to an embodiment, a determined regular dodecagon fuel rod arrangement among the plurality of regular dodecagon fuel rod arrangements is configured with a single short fuel rod at each apex of the regular dodecagon. The determined regular dodecagon fuel rod arrangement includes a water rod at the inside thereof, and the water rod has a diameter corresponding to the determined regular dodecagon fuel rod arrangement at a fuel upper portion. According to an embodiment, the fuel assembly also includes one or more fuel rods within the channel box, the one or more fuel rods not formed on the apex of one of the plurality of regular dodecagon fuel rod arrangements Embodiments described in the present disclosure provide a fuel assembly capable of increasing the number of fuel rods loaded to one fuel assembly compared to the conventional fuel rod arrangement that is square grid-shaped. Fuel assembly embodiments described in the present disclosure provide substantially diagonal symmetry of fuel. When some fuel rods of a square grid in the square grid-shaped fuel rod arrangement of the related art are replaced with a water rod, the distances from the water rod to each surrounding fuel rod is not completely even. Therefore, there has been a problem that the effects of the water rod vary with fuel rods. Embodiments described in the present disclosure provide a fuel assembly capable of increasing the number of fuel rods loaded into one fuel assembly as described herein. Embodiments described in the present disclosure arrange a water rod so that the distances from the water rod to surrounding fuel rods are substantially even. Hereafter, three embodiments according to the present disclosure will be described. In the first embodiment, a fuel assembly has regular dodecagon fuel rod arrangements arranged respectively in the transverse direction and the longitudinal direction. In a fuel assembly of the second embodiment, a determined fuel rod of the fuel assembly according to the first embodiment is replaced with a water rod. In a third embodiment, a fuel assembly adopts a fuel rod arrangement according to the present disclosure for a next generation channel box, which is larger than a current one. FIG. 1 illustrates a plane sectional view of a fuel assembly according to the first embodiment of the present disclosure viewing from above in the vertical direction. In a fuel assembly 1 according to the present embodiment, a plurality of fuel rods 2 is vertically supported, and the outer circumference thereof is surrounded by a channel box 3. As illustrated in FIG. 1, the fuel assembly 1 of the present embodiment has a regular dodecagon fuel rod arrangement 4 being a fuel rod arrangement in which a single fuel rod 2 is provided at each apex of the regular dodecagon when viewed from above in the vertical direction. In FIG. 1, the regular dodecagon fuel rod arrangements 4 are illustrated with regular dodecagons of auxiliary lines. The length of each side of the regular dodecagon is a uniform distance, A. Further, in FIG. 1, one corner C1 of the channel box 3 is arranged closest to a control rod 5. Here, the present fuel assembly satisfies diagonal symmetry against both a diagonal line passing through corners C1, C3 and a diagonal line passing through corners C2, C4. Accordingly, the corner arranged closest to the control rod 5 is not limited to the corner C1, so that any of the corners C2, C3, C4 may be possible. Here, it is assumed that one direction within a horizontal plane is a transverse direction and a direction perpendicular to the transverse direction is a longitudinal direction. In the disclosure, unless otherwise specified, the transverse direction is the direction that is parallel to a line connecting the corner of the channel box closest to the control rod and the diagonal corner thereof (i.e., the diagonal line L in FIG. 1), and the longitudinal direction is the direction perpendicular to the transverse direction. As illustrated in FIG. 1, a plurality of regular dodecagon fuel rod arrangements 4 are arranged in regular intervals respectively in the transverse direction and the longitudinal direction. In the fuel assembly of the present disclosure, two regular dodecagon fuel rod arrangements adjacent in the transverse direction are arranged so that the opposing two sides of the regular dodecagons are parallel to each other and apart by a first distance mA, wherein m is a nonnegative integer. Meanwhile, two regular dodecagon fuel rod arrangements adjacent in the longitudinal direction are arranged so that the opposing two sides of the regular dodecagons are parallel to each other and apart by a second distance nA, wherein n is a nonnegative integer. In the present embodiment illustrated in FIG. 1, two regular dodecagon fuel rod arrangements 4 adjacent in the transverse direction are connected so as to share one side of the regular dodecagon at the portions that are adjacent to each other. That is, the first distance mA between the opposing two sides of the regular dodecagons of the two regular dodecagon fuel rod arrangements that are adjacent in the transverse direction is zero (i.e., m=0). Meanwhile, two regular dodecagon fuel rod arrangements 4 adjacent in the longitudinal direction are arranged so that the opposing two sides of the regular dodecagons are parallel to each other and apart by the distance equal to the length of A of one side of the regular dodecagon. That is, the second distance nA between the opposing two sides of the regular dodecagons of the two dodecagon fuel rod arrangements that are adjacent in the longitudinal direction is A (i.e., n=1). As illustrated in FIG. 1, the fuel assembly 1 includes 129 pieces of the fuel rods 2. This is eight pieces more than 121 (=11×11) pieces, which is the number of fuel rods 2 included in a fuel assembly having the fuel rods 2 arranged within the channel box 3 that is square grid-shaped (hereinafter, called 11×11 fuel assembly). Further, as illustrated in FIG. 1, the regular dodecagon fuel rod arrangements 4 arranged in the transverse direction and the longitudinal direction are arranged and rotated by 45 degrees from one side of the channel box 3 as a whole. As illustrated in FIG. 1, the fuel rods 2 are further arranged at the inside of the respective regular dodecagon fuel rod arrangements 4. The regular dodecagon fuel rod arrangements 4 which are completely arranged within the fuel assembly 1 respectively include, at the inside thereof, a regular hexagon fuel rod arrangement 6 that is a fuel rod arrangement in which a single fuel rod is provided at each apex of the regular hexagon. In FIG. 1, the regular hexagon fuel rod arrangements 6 are illustrated with regular hexagons of auxiliary lines. Meanwhile, the regular dodecagon fuel rod arrangements 4 which are partially arranged within the fuel assembly 1 respectively include, at the inside thereof, a regular tetragon fuel rod arrangement 7 that is a fuel rod arrangement in which a single fuel rod is provided at each apex of the regular tetragon. In FIG. 1, the regular tetragon fuel rod arrangements 7 are illustrated with regular tetragons of auxiliary lines. Here, in the example of FIG. 1, since a part of the respective regular dodecagon fuel rod arrangements 4 at the circumferential area of the fuel assembly 1 is located outside the channel box 3, the regular dodecagon is incomplete. However, the concept of the fuel rod arrangement (i.e., the arrangement positions of the fuel rods) is exactly the same as that in the case of the regular dodecagon fuel rod arrangement 4 having the complete regular dodecagon. Further, in the example of FIG. 1, only the regular dodecagon fuel rod arrangements 4 at the circumferential area have the regular tetragon fuel rod arrangement 7 respectively. Since a part of the regular tetragon fuel rod arrangement 7 is located outside the channel box 3, the regular tetragon is incomplete. However, the concept of the fuel rod arrangements (i.e., the arrangement positions of the fuel rods) for the incomplete regular tetragons is the same as that in the case of the regular tetragon fuel rod arrangement 7 that has the complete regular tetragon. Here, not limited to the arrangement only at the circumferential area of the fuel assembly as the example of FIG. 1, the regular tetragon fuel rod arrangements 7 may be arranged at the entire fuel assembly. That is, instead of the regular hexagon fuel rod arrangements 6, the regular tetragon fuel rod arrangements 7 may be arranged at the inside of the regular dodecagon fuel rod arrangements 4 which are completely included within the fuel assembly 1. Next, it will be described that both the increased loading number of the fuel rods for the fuel assembly and the diagonal symmetry of fuel can be satisfied with the fuel rod arrangement unit according to the present disclosure with reference to FIG. 2. FIG. 2(a) illustrates a fuel rod arrangement unit 9A having the regular dodecagon fuel rod arrangement 4 and the regular hexagon fuel rod arrangement 6 therein so as to be a unit to arrange fuel rods in the fuel assembly. FIG. 2(b) illustrates a fuel rod arrangement that is square grid-shaped. FIG. 2(c) illustrates a fuel rod arrangement that is regular triangle grid-shaped. As illustrated in FIG. 2(a), the fuel rod arrangement unit 9A includes the regular dodecagon fuel rod arrangement 4 in which a single fuel rod 2 is provided at each apex of the regular dodecagon and the regular hexagon fuel rod arrangement 6 in which a single fuel rod 2 is provided at each apex of the regular hexagon at the inside of the regular dodecagon fuel rod arrangement 4. Further, a single fuel rod is provided at the center of the regular hexagon of the regular hexagon fuel rod arrangement, that is, at a position of an intersection point of diagonal lines connecting opposing apexes of the regular hexagon. As can be seen from FIG. 2(a), each side of the regular hexagon of the regular hexagon fuel rod arrangement 6 has the same length as the side of the regular dodecagon of the regular dodecagon fuel rod arrangement 4 and is parallel thereto. That is, the angle (i.e., the direction) of the regular hexagon within the regular dodecagon is determined due to being parallel to the side of the regular dodecagon, and then, the distance in the radial direction is determined due to being the same length of each side of the regular hexagon as the side of the regular dodecagon. Therefore, provided that the regular dodecagon is determined, the regular hexagon at the inside thereof is determined with substantial accuracy. Positional relation between the regular dodecagon fuel rod arrangement 4 and the regular hexagon fuel rod arrangement 6 is determined as described herein. Therefore, as can be seen from FIG. 2(a), the fuel rod 2 at each apex of the regular hexagon fuel rod arrangement 6 forms a regular triangle with the two closest fuel rods 2 at the apexes of the regular dodecagon fuel rod arrangement 4. According to the relation thereof, the fuel rods 2 can be arranged at a very high density. Further, as can be seen from FIG. 2(a), two adjacent fuel rods 2 at the apexes of the regular hexagon fuel rod arrangement 6 and the fuel rod 2 at the center of the regular hexagon form a regular triangle. According to the relation thereof, the fuel rods 2 can be arranged at a very high density. Further, as can be seen from FIG. 2(a), all of the fuel rods 2 of the fuel rod arrangement unit 9A are arranged symmetrically against the diagonal line L. Further, in the case that the diagonal line is a straight line L′ obtained by rotating the diagonal line L by 30 degrees clockwise or counterclockwise, the fuel rods 2 of the fuel rod arrangement unit 9A are arranged symmetrically against the diagonal line. In this manner, by utilizing the fuel rod arrangement unit as illustrated in FIG. 2(a), a substantially diagonal symmetry can be obtained. In some cases, the diagonal symmetry is absolute. Further, in the case that the diagonal line is a straight line L″ obtained by rotating the diagonal line L by 15 degrees clockwise or counterclockwise, the fuel rods 2 of the regular hexagon fuel rod arrangement 6 are not symmetric against the straight line L″. However, by arranging the fuel rod arrangement unit 9A so that deviation of the fuel rods of the regular hexagon fuel rod arrangement 6 are bilaterally symmetric against the diagonal line of the channel box, the fuel rods 2 are symmetric against the diagonal line. In this manner, the fuel rod arrangement unit 9A, which includes the regular dodecagon fuel rod arrangement 4 and the regular hexagon fuel rod arrangement 6 of the present disclosure, has a large number of fuel rods provided at the apexes of the regular triangles as a whole. Accordingly, the fuel rods 2 can be arranged within the channel box 3 at an extremely high density. Further, according to the fuel rod arrangement unit 9A, the substantial or absolute diagonal symmetry can be obtained, as well. Next, square grid arrangement and regular triangle grid arrangement in the related art are described for comparison. FIG. 2(b) illustrates a fuel rod arrangement that is square grid-shaped. As can be seen from FIG. 2(b), in the case of a square grid arrangement, all of the fuel rods are arranged symmetric against the diagonal line L and the diagonal symmetry is satisfied. However, in this case, the distance between the fuel rods located on a diagonal line of the square grid is longer than the distance between the fuel rods located on adjacent apexes of the regular tetragon. Accordingly, it is inferior to the fuel rod arrangement of the present disclosure in view of arrangement density. FIG. 2(c) illustrates a fuel rod arrangement that is regular triangle grid-shaped. As can be seen from FIG. 2(c), in the case of the regular triangle grid arrangement, all of the fuel rods are provided at the apexes of the regular triangles. Accordingly, the arrangement density of the fuel rods is very high. However, the fuel rods are not arranged symmetric against the diagonal line L, so that the diagonal symmetry is not satisfied. As is evident from the description herein, the fuel rod arrangement unit 9A as illustrated in FIG. 2(a) is capable of arranging the fuel rods at higher density than the square grid arrangement and has the substantial or even absolute diagonal symmetry which is not obtained by the regular triangle grid arrangement. Next, a fuel rod arrangement unit 9B arranged at the circumferential area of the fuel assembly 1 while having the regular tetragon fuel rod arrangement 7 at the inside thereof will be described. FIG. 3 is a plane sectional view illustrating the fuel rod arrangement unit 9B. The fuel rod arrangement unit 9B includes the regular dodecagon fuel rod arrangement 4 and the regular tetragon fuel rod arrangement 7 in which a single fuel rod 2 is provided at each apex of the regular tetragon within the regular dodecagon. Further, one fuel rod is provided at the center of the regular tetragon of the regular tetragon fuel rod arrangement, that is, at a position of an intersection point of diagonal lines connecting opposing apexes of the regular tetragon. As illustrated in FIG. 3, each apex of the regular tetragon of the regular tetragon fuel rod arrangement unit 7 is located at a vertex of a regular triangle; each regular triangle having one of every three sides of the regular dodecagon of the regular dodecagon fuel rod arrangement 4 as the base. As can be seen from FIG. 3, in the case of the fuel rod arrangement unit 9B being similar to the fuel rod arrangement unit 9A, the fuel rod 2 at each apex of the regular tetragon fuel rod arrangement 7 forms a regular triangle with the closest fuel rods 2 at the apexes of the regular dodecagon fuel rod arrangement 4. According to the relation thereof, the fuel rods 2 can be arranged at a very high density. Further, all of the fuel rods 2 of the fuel rod arrangement unit 9B are arranged symmetrically against the diagonal line L, so that the diagonal symmetry is satisfied. In addition, not being illustrated, even against lines obtained by respectively rotating the diagonal line L by 15 degrees, 30 degrees and 45 degrees clockwise or counterclockwise, the diagonal symmetry can be satisfied. As described herein, in the fuel rod arrangement of the present embodiment as illustrated in FIG. 1, two fuel rod arrangement units adjacent in the first direction and parallel to the diagonal line L are arranged as being connected so as to share one side of the regular dodecagon of the regular dodecagon fuel rod arrangement 4. Meanwhile, two fuel rod arrangement units adjacent in the second direction and perpendicular to the diagonal line L are arranged in regular intervals so that the two sides of the regular dodecagons are parallel and apart by the length of one side of the regular dodecagon. In this manner, according to the present embodiment, a large number of the fuel rods 2 can be loaded by providing the fuel rods 2 on the apexes of the regular triangles as described herein. Further, as described in detail herein, the diagonal symmetry of the fuel assembly can be substantially or even absolutely satisfied. Next, the diagonal symmetry of fuel will be described on the fuel assembly 1 according to the present embodiment with reference to FIG. 4. FIG. 4 illustrates the diagonal line L passing through the center of the control rod 5 and passing through the corners C1, C3 of the channel box 3. As illustrated with an auxiliary line A, the respective fuel rods 2 of the regular dodecagon fuel rod arrangement 4 of the fuel assembly 1 are arranged symmetrically against the diagonal line L. As illustrated with an auxiliary line B, the respective fuel rods 2 of the regular hexagon fuel rod arrangement 6 of the fuel assembly 1 are arranged symmetrically against the diagonal line L, as well. As illustrated with an auxiliary line C, the respective fuel rods 2 of the regular tetragon fuel rod arrangement 7 of the fuel assembly 1 are arranged symmetrically against the diagonal line L, as well. Here, each of the auxiliary lines A, B, C respectively illustrate the symmetry for the fuel rods 2 of a pair of regular dodecagon fuel rod arrangements 4, a pair of regular hexagon fuel rod arrangements 6, and a pair of regular tetragon fuel rod arrangements 7. According to the present embodiment, all of the fuel rods 2 are arranged symmetrically against the diagonal line L. In this manner, according to the fuel assembly 1 of the present embodiment, the substantial or absolute diagonal symmetry of fuel can be actualized against the diagonal line L, that is, the line passing through the center of the control rod 5 and the center of the fuel assembly 1. Accordingly, with the fuel assembly 1 according to the present embodiment, combustion of fuel proceeds symmetrically against the diagonal line L, so that improvement of prediction accuracy, operational management efficiency, fuel soundness and fuel economy can be obtained. Next, modified examples of the fuel assembly according to the present embodiment will be described. FIG. 5 illustrates a plane sectional view of a fuel assembly 1A according to the first modified example. As illustrated in FIG. 5, in the fuel assembly 1A, the regular hexagon fuel rod arrangement 6 is arranged instead of the regular tetragon fuel rod arrangement 7 at the inside of the dodecagon fuel rod arrangement 4 having only a part thereof included in the channel box 3, as well. With comparison to the fuel assembly 1 illustrated in FIG. 4, the fuel assembly 1A illustrated in FIG. 5 has the fuel rod arrangement unit 9A as the structural unit and does not include the fuel rod arrangement unit 9B. As illustrated in FIG. 5, the diagonal symmetry of fuel is maintained in the fuel assembly 1A, as well. The fuel assembly 1A includes 131 pieces of the fuel rods 2. This is ten pieces more than the number of the fuel rods 2 included in the 11×11 fuel assembly (i.e., 121 pieces). Here, more generally, the regular hexagon fuel rod arrangement 6 is arranged or the regular tetragon fuel rod arrangement 7 is arranged at the inside of the dodecagon fuel rod arrangement 4 irrespective of whether or not the dodecagon fuel rod arrangement 4 is completely included in the channel box 3. Further, as illustrated in FIG. 5, in the fuel assembly 1A, all of the regular hexagon fuel rod arrangements 6 within the regular dodecagon fuel rod arrangements 4 are arranged in the same direction. More generally, the direction of the regular hexagon fuel rod arrangement 6 can be determined for each fuel rod arrangement unit 9A. Even with this configuration, the diagonal symmetry of fuel is not impaired. Next, a second modified example according to the present embodiment will be described with reference to FIG. 6. FIG. 6 illustrates a plane sectional view of a fuel assembly 1B according to the second modified example. As illustrated in FIG. 6, in the fuel assembly 1B, the adjacent two regular dodecagon fuel rod arrangements 4 are arranged apart by the length of one side of the regular dodecagon not only in the direction perpendicular to the diagonal line L (i.e. the longitudinal direction) but also in the direction parallel to the diagonal line L (i.e., the transverse direction). That is, in the fuel assembly 1B of the second modified example, the adjacent two regular dodecagon fuel rod arrangements 4 are arranged so that the opposing two sides of the regular dodecagons are parallel to each other and apart by the first distance A not only in the transverse direction but also in the longitudinal direction (i.e., m=n=1). As illustrated in FIG. 6, the diagonal symmetry of fuel is maintained in the fuel assembly 1B, as well. The fuel assembly 1B includes 127 pieces of the fuel rods 2. This is six pieces more than the number of the fuel rods 2 included in the 11×11 fuel assembly (i.e., 121 pieces). Further, in the case that the regular dodecagon fuel rod arrangements 4 adjacent in both the transverse direction and the longitudinal direction do not share any side of the regular dodecagons as in the second modified example, the fuel rod arrangement units 9A, 9B are arranged in a substantially independent manner. Accordingly, spacer parts can be modularized for each fuel rod arrangement unit 9A, 9B. Consequently, productivity of the spacers can be improved. FIG. 7 is a plane sectional view of a fuel assembly 1C according to a third modified example. In the fuel assembly 1C, adjacent regular dodecagon fuel rod arrangements share one side of the regular dodecagon at the part adjacent to each other respectively in both the transverse direction and the longitudinal direction. That is, FIG. 7 is a plane sectional view of the fuel assembly 1 in the case that both the first distance mA and the second distance nA are zero (i.e., m=n=0). As illustrated in FIG. 7, the diagonal symmetry of fuel is maintained in the fuel assembly 1C, as well. Further, at a mesh portion of mesh structure of the regular dodecagon fuel rod arrangements 4, the fuel rods 2 of the adjacent regular dodecagon fuel rod arrangements 4 constitute a regular triangle therewith. Accordingly, the fuel rods can be arranged at a higher density. Specifically, the fuel assembly 1C includes 131 pieces of the fuel rods 2. This is ten pieces more than the number of the fuel rods 2 included in the 11×11 fuel assembly (i.e., 121 pieces). Next, it will be described that the present disclosure is applicable to a case having a different number of fuel rods arranged. FIG. 8 is a plane sectional view of a fuel assembly 1D according to a fourth modified example. Being similar to the fuel assembly 1C of FIG. 7, in the fuel assembly 1D, adjacent regular dodecagon fuel rod arrangements share one side of the regular dodecagon at the part adjacent to each other respectively in both the transverse direction and the longitudinal direction (i.e., m=n=0). Here, fuel rods 2A are the same as fuel rods arranged being square grid-shaped to be ten rows by ten columns. The outer diameter of the fuel rod 2A is larger than that of the fuel rod 2. As illustrated in FIG. 8, the fuel assembly 1D includes 103 pieces of the fuel rods 2A. This is three pieces more than 100 pieces, which is the number of the fuel rods included in a fuel assembly having the fuel rods 2A arranged within the channel box 3 as being square grid-shaped to be ten rows by ten columns. As is understood from the respective modified examples illustrated in FIGS. 6-8, according to the present disclosure, fuel rods can be arranged at higher density compared to the square grid arrangement and the fuel assembly having the substantial or even absolute diagonal symmetry can be obtained regardless of the distance between the regular dodecagon fuel rod arrangements 4 and the number of the fuel rod arrangements of the fuel assembly. Next, modified examples of positional relationships between the channel box 3 and the mesh structure constituted by arranging a plurality of the fuel rod arrangement units 9A, 9B in regular intervals in the transverse direction and the longitudinal direction will be described with reference to FIGS. 9-12. Any of FIGS. 9-12 illustrates only the regular dodecagons of the regular dodecagon fuel rod arrangements 4 of the fuel rod arrangement units 9A, 9B. In order to simplify the drawings, the fuel rods 2, similar to FIG. 1, at the respective apexes of the regular dodecagons within the channel box 3 are not shown. In further simplification of the drawings, the regular hexagon fuel rod arrangement 6 or the regular tetragon fuel rod arrangement 7 arranged at the inside of the respective regular dodecagons fuel rod arrangements 4 are not shown. Being similar to the fuel assembly 1 illustrated in FIG. 1, in the modified examples illustrated in FIGS. 9-10, rows constituted with a plurality of the dodecagon fuel rod arrangements 4 are inclined by 45 degrees from one side of the channel box 3. In this way, the relative position between the channel box 3 and the rows is different from the case of the fuel assembly 1 illustrated in FIG. 1. That is, in FIG. 1, one of the rows of the regular dodecagon fuel rod arrangements 4 aligned in the transverse direction is arranged so that the center of the regular dodecagon fuel rod arrangement 4 is located on the diagonal line L. Meanwhile, in FIG. 9, the rows of the regular dodecagon fuel rod arrangements 4 aligned in the transverse direction are arranged to be symmetric as sandwiching the diagonal line L1. Here, the diagonal line L1 corresponds to the diagonal line L in FIG. 1, that is the line connecting the corner of the channel box 3 closest to the control rod (not illustrated, but being similar to FIG. 1) and the diagonal corner thereof. Thus, the case that the rows of the regular dodecagon fuel rod arrangements 4 are arranged to be symmetric as sandwiching the diagonal line is included in the symmetric arrangement against a diagonal line of FIG. 9. FIG. 10 illustrates a fuel assembly in which rows of the regular dodecagon fuel rod arrangements 4 aligned in the longitudinal direction are arranged to be symmetric as sandwiching the diagonal line L2 that is perpendicular to the diagonal line L1 at the center of the channel box 3. In addition, the rows of the regular dodecagon fuel rod arrangements 4 aligned in the transverse direction are arranged to be symmetric as sandwiching the diagonal line L1. Next, another modified example of the present embodiment relating to positional relation between the channel box and the mesh structure will be described with reference to FIGS. 11-12. In FIGS. 11-12, rows constituted with a plurality of the regular dodecagon fuel rod arrangements 4 are arranged parallel to a side of the channel box 3. That is, in the present disclosure, the transverse direction (or the longitudinal direction) used as a reference to determine the direction of aligning the regular dodecagon fuel rod arrangements 4 may be set parallel to a side of the channel box 3. Further, as illustrated in FIGS. 11-12, the regular dodecagon fuel rod arrangements 4 are arranged to be symmetric against the diagonal line L1 and the diagonal line L2. In addition, as illustrated in FIGS. 11-12, it is preferable that the regular dodecagon fuel rod arrangements 4 are arranged to be symmetric also against lines L3, L4 which respectively connect midpoints of opposing sides of the channel box 3. There are different methods to arrange the regular dodecagon fuel rod arrangements 4 to be symmetric against the lines L3, L4. There are cases that the rows of the regular dodecagon fuel rod arrangements 4 are arranged on the lines L3, L4 as illustrated in FIG. 11 and other cases that the rows of the regular dodecagon fuel rod arrangements 4 are arranged to be symmetric to each other as sandwiching the lines L3, L4 as illustrated in FIG. 12. In this manner, by arranging the fuel rods so as to obtain symmetry against the lines L3, L4 in addition to the diagonal symmetry of fuel, a further even burnup can be actualized within the fuel assembly, so that soundness and economy of fuel can be further improved. As described herein, the fuel assemblies of the first embodiment and the modified examples thereof are described with reference to FIGS. 1-12. The description is performed in the combination of m and n as being (0, 0), (0, 1) and (1, 1). However, the fuel assembly in which the regular dodecagon fuel rod arrangements 4 are arranged can be obtained even with combinations of m and n other than described herein. Here, the length of one side of the regular dodecagon in FIGS. 1-12 is the same as the distance between the centers of the adjacent fuel rods when the fuel rods are arranged in the channel box that is square grid-shaped and eleven rows by eleven columns (ten rows by ten columns in the case of FIG. 8). However, since the length of one side of the regular dodecagon varies corresponding to the design, the possible embodiments are not limited to the dimensions in the drawings. That is, the length of one side of the regular dodecagon (consequently, the size of the regular dodecagon fuel rod arrangement 4) can be preferably varied corresponding to the design for the dimensions of the channel box 3. As described herein, in the present embodiment, the fuel rod arrangement units 9A, 9B respectively having the regular dodecagon fuel rod arrangement 4 and the regular hexagon fuel rod arrangement 6 or the regular tetragon fuel rod arrangement 7 arranged at the inside thereof are arranged and aligned respectively in regular intervals in the traverse direction and the longitudinal direction. Here, arranging of the fuel rod arrangement units 9A, 9B in regular intervals includes arranging two adjacent fuel rod arrangement units that are connected as sharing one side of the regular dodecagon of the regular dodecagon fuel rod arrangement 4. Accordingly, with the present embodiment, a larger number of the fuel rods 2 can be loaded within the channel box 3 having the same dimensions as those in the related art. In addition, the respective fuel rods 2 of the fuel assembly can be arranged with substantial symmetry against the line connecting the corner of the channel box that is closest to the control rod and the diagonal corner (i.e., the diagonal line L, L1). That is, according to the present embodiment and the modified examples thereof, a larger number of the fuel rods can be loaded within the channel box having the same dimensions as those in the related art. Further, the substantial diagonal symmetry of fuel can be actualized. As a result, combustion of fuel proceeds symmetrically, so that soundness and economy of fuel can be improved. Further, as in the fuel assembly 1 of FIG. 1 for example, at least a part of the fuel rod arrangement units 9A, 9B may be independently arranged such that with respect to the dimensions mA and nA, either m or n is not zero. In particular, as in the fuel assembly 1B illustrated in FIG. 6, the fuel rod arrangement units 9A, 9B are arranged in a substantially independent manner in the case that both m and n are not zero. Accordingly, spacer parts can be modularized for each fuel rod arrangement unit 9A, 9B. Consequently, productivity of the spacers can be improved. Next, the second embodiment of a fuel assembly including a water rod will be described. In the present embodiment, determined fuel rods, among the fuel rods arranged in the fuel assembly, are replaced with a water rod. Accordingly, planarization of output distribution of the fuel assembly, improvement of reactivity, and improvement of the void reactivity coefficient can be achieved. FIG. 13 illustrates a fuel rod arrangement in which a regular hexagon fuel rod arrangement 6 of a determined fuel rod arrangement unit 9A among a plurality of fuel rod arrangement units arranged in the fuel assembly has one water rod 8a at a position of an intersection point of diagonal lines connecting the opposing apexes of the regular hexagon (i.e., the center of the regular hexagon). As is evident from FIG. 13, the water rod 8a is located at a position having the same distance from the surrounding fuel rods 2. Accordingly, the fuel rods 2 around the water rod 8a can obtain effects of neutron moderation and heat elimination evenly by the water rod 8a. In the second embodiment, as illustrated in FIG. 14, it is also possible to structure the fuel rod arrangement in which a regular tetragon fuel rod arrangement 7 of a determined fuel rod unit 9B has one water rod at a position of intersection point of diagonal lines connecting the opposing apexes of the regular tetragon (i.e., the center of the regular tetragon). In this case as well, the water rod is located at a position having the same distance from the surrounding fuel rods, so that the similar effects can be obtained thereby. FIG. 15 illustrates a fuel rod arrangement in which a regular dodecagon fuel rod arrangement 4 of a determined fuel rod arrangement unit 9A has one water rod 8b of a large diameter at a position of an intersection point of diagonal lines of apexes of the regular dodecagon (i.e., the center of the dodecagon) without having the fuel rod 2 at the inside of the regular dodecagon. In other words, in the fuel rod arrangement of FIG. 15, the entire regular hexagon fuel rod arrangement 6 in the regular dodecagon fuel rod arrangement 4 is replaced with the water rod 8b. As is evident from FIG. 15, in the fuel rod arrangement, the water rod 8b is located at the position evenly distanced from the surrounding fuel rods 2, that is, evenly distanced from the respective fuel rods 2 of the regular dodecagon fuel rod arrangement 4. Accordingly, the fuel rods 2 of the regular dodecagon fuel rod arrangement 4 around the water rod 8b can receive effects of neutron moderation and heat elimination evenly by the water rod 8b. As illustrated in FIG. 15, it is also possible to replace the entire regular tetragon fuel rod arrangement 7 of the fuel rod arrangement unit 9B illustrated in FIG. 3 with a water rod. FIG. 16 illustrates a fuel rod arrangement in which one entire fuel rod arrangement unit 9A, 9B (i.e., the regular dodecagon fuel rod arrangement 4) is replaced with one water rod 8c of a large diameter. In this case, eight pieces of the fuel rods 2a and eight pieces of the fuel rods 2b among sixteen pieces of the fuel rods 2 arranged around the water rod 8c have the respective same distances from the water rod 8c, so that the effects of neutron moderation and heat elimination due to the water rod 8c can be obtained. For example, the large diameter water rod 8c illustrated in FIG. 16 can be preferably adopted to an upsized fuel assembly for the next generation. In addition, the water rod can be configured to vary the diameter size at a midway point, or some other point, in the axial direction of the fuel rod 2. Since neutrons are moderated by a moderator (e.g., light water), the diameter of the water rod can be relatively reduced at the lower portion of fuel. Meanwhile, since a larger neutron moderation efficiency than that at the lower portion is desired at the upper portion of fuel due to influence of void, the diameter of the water rod can be enlarged at the upper portion. By varying the diameter of the water rod in the axial direction, both the neutron moderation effect and the loading amount of the fuel rods can be obtained. A specific example of such a water rod having a different diameter at different points in the axial direction will be described with reference to FIG. 17. FIG. 17 is a plane sectional view viewing from above. In the drawing, view A illustrates a lower portion section of the fuel assembly, and view B illustrates an upper portion section of the same fuel assembly. Here, the length of one side of the regular dodecagon and the diameter of the fuel rod of FIG. 17 are the same as the distance between the centers of the adjacent fuel rods and the fuel rod diameter in the fuel rod arrangement illustrated in FIG. 20. That is, the distance between the centers of the adjacent fuel rods and the fuel rod diameters in the fuel assembly of FIG. 17 are the same as those of FIG. 20 where the fuel rod arrangement has fuel rods aligned to be eleven rows by eleven columns within the channel box. As illustrated in the lower portion section view A of FIG. 17, the regular dodecagon fuel rod arrangements 4 are arranged similar to those in FIG. 6. Here, the regular dodecagon fuel rod arrangement 4 arranged at the center of the channel box 3 and having a water rod 8d arranged at the inside thereof is configured to have a single short fuel rod 10 provided at each apex of the regular dodecagon. Further, as illustrated in the lower portion section view A of FIG. 17, four of the fuel rod arrangement units 9A are arranged respectively at four corners of the channel box 3. A single short fuel rod 10 is provided at the center of the regular hexagon fuel rod arrangement 6 at the inside of each fuel rod arrangement unit 9A. Similarly, four short fuel rods 10 are arranged respectively between the regular dodecagon fuel rod arrangements 4. Meanwhile, as illustrated in the upper portion section view B of FIG. 17, in the fuel rod arrangement of the upper portion section view B, the fuel rod is excluded from the positions at which the short fuel rods 10 are arranged. Further, the water rod 8d is arranged at the center of the fuel assembly. Here, the diameter is larger than that at the fuel lower portion view A. That is, on the midway from the fuel lower portion view A to the fuel upper portion view B, the water rod 8d is enlarged in diameter at the fuel upper portion view B at which twelve pieces of the short fuel rods 10 surrounding the water rod 8d do not exist. More specifically, at the fuel lower portion view A at which the short fuel rods 10 exist, the water rod 8d is configured to have approximately the same diameter as that of the water rod 8b illustrated in FIG. 15. Then, at the fuel upper portion view B at which the short fuel rod 10 does not exist, the water rod 8d is configured to have the approximately same diameter as that of the water rod 8c illustrated in FIG. 16. That is, at the fuel upper portion view B at which the short fuel rod 10 does not exist, the water rod 8d has the diameter corresponding to the entire regular dodecagon fuel rod arrangement 4. Now, the area occupied by the water rod 8d will be compared to the area occupied by the water rod 23 of the fuel assembly in the related art illustrated in FIG. 20. The area occupied by the water rod 8d corresponds to the amount of seven (=6+1) pieces of the fuel rods at the lower portion view A section and corresponds to the amount of nineteen (=12+6+1) pieces of the fuel rods at the upper portion view B section illustrated in FIG. 17. Accordingly, compared to the water rod 23 occupying the area corresponding to nine pieces of the fuel rods, the occupying area of the water rod 8d is slightly smaller at the fuel lower portion view A and larger at the fuel upper portion view B. By reducing the diameter of the water rod at the fuel lower portion view A in which neutron moderation efficiency is by the moderator is more than desired, fuel can be loaded possibly in a larger number. Meanwhile, by enlarging the diameter of the water rod at the fuel upper portion view B in which larger neutron moderation efficiency is desired, nuclear efficiency can be improved. Here, fuel loading amounts will be specifically compared. The number of loaded fuel rods in the fuel assembly of FIG. 17 is 120 pieces at the fuel lower portion, and that is larger than that of the fuel assembly in the related art (i.e., 112 pieces) as illustrated in FIG. 20. Meanwhile, since the short fuel rods 10 are arranged, the number of loaded fuel rods at the fuel upper portion is 100 pieces, which may be relatively small. However, in many cases, the short fuel rod 10 has length that is longer than a half of the fuel rod 2 (of standard length). Accordingly, on the basis of the entire fuel assembly, the fuel amount loaded in the fuel assembly of FIG. 17 is more than the fuel amount loaded in the fuel assembly in the related art of FIG. 20. Here, since the length of one side of the regular dodecagon and the diameter of the fuel rod vary corresponding to the design, the possible embodiments are not limited to the dimensions in FIG. 17. Further, the water rod is not limited to the one illustrated in FIG. 17, of which diameter varies as described herein. Under conditions that the diameter of the water rod at the fuel upper portion view B at which the short fuel rod 10 does not exist is larger than the diameter of the water rod at the fuel lower portion at which the short fuel rod 10 does exist, the water rods 8a, 8b, 8c illustrated in FIGS. 13-16 can be combined in many ways. The present embodiment is described based on the arrangement of the regular dodecagon fuel rod arrangements 4 illustrated in FIG. 6 (i.e. in the case of m=n=1). However, not limited to this, the water rod may be provided to a fuel assembly having another arrangement of the regular dodecagon fuel rod arrangements 4. As described herein, in the second embodiment, some fuel rods constituting at least a part of a determined fuel rod arrangement unit are replaced with a water rod. Accordingly, the distances between the water rod and surrounding fuel rods are substantially equalized so that the fuel rods around the water rod can evenly receive the neutron moderation effect by the water rod. As a result, planarization of output distribution of the fuel assembly, improvement of reactivity, and improvement of the void reactivity coefficient due to the water rod can be achieved. Next, the third embodiment according to the present disclosure will be described. In the present embodiment, the fuel rod arrangements described in the first and second embodiments are adopted to a larger channel box for the next generation and after. FIGS. 18-19 are plane sectional views of the present embodiment illustrating fuel rod arrangements for a channel box of a large size fuel assembly for the next generation. FIGS. 18-19 only illustrate regular dodecagon fuel rod arrangements 4 of fuel rod arrangements viewing a fuel assembly from above. Further, in the drawings, auxiliary lines of dotted lines indicate several non-limiting channel box structures. Both of FIGS. 18-19 only illustrate regular dodecagons of the regular dodecagon fuel rod arrangements 4 of the fuel rod arrangement units 9A, 9B. However, being similar to FIG. 1 and the like, the fuel rods 2 are provided at the apexes of the regular dodecagon, and then, the regular hexagon fuel rod arrangement 6 or the regular tetragon fuel rod arrangement 7 is arranged at the inside of the regular dodecagon. That is, it is understood that the particular regular dodecagon fuel rod arrangements 4 of FIGS. 18-19 are consistent with the embodiments described herein, and FIGS. 18-19 are simplified for ease in understanding. Numeral 11 in FIGS. 18-19 indicates a channel box of a fuel assembly for an existing boiling-water reactor. Numeral 12 indicates a channel box in the case that the size of the fuel assembly becomes one and one half times larger than that of the existing channel box 11. Numeral 13 indicates a channel box in the case that the size of the fuel assembly becomes two times larger than that of the existing channel box 11. Being similar to FIG. 6, FIG. 18 illustrates arrangement of the regular dodecagon fuel rod arrangements 4 in the case of m=n=1, and then, FIG. 19 illustrates arrangement in the case of m=1 and n=0. However, it is also possible to adopt other arrangements. As illustrated in FIGS. 18-19, in the channel box 12 or the channel box 13 of a large size fuel assembly for an assumed next generation reactor, it is also possible to maintain relative positional relationships between the channel box 3 and the mesh-shaped structure constituted with the regular dodecagon fuel rod arrangements 4 aligned along the longitudinal direction and the transverse direction. Further, it is also possible to maintain relative relationship between a water rod (not illustrated) and surrounding fuel rods regardless of the size of the channel box. Accordingly, the fuel rods can be arranged similarly to the first and second embodiments even when the channel box is enlarged. In this manner, according to the present embodiment, it is possible to obtain a large size fuel assembly for the next generation having similar fuel rod arrangement to the first and second embodiments. Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the disclosure in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concepts as defined by the appended claims and their equivalents. The various embodiments described above can be combined to provide further embodiments. Aspects of the embodiments can be modified, if necessary to employ concepts of the various patents, applications and publications to provide yet further embodiments. These and other changes can be made to the embodiments in light of the above-detailed description. In general, in the following claims, the terms used should not be construed to limit the claims to the specific embodiments disclosed in the specification and the claims, but should be construed to include all possible embodiments along with the full scope of equivalents to which such claims are entitled. Accordingly, the claims are not limited by the disclosure.
abstract
In a panel that uses the gamma radiation emitted by fission to produce electrical power, a source of an electrical current is connected to a layer of the panel made of a metal with a relatively high atomic number (Z) that forms an electron emitter. The emitter layer is surrounded by an insulation layer which in turn is surrounded by a relatively low Z value layer for collecting electrons from the emitter. Another layer of insulation and an outer sheath surround the collector. The improved panel may be used for reactor power level and power distribution measurements, and for initiating, maintaining or returning molten salt or metal coolants in the liquid state.
047626704
claims
1. A cleaning apparatus for an atomic reactor control rod driving means (CRD) which has been radioactively contaminated comprising a water tub having two ends for washing a CRD, rails laid within said water tub, a truck for loading said CRD installed on said rails, a CRD clamp unit mounted on said truck having means for rotating said CRD in the circumferential direction as well as for clamping said CRD, a CRD disassembling and assembling grapple unit installed within said water tub to clamp said CRD and move the same sideways, an outer-surface washing nozzle for washing on outer tube surface and strainer of said CRD, a lance installed within said water tub being insertable into the tubes of said CRD and being equipped with a nozzle assembly to spray the washing water onto the inner surface of said tubes, a filter washing nozzle unit installed within said water tub to spray the washing water onto a cylindrical filter of said CRD, a washing water recirculating pipe connected to said two ends of said water tub for recirculating the washing water back into said water tub, and a filter unit provided on a portion of the recirculating pipe to filter the washing water, said filter unit including shielding means for confining the radioactive contamination from the CRD entrained in the washing water therein so as to prevent radioactive exposure to the surrounding environment wherein said water tub is an elongated tub having a bottom member, oppposing said walls and opposing end walls, said filter washing nozzle unit being mounted adjacent only one side wall of said water tub and being pivotable about an axis extending in the elongated direction of said water tub, said filter washing nozzle unit including a cap member for substantially enclosing said cylindrical filter of said CRD and having disposed at the interior thereof a washing water ejecting nozzle and wherein said cap member is a substantially unitary member arranged for pivoting movement from a position adjacent said one side wall to a substantially central position of said water tub and for enclosing said filter therein at the central position, said filter washing nozzle unit being disposed adjacent one end wall of said water tub. wherein said cap member is a substantially unitary member arranged for pivoting movement from a position adjacent said one side wall to a substantially central position of said water tub and for enclosing said filter therein at the central position, said filter washing nozzle unit being disposed adjacent one end wall of said water tub. 2. A cleaning apparatus according to claim 1, wherein said cleaning apparatus further comprises a CRD handling means installed above said water tub to travel in the lengthwise and widthwise directions, said CRD handling means able to grip and convey the CRD and its parts. 3. A cleaning apparatus for atomic reactor control rod driving means (CRD) which has been radioactively contaminated comprising a water tub having two ends for washing a CRD, rails laid within said water tub, a truck for loading the CRD installed on said rails, a CRD clamp unit mounted on said truck having means for rotating said CRD in the circumferential direction as well as for clamping said CRD, a CRD disassembling and assembling grapple unit installed within said water tub, to clamp said CRD and move the same sideways, a strainer washing nozzle unit having a cover for enclosing a strainer of said CRD and a nozzle assembly installed within said cover, an outer-surface washing nozzle for washing an outer tube surface, a lance installed within said water tub being insertable into the tubes of said CRD and being equipped with a nozzle assembly to spray the washing water onto the inner surface of said tubes, a filter washing nozzle unit installed within said water tub to spray the washing water onto a cylindrical filter of said CRD, a washing-water recirculating pipe connected to said two ends of said water tub for recirculating the washing water back into said water tub, and a filter unit provided on a portion of said recirculating pipe to filter the washing water, said filter unit including shielding means for confining the radioactive contamination from the CRD entrained in the washing water therein so as to prevent radioactive exposure to the surrounding environment, wherein said water tub is an elongated tub having a bottom member, opposing side walls and opposing end walls, said filter washing nozzle unit being mounted adjacent only one side wall of said water tub and being pivotable about an axis extending in the elongated direction of said water tub, said filter washing nozzle unit including a cap member for substantially enclosing said cylindrical filter of said CRD and having disposed at the interior thereof a washing water ejecting nozzle, and 4. A cleaning apparatus according to claim 3, wherein said cleaning apparatus further comprises a CRD handling means installed above said water tub to travel in the lengthwise and widthwise directions, said CRD handling means able to grip and convey the CRD and its parts.
abstract
Terminal equipped with an application program, with at least one output constituted either by a display, or by a printer, or by a communications network, or by a portable object, and cooperating with a portable object equipped with a non-volatile memory area (ZD) containing data, and comprising a reader which communicates with said portable object, characterized in that the device comprises means for reading or storing, in its memory, self-diagnostic or supervisory data (Ti, Dj, Sk) and means for sending said data to outputs (1-4) specified as a function of information supplied by the self-diagnostic or supervisory data following the execution of at least one task Tt of its application program in connection with the portable object.
summary
048045160
description
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS The curve of FIG. 1 shows the evolution of the thickness of the zirconia (oxide) layer of the surface of a fuel element sheath which change according to the measurement location along the longitudinal direction of an assembly of known type, with grids located and regularly spaced along the braces according to the prior art. The distance between the end of the lower part of the fuel element and the part of the element where the measurement is undertaken appears on the Ox axis, and the measured thickness of oxide layer on the Oy axis. The location of the grids spaced longitudinally along the fuel element is shown on FIG. 1 by vertical bars identified from A to H, the grid A being the grid situated closest to the bottom end part of the assembly and hence to the bottom cap of the element examined. The curve of FIG. 1, obtained from measurements carried out by Applicants, shows that: the oxide layer is substantially thicker between the grids E and H than between the grids situated at the bottom part of the assembly. The thickness of the oxide layer is smaller, compared to the thickness observed on the sheath element in the immediate upstream zone of the grids, not only at the level of the grids themselves but also immediately downstream of said grids. Finally, and according to Applicant's observation, depending on the location of the grid, this effect is observed over about 10 to 30 cm downstream of the grid, the zirconia or oxide layer becoming thicker on the part of the sheath element located in the intergrid space to reach a peak a little after the middle of the inverval, the highest peak being between the grids F and G. FIG. 2 shows diagrammatically an assembly 1 comprising a skeleton including a lower end part 3 and an upper end part 4, connected by elongated elements such as guide tubes 5. The assembly is provided with lower grids 6, 7, 8, 9 and upper grids 10, 11, 12, 13, 14, 15, 16, the distance between two adjacent upper grids situated in the upper half of the assembly 1 being smaller than the distance existing between two adjacent lower grids situated in the lower half of the assembly 1. In the particular case shown, the assembly comprises seven upper grids and four lower grids, these numbers not being limiting. To locate as shown the seven upper grids, allows a better mixing of the coolant in the upper part of the assembly than the mixing obtained with an assembly of the prior art and this for a same thermal power dissipated by the reactor and a same output temperature of the coolant, from the assembly; this results in a lower temperature of the sheath and hence permits the growth of the oxide layer thickness in this part to be slowed down. The formation of corrosion on the fuel elements on the part comprised between the grids E and H of FIG. 1 is therefore delayed. In one advantageous embodiment of the invention, the number of grids in the upper part is doubled, the fuel elements being for example tie braced by grids positioned axially every 25 cm. FIG. 3 shows diagrammatically a type of upper grid 10 in an assembly according to the invention. It comprises two parallel beds 21 and 22 of plates 23 for holding and spacing the fuel elements. The plates are provided with mixing fins 24, so as to ensure, in addition to their supporting function, a function of mixing the fluid streams. FIG. 4 shows a type of mixing grid with two beds 21 and 22. The beds are joined by rods or corner bars 25. Each bed comprises plates 26 mounted in two different directions. It will be noted that each bed of plates as appearing in FIGS. 3 and 4 is incomplete in the sense that the receiving cell for fuel elements 27 is only completely defined by plates belonging to two beds. The upper grids may be of the type described in French document FR No. 86 02 501, but other types of grids are also suitable for an assembly according to the invention. FIGS. 5 and 6 shows a possible embodiment of the upper grids with a single bed and show fastening blades 31 for fixing the grid 30 to some of the guide tubes. The grid 30 ensures a function of bracing fuel element 32 with the protruding parts or bosses 33, 34 located on each surface of the plates 35 at two levels. It introduces turbulences into the coolant flow passing upwardly through the assembly with fins 36, for example of the type described in French patent application FR No. 8416803. To increase further the rigidity of the grid, at least some of the plates are extended advantageously by a protruding portion 37 having a longitudinal stiffening fold 38. FIG. 7 shows, from above, lower grids advantageously used in an assembly of the invention. These grids comprise two sets of plates 40 in which are formed slots 41 (FIG. 8). Once fitted together, the plates are fixed by welding 42, for example, by electron gun. The plates 40 are stamped so as to create protruding parts causing each side of the plate to project into two adjacent recesses such as holes or cells 43 and 44 on FIG. 7. These protruding parts ensure the lateral bracing of the elements containing fissile material, such as the fuel element 45 on FIG. 7. The grid shown in FIG. 7, 8 and 9, comprises on each surface of a cell, a single boss. The bosses corresponding to the cell 43 are referred to by number 46 on FIGS. 8 and 9. The bosses on two surfaces are at a different level from that of the bosses born by the two perpendicular surfaces. Each element containing fissile material 45 is hence braced laterally due to permanent contact with four bosses. With each boss 46 is associated a boss 47 oriented in opposite direction, except in the case of the walls which define a cell occupied by a guide tube 48 (FIG. 7). The lower grids do not include fins. They hence only participate slightly in the mixing of the fluid streams and, consequently, only introduce a very small head-loss. The invention is in no way limited to the embodiments which have been described. It covers also particularly: Those where the grids have a polygonal non-rectangular cross-section, Those where the lower grids are also provided with mixing fins, Those where the upper grids and/or lower grids differ from one another.
046817271
abstract
A process for reliably and consistently producing astatine-211 in small controlled volumes of a solution, which is selected from a choice of solvents that are useful in selected radiopharmaceutical procedures in which the At-211 activities are to be applied.
abstract
A system for attenuating a primary radiation beam applied to a target area on a patient for generating an image of the target area during radiological examination includes a barrier formed of a radiation attenuation material and positionable over the target area to partially attenuate the primary radiation beam before the primary radiation beam reaches the target area. The barrier is configured to substantially extend around an entire periphery of the patient. The system also includes a buffer positionable between the barrier and the patient for offsetting the barrier from the patient. The buffer includes at least one flexible bag configured to retain a fluid. The at least one flexible bag is configured to improve the clarity of the image generated during the radiological examination.
048511846
summary
The invention relates to a building made from concrete walls, in particular for nuclear plants, which encloses plant components in order to protect them from external influences. The concrete walls are typically made of steel-reinforced concrete and are constructed, at least in the regions that perform the protection functions, in such way that they can withstand the outside influences for which they are designed, such as the impact of an airplane crashing into them. A so-called secondary shielding of a nuclear power plant, for instance, is constructed for this purpose in the form of a concrete containment which is up to 2 meters thick. Naturally, the concrete is reinforced. German Published, Prosecuted Applications DE-AS Nos. 10 52 095 and 12 99 404 as well as European Pat. No. 0 009 654 disclose buildings which are constructed differently and are not able to enclose comparably large components in such a manner as to protect them from destruction. It is accordingly an object of the invention to provide a building made from concrete walls, in particular for nuclear plants, which overcomes the hereinafore-mentioned disadvantages of the heretofore-known devices of this general type and which minimizes the concussions that are to be expected in the case of a pulsed load (with a special case being an aircraft impact). As a consequence, greater safety of the components and systems from external influences is to be obtained at comparable expense. With the foregoing and other objects in view there is provided, in accordance with the invention, a building, especially a nuclear plant, comprising concrete walls enclosing components, such as plant components as a protection against external action, the concrete walls having exposed locations and the concrete walls having double-layered regions at the exposed locations with double layers defining hollow spaces therebetween, being optionally filled with a damping material. The hollow spaces can also be provided with an additional thin-walled linings. In accordance with again another feature of the invention, the double layers of the prefabricated building elements include outer shells having shapes and dimensions forming means for highly plastically deforming the outer shells with energy dissipation upon the occurrence of local pulsed loads. According to the invention, external action is no longer absorbed rigidly but instead is absorbed resiliently at exposed points, with an intentional plasticizing and with the maximum possible dissipation of energy. In this way the used load to be absorbed is distributed over time, so that impact strains lessen the forces locally induced into the structure. This is also the prerequisite for reducing the loads on the components housed in the building by means of induced forces of acceleration, both in terms of static safety and in terms of the strains to be expected The invention is thus distinguished from the prior art described in German Published, Prosecuted Applications DE-AS Nos. 10 52 095 and 12 99 404 as well as European Pat. No. 0 009 654, in which buildings are constructed differently and are not in a position to enclose comparably large components in such a manner as to protect them from destruction. With the invention, it also becomes less necessary to provide cost-intensive proof of functional conditions of components and buildings strained by shock-induced concussions. This is particularly true for all of the electrical wiring and plumbing components. Until now, the ability of these systems to function was documented for a frequency range of up to approximately 35 Hz, like that to be expected in earthquakes, for example. The requirement now being made for documenting functional ability even at high acceleration values, in the frequency range up to 80 Hz, which appears possible particularly in the case of aircraft impact, is largely obviated by the invention, because of the aforementioned reduction of accelerations. In accordance with another feature of the invention, there are provided other wall regions neighboring the double-layered regions, the double-layered regions having outer surfaces protruding beyond the other wall regions. This enables a more comprehensive protection. This construction also makes it possible to keep the same interior capacity of the buildings, despite their double-layered structure, which is therefore thicker, at some points. In accordance with a further feature of the invention, the double-layered regions are in the form of rounded regions at edges and corners of the building. This makes it possible to round the corners there so that the load-bearing capability of the shells can be exploited to improve energy distribution. In accordance with an added feature of the invention, the double-layered regions are disposed in the vicinity of load-bearing ceilings located within the building. In this way, the aforementioned induction of forces brought to bear from the outside into the interior of the buildings is prevented in a particularly favorable manner. In accordance with an additional feature of the invention, the double layers include an outer shell formed of concrete having a filamentary filler material. The outer layer of the double-layered wall regions can also be steel-fiber-reinforced concrete with corresponding armoring. A tough, energy-dissipating, resilient structure can thus be attained, which makes it possible to fully exploit both the plastic behavior of the steel-fiber-reinforced concrete as well as the damping effect of the lined hollow space. In accordance with yet another feature of the invention, the double layers are in the form of shells, and each of the hollow spaces has a width substantially equal to the thickness of one of the plasticizing shells. On the other hand, the thickness can also be optimized and determined by the filling material. In accordance with a concomitant feature of the invention, each of the double-layered wall regions is in the form of a prefabricated building element fastened to the outside of one of the concrete walls. This is an embodiment that is especially promising economically, and is also suitable for retrofitting. In this connection, the term "fastened" means that in normal operation the prefabricated building elements exhibit the necessary static safety. This can be provided intrinsically by the weight with which the wall elements rest on the top of a concrete wall. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a building made from concrete walls, in particular for nuclear plants, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.
summary
summary
description
Reference will now be made in detail to specific embodiments of the invention. Examples of these embodiments are illustrated in the accompanying drawings. While the invention will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the invention to these embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention. Over-etch defects create unique challenges in inspection. In the present invention, over-etch defects involving contacts connected to pn junctions may be detected using scanning electron microscopes and voltage contrast techniques through the select application of a controlling charge. FIG. 1 is a diagrammatic representation of a scanning electron microscopy configuration 100 that may be configured to implement the techniques of the present invention. As shown, a beam of electrons 102 is scanned over a sample 104 (e.g., a semiconductor wafer). Multiple raster scans 112 are typically performed over a small area 114 of the sample 104. The beam of electrons 102 either interact with the sample and cause an emission of secondary electrons 106 or bounce off the sample as backscattered electrons 106. The secondary electrons and/or backscattered electrons 106 are then detected by a detector 108 that is coupled with a computer system 110. The computer system 110 generates an image that is stored and/or displayed on the computer system 110. A certain amount of secondary electrons may be required to provide a satisfactory image. This quantity of secondary electrons helps bring out the contrast features of the sample. The SEM system may include one or more electrodes configured to control charge on the sample. The electrode(s) are placed proximal to the sample and charged to a predetermined voltage. In general terms, the predetermined voltage results in the generation of an electric field that functions to control charge on the surface of the sample. The predetermined voltage is selected to repel some of the electrons emitted from the sample back towards the sample such that charge accumulated on the surface of the sample is controlled. For example, a portion of the secondary electrons emitted from the sample may be repelled back to the sample surface to cancel positive charge or build up negative charge on the sample surface. This is an example of a retarding field. As a result, the surface may be negatively charged. A negative surface charge increases the energy of escaping electrons whereas a positive charge decreases it. The predetermined voltage may also be selected to form an extracting field. An extracting field attracts electrons from the surface of the wafer thus leaving the surface positively charged. The contrast in the image caused by localized voltage differences on the sample surface is referred to as a voltage contrast effect. Generally, electrons emitted from a candidate area detected by the detector are used to generate an image that is compared to a corresponding image of a reference area. In one embodiment of the present invention, the image of the contacts in the candidate area is compared with the image obtained from reference areas on the wafer. Voltage contrast techniques make it possible to detect over-etch defects created during the fabrication of metal-oxide semiconductor field-effect transistors (MOSFET or MOS). MOS transistors are popular. A common form, (PMOS) is fabricated in the n-well on a p-type substrate or on an n-type substrate. Two heavily doped p-type regions are created in the substrate. Contacts are made to the source region and the drain region. A gate contact is typically placed between the source and drain regions above an oxide layer grown on the substrate. The substrate forms pn junctions with the source and drain regions. These are kept reverse biased during normal operation, thus cutting off current flow across the junctions. An example of an MOS device, such as used within memory devices, is illustrated in FIG. 2. The device 200 is formed on a semiconductor substrate 202, typically composed of monocrystalline silicon. The device 200 is formed according to a series of fabrication steps well known to those of skill in the art. First, isolation regions (not shown) are formed on the substrate 202. Then a gate oxide layer 204 is formed on the surface of the substrate 202. Next, a layer of polysilicon 206 is formed on the gate oxide layer, typically by using a chemical vapor deposition (CVD) process well known to those with skill in the art. This polysilicon deposition step is followed by deposition of additional layers of material, also typically using CVD. Silicide layer 208 is the first additional layer and may, for example, be composed of tungsten silicide (WSix), which is referred to as a xe2x80x9cpolycidexe2x80x9d when deposited in this manner. The second layer, dielectric 210, is a dielectric material that is resistant to a subsequent etching procedure. Typical examples of this material include silicon oxynitride (SiON) or silicon nitride (Si3N4). This three-layer stack is then patterned and etched to form distinct gates. The etch chemistry should have good selectivity to oxide so that the gate oxide material (204) exposed by the etch on either side of the gates prevents penetration into the substrate. Once the gate has been formed, an implant is performed to produce a shallow diffusion region 212 at the surface of the substrate 202 to form source and drain regions. Then, sidewall spacers 214 are formed in a conventional manner by deposition of an oxide (TEOS), oxynitride, or nitride layer followed by an anisotropic etch. Deep implants 216 are then formed according to conventional procedures. Following formation of the sidewall spacers 214 and diffusion regions 212/216, an interlayer dielectric 218 may be formed. A second interlayer dielectric or oxide layer 228 may also be formed. Many process variations may be used to fabricate an equivalent structure as shown in FIG. 2. These processes will produce contacts by patterning and etching one or more dielectric layers then filling those contact holes (e.g. vias) with a conductive material to form a gate contact (224) and an interconnect (226) to the source/drain (222) contact. For example tungsten may be deposited to form the conductive contacts. At this point, a chemical mechanical polishing (CMP) step typically is performed to planarize the surface of the partially completed device. Over-etching defects may occur during anisotropic etching in the formation of the sidewall spacers 214 or during etching of the oxide (dielectric) layers (218, 228), thus creating the potential for electrical leakage or shorts from the gate contact 224 to the source/drain contacts 222,226. In normal situations, during inspection, the gate contacts (e.g., 224) are floating, i.e. they are at a voltage level independent of ground. In some cases where the source or drain contacts are grounded, the defective gate contacts can be isolated using electron beam inspection. The defective gates (i.e., those shorted to the contacts for the source or drain regions) will in this case also be grounded and will exhibit different charging characteristics than a normal floating gate contact during an electron beam inspection. These voltage contrast effects may thus be detected. However, the source or drain contacts are not always grounded. The present invention detects over-etch defects by using voltage contrast techniques and forward biasing the pn junctions at the source and drain regions through the selective application of extracting and retarding fields. More specifically, the present invention takes advantage of the fact that normally gate contacts are floating to discern between xe2x80x9cgoodxe2x80x9d gates and shorted gates (i.e. those shorted due to over-etching). The gates float under normal conditions because of their placement over the isolating gate oxide 204 layer. In an NMOS device, the n+ regions 303, 305 form a pn junction with a surrounding p-well 307. This is shown by the diode symbol 302 in FIG. 3. Application of a retarding field by the electron beam inspection equipment (e.g., SEM) will produce a negative surface charge on the semiconductor device. The retarding field is adjusted to a point where a forward bias is reached and pn junctions 304, 306 are turned on. This charge will result in a forward bias voltage applied to the pn junctions 304,306. These forward biased pn junctions will permit current to flow across the junctions thereby allowing source/drain contact 308 to be electrically connected to the substrate which is commonly grounded during voltage contrast inspection. Over-etch defects may be detected in these situations with voltage contrast techniques. An image taken of a reference sample will show a normal reference image intensity for a normal gate contact (310), i.e. one that is at a floating voltage level. A shorted gate contact (310), however, will be grounded and produce an image intensity for this candidate area comparable to the intensity of the grounded source and drain regions in the image. In this instance, the retarding field enables the electronic beam inspection system, utilizing the voltage contrast effect, to detect the defects. In contrast, defective (i.e. shorted) gates would be indistinguishable from normal gates where an extraction field is applied to the NMOS device. This occurs because the pn junctions are cut off and thus the source and drain regions are at a floating voltage level, as are the defective gates and the normal gates. Similar techniques may be applied to detect over-etch defects in PMOS devices. As shown in FIG. 4, a PMOS device will have an n-well 402 implanted into the substrate. The p+ regions 404, 406 are created for the source and drain. The gate contact 420 is normally floating because of the presence of gate oxide 414. Over-etching will cause the gate contact 420 to become shorted with the source/drain contact 422 through the spacer 424. In the PMOS device, the p+ regions 404, 406 create pn junctions 408,410 with the surrounding n-well 402. Diode symbol 418 indicates that the normal flow of current from the p+ region 404 to the n-well 402. In this situation, an extracting field must be applied by the electron beam inspection equipment to create a positive surface charge. Such a surface charge will forward-bias (turn on) the pn junctions 408, 410 permitting current flow from the p+ regions 404, 406 to the n-well 402. Thus, the gate contacts 420 become grounded with source/drain contact 422. This allows the over-etched spacers or insulation dielectric layers and shorted gate contacts to be detected using the electron beam inspection and voltage contrast effects, as described above. In contrast, a retarding field would apply a negative surface charge and would turn off the pn junctions 408, 410, thus rendering the defective gates to be indistinguishable by voltage contrast techniques from normal gates. In sum, voltage contrast techniques, therefore, would not be able to detect over-etch defects unless a retarding field was applied to an NMOS device or an extracting field was applied to a PMOS device. The etching defect created during the anisotropic etching may be suitably inspected, in one embodiment, within several process steps of the creation of the defect using the voltage contrast techniques of the present invention. For example, after application of an extracting or retarding field the voltage contrast inspection may take place following the deposition of a conductor fill metal such as tungsten into the contact holes etched into oxide layer 228 (as shown in FIG. 2) and a subsequent chemical mechanical polishing (CMP) step. Prior to this point over-etch defects in the sidewall spacers or other insulators may not be filled with conductive material. Thus, there may not be an electrically conductive path between the polysilicon gate and the source/drain contacts until the deposition of the contact fill material such as tungsten. The inspection described may be performed at a lower level, i.e. level 229 as shown in FIG. 2, if gate contact 224 and source/drain contact 222 are composed of the same material. FIG. 5 is a flowchart illustrating a method of inspecting an MOS device in accordance with one embodiment of the present invention. The method ideally would occur after the Contact CMP level had been completed, i.e. the contact holes had been filled with a conductive material and a flat surface had been provided on the partially formed semiconductor using CMP methods. Initially, a selected electrical charging field would be applied to the candidate area by the scanning electron microscope system (504). In one embodiment, the candidate area is one die on a wafer and the reference area is a second die on a wafer. The invention is not limited to such embodiments. The reference areas may comprise a different portion of the same die or may correspond to an image from another wafer, for example, in other embodiments. As noted above, an extracting field would be applied to a PMOS device and a retarding field would be applied to an NMOS device. The electrical field selected would forward bias the pn junction located between a contact being tested and ground (the substrate) in the semiconductor subject to testing. An inspection using an SEM system and voltage contrast techniques would then typically provide an image corresponding to the quantities of electrons detected from the inspected areas of the device (506). An inspection of a reference area using the same equipment and electrical charging voltage would follow as part of the inspection step (506). In order to determine defects, the image from the product candidate area would be compared to the reference area (510). In one embodiment, the candidate area is compared with reference images from two adjacent dies. Determining if a defect exists is based on the premise that any difference in image intensities between contacts in the candidate areas and contacts in the reference areas represents a defect. For example, if a minority of the contacts have a different imaged appearance during the voltage contrast inspection than a majority of contacts, then the minority of contacts are determined to be defective. If other types of devices, areas, or dies need testing (512), steps 504-512 may be repeated. For example, the initial electrical charging field applied might be effective to detect over-etch defects in PMOS devices. A different electrical field (e.g. retarding field) would then be applied to test the NMOS devices or to complete testing of a complementary metal oxide semiconductor (CMOS) device. Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the method and apparatus of the present invention. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.
040100693
abstract
A nuclear reactor fuel rod is disclosed in which fission gases from the nuclear reaction are retained for a short time period to permit decay of the radioactivity present in the gases and then permit the removal of the gases from the rod. To accomplish this one of the end caps of the rod has a hole drilled in it and is connected to a fission gas removal system in the reactor. A separate container, containing pressed filter material such as, activated carbon, is metallurgically connected to the perforated end cap. At least on the side of the filter into which the fission products initially pass, a porous element is used to permit the fission products to pass into the filter material in a finely divided state without a large pressure drop.
049875825
claims
1. A system for detecting the presence of elements in an object comprising: gamma ray source means for irradiating an object with gamma rays of predetermined minimum energy to produce X-ray fluorescence of elements therein; X-ray detector means, including a first dislocation free single crystal having substantially parallel input and transmission surfaces at opposite ends thereof, positioned to intercept X-rays radiated by said elements, and disposed at an angle of incidence with respect to the X-rays to transmit only X-rays incident at predetermined Bragg angles characteristic of a known element; and further including a second dislocation free single crystal disposed substantially parallel to the first crystal and having a predetermined offset therefrom to intercept and measure X-rays transmitted by the first crystal at a specific Bragg angle. 2. The system of claim 1 further including means for varying the angle of incidence of the transmission surface with respect to the second crystal and means for measuring the intensity of the X-rays radiated by material at each of a plurality of known materials. 3. The system of claim 2 further including a plurality of X-ray detector means disposed in a linear array. 4. The system of claim 3 further including means for scanning the object with the X-ray detector means including means in a direction generally perpendicular to array. 5. The system of claim 4 further including means for moving the object relative to the detector means to effect scanning of the object. 6. The system of claim 1 wherein the X-ray detector means include a dislocation free crystal having a dimension to pass X-rays of characteristic wavelength in accordance with the relationship .lambda.=2d sin .sigma., where .lambda. is the X-ray wavelength, d is the lattice spacing of the atoms in the crystal, and .sigma. is the Bragg angle of the specific element of interest.
041727614
abstract
A ferrule type nuclear-reactor fuel grid has the two adjacent cells for the respective fuel rods from a single piece of metal strip. A dividing partition between the two cells may be a part of the single piece or a separate piece of strip. In either case the partition carries a resilient member extending into the cell opening to urge a fuel rod inserted therein against fixed stops pressed out of the ferrule wall. By this design a large number of fuel rods may be located in a compact array with a smaller amount of parasitic structural material in the grid.
059178795
summary
FIELD OF THE INVENTION The invention pertains to a reflective reduction imaging optical system for X-ray lithography. BACKGROUND OF THE INVENTION With an increase in the level of integration of semiconductor devices, progress has been made in the technology using X-rays as the light source for the exposure of wafers. Japanese Kokai Patent Application No. Sho 63 1988!-311315 discloses a technology for the reduction exposure of wafers, with X-rays from the mask reflected by a first concave mirror, a convex mirror, and a second concave mirror, in that order. However, when using such a system in the synchronized scanning of a mask and wafers, the wafers interfere with the rays, which may lead to the rays being deflected. The aforementioned Japanese patent also discloses a configuration for avoiding interference of the rays by the wafer during scanning by placing a plane mirror between the mask and the first concave mirror and/or a plane mirror between the second concave mirror and the wafer. However, with this configuration, since the incident angle of the X-rays on the plane mirror is about 45.degree., and the plane mirror for X-rays is formed as a laminated film, a phase shift takes place due to the difference in the incident angle, leading to aberration. U.S. Pat. No. 5,315,629 discloses an optical system in which a first concave mirror, a plane mirror, a second concave mirror, and a third concave mirror are arranged coaxially, in that order, from the mask to the wafer. According to this system, it is possible to avoid interference of the rays by the wafer, but four aspherical mirrors are required. This is a disadvantage. The purpose of this invention is to solve the problems of the aforementioned conventional systems by providing a reflective reduction imaging optical system for X-ray lithography that can provide a good imaging performance using a simple system. SUMMARY OF THE INVENTION In order to solve the aforementioned problems, the invention herein provides a reflective reduction imaging optical system for X-ray lithography formed by coaxially placing a first concave mirror, a plane mirror, a convex mirror, and a second concave mirror, in that order, from the object side, with the concave mirrors and convex mirror formed in aspherical shapes, the convex mirror being placed at the pupil plane. The system becomes telecentric on the image side.
abstract
An apparatus, system, and method of storing and transferring a canister of spent nuclear fuel. In one aspect, the apparatus is a lid for a ventilated vertical overpack having a chamber for receiving spent nuclear fuel, the lid having ventilation ducts. In one aspect, the system comprises: a lid for a ventilated vertical overpack having a chamber for receiving spent nuclear fuel, the lid having ventilation ducts; and a ventilated vertical overpack having a cylindrical body including lower ventilation ducts, a bottom, and a chamber formed by the body and the bottom adapted for receiving a canister of spent nuclear fuel.
039322114
abstract
A method of automatically monitoring the power distribution of a nuclear reactor during normal power operation employing in-core detectors maintained within the thermal environment of the reactor at a prearranged storage location, normally outside the core reactivity region. The detectors are intermittently inserted into the core region according to a periodic predetermined time program. Upon insertion, the detectors are moved along corresponding preselected linear paths which terminate at the detector storage locations. Detector output vs. position is continuously recorded during travel to provide a partial core flux map.. Four detectors, arranged in two electronically redundant groups, are alternately inserted into the core at staggered intervals dictated by the time program to obtain the desired flux information. Reinitation of the program is effected upon a given movement of the reactor control rods to remap the corresponding reactivity changes and provide a complete and updated readout of the reactor core power distribution.
description
The present disclosure relates generally to semiconductor manufacturing. Specifically, the present disclosure relates to systems and methods that write to a medium using electron beams. Electron-beam (or “e-beam”) writing relates to a process for creating changes in a medium using e-beams. Specifically, some e-beam processes use e-beams to write designs onto mediums. Examples of mediums that can be written on with e-beams include semiconductor wafers and photomasks (e.g., fused silica and chrome masks). E-beam writing provides a way to create features on a medium where the features are smaller than a resolution limit for light. Some conventional systems use a single-beam method to write designs to a photomask. In one conventional system, in order to mitigate the beam-stitching effect, multiple passes are made by a single beam to apply the desired dosages to the medium. Dosage refers to the amount of electron beam exposure at a given point or area, e.g., e-beam current multiplied by exposure time at a given area is a way to measure dosage. Assuming that the beam is kept at a constant current, dosage increases with a number of passes over an area. Furthermore, throughput is typically inversely proportional to dosage applied by a particular pass. Single-beam exposure methods may be undesirably slow for some applications; thus some applications are evolving to a massive beam exposure technique. Conventional massive beam exposure techniques employ a single source with multiple apertures to generate parallel beams, where each of the parallel beams are individually controllable as to placement, size, dose, and blur. Also, the beams can be individually calibrated. In one conventional technique, a set of parallel beams are used to write parallel strips on a medium simultaneously. The beams are moved in the x-direction by deflection and in the y-direction by scanning movement of the medium to make a zigzag movement to apply a desired dosage and create the parallel strips. However, one issue with conventional massive beam techniques is beam-to-beam variation, and without some way to ameliorate beam-to-beam variation, one or more of the strips may be different from other strips and/or deviate from the desired dosage. Precise calibration for all beams can be difficult, so some conventional techniques account for beam-to-beam variation by overlapping the writing zones between adjacent beams. The overlapped writing zones are referred to as stitches, and while not considered part of the strips, stitches are used to average beam-to-beam variation between adjacent beams. The massive beam techniques can use Gaussian beams, where each beam is a single beam, or patterned beams, where each beam includes a set of sub-beams that are not individually controllable and are arranged in an array. The above-described conventional techniques have some disadvantages. For instance, as mentioned above, techniques using single beams with multiple passes may be undesirably slow, i.e., throughput may not be high enough for some applications. Also, some conventional massive beam techniques using stitching may find throughput negatively affected by the time used to write in the overlapped areas. More efficient and effective e-beam writing is called for. The present disclosure provides for many different embodiments. In a first embodiment, a method for electron-beam writing to a medium includes positioning the medium within an e-beam writing machine so that the medium is supported by a stage and is exposed to an e-beam source. The method also includes writing a pattern to the medium using a plurality of independently-controllable beams of the e-beam source, in which the pattern comprises a plurality of parallel strips. Each of the parallel strips is written using multiple ones of the independently-controllable beams. In another embodiment, an electron-beam writing system includes a stage upon which a medium may be placed and a writing mechanism to write upon the medium placed upon the stage. The writing mechanism includes an electron beam source operable to produce N independently-controllable beams, where N is an integer larger than 1. The system also includes a computer-based control system operable to write a pattern upon the medium in a plurality of parallel strips, each of the strips being written using multiple ones of the N independently-controllable beams. In another embodiment a method for electron-beam writing to a medium includes positioning an e-beam source to write a plurality of strips onto the medium using N independently-controllable beams and writing to each of the strips using multiple ones of the N independently-controllable beams. Variations among the respective independently-controllable beams are averaged by writing to each strip using a unique subset of the N independently-controllable beams. The present disclosure relates generally to semiconductor manufacturing. Specifically, the present disclosure relates to e-beam writing systems and methods that employ multiple beams. While the examples herein discuss applying the techniques to write to photolithographic masks and semiconductor wafers, it is understood that the scope of embodiments can include any system for writing to any appropriate medium using e-beam technology. The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. With reference now to the figures, FIG. 1 is a simplified diagram of a network system 100 in which embodiments may be implemented. Network system 100 includes a network 102 that provides a medium for communications between various devices and computers communicatively coupled by network system 100. Network 102 may be implemented as one or more of various networks, such as the Internet, an intranet, a local area network, a wide area network (WAN), or another network architecture that facilitates communications between network connected devices. Network 102 may include any one or more of various communication connections, such as wire, wireless, fiber optic, satellite links, or other communication media. In the present example, various servers 110-112 are connected to network 102. In addition, a client 120 is connected to network 102. Servers 110-112 may be implemented as hypertext transfer protocol (HTTP) servers, file transfer protocol (FTP) servers, application servers, or other devices that provide data sources such as web pages or other content to client 120 connected therewith. Client 120 may be implemented as a personal computer, a portable computer, a network computer, a super computer, or another computational device. Client 120 is connected to e-beam writing hardware 130, which receives files from client 120 and writes to a physical medium according to the data in the files. The data in the files includes layout patterns that are stored and transmitted in a layout description language such as GDS-II or OASIS. E-beam writing hardware 130 in this example includes writing mechanism 131, which includes a beam source and is operable to produce multiple beams simultaneously, and stage 132, which supports the medium. Either or both of stage 132 and writing mechanism 131 may move during a writing process to facilitate patterning. For purposes of the examples below, stage 132 is moved in the y-direction, and x-direction motion is provided by deflection, though the scope of embodiments is not so limited, as other methods of writing motion are possible. Computers, such as client 120 and/or servers 110-112 may provide control and data to e-beam writing hardware 130 to facilitate writing of designs to the medium. For instance, one or more computers may control the movement of stage 132 and/or deflection during writing processes. FIG. 2 is a simplified block diagram of a computer system 200, such as client 120 or any of servers 110-112 shown in FIG. 1, that may be configured to implement embodiments of an e-beam writing system. Computer system 200 includes a processor 202 interconnected with a system bus 204. System bus 204 provides couplings to subsystems and components of computer system 200. A memory controller 206 interconnected with a system memory 208 provides a communicative coupling between memory 208 and processor 202. Memory 208 may store executable instructions that provide writing functionality as described more fully below. An input/output bridge 210 may be connected with system bus 204, and one or more input/output devices may be connected with an I/O bus 212. For example, a hard disk 216 (or other memory, such as a flash drive) may provide non-transitory, non-volatile storage, and a modem or network adapter 214 may provide a communication interface that facilitates communication exchanges between computer system 200 and one or more data resources on a network. Additionally, user input devices, such as a mouse/keyboard 218, may be coupled with I/O bus 212 and facilitate user input to computer system 200. The configuration of computer system 200 is illustrative and is chosen only to facilitate an understanding of embodiments described herein. FIG. 3 is an illustration of exemplary process 300 for performing e-beam writing according to one embodiment. Process 300 may be performed, for example, by one or more computers 110-112, 120 and e-beam writing hardware 130 of FIG. 1. In block 305, the medium is positioned within the e-beam writing machine so that it is supported by the stage and exposed to the e-beam writing source. The system writes a pattern to the medium, where the pattern is made of multiple parallel strips. Block 305 may further include calibrating the e-beam source so that it can produce N independently-controllable beams, where N is an integer larger than one. Furthermore, block 310 may also include setting a placement, size, and dose for each of the beams. In block 315, writing is performed on the medium using the N independently-controllable beams. In this example, each of the parallel strips is written using more than one of the beams, though perhaps fewer than all N beams. Further in this example, each of the strips is an area of the medium with a single e-beam dosage, the effects of beam-to-beam variation notwithstanding. Example strips are shown in FIGS. 4 and 5. It is understood that beam-to-beam variation may cause dosage to vary somewhat within the bounds of a single strip; however, the use of multiple beams to write to a given strip mitigates the variation within a single strip and among multiple strips. The e-beam writing process includes writing to a physical medium. In one example, the e-beam writing process is used to etch material from a semiconductor wafer in a design that facilitates the manufacture of structures on the wafer. In an other example, the e-beam writing process is used to remove portions of a photomask that is made of, e.g., chrome and fused silica. The photomask can then be used in the manufacturing process of semiconductor devices. E-beam writing processes provide an effective way to create designs on a physical medium where some of the features of the design may be too small to be made by other conventional processes, such as photolithography. The scope of embodiments is not limited to the example shown in FIG. 3. Other embodiments may add, omit, rearrange, or modify actions. For instance, some embodiments may repeat the actions of FIG. 3 many times to write multiple complex structures to the medium. Furthermore, other embodiments include subsequent processing steps appropriate for a photomask, semiconductor wafer, or other medium. As shown below, some example embodiments include use of deflection to write a zigzag path using each of the beams. In other embodiments, either scanning or deflection is used to provide relative motion for writing. Still further, some embodiments employ Gaussian beams, whereas other embodiments employ patterned beams. Moving to FIG. 4, a medium 400 with strips 401 thereon is depicted according to one embodiment during e-beam writing. Dot 402 represents a placement at a point in time of one e-beam, and other dots in FIG. 4 represent other e-beams similarly. In FIG. 4, the area of each strip 401 is double exposed. Lines 410 show an example path traced by the e-beam of strip 401a. In FIG. 4, the stage (not shown) moves in the y-direction, and deflection is used to create relative movement in the x-direction. The result is the path represented by lines 410. The dot adjacent dot 402 represents a beam that also writes to strip 401a in a manner similar to that described immediately above. The two beams together write strip 401a. Portions 404 and 405 are shown as not double exposed, though various embodiments include techniques to ensure that portions 404, 405 are double exposed. For instance, dummy exposures may be used to double expose portions 404, 405. Also, the stage can be used to position the medium to make further exposures where desired to provide consistent double exposure throughout medium 400. In some embodiments, the technique illustrated in FIG. 4 brings superior beam-to-beam uniformity than conventional stitching techniques discussed above. Of note in the embodiment of FIG. 4 is that each strip 401 is created using a unique set of beams. In some embodiments, throughput is not adversely affected by tying up double the beams on a single set of strips. If the desired dose for a strip 401 is one unit, the beams can be kept at one current unit while doubling writing speed to two speed units. Since each strip 401 is double exposed, the cumulative dose for each strip is one unit, and it is performed in one-half time unit because the writing velocity is doubled. The beams can then be moved to a different but similarly-sized portion of the medium to write a unit dose in another one-half time unit. Thus, the beams can be fully utilized in a given time unit. A rule of thumb for some embodiments is that velocity is increased by a factor equal to the exposure factor so as to achieve the same throughput. FIG. 5 is an illustration of portion 500 of a medium according to one embodiment during e-beam writing. In the example of FIG. 5, strips 501 are quadruple exposed by the end of the process, and line 510 shows an example path written by a beam that is represented by dot 502. Strips 501a, 501c, and 501d are shown as having less than quadruple exposure. Only strip 501b falls within quadruple exposure area 501, whereas strips 501a, 501c, and 501d fall within exposure areas 504, 505 with less than quadruple exposure. As explained above with respect to FIG. 4, various techniques may be used to provide consistent quadruple exposure. For instance, in one example, dummy exposures may be added to strips 501a, 501c, and 501d. Additionally and/or alternatively, the beams can be moved to the right or to the left adjacent the end beam on that side, where writing is begun. For instance, in the present example, there are ten beams represented by ten dots 502. After the beams have traversed the medium, the stage can be moved to position the medium so that the ten beams are moved in the x-direction ten places and begin writing to the immediate right (or left) of the original ten beams, thereby writing additional strips and providing quadruple exposure to areas 504 (and/or 505). Such operation can be performed in any embodiment using any number of beams per strip. Once again, the operation illustrated by FIG. 5 may be performed to have no negative impact on throughput. For instance, similarly to the operation described above for FIG. 4, the writing speed can be increased by the exposure factor. In fact, in the embodiments of FIGS. 4 and 5, the writing speed can be changed by any arbitrary factor to increase or decrease throughput as appropriate. FIG. 6 is an illustration of exemplary beam arrangement 600 for use with an embodiment. Beam arrangement 600 includes four independently-controllable beams 610, 620, 630, 640. Each of the independently-controllable beams 610, 620, 630, 640 includes an M×M array of sub-beams, where M is an integer greater than one (and in this case is equal to seven). In other embodiments, M can be any arbitrary integer greater than one. The sub-beams are illustrated in FIG. 6 by exemplary sub-beams 611 (in beam 610), 621 (in beam 620), 631 (in beam 630), and 641 (in beam 640). The sub-beams themselves are not independently-controllable. Beam 640 is overlaid by beam 630, which is overlaid by beam 620, which is overlaid by beam 610. Between each adjacent beam there is an x-y offset so that the beams 610, 620, 630, 640 do not lay directly on top of each other. Furthermore, there is an angular offset, alpha, with respect to a direction of scanning (in this case, it assumed that the direction of scanning is along the y-direction). Furthermore, in this embodiment, x-direction movement is not used when scanning a given strip, so that the movement relevant to the discussion of FIGS. 6 and 7 is the y-direction movement. FIG. 7 is an illustration of beam arrangement 600 shown to emphasize the individual pixels covered by the sub-beams, where each dot in FIG. 7 represents a sub-beam. The dots in FIG. 7 are an aggregation of the four 7×7 arrays of sub-beams shown in FIG. 6, for a total of 196 sub-beams. FIG. 7 also illustrates an exemplary scan direction and an angular offset. During writing, the beams are projected onto the medium as shown in FIGS. 6 and 7, and the medium is moved relative to the beam sources to provide scanning movement. The beams 610, 620, 630, 640, are performed simultaneously in this example. View 710 is an illustration of the projection of the pixels along a line perpendicular to the scan direction. The x-y offset and angular offset produce a configuration wherein adjacent pixels are from different beams. In the case of view 710, the first pixel is from beam 610, the second pixel is from beam 620, the third pixel is from beam 630, and the fourth pixel is from beam 640. The placement then repeats across the view in the x-direction. View 710 illustrates that a strip written by beam arrangement 600 includes contributions from four different beams 610, 620, 630, 640, and the sub-beams of those beams are distributed in a way that averages out the beam-to-beam variation among beams 610, 620, 630, 640. FIG. 8 is an exemplary pixel projection along the x-direction according to one embodiment consistent with the examples above for FIGS. 6 and 7. In the embodiments of FIGS. 6-8, each strip is covered by multiple independent beams, and adjacent pixels are exposed by different beams. In FIG. 8, strip 1 is exposed by a set of four independent beams, and strip 2 is exposed by a different set of four independent beams. While not shown in FIG. 8, it is noted that different sets of beams can be used to expose either or both of strips 1 and 2 in subsequent exposures. The subsequent exposures can further reduce the effects of beam-to-beam variation and also enable gray-level writing. In FIG. 8, the x-y and angular offsets combine to make a cumulative offset substantially equal to one pixel width. The one-pixel-width offset creates the pattern shown in FIGS. 7 and 8, where the pixels have a repeating pattern along the x-direction (perpendicular to the y-direction scanning). Other embodiments may use a cumulative beam-to-beam offset that is greater than one pixel width. FIG. 9 is an illustration of an exemplary x-direction projection according to one embodiment that uses a beam-to-beam offset greater than one pixel width. The combination of beams to cover a given strip is not fixed or regular in the example of FIG. 9. Each strip is exposed by different combinations of individual beams, which further mitigates the effects of beam-to-beam variation. Strips 1 and 3 are shown as being not exposed with the same dose as strip 2, but it is understood that the number of strips extends beyond strip 1 and strip 3, and beams writing those additional strips (not shown) add pixels to strips 1 and 3 to apply a consistent exposure. The examples above in FIGS. 6-9 show example embodiments using four or eight beams, though the scope of embodiments is not so limited. Rather, various embodiments may include any arbitrary number of beams. Furthermore, x- and y-directions are used purely for illustration and do not limit the various embodiments to any particular orientation. FIG. 10 is an illustration of a 7×7 array 1000 of sub-beams for use with the embodiments of FIGS. 6-9. Pb is a spacing between sub-beams in array 1000. Pproj is a width of a sub-beam as it is projected onto the medium. Alpha is the angular offset. In this example, a pixel size is 3.5 nm. The equations below show the area covered per scan in this example. It is noted that the e-beam current and the writing speed can be adjusted in view of the below equations to have a desired effect on throughput. The numbers below are for illustration only and do not limit the scope of embodiments. consider ⁢ ⁢ P proj ⁢ ⁢ is ⁢ ⁢ the ⁢ ⁢ multiple ⁢ ⁢ of ⁢ ⁢ 3.5 ⁢ ⁢ nm ⁢ ⁢ pixel ⁢ ⁢ size P proj = P b · sin ⁡ ( α array ) = 10.5 ⁢ ⁢ nm = 3 × 3.5 ⁢ ⁢ nm ⇒ P b = 74.25 ⁢ ⁢ nm Beam ⁢ ⁢ Shift = 1 ⁢ ⁢ pixel If ⁢ ⁢ w proj = Strip ⁢ ⁢ Width N subbeams ⁢ ⁢ along ⁢ ⁢ w proj = w proj PixSize = 504 ⁢ ⁢ nm 3.5 ⁢ ⁢ nm = 144 N beams ⁢ ⁢ for ⁢ ⁢ 1 ⁢ ⁢ Strip = N subbeams ⁢ ⁢ along ⁢ ⁢ w proj N subbeams ⁢ ⁢ array = 144 7 = 21 N strip ⁢ ⁢ per ⁢ ⁢ Scan = Total ⁢ ⁢ Beam ⁢ ⁢ Number N beams ⁢ ⁢ for ⁢ ⁢ 1 ⁢ ⁢ Strip = 13000 21 = 619 Area ⁢ ⁢ Covered ⁢ ⁢ per ⁢ ⁢ Scan = N strip ⁢ ⁢ per ⁢ ⁢ Scan × w proj = 619 × 504 ⁢ ⁢ nm = 0.312 ⁢ ⁢ mm Various embodiments may include advantages over other techniques. For instance, various embodiment write to each strip using multiple beams, thereby helping to average out beam-to-beam variation without stitching. It is understood that the embodiments illustrated above may not in all scenarios completely eliminate beam-to-beam variation. However, it is enough for many applications that the beam-to-beam variation is mitigated by use of multiple beams per strip. Furthermore, as explained above, parameters (e.g., e-beam current and writing speed) can be adjusted so that the effect on throughput is not negative in some instances. Additionally, some embodiments perform gray-level writing by applying the multi-pass writing technique described above. The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the detailed description that follows. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.
summary
claims
1. A method of managing excess reactivity in a nuclear fission reactor, the method comprising:achieving criticality with a quantity of excess reactivity in a central core region of a reactor core of a nuclear fission reactor;increasing the quantity of excess reactivity until a predetermined burnup level is achieved in selected ones of fuel assemblies in the central core region of the reactor core; andcompensating for the increase in excess reactivity, wherein compensating includes inserting neutron absorbing material into a central core region,wherein inserting neutron absorbing material in a central core region includes inserting a plurality of breeder fuel assemblies in the reactor core and radially spaced peripherally from the central core region of the reactor core; the breeder fuel assemblies containing fertile fuel and at least one of the plurality of breeder fuel assemblies containing substantially no fissile fuel before inserting,wherein compensating for the increase in excess reactivity includes breeding up the plurality of breeder fuel assemblies to contain fertile fuel,wherein compensating for the increase in excess reactivity includes replacing the selected ones of fuel assemblies in the central region of the reactor core having achieved the predetermined burnup level with a selected plurality of fertile fuel assemblies of the bred up plurality of breeder fuel assemblies, the selected ones of fuel assemblies being radially spaced inwardly from the selected plurality of fertile fuel assemblies of the bred up plurality of breeder fuel assemblies. 2. The method of claim 1, wherein increasing the quantity of excess reactivity until a predetermined burnup level is achieved in selected ones of fuel assemblies in the reactor core includes monotonically increasing the quantity of excess reactivity until a predetermined burnup level is achieved in selected ones of fuel assemblies in the reactor core. 3. The method of claim 1, wherein increasing the quantity of excess reactivity until a predetermined burnup level is achieved in selected ones of fuel assemblies in the reactor core includes increasing amount of fissile material in ones of the fuel assemblies of the reactor core until a predetermined burnup level is achieved in selected ones of fuel assemblies in the reactor core. 4. The method of claim 3, wherein increasing amount of fissile material in ones of the fuel assemblies of the reactor core until a predetermined burnup level is achieved in selected ones of fuel assemblies in the reactor core includes breeding fissile fuel material from fertile fuel material. 5. The method of claim 1, wherein inserting neutron absorbing material into the central core region includes inserting control rods into the central core region. 6. The method of claim 1, wherein inserting neutron absorbing material into the central core region includes replacing selected fissile fuel assemblies in the central core region with fertile fuel assemblies from a peripheral region of the reactor core.
description
This application is a continuation of U.S. patent application Ser. No. 11/484,015, filed Jul. 10, 2006 now U.S. Pat. No. 7,259,373, which claims a benefit under 35 U.S.C. § 119(e) to U.S. Provisional Patent Application Ser. No. 60/697,780, filed Jul. 8, 2005, entitled “Controlled Ion Beam Semiconductor Manufacturing,” both of which are incorporated herein by reference in their entirety. 1. Field The present application relates to semiconductor manufacturing. More specifically, the present application relates to methods and apparatuses for direct write semiconductor manufacturing. 2. Description of the Related Art Photolithography has been a key patterning step in most integrated circuit fabrication processes. Resist, a photosensitive plastic, is spun on a workpiece, baked, and exposed in a pattern through a reticle, usually by ultraviolet (UV) light. After development and a second bake, the surface is left partially covered by an inert organic film that resists various treatments to which the workpiece is subjected. Such treatments include material removal by wet chemical etch or by gaseous plasma etch, doping by ion implantation (e.g., broad beam implantation), and addition of material (e.g., lift-off). The preparation, exposure, development, clean, care, and stripping of resist can increase the number of fabrication steps tenfold, requiring expensive equipment and facilities to establish stable, qualified, and high yield fabrication. Photolithography has been the main lithographic tool for processing patterns of resist down to 45 nanometers (nm). However, present and future microelectronics will require minimum feature sizes below 45 nm. While advances in a number of lithography techniques (e.g., ultraviolet (UV), enhanced ultraviolet (EUV) emersion, maskless emersion, laser, phase-shift, projection ion, and electron beam lithography (EBL)) may enable high-scale production at these dimensions, they are all nearing their theoretical limits with respect to wavelength, overlay accuracy, and/or cost. Pushed to the limit, the weaknesses of each process present difficult problems, and the resulting patterning defects can result in significant yield loss. In certain embodiments, a chamber for exposing a workpiece to charged particles comprises a charged particle source for generating a stream of charged particles, a collimator configured to collimate and direct the stream of charged particles from the charged particle source along an axis, a beam digitizer downstream of the collimator configured to create a digital beam comprising groups of at least one charged particle by adjusting longitudinal spacing between the charged particles along the axis, a deflector downstream of the beam digitizer comprising a series of deflection stages disposed longitudinally along the axis to deflect the groups of charged particles, and a workpiece stage downstream of the deflector configured to hold the workpiece. In some embodiments, a workpiece processing apparatus comprises the chamber, a loadlock chamber, and a processing chamber selected from deposition, etch, and thermal conditioning chambers. In certain embodiments, an apparatus for processing a workpiece from an initial state to a substantially finished state without removing the workpiece from the apparatus comprises a loadlock chamber, an exposure chamber, and a processing chamber. In certain embodiments, a method of processing a workpiece in an apparatus comprises exposing portions of the workpiece to charged particles in an exposure chamber. The exposing comprises forming a stream of charged particles, collimating and propagating the stream along an axis, digitizing the stream into a digital beam comprising at least one charged particle, deflecting the groups of charged particles using a series of deflection stages disposed longitudinally along the axis, demagnifying the groups, and directing the demagnified groups onto the workpiece. In certain embodiments, a method of processing a workpiece comprises exposing the workpiece, the workpiece being resistless during the exposing, after the exposing, processing the workpiece, wherein the processing is selected from depositing, etching, and rapid thermal annealing, after the processing, exposing the workpiece for a second time, the workpiece being resistless during the second exposing, and after the second exposing, processing the workpiece for a second time, wherein the processing is selected from depositing, etching, and rapid thermal annealing. In certain embodiments, a method of implanting at least one dopant into a workpiece comprises directing a beam comprising at least one ion species onto the workpiece and, during the directing, altering at least one parameter of the beam. In certain embodiments, a method of etching a material from workpiece comprises directing a beam comprising charged particles onto a surface of the workpiece in a pattern in a first chamber to chemically modify portions of the surface, transferring the workpiece to a second chamber, and applying an etchant to the workpiece, the etchant reacting with the chemically modified portions to cause material removal. In certain embodiments, a method of depositing a material onto a workpiece comprises directing a beam comprising charged particles onto a surface of the workpiece in a pattern in a first chamber to chemically modify portions of the surface, transferring the workpiece to a second chamber, and applying an reactant to the workpiece, the reactant reacting with the chemically modified portions to cause material deposition. For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein. All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of the preferred embodiments having reference to the attached figures, the invention not being limited to any particular preferred embodiment(s) disclosed. Although certain preferred embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention herein disclosed should not be limited by the particular disclosed embodiments described below. Smaller device geometries may be achieved by direct writing with a beam of charged particles. Focused ion beam (FIB) systems generally do not have sufficient ion exposure to support high throughput manufacturing. Furthermore, only relatively low speed deflection is available using existing ion optics/deflection electronics methodologies, preventing efficient direct write of layers patterned for semiconductor devices. As such, FIB has been limited to mask (e.g., reticle) and semiconductor repair. As FIB technology progressed, it supported the ability to simultaneously deposit, etch, and implant patterns directly on workpieces without the use of resist. Problems remained, however, including low energy systems with little-to-no wafer writing software, no metrology systems, and minimal beam current densities and deflection speeds necessary to support the lithography on a high manufacturing scale. Modifications and improvements to FIB systems in accordance with embodiments described herein can achieve suitable manufacturing throughput in both resist processing and resistless fabrication of semiconductor workpieces and other media (e.g., photomask, compact disk (CD), digital video disk (DVD), high definition DVD (HD DVD), Blue-Ray, etc.). The physical properties of a beam of charged particles traveling along an axis with a distribution transverse to the axis can be modified to provide a high speed, digital (or “pulsed”) distributed writing beam. Various methods can be used to create a wave of temporally and spatially defined high-density charged particle nodes and low density (or no density) anti-nodes, traveling in a longitudinal path of accelerated particles (herein referred to as a “digitized beam”). For example, a beam buncher can be used to create localized groups (or “flashes” or “packets”) of the charged particles. These groups of charged particles may contain one or more charged particles. The digital beam is then passed through a deflector, whereupon variations in voltage cause the groups of charged particles to change position relative to the direction of propagation. Changes in voltage can be timed in phase with the particle nodes, thereby yielding efficient deflection. The presence of a sharp edge of the antinode effectively provides fast beam blanking for direct write. Applying the digitized beam to the surface of a workpiece allows resistless patterned processing, including deposition, etching, and/or implantation of material to the surface of the workpiece and/or high resolution resist exposure. FIG. 1A is a perspective view of an example apparatus 100 in accordance with certain embodiments disclosed herein. FIG. 1B is a top schematic view of the apparatus 100 of FIG. 1A. The apparatus 100 comprises an exposure chamber 102, a load lock chamber 104, a transport module 106, and a plurality of processing chambers 108. Although not illustrated, it will be understood that the apparatus 100 comprises a gas manifold system and an automated process controller, described in more detail below. The load lock chamber 104 may house workpieces 101 that are not being processed, for example, before and/or after processing in the apparatus 100. In certain embodiments, the load lock chamber 104 is configured to achieve vacuum such that an automated material handling system (AMHS) 110 of the transport module 106 in communication with the load lock chamber 104 may insert and/or remove workpieces 101 without having to be pumped down to or up from vacuum between each transfer. In certain embodiments, the loadlock chamber 104 is configured to accept a front opening unified pod (FOUP). The transport module 106 is configured to move workpieces 101 within the apparatus 100. The transport module 106 comprises an AMHS 110 configured to manipulate at least one workpiece 101. A suitable AMHS 110 can be chosen based on the design of the exposure chamber 102, the loadlock chamber 104, the transport module 106, and/or the process chambers 108. In certain embodiments, the AMHS 110 comprises a plurality of transport arms such that workpieces 101 may be manipulated simultaneously (or in parallel). In some embodiments, the transport module 106 includes a workpiece prealigner, such that the workpieces 101 removed by the transport arm 110 and subsequently placed into the exposure chamber 102 or a process chamber 108 are in an orientation that is ready for processing in the exposure chamber 102 or a process chamber 108. For example, the prealigner may use charge-coupled device (CCD) or other imaging devices to locate a flat, notch, or other identifying feature of the workpiece 101. In some embodiments, the prealigner is configured to determine overlay parameters of alignment features on the workpiece 101. The overlay parameters may comprise x and y offset, rotation, etc. Depending on the type and size of the workpiece 101, a variety of vacuum and handling systems can be used in the apparatus 100. A system capable of processing a variety of workpieces preferably uses a high speed workpiece handling system. Workpiece-into-vacuum throughput can be increased by aligning the workpiece under vacuum on the workpiece stage instead of outside the vacuum system. A standard workpiece holder (e.g., a wafer magazine) can be pumped to high vacuum within a few minutes. Alignment of the workpiece 101 under vacuum may increase wafer into vacuum throughput. In some embodiments, the transport module 106 comprises one or more processing substations, for example comprise one or more buffer zones to hold workpieces 101 between processing steps, a particle contamination detector, a temperature quenching station, and/or a metrology station. The metrology station may be selected from any tool appropriate for that type of workpiece, including, but not limited to, an energy dispersive analyzer (EDS), a wavelength dispersive analyze (WDS), a secondary ion mass spectrometer (SIMS), a scanning electron microscope (SEM), a two-dimensional laser scanning imager, a three-dimensional imaging laser radar (LADAR), a thermal imager, a millimeter wave imager, a workpiece imager, and a camera. The exposure chamber 102 is configured to expose a workpiece 101 to a digital beam of charged particles. As shown in FIG. 2, the exposure chamber 102 comprises a beam column 200, illustrated in more detail in FIG. 3A. The beam column 200 comprises a charged particle source 202 for generating a stream of charged particles. Although systems and methods are described in certain embodiments herein with reference to ions, it will be understood that some systems and methods may utilize charged particles comprising electrons and positrons. Charged particles may include one or more species of positively and negatively charged ions, as well as singly, doubly, triply, etc. charged ions. In some embodiments, the charged particle source 202 is adapted to generate a plurality of ion species. In some embodiments, the charged particle source 202 is adapted to provide a current of 1,000 amperes/cm2 (A/cm2) focused to a 10 nm spot as measured at the target. Liquid metal ion source (LMIS) technology enables the formation of high current density charged particle beams. An example technique to create a LMIS is a heated reservoir of liquid metal from which a needle protrudes downward. The metal flows down the needle by capillary action. An electric field from an extraction electrode pulls the liquid at the tip of the needle into a sharp cusp (a “Taylor Cone”) from which ions are emitted. The point source is very bright (e.g., about 109 A/steradian/cm2), and, with suitable optics, permits the beam diameter to be as small as 2 nm. A variety of alloys provides several ion species common for semiconductor fabrication. Accelerating and focusing a distributed energy of ions can introduce chromatic aberrations resulting in a loss of current density efficiency of the ion optic system. The ion beam energy distribution can be measured as the beam full-width-half-max (FWHM) and can be distributed as much as 12%. Improving the current density efficiency and resolving long and short term stability issues can make LMIS performance adequate for a semiconductor processing tool. One aspect of various embodiments of the present invention is the realization that beams of charged particles are composed of a distribution of high and low energy trails, which can be advantageously grouped. At least two mechanisms can contribute to the broadening of the energy distributions: first, effects related to the formation of the ions; and second, space charge forces after ion formation. Ion emissions from a LMIS source are formed either by direct field desorption of an ion at the emitter tip or by field ionization of desorbed atoms at some distance from the emitter tip. Ions generated close to the tip surface can exchange charge with neutral atoms further downstream, forcing a zero energy ion at that point. Since the electric field in the emitter area is high (e.g., between about 20 and 50 Volts/nm), ions formed at different distances from the emitter can have different energies. Space charge effects broaden the energy distribution of the beam, particularly at low velocities. Therefore, the column 200 preferably is configured to accelerate the ions to full energy directly after formation. The use of low-mass species may aid in ion acceleration when the use of such species is appropriate. Space charge effects are also aggravated by higher currents. For the LMIS source, the width of the energy distribution is preferably proportional to the current to the ⅔ power. As such, practical application of traditional LMIS sources to lithography show behavior similar to electron beams. A limitation on the maximum current density achievable with LMIS-based systems results from the energy distribution of the ion beam that is caused by the achromatic aberration in the upper ion optical system. However, the use of a beam digitizer 206 downstream of the charged particle source 202 that is configured to adjust the longitudinal spacing between charged particles so as to create temporally and spatially resolved groups of the charged particles along the axis of propagation can effectively slow faster moving particles and can speed slower moving particles to obtain a uniform velocity, and thus a uniform energy distribution (accelerating voltage) within each group of the digital beam, thereby reducing the effect of the charged particle source chromatic aberration, as illustrated in FIG. 3B. Similar to the drift of an electron beam, a LMIS Taylor cone emission unpredictably drifts in a figure-8 pattern over about a one hour period. Undetected, this drift can cause pattern placement errors. Source lifetime and current stability are barriers to the practical application for production throughput processing tools using traditional LMIS sources. Further improvements at the charged particle source 202 can improve the stability and lifetime, thereby reducing frequent source replacement. The broadening of the energy distribution associated with ion formation can be reduced or minimized by operating the LMIS at low temperature, thereby decreasing the neutral atom density in the proximity of the tip. The energy distribution can also be reduced or minimized by choosing a low vapor pressure species, for example by selecting a doubly ionized species that has a low charge exchange cross-section and that is formed at the surface of the tip, known to have a narrow energy distribution, and by using a species that has the additional benefit of a small virtual source. It will be appreciated that other techniques can also be used. In certain embodiments, extended lifetime of the charged particle source 202 may be achieved by conditioning the source driving parameters prior to operation. As such, the incorporation of an automated conditioning routine can contribute to the extended life and stability of the charged particle source 202. Additionally, a continuous flow strategy, such as impregnated electrode-type needles with hardened tips, can further extend the life span of the charged particle source 202. Second order effects of improved life span can include emission current and position stability improvement. Source emission position stability can be successfully corrected by using an error feedback from occasional beam registrations and adjustment to source servomotors. Although increased ion beam current density is preferred, the column 200 in the exposure chamber 102 need not increase the beam current density. Other charged particle sources 202 may also be used with the embodiments disclosed herein. For example and without limitation, the charged particle source 202 may comprise a plasma ion source (PIS), a volume plasma ion source (VPIS), a gas field ionization source (GFIS), a carbon nanotube field emitter, a free electron laser and a target, a pulsed laser ablation ion source, a magnetically confined plasma anode source (MAP), and a thermal field emission (TFE) electron source. The stream of charged particles emanating from the charged particle source 202 is collimated and directed along a axis by a collimator 204. A variety of collimators 204 comprising a combination of optical elements are appropriate for use in the column 200. For example, and without limitation, the collimator 204 may comprise two or more lenses or a lens and a reflective optic. The collimator 204 may further comprise an aperture configured to shape the charged particle beam. In certain embodiments, the collimator is adapted to direct the charged particle stream at accelerating potentials between about 5 and 30 kilo electron volts (keV). In certain embodiments, the exposure chamber 102 is adapted to direct the charged particle stream at accelerating potentials between about 5 and 500 keV. In some embodiments, a voltage of the collimator 204 is additive to additional voltages, for example applied by a lower column exit aperture. In embodiments in which the charged particle source 202 is adapted to generate a plurality of ion species, individual ion species can be selected for specific processing applications by filtering the charged particle stream with a particle filter (e.g., a spectrometer filter). For example, a mass separator can be configured to deflect selected ion species into a mass separator aperture plate. The mass separator is preferably disposed between the collimator 204 and the beam digitizer 206. In some embodiments, the mass separator comprises a reflective optic. In some embodiments, the mass separator comprises an ExB lens. In some embodiments, the mass separator comprises a Wein filter. The beam digitizer 206 is configured to create a digital beam comprising discrete groups of at least one charged particle by adjusting the longitudinal spacing between charged particles along the axis of propagation. In certain embodiments, the beam digitizer 206 is configured to create groups comprising between about 1 and 7,000,000 charged particles, between about 1 and 100,000 charged particles, between about 1 and 10,000 charged particles, or between about 1 and 50,000 charged particles. In some embodiments, the beam digitizer 206 is configured to create longitudinal spacing D between groups of charged particles of less than about 10 m of beam travel, less than about 1 m of beam travel, less than about 10 cm of beam travel, less than about 10 mm of beam travel, less than about 1 mm of beam travel, less than about 500 μm of beam travel, less than about 300 μm of beam travel, less than about 100 μm of beam travel, less than about 10 μm of beam travel, less than about 100 nm of beam travel, less than about 10 nm of beam travel, or less than about 1 nm of beam travel between the groups of charged particles. In some embodiments, the beam digitizer 206 is configured to create longitudinal spacing between the groups of charged particles of between about 1 nm and 10 m of beam travel, between about 1 nm and 1 m of beam travel, between about 1 nm and 10 cm of beam travel, between about 1 nm and 10 mm of beam travel, between about 1 nm and 1 mm of beam travel, between about 1 nm and 500 μm of beam travel, between about 1 nm and 300 μm of beam travel, between about 1 nm and 100 μm of beam travel, between about 1 nm and 10 μm of beam travel, between about 1 nm and 100 nm of beam travel or between about 1 nm and 10 nm of beam travel. The longitudinal spacing between the groups of charged particles may be substantially equal, unequal, periodic, harmonic, etc. In certain embodiments, the beam digitizer 206 comprises a beam buncher. In a radio frequency (RF) beam buncher, a stream of charged particles pass through a buncher gap where they are acted upon by an alternating potential, RF or multiple modulating potential wave forms, beat wave, harmonic, variable, or a combination thereof. Velocity modulation compresses the charged particles together so that they form spatially and temporally resolved discrete groups of charged particles. In certain embodiments, the frequency and the buncher gap length are configured to match a mean velocity of the groups of charged particles. The applied potential modulates the longitudinal velocity of each charged particle as they pass through the buncher gap so that some charged particles (e.g., charged particles with a lower velocity than the mean velocity) are accelerated while other charged particles (e.g., charged particles with a higher velocity than the mean velocity) are decelerated (e.g., as depicted in FIG. 3B). The gap length of the buncher gap, the magnitude and frequency of the applied potential, and the time of flight (TOF) of the charged particles through the column 200 determine the final characteristics of the digital beam and the groups of charged particles at the surface of the workpiece 101. FIG. 3C schematically depicts a stream of charged particles traveling through a beam buncher. A potential can be applied across the electrodes 302, 304 of the beam buncher that are separated by buncher gap G. If unaltered thereafter, the charged particles begin to form groups whose length L and separation (spacing) D depend on how far the charged particles have traveled after passing through the beam buncher. In some embodiments, the beam buncher is configured to compress the charged particles into groups during travel. In some embodiments, the beam buncher is configured to apply an electric field to longitudinally compress the groups of charged particles. The charged particles are preferably fully compressed in the longitudinal direction when they reach the workpiece 101 (e.g., as depicted in FIG. 3C). The energy applied by the buncher can be determined by the difference between the initial energy of the stream of charged particles and the final energy of the temporally and spatially resolved groups of the charged particles. In certain embodiments, the beam buncher comprises a plurality of buncher electrodes and therefore a plurality of buncher gaps. The potential can be selectively applied across two of the electrodes in order to change the characteristics of the digital beam. For example, a potential can be applied across electrodes with a buncher gap G of 1 μm to create nodes with a lower charged particle density and applied across electrodes with a buncher gap G of 3 cm to create nodes with a higher charged particle density. The relationships between beam buncher input parameters such as beam energy and buncher current, frequency, and gap length and beam buncher output characteristics such as separation D, length L, and density are well known. The beam buncher is preferably operated to provide a given number of charged particles per group. First, the buncher gap, frequency, and beam energy can be held constant while the current is adjusted. Second, the beam energy and buncher current can be held constant while the buncher gap and frequency are adjusted. Other operation configurations are also possible. In some embodiments, the beam buncher comprises a helical coil that is modulated with a current frequency, resulting in a magnetic field. The longitudinal spacing (“gap”) between turns of the coil, the magnitude and frequency of the applied current, and the time of flight (TOF) of the charged particles through the column 200 determine the final characteristics of the digital beam at the surface of the workpiece 101. In certain embodiments, the frequency and longitudinal spacing between turns of the coil are configured to match a mean velocity of the digital beam. Bunching charged particles allows write strategy optimization with dose variations at the charged particle level by varying the beam buncher frequency, amplitude, and duty cycle, which in turn varies the charged particle density, as described above. The beam buncher parameters are therefore preferably adjusted according to the write strategy. In certain embodiments, the beam digitizer 206 comprises a beam blanker (e.g., a beam blanker that can operate at speeds sufficient to create a digital beam). For example and without limitation, the high speed blanker may comprise an aperture plate configured to absorb the charged particle beam at certain intervals. The aperture plate is initially positioned such that the stream flows through the aperture in the aperture plate proximate to an interior edge of the aperture plate. An electrode is configured to deflect the stream into the aperture plate, which intercepts the flow of particles to create a temporally and spatially resolved digital beam. FIG. 3D schematically depicts a stream of charged particles traveling through a high speed blanker. An aperture plate 316 is positioned proximate to the stream of charged particles. The electrodes 312, 314 are configured to apply a potential to the charged particle stream to create temporally and spatially resolved groups of charged particles of the digital beam. If unaltered thereafter, the charged particles continue to travel with length L and separation D regardless of how far the charged particles have traveled after passing through the high speed blanker. Other embodiments of the beam digitizer 206 are also possible. In some embodiments, the beam digitizer 206 is configured to modulate an on/off state of the charged particle source 202. In some embodiments, the beam digitizer 206 is configured to modulate a position of the charged particle source 202 longitudinal to the axis so as to displace the groups of charged particles. In some embodiments, the beam digitizer 206 is configured to apply electromagnetic radiation, for example with a frequency of between about 1 megahertz (MHz) and 100 gigahertz (GHz) or between about 1 MHz and 25 GHz. In such an embodiment, the beam digitizer 206 can be configured to modulate, for example, the amplitude of the electromagnetic radiation, the frequency of the electromagnetic radiation, combinations thereof, and the like. In some embodiments, the beam digitizer 206 is configured to apply a beat wave to a plasma comprising the charged particles. In some embodiments, the beam digitizer 206 is configured to apply space charges to wake fields. In such embodiments, the beam digitizer 206 can be configured to resonantly absorb the space charges. In some embodiments, the beam digitizer 206 is configured to blank the beam through an absorption aperture. In some embodiments, the beam digitizer 206 is configured to apply a pulsed incident neutralizing beam to the charged particle source 202. In some embodiments, the beam digitizer 206 is configured to apply a pulsed laser beam to the charged particle source 202. In certain embodiments, components described herein are advantageously combined. In an embodiment, the column 200 comprises a beam blanker downstream of the collimator 204 and a beam buncher downstream of the beam blanker. A digital beam coming from the beam blanker and into the beam buncher can be used to further temporally and spatially resolve the individual groups in the digital beam. In another embodiment, the column 200 comprises a beam buncher downstream of the collimator 204 and a beam blanker downstream of the beam buncher. Other configurations are also possible. The column 200 further comprises a deflector 210 downstream of the beam digitizer 206. The deflector 210 comprises a series of deflection stages (e.g., electrode stages, magnetic stages) disposed longitudinally along the axis of the digital beam. The deflector 210 deflects individual groups of charged particles in the digital beam. As used herein, the phrase “minor field deflection” refers to the deflection of an individual group of charged particles by the deflector 210. In some embodiments, the deflector 210 is configured to deflect the groups in the digital beam substantially perpendicularly to the axis of propagation. In certain embodiments, the deflector comprises between about 1 and 1,000 or four deflection stages. In certain embodiments, the deflector comprises at least one, two, three, or four deflection stages. In some embodiments, each deflection stage comprises two or more electrodes. In some embodiments, one or more deflection stage comprises four electrodes. Other quantities of deflection stages and electrodes are also possible. In certain embodiments, an average or mean velocity of the groups of charged particles in a digital beam is between about 1×104 meters/second (m/s) and 3×108 m/s. In some embodiments, application of potentials by each of the deflection electrode stages is adapted to be synchronized with the mean velocity of the groups of charged particles passing through the deflector. For example, a deflection electrode stage may be adapted to apply a voltage only when a group of charged particles is passing through the deflector in general and through that particular deflection electrode stage in particular. In some embodiments, application potentials by each of the deflection electrode stages is adapted to be harmonically synchronized with a mean velocity of the groups of charged particles passing through the deflector. For example, each deflection electrode stage in at least a portion of the deflector may be adapted to apply a voltage only when a particular group of charged particles is passing through the deflector in general and through that particular deflection electrode stage in particular. In some embodiments, application of potentials by each of the deflection electrode stages is adapted to be randomly synchronized with a mean velocity of the groups of charged particles passing through the deflector. As used herein, the phrase randomly synchronized is to be given its broadest possible meaning including, but not limited to, synchronization of application of voltage by the deflection electrode stages to groups of charged particles with random spacing or synchronization of application of voltage by random deflection electrode stages to groups of charged particles with random or other spacing. In certain embodiments, electrodes of the deflection stage apply a substantially equal voltage potential as each group of charged particles of the digital beam passes. The amount of deflection of each group of charged particles depends on the number of electrodes activated sequentially. In some embodiments, variable potentials are applied to each deflection electrode stage as each group of charged particles passes. For example, the first deflection electrode stage has the smallest voltage with subsequent electrodes have progressively more voltage, resulting in a linear deflection as electrodes are activated. The converse is also possible, where the first deflection electrode stage has the largest voltage with subsequent electrodes having progressively less voltage. The number of deflection electrode stages activated defines the amount of deflection of each group of charged particles of the digital beam. The signal timing and nominal voltages applied to the deflector can be calibrated for individual deflection electrode stages and even individual electrodes within each deflection electrode stage. Triggering an applied voltage of individual deflection electrode stages can be delayed if needed to match the incidence of to each group of charged particles of the digital beam (“phase-matching”), for example due to changes in charged particle velocity, species, and mass, deflection stage position, pattern resolution, pattern field errors, errors within an objective deflection field, process specific compensation and write strategies, combinations thereof, and the like. In certain embodiments, a field perimeter of the deflection electrode stages is defined as the minor deflection field of less then 4 mm, less than 2 mm, less than 1 mm, or less than 100 μm displacement in x or y from the center of the axis of propagation. In certain embodiments, the potentials of each of the deflection electrode stages are adapted to partially displace the groups of charged particles towards an intended trajectory. Each group is partially deflected 1/Nth of an intended deflection distance by each of a number N of deflection electrode stages. In certain embodiments, the first deflection electrode stage, or any single deflection electrode stage, is adapted to substantially fully displace one or more (e.g., all) groups of charged particles towards an intended trajectory, and the other deflection electrode stages are used to fine tune the deflection of the groups. Other combinations are also possible. In some embodiments, for example the harmonically synchronized deflector described above, at least a portion of the deflector comprises N sets of deflection electrode stages, each set of deflection electrode stage comprising N deflection electrodes, in which every Nth deflection electrode stage is configured to displace a particular group of charged particles towards an intended trajectory. If at least a portion of the deflector comprises two sets of deflection electrode stages, every other deflection electrode stage in the sets of deflection electrode stages may be configured to displace a particular group of charged particles towards an intended trajectory. If at least a portion of the deflector comprises three sets of deflection electrode stages, every third deflection electrode stage in the sets of deflection electrode stages may be configured to displace a particular group of charged particles towards an intended trajectory. Other variations and configurations are possible. FIG. 6A depicts a top schematic view of a deflector 210 comprising at least one electrode in each deflection electrode stage. The digital beam comprising charged particles is configured to flow through the center aperture of the deflector 602. The sets of electrodes 604, 606 and 608, 610 may be positively or negatively charged such groups of charged particles are deflected perpendicularly to the longitudinal axis of the deflector and the path. Preferably, the electrodes on opposing sides, for instance, electrodes 604 and 606, are oppositely charged. FIG. 6B is a perspective quarter cut-away view of the upper right quadrant of the deflector 210. The electrodes 606 are separated in this embodiment by an insulator 612. Examples of insulator materials include SiO2, SiNx, SiOxNy, combinations thereof, and the like. It will be understood that rather than a single deflector comprising a plurality of deflection electrode stages, the deflector 210 may comprise a series of deflectors, each comprising one or more deflection electrode stages. For example, a deflector 210 may comprise three sets of deflectors. As illustrated in FIG. 6B, the groups of charged particles are deflected by each deflection electrode stage as they travel along the path. Other deflector and electrode configurations are possible. In certain embodiments, the deflector 210 is configured to arrange the groups of charged particles into a three-dimensional timespace (an “adaptable virtual digital stencil”). In certain embodiments, the deflector 210 is adapted to create a laterally distributed pattern of the groups of charged particles. In some embodiments, the deflector 210 further comprises a deflector lens adapted to demagnify the pattern or the virtual stencil. The deflector lens may comprise an electrostatic lens, an electromagnetic lens, a reflective lens, a combination reflective and refractive lens, a combination reflective and deflective lens, a combination deflective and refractive lens, combinations of the same, and the like. FIG. 7 is a schematic block diagram of a column 200 in which the groups of charged particles coming out of the deflector 210 are arranged in a virtual digital stencil 702, each group of charged particles having undergone a minor field deflection. The objective lens assembly 212 is configured to deflect the virtual stencil with a major field deflection. The combination of minor field deflection, major field deflection, and movement of the workpiece 101 can be used to expose a pattern of charged particles on the workpiece 101. In certain embodiments, a phase of the groups of charged particles of the digital beam longitudinal to the axis is configured to be substantially equal, single harmonic, multiple harmonic, random, combinations thereof, and the like. The spacing between the deflection stages may be adapted to be synchronized and to be in phase with the groups of charged particles. In some embodiments, longitudinal positions of the deflection electrode stages are adjustable. In some embodiments, the deflector 210 comprises a digital feedback system, for example to adjust the spacing between the deflection electrode stages. Piezos, etc. may be used to position the electrodes or deflection stages. In some embodiments, the column 200 further comprises an objective lens assembly 212 disposed between the deflector 210 and the workpiece stage 214. The objective 212 may comprise a lens, a mirror, a reflective optic, a combination reflective optic and refractive lens, a combination reflective optic and deflection electrodes, a combination deflection electrode and refractive lens, combinations of the same, and the like. In some embodiments, the objective lens assembly 212 comprises a detractive lens assembly or a deflector electrode assembly configured to demagnify, focus, and/or deflect the groups of charged particles or the adaptable virtual digital stencil. For example, in certain embodiments and without limitation, groups of charged particles having a diameter (or “spot size”) of about 200 nm are reduced 10 times to a diameter of about 20 nm. The objective lens assembly 212 may also be adapted to demagnify the groups or the stencil by 100 times or 1,000 times. In embodiments in which the objective lens assembly 212 is configured to deflect a virtual digital stencil, the deflection may be called a “major field” deflection. In some embodiments, a field perimeter of the objective lens assembly 212 is defined as the major deflection field of less then 10 mm, less than 5 mm, less than 1 mm, or less than 100 μm displacement in x or y from the center of the axis of propagation. In certain embodiments, the exit aperture comprises an exit aperture. Referring again to FIG. 2, the exposure chamber 102 comprises a workpiece stage 214 downstream of the lower objective lens assembly 212. The workpiece stage 214 is configured to hold the workpiece 101. Preferably, the workpiece stage 214 comprises an interferometric stage, wherein the relative position of the stage is measured using optical interference. The workpiece stage 214 may be thermally controlled to reduce magnification errors in the workpiece, which can lead to overlay errors. The workpiece stage is preferably configured to continuously move while a workpiece 101 is exposed to the groups of charged particles. For example, the workpiece stage 214 may be configured to move continuously over a dimension of 25 centimeters over a period of 1 second during exposing. For another example, the workpiece stage may be configured to move without stopping for more than 5 nanoseconds per 0.5 seconds during exposing. The ability to continuously expose while moving the workpiece stage 214 without stopping can yield increase efficiency and throughput. In certain embodiments, the workpiece stage 214 comprises an interferometer configured to determine the location of the workpiece stage 214 in a horizontal plane. The relative x/y position of the stage can be measured using optical interference. Other methods are also possible, for example the workpiece stage may comprise a registration mark, grid, or feature detectable by a secondary ion mass spectrometer (SIMS), backscattered electronics, or faraday cup disposed below the registration grid. The registration mark is preferably included in an assembly that can be moved parallel to the column 200 in order to optimize a working height of the registration mark to the workpiece, thereby reducing column calibration and registration errors. The digital beam may periodically or randomly be directed towards the registration mark to check the alignment of the column. The registration mark may also be used to calibrate the column 200 before, after, and/or during exposing a workpiece. In some embodiments, the chamber 102 further comprises a height control system that measures the height of the workpiece stage 214 and/or a registration mark. The height control system can include, for example, a laser and a plurality of detectors configured to receive light emitted from the laser and reflected by the workpiece, the workpiece stage 214, and/or a surface that moves with the workpiece. The height control system can compensate for variation in the measured height of the workpiece stage by adjusting an elevation of the stage, for example by using electrostatic clamps, piezoelectric devices, etc. In some embodiments, the height control system is configured to compensate for height variations of less than 1 μm. Electrostatic clamping may be used to secure the workpiece to the workpiece stage 214 and to ensure adequate thermal contact and flatness of the workpiece. Full motion writing (FMW) can eliminate the workpiece stage motion overhead time while exposing a workpiece. In FMW, the deflector 210 system is updated in real time to track the motion of the workpiece stage 214, thereby allowing the system to write patterns while the workpiece stage is in motion. Such a process preferably uses a high speed optical controller (e.g., laser) to track the position of the workpiece stage 214. For example, circuitry on the controller can convert Doppler-shifted laser deflection measurements into laser pulses that can be stored in a stage position register. Interferometry, laser deflection measurements, or other optical techniques can be used to track the position of the workpiece. Therefore, the throughput of lithography systems can be improved by reducing or eliminating nonexposure time during stage repositioning and settling sequences. While exposing a workpiece, each deflection field center is defined by a window of opportunity (WOO). While the workpiece stage is in motion and a deflection field passes over an unwritten WOO, a stage controller signals a deflection controller to initiate exposure. The workpiece is exposed while the undeflected beam center passes through the WOO. Within the WOO, the deflection system can deflect to the outer limit of the field. During this time, the deflection system is updated by the workpiece stage position register of the actual location of the workpiece stage. The workpiece stage can allow real time deflection correction. By changing the WOO size or frame size, or by smoothing the frame-by frame pattern data, the system can be dynamically optimized for continuous writing. A typical frame/WOO density is depicted in FIG. 4A. The workpiece stage may be configured to provide suitable velocity performance, for example at 100 centimeters per second. The workpiece stage may be configured to rotate a workpiece during exposure at up to about 40,000 rotations per minute (rpm). For example, the workpiece stage may have as little inertia as possible, and a compatible workpiece stage motor design can be provided. The use of vacuum compatible air bearing rails and linear motor drives can provide adequate decoupling of vibration sources. As additional examples, the workpiece stage motors can be placed in the vacuum system, light weight materials can be used for the workpiece stage, and the workpiece can be aligned on the stage, thereby eliminating the workpiece cassette and cassette clamping hardware. Additionally, the first three derivatives of stage position (velocity, acceleration, and jerk) can be limited and damped by electronic hardware to properly control the motion of the workpiece stage. FIG. 4B is a schematic diagram of an example workpiece stage and control electronics. The exposure chamber 102 may be in communication with control electronics, for example system support electronics 220 including wafer handler control, vacuum control, suspension control, temperature control, pressure control, etc. and column support electronics 230 including a source control module, digitizer control, deflector control, lens control, wafer height sensor, video processor, stage control, and a dynamic corrector (e.g., for real time column aberration correction). The column support electronics 230 may be in communication with data process electronics 240, for example a workstation. An example application of the systems described herein is to perform in situ workpiece processing or resist exposure by directly writing on the workpiece. Preferably, accurate registration of optics to the target workpiece is achieved, but tool induced shift (TIS) and workpiece induced shift (WIS) errors may be introduced due to temperature effects, workpiece processing effects, and optical distortions. An example solution is to measure an initial pattern (e.g., one or more alignment marks) on the workpiece is and to use the measurement data to accurately place a newly patterned image onto the workpiece, for example by adjusting the exposure parameters. A registration sensor preferably can automatically detect and recognize a variety of registration and alignment mark patterns, materials, and profiles without impacting the quality of exposure throughput. Examples to achieve such a sensor include, but are not limited to, using a high resolution, high speed registration system with existing hardware, determine the limitation and flexibility of the registration strategy (e.g., by mapping the workpiece with die-to-die registration) and the incorporating a temperature conditioning stage, and introducing a high speed moiré (grating) interferometer system for die-to-die registration, combinations thereof, and the like. Other approaches are also possible. A high resolution, high speed registration system can employ existing hardware and can be similar to existing electron beam registration, but a plurality of imaging modes can be used. Scanning the surface of an object (e.g., a registration or alignment mark) with a digital beam produces secondary electron emission, secondary ion emission, and ion sputtering. A bi-axial or cylindrical microchannel plate can be used to detect both secondary electrons (e.g., by biasing above the voltage of the target) and the secondary ions (e.g., by biasing below the voltage of the target). Other configurations are also possible. An image can be created by measuring a signal yield of the secondary ions and secondary electrons at each point where the beam impacts the target. Variations in the yield indicate changes in surface topology or composition of the workpiece. The position resolution of this signal is a product of the measured beam spot size and deflection pixel size during registration and is augmented by statistical metrology. Sputtered ions provide greater mark recognition ability because such ions can be collected and mass analyzed secondary ion mass spectroscopy (SIMS). SIMS registration techniques are well developed and can be used both for mark detection and for process development diagnostics. An atomic map with the spatial resolution of the beam spot size can provide excellent precision for mark detection. To optimize registration, a product summation of the detector video signal with a computer generated image of the registration or alignment mark can be used to enhance or recover an otherwise unrecognizable target signal from high-noise background. This can be performed by automatically correlating the video gain and bias offset for an initial signal enhancement. Once the tone is properly adjusted, the signal can be correlated with a computer generated (CAD) image of the registration or alignment mark to provide an enhanced image of the mark. Other signals are detectable from digital beam mark interaction. Signals such as those from secondary electrons and backscatter electrons may be used for this process. Additionally, signals from secondary electrons and backscatter electron may be employed differentially to improve detection limits (e.g., signal to noise ratios). For example, the final detection signal may be the difference between SIMS and other signals. The speed of registration may be limited by the quality of the registration electronics, but incorporating modern electronics (e.g., digital signal processing (DSP)) may reduce the registration time by orders of magnitude without burdening registration resolution. Another consideration in the quality and speed of registration is the configuration used to register to the workpiece prior to exposing. Depending on the pre-conditioned and in-process temperature stability of the workpiece, several strategies are available to compensate for distortions and throughput issues. Workpiece mapping generally registers a single die, providing reduced or minimum overhead to the system throughput but no correction for pattern distortion caused by temperature instability during exposing. Die-to-die registration performed immediately prior to die exposure, for example to minimize temperature distortion effects, generally uses four registrations per die per level. Such a technique eliminates the ability to write in a serpentine mode, drastically limiting the throughput of the system by memory load overhead time. However, performing registration on a plurality of dies at one time can maintain the ability to write in a serpentine mode within a field comprising the plurality of dies, thereby allowing increased or maximum throughput while reducing or minimizing pattern distortion. Overlay accuracy becomes increasingly important as device geometries shrink. For a digital beam tool, the direct exposure of multi-level patterns on a single workpiece for manufacturing of integrated circuits desirably includes accurate intra-layer registration. An example workpiece alignment technique has three features: adequate signal generation from the surface impact of the digital beam; a detection algorithm for processing the detected signal; and an alignment feature fabrication technique. The impact of a charged particle onto the workpiece can create media such as secondary electrons, backscattered electrons, photons, and secondary ions, each having certain advantages in detection efficiency. However, selection of a particular media for registration purposes depends on the charged particle species, the charged particle energy, and the current density of the beam. A signal detector may be optimized for a given media. For example, an electron-photomultiplier is generally appropriate for secondary electrons, a solid state diode is generally appropriate for backscattered electrons, and secondary ion mass detectors are generally appropriate for photons and secondary ions. A digital signal processor processes information from the signal detector in order to determine the location of the alignment mark. A traditional method of detection includes a one-dimensional line scan with the digital beam. As the digital beam transitions by deflection across the alignment mark, the detected video signal is modulated. Modulation occurs because differences in the alignment mark and the contour of the workpiece. Actual alignment mark location can be determined by processing the distribution of the modulated signal via a digital signal processing module. Another detection method includes an X/Y scanning mode of the digital beam to acquire a video image of the alignment mark. To achieve accurate edge detection, digital signal processing algorithms are applied. Improved detection of the alignment feature edge is accomplished through a two-dimensional imaging method that averages several frames of video data and determines the actual location of the alignment mark by gray scale signal processing. Preferably, alignment marks are formed over the entire working area of the workpiece in the form of equally spaced two-dimensional grids. One construction method is the formation of a raised multi-layered semiconductor structure consisting of layers of silicon, silicon dioxide (SiO2), and polysilicon, with an alignment mark formed on the polysilicon layer of the wafer. In another construction method, an alignment mark is etched into the surface of a silicon wafer and a layer of a heavy metal (e.g., tantalum or tungsten) is deposited into the trench. The alignment mark containing the heavy metal exhibit a high level of backscatter relative to a silicon substrate, thereby providing contour details for low energy backscatter ion detection. Selection of an appropriate alignment feature construction method depends on the signal media and the signal detector, dictated by process steps. A minimum of three alignment marks are preferred in order to accurately identify translation, rotation, and magnification errors. The measured errors are fed back to the workpiece stage control system for correction, thereby reducing workpiece and tool induced shift errors. The processing of global alignment marks may permit faster and more accurate detection of localized alignment marks by removing gross errors. The alignment process can be repeated whenever the workpiece is inserted into the exposure chamber, whenever the workpiece is removed from the apparatus, between significant process steps, etc. Other techniques can also be used. Patterning tools transfer large quantities of microelectronic circuit pattern data in a format that can be manipulated (e.g., converted from digital to analog) within small periods of time (e.g., nanoseconds). The data is typically in a format for very large scale integration (VLSI) computer aided design (CAD), as described below. This data is used, for example, to control the deflection by the deflector 210, the deflector lens, the objective lens 212, and/or movement of the workpiece stage 214 and can be adjusted to address aberrations in the optics. Charged particle exposure chambers may have imperfections (e.g., aberration, deflection errors), for example due to manufacturing or installation imperfections and the physical constraints of the optics. As an example, if a system is installed with a slight rotation relative to the workpiece stage 214, beam deflections will be rotated relative to the motion of the workpiece stage 214. More complex errors may also be present; for example, an attempt to trace the outline of a large square with the beam may produce a pincushion or barrel shaped pattern. The magnitude of these effects is proportional to the magnitude of deflection of the digital beam, which can limit the size of the deflection field and can create nonlinear distortions in system writing quality. High resolution writing using a digital beam is therefore preferably able to augment transformed pattern data to compensate for deflection field distortion, wafer distorted pattern placement errors, stage position, etc. Additionally, processing errors may be introduced. Pattern distortion or deflection distortion can result from several factors when exposing a workpiece with a digital beam. For example, thermal fluctuations in the exposure chamber 102 or in a workpiece 101 can cause magnification errors. For another example, securely clamping the workpiece 101 to the workpiece stage 214 can also cause rotational errors or can induce stresses resulting in pattern sheering. For yet another example, unrecoverable nonlinear pattern distortions can result from subsequent processing such as rapid thermal annealing. For still another example, manufacturing or installation of the optics may be imperfect (e.g., with a slight rotation relative to the workpiece stage) and the optics have certain physical constraints. More complex errors may be introduced by certain processes, for example and without limitation, tracing a large square with the digital beam may result in a pincushion or barrel shaped pattern. The magnitude of the errors may be proportional to the magnitude of the beam deflection such that they can limit the size of the deflection field and can create nonlinear distortions in system writing quality. The adaptable virtual digital stencil is in softcode at any given point in time. As such, the stencil is temporally and spatially adaptable to correct in real time for nonlinear pattern offset, gain, rotation, and corrections within the minor field, while being deflected in the major field. These corrections can be performed within features, die, or to the entire workpiece. Digital beam lithography systems preferably can perform pattern and beam corrections to compensate for processing-induced errors on the workpiece and optical errors (e.g., coma distortion, astigmatism, image pure distortion, chromatic aberration, spherical aberration, field curvature, etc.). Such corrections can improve writing quality and enhance system throughput. Pattern and deflection distortion problems can be corrected by incorporating data manipulation bias electronics (hardware and software) into the system. For example, process control software can use metrology measurements to correct the deflection of the digital beam. Such metrology measurements preferably are made prior to exposing the workpiece. The quality of the digital beam may initially be optimized to provide improved or optimum measurements from subsequent metrology. In some embodiments (e.g., as depicted by FIG. 4C), a knife-edged micromesh grid is placed over a diode detector, which is scanned by the digital beam. The second derivative of the beam current with respect to the scan position provides a high resolution beam profile (e.g., as depicted by FIG. 4D). Optimization (e.g., automated optimization) of the beam profile with the optics control system allows focusing of the beam. Once the digital beam has been optimized at small or minimum deflection angles, the system can correct the digital beam profile within a larger usable deflection field by moving the workpiece stage 214 to a plurality of positions within the outer limits of the distorted deflection field. The digital beam is then deflected to the position where the grid is scanned for beam optimization. The sequence is repeated over an extended size of the deflection field. Beam optimization data can then be correlated with an interferometer or other position monitoring system of the workpiece stage 214. In certain embodiments, the linear contribution of the error is stored as an argument, while the nonlinear error is stored as pure memory. Beam distortions that depend on the position of a minor field within a major field can also be correlated. Within the minor field, use of the grid to calibrate deflection distortions can be performed without moving the workpiece stage by major field deflection of the adaptable virtual digital stencil to fit the scans on the grid. As a result, automated optimization or improvement of the beam profile can be performed within an extended deflection system, thereby allowing improved writing quality and throughput performance. A final measurement can be made prior to exposing portions (e.g., individual dies) of the workpiece 101 because the workpiece 101 may be rotated or distorted as a result of temperature or stress effects caused by processing. If a pattern is being written on a workpiece 101 that already contains previous pattern levels, the new level can be adjusted to overlay on the previous levels, for example by registering to three or four corners of the die and then applying a magnification or rotational correction within each die. For example, the calibration software may automatically measure features on the edges of each die prior to exposure and use the measurements to correct for any pattern displacement, magnification, or rotation caused while aligning, processing, or handling the workpiece. As described above, the exposure chamber 102 can be operated by providing integrated circuit (IC) design data, for example in the form of CAD schematics, to generate and expose the pattern on the workpiece. Users of the apparatus 100 input a desired pattern to be written, along any specific alignment configurations and/or processing parameters. Once the design for a device (e.g., an integrated circuit) is developed, multiple pattern layers of the design can be laid out to cover the workpiece as desired (e.g., to cover the entire workpiece). A complete exposure data preparation (EDP) package with a user interface can be used to convert raw designs (e.g., in CAD or graphic data system (GDSII)) to a format usable by the exposure chamber 102 (e.g., exposure ready format (ERF)). Prior to loading pattern data onto the system, several format changes, such as compressing and merging similar pattern features and reducing overlapping routines, can be made to increase or maximize throughput of the exposure chamber. Once the pattern data has been compressed to a reduced or minimum size, a field partition routine can define the major and minor deflection fields of the pattern data and use a smoothing routine to normalize the density in each data frame. Normalization reduces stage jerking when writing repetitious adjacent multiple density patterns. After registration as described above, the pattern is laid out on the workpiece, using the registration data to calibrate the intended beam pattern to the actual workpiece pattern and to apply any compensation to improve overlay accuracy. In various embodiments, for example pattern data in GDSII, OASIS, or other suitable formats is input into the system. The input data is then fractured into subfields and identified as to whether they are to be “written” or “non-written.” The mapping of the written subfields is sent to a data path module for rasterization (e.g., conversion to a bitmap). Throughput improvement is achieved by moving the workpiece stage and deflecting the beam from one written subfield to a non-adjacent written subfield without exposing non-written subfields. No time is spent processing non-written subfields without pattern data. Various deflection technologies can be used to expose a workpiece to charged particles. Raster scan is a scanning mode in which the beam moves back and forth over the entire workpiece; the beam is turned on over designated areas and is turned off until the next designated area. Vector scan is a scanning mode in which the digital beam scans only selected areas where pattern is to be placed; after scanning of the selected area is completed, the beam is turned off and moved to selected area to be scanned. Hybrid vector-raster technology utilizes a vector approach for major field deflection between data pattern subfields and uses a raster scan technology to deflect a Gaussian or shaped digital beam within the subfield. Throughput improvement can result from only moving the workpiece stage to positions that receive exposure. Another form of vector-raster includes a vector deflection in the major field, a vector deflection between pattern features within the minor field, and a raster image of the feature within the minor field. The vector capability of a vector-raster system can provide higher throughput versus a pure raster scan system, and the raster capability of the vector-raster system permits good pattern fidelity and high current with a small dwell time. As described above, in certain preferred embodiments, minor field deflection of the digital beam is accomplished through a deflector, which is possible because that the longitudinal spatial and temporal spacing of the groups of charged particles permits the individual deflection of each group. In certain embodiments, the voltage applied to each deflection electrode stage is timed to match the velocity of each group of charged particles. Spacing between groups of charged particles can effectively provide blanking. In particular, such blanking between groups effectively uses the full flux of a continuous or nearly continuous charged particle stream. The temporal spacing between groups allows for deflection error correction (error correction signal summing can compensate for stage disposition, deflection aberrations, optical aberrations, and write mode process adjustments). Throughput improvement can be achieved by maximizing the time that the digital beam exposes the workpiece. In certain preferred embodiments, the digital beam is capable of performing a plurality of pattern exposure strategies. Such strategies may be designed to modify exposure dose, species, pattern quality, beam energy per group of charged particles, beam energy for sets of groups, and beam energy for an adaptable virtual digital stencil. The apparatus may also be capable of discretely modifying exposure dose, species, pattern quality, beam energy per group of charged particles, beam energy for sets of groups, and beam energy for an adaptable virtual digital stencil within a particular writing strategy to optimize that particular writing strategy for a particular process. In an embodiment of a writing strategy, the beam is scanned in raster fashion across the entire area of the workpiece. In certain embodiments, the spot size of the beam is greater than the grid spacing in the raster (e.g., as depicted by FIG. 21A). In certain embodiments, the spot size of the beam is substantially equal to the grid spacing in the raster (e.g., as depicted by FIG. 21B). That is, the pattern is vector scanned in the major field, vector scanned in the minor field, and raster scanned in a single pass within the feature to be exposed. Feature processing with a digital beam can leverage the per pixel dose variation to improve feature edge quality when performing etch, implant, and deposition. In some embodiments, a digital beam spot size to pixel ratio greater than one can average placement of the groups of charged particles and can reduce exposure process errors. A large digital beam spot size to pixel ratio improves line edge roughness and allows a higher dose deposition due to cumulative dosing from overlapping beams. This process can also be performed with or without resist. In another embodiment of a writing strategy, alternating row and column exposure is performed with a large spot size and small pixel size ratio. Exposing alternating pixels with a digital beam produces a pixel exposure width half as wide as the selected feature, thereby increasing the feature critical dimension over target value in both axes (e.g., as depicted in FIG. 21C). That is, the pattern is vector scanned in the major field, vector scanned in the minor field, and raster scanned in alternating pixels in both x and y directions with a single pass within the feature to be exposed. Throughput is increased by effectively reducing the number of charged particles per flash, but at the cost of critical dimension control. There are advantages to using this write mode for a digital beam, such as the ability to apply per pixel dose variation or multiple species exposure to improve device performance, feature edge quality, and throughput when performing resistless etch, implant, and deposition processes. The throughput improvement can be dramatic since system throughput increases as the square of the effective writing grid. This process can be performed with or without resist. Yet another embodiment of a writing strategy divides pixel spaced matrices (or “composites”) and overlays exposure of a combination of composites interleaved in a series of passes, with each pass offset from other passes in both the x and y directions by a fraction of the writing address. That is, the pattern is vector scanned in the major field, vector scanned in the minor field, and raster scanned in a series of passes that interleave the pixels within the feature to be exposed. The beam size can be set 25-100% larger than pixel size in order to average out the flashes and to reduce the number of charged particles per group (e.g., as depicted in FIG. 21D). A larger beam spot size versus pixel size helps reduce line edge roughness by averaging systematic errors to allow a higher dose deposition (e.g., as depicted in FIG. 21E). There are advantages to using this write mode for a digital beam, such as the ability to apply per pixel dose variation to improve feature edge quality when performing direct etch, implant, and deposition processes, thereby improving feature edge quality. This process can also be performed with or without resist. The feature quality is improved, but multiple passes are achieved with little or no effect on throughput. Yet another embodiment of a writing strategy leverages a sampling matrix having an array of cells of a predetermined input address size. Each pass produces a writing grid defined by the distance between beam placements in a single pass. That is, the pattern is vector scanned in the major field, vector scanned in the minor field, and raster scanned in a series of passes offset in the x and y directions to create multiple offset composite feature patterns that interleave the pixels within the feature to be exposed. The composite of all passes forms the effective exposure grid (e.g., as depicted in FIG. 21F). The dose of the beam can also be freely varied within the operating envelope of the system. There are advantages to using this write mode for a digital beam, such as the ability to apply per pixel dose variation to improve feature edge quality when performing resistless etch, implant, and deposition processes, thereby improving feature edge quality. This process can also be performed with or without resist with a pixel rate greater than about 400 MHz. A good balance between feature quality and throughput can thereby be achieved. The dose of the beam can also be varied within the process-defined operating envelope of the system (e.g., as depicted in FIG. 21G). This can be performed with a number of techniques including modulating the duty cycle of a beam buncher. Multiple levels of pixel intensity are provided from 0% to 100% beam intensity. Pixels of partial intensity are used along the edge of a feature so as to locate the edge between the lines of a Cartesian raster scan grid. The dose modulation can be assigned by the user via the pattern data file. There are advantages to using this write mode for digital beam processing, such as the ability to apply per pixel dose variation to improve feature edge quality when performing resistless etch, implant, and deposition processes, thereby improving feature edge quality. This process can also be performed with or without resist. A good balance between feature quality and throughput can thereby be achieved. FIG. 8 illustrates an example vector-raster write strategy using a digital beam. The workpiece is divided into square pixels 1 through 44. The beam generally writes in a serpentine motion across the workpiece, from 1 to 4, then from 5 to 12, then from 13 to 22, etc. Each pixel is divided into stripes, and each stripe is divided into fields, which are divided into subfields. The beam generally writes in a serpentine motion across each stripe, field, and subfield as well. Within each subfield, the beam is able to write only where written features exist. Like vector scanning, the digital beam only scans selected areas, but the beam does not need to be turned off to be moved to another area, at least the time the beam is turned off is reduced as the dead space between the groups of charged particles can be used for that purpose. As device geometries decrease, patterning with accurate overlay is preferably at least one order of magnitude smaller than the minimum or critical dimension. Workpiece processing and handling may induce pattern errors across the workpiece that contribute to placement errors, especially as geometries fall below 0.25 microns. However, serial patterning equipment (e.g., exposure chambers with a digital beam) has the flexibility to correct for these errors by registration and pattern data augmentation. A fully automated metrology program that commands the digital beam to align itself, perform deflection/workpiece positioning calibration, and recognize and correct for wafer pattern distortion can eliminate not only pattern defects at the most recent level, but for other pattern errors, as well. As previously discussed, beam measurement and laser interferometer systems have accuracies to within a few angstroms. Making use of these measurements, system calibration software can collect the deflection gain, linearity, offset, and rotation for both the major and minor deflection fields. The deflection is calibrated to the laser interferometer system, providing a well-behaved deflection motion and profile of the digital beam within the deflection field. Linear and nonlinear errors of the digital beam profile with respect to the beam deflection can also be measured and corrected. Because each die is registered prior to exposure, temperature compensation can be performed by adding corrections to the pattern software and exposing that die in a corrected state, which allows the system to reduce or eliminate pattern distortions caused by annealing, vacuum radiation drain and evaporation, and improper conditioning. The flexibility of electronic data preparation (EDP) software allows alterations of the pattern to accommodate processing variability. Pattern editing, tone reversal, and feature biasing provide increased flexibility to the user of the apparatus 100. In addition, feature bordering, dose by size, and dose by type can improve digital beam assisted chemical etching (DBACE) and digital beam nucleation deposition (DBND) at small geometries. Preferably, a data manipulation bias system corrects for pattern and deflection distortion, for example by augmenting pattern data applying corrected data to the optics control system. The data manipulator system applies final pattern data biasing prior to optics control, and therefore may include very fast electronics (e.g., the fastest electronics in the system). This system sums pattern data correction, deflection distortion correction, and workpiece stage motion correction to the front end of the optics control system. Digital to analog converters at the front end of the optics control system convert the digital signals from the data manipulator. Once amplified, these analog signals drive the column 200. Overlay accuracy can limit sub-micron lithography. For example, traditional lithography systems cannot correct for nonlinear pattern distortions caused by wafer processing, which is exacerbated by increased workpiece sizes and reduced device geometries. However, certain digital beam systems described herein can advantageously correct for such errors because the pattern is not fixed on a reticle, but can change during exposing. The adaptable virtual digital stencil is in softcode at any given point in time. It is therefore temporally and spatially adaptable to correct in real time for nonlinear pattern offset, gain, rotation, and corrections within the minor field, while being deflected in the major field. These corrections can be performed within features, die, or to the entire wafer. A method of processing a workpiece 101 in the exposure chamber 102 comprises exposing the workpiece 101 to a digital beam of charged particles. In certain embodiments, exposing the workpiece 101 comprises forming a stream of charged particles, collimating and propagating the steam along an axis, digitizing the stream into a digital beam comprising groups (or packets or flashes) comprising at least one charged particle, deflecting the groups of charged particles using a series of deflection electrode stages disposed longitudinally along the axis, demagnifying the pattern, and focusing the demagnified pattern of groups of charged particles onto the workpiece 101. The dosage of exposure is preferably less than about 1×1017 charged particles/cm2. As described above, digitizing the beam may comprise, for example, beam bunching, high speed blanking, combinations thereof, and the like. In some embodiments, deflecting the groups of charged particles comprises selectively applying voltages across the deflection electrodes at each deflection electrode stage. Selectively applying the voltages may comprise applying a large voltage with a first deflection electrode stage and applying smaller voltages with other deflection electrode stages. Selectively applying the voltages may also comprise applying a small voltage with a first deflection electrode stage and applying larger voltages with other deflection electrode stages. Selectively applying voltages may also comprise applying approximately equal voltages at each deflection electrode stage. Demagnification of the groups of charged particles preferably produces packet diameters of less than about 200 nm, less than about 50 nm, less than about 10 nm, less than about 5 nm, or less than about 1 nm. The workpiece stage may move continuously during the exposing process. For example, the workpiece stage may move continuously over a dimension of about 100 cm over a time period of 1 second. For another example, the workpiece stage may move without stopping for more than 5 nanoseconds per 0.5 seconds. FIG. 5 illustrates a plurality of groups of charged particles 502, 504. In some embodiments, deflection of a group of charged particles occurs during a dead zone 512 at the workpiece such that no exposing occurs during the deflection. The rise time 509 of saturated beam pulses can be used for blank and unblank edges. In some embodiments, the geometry of the groups of charged particles are Gaussian in x and y dimensions perpendicular in time, as well as Gaussian in velocity to, the axis of propagation. In some embodiments (e.g., as depicted in FIG. 5), the groups of charged particles 502, 504 have a trapezoidal cross-section along the longitudinal axis. In FIG. 5, two groups of charged particles 502 and 504 are depicted. Each digital beam has a density distribution rise time 506 and a fall time 508. The time between no charged particles and the peak density of charged particles is the quick pulse rise time 509. The time in which each group 502, 504 has a peak density of charged particles is the digital flash time 510. The time between the full concentration of charged particles and no charged particles is the quick fall time 511. The time in which there are no charged particles is the dead zone 512 (the anti-node region). The time between the last instance of a full concentration of charged particles in a first group, for example the group 502, and the initial concentration of charged particles in a subsequent group, for example the group 504, is the deflection time 514. The time between the first concentration of charged particles in a first group, for example the group 502, and the initial concentration of charged particles for a second subsequent group, for example the group 504, is the flash duty cycle (or “flash spot rate”) 516 and is used for feature-to-feature deflection time within the minor field. In some embodiments, however, blanking can occur over multiple duty cycles. A blanker may be used. Referring again to FIGS. 1A and 1B, the apparatus 100 may further comprise at least one dedicated process chamber 108. Additional process chambers can optionally be used for advanced processing. The process chambers 108 may comprise any variety of workpiece processing equipment. For example, and without limitation, the processing chambers 108 may comprise etch, deposition (e.g., oxidation, nucleation, etc.), rapid thermal anneal (RTA), combinations of the same, and the like. Some process chambers 108 may be configured to process a workpiece 101 that has been exposed in the exposure chamber 102, while other process chambers 108 can be configured to process a workpiece 101 before or after processing in another process chamber 108, before processing in the exposure chamber 108, etc. In certain embodiments, a process chamber 108 does not substantively change the workpiece 101. For example, a process chamber 108 may comprise a calibration or metrology tool. In certain embodiments, the apparatus 100 comprises a plurality of processing chambers 108 such that a workpiece 101 may be transformed from a bare substrate to a substantially finished product. Preferably, workpiece 101 can be fully processed without being removed from the apparatus 100. In certain embodiments, the duration from starting substrate to substantially finished product is less than one week, more preferably less than two days, or even more preferably less than one day, or more preferably yet in less than one hour. In an example embodiment, two process chambers 108 are dedicated to nucleation and oxidation deposition, a third process chamber 108 is dedicated to rapid thermal annealing, and a fourth process chamber 108 is dedicated to chemically-assisted digital beam etching (CADBE). Although one process chamber 108 may be adapted to perform all such processes, dedication allows, for example, the use robust materials to avoid corrosion in the CADBE chamber. Automated processing software can be used to monitor and analyze all aspects of the system's performance, to perform automation control of all functional operations, and to optimize each process performed by the system. The software can perform a data gathering routine on all sensors of the system and organize the results into operational and performance related reports addressing the status of the system. The software can also prepare a processing report for each workpiece processed through the system including the targeted process compared to the actual process, which can be used to determine fault analysis and process boundaries. Feedback of the process parameters into an automated control loop (e.g., a knowledge based routine) allows high leverage in developing processes. The software may incorporate data gathered from one or more metrology processes to enhance such process development, for example to monitor and adjust etching rates, deposition thicknesses, and contamination. The software can preferably operate all system functions, including process sequences, process parameters for each sequence, etc., although pattern exposure may be controlled by a pattern generation system. The software can produce interlocks based on the process sequences and can provide full automation and optimization of the processes. Other configurations are possible. User control and adjustment is also used in certain embodiments. Etching is a process for the manufacture of semiconductor circuits. High leverage microelectronic integrated circuits generally utilize high resolution etching of materials to within a critical dimension and location. The ability to etch metals, semiconductors, and dielectrics with precise control over feature depth, uniformity, anisotropy, and reproducibility is desirable for many applications. Standard processing techniques typically utilize a resist-related patterning step followed by a wet or dry chemical etch to perform material removal. Resist patterning limits the quality of the etch process profile, size, depth, and uniformity. Milling, or etching after exposure by a focused ion beam, provides high resolution removal of material without the use of resist. However, high dose and low sensitivity cause slow speed of the equipment, and milling has not been commercially successful. Chemically assisted processes (e.g., chemically assisted ion beam etching (CAIBE) and reactive ion etching (RIE)) were introduced to enhance milling, but they could not be incorporated into FIB equipment because the gas reacted with several components within the exposure chamber. In contrast, as described herein, low dose procedures in combination with concentrated charged particles in groups compatible with resistless processing provides quality patterns and high throughput. In certain embodiments, etch, implant, and deposition of the workpiece can be performed within the exposure chamber 102. Multiple activation by exposure to a digital beam and a process gas can dramatically improve the efficiency of all three processes. A digital beam specifically designed for a particular process in terms of energy, species, and current, which is possible because the digital beam parameters are adjustable, can impact and decompose a portion of the process gas molecules. The decomposed molecules strike surface atoms of the workpiece to sputter or implant new atoms into the workpiece, or to deposit new atoms on the workpiece surface. In some embodiments, portions and/or all of the workpiece is heated during exposing. A new family of etching techniques is ideally suitable for high resolution, high throughput microelectronics manufacturing using a resistless process. This new process family is called digital beam assisted chemical etching (DBACE), and is up to 10 to 100 times more sensitive to ion exposure than milling. The process comprises at least two steps including digital beam exposure of a pattern to the regions to be etched. The target surface of a workpiece is exposed to very low dose ion energy, creating a reactive region for the chemical agent. The workpiece is then introduced to reactive gas within a separate chamber. As a result, a high resolution dry chemical etching process actively removes the material within the desired location as a parallel process to digital beam pattern exposure on other workpieces within the exposure chamber. As an example, DBACE can be performed on silicon and silicon dioxide (SiO2) with chlorine (Cl2) or fluorine (F2) gas, on gallium arsenide (GaAs) with Cl2, on carbon (e.g., diamond) with oxygen (e.g., O2) and nitrous oxide (N2O3), on tungsten and molybdenum with carbon bromine trifluoride (CBrF3) and high temperature superconductors (e.g., cuprates such as La1.85Ba0.15CuO4, YBa2Cu3O7-x (yttrium barium copper oxide, YBCO, Y123, yttrium barium cuprate), and cuprate-perovskite ceramics with or without normal metallic regions) with wet hydroxide chemicals (e.g., sodium hydroxide (NaOH), potassium hydroxide (KOH)). DBACE has been successfully applied to etch the gate recesses of gallium arsenide field effect transistor (FET) devices without destroying the underlying active device region. FIGS. 9A-9C schematically illustrate an example digital beam assisted chemical etching process. In FIG. 9A, an oxide layer 902 has been deposited over gallium arsenide. In FIG. 9B, the oxide layer 902 is exposed in an exposure chamber 102, for example with gallium ions (Ga+), depicted as arrows 904. The workpiece is then transferred to an etchant chamber. In FIG. 9C, a chlorine (Cl2) etch removes the oxide layer that was exposed in the exposure chamber 102, as well as underlying gallium and arsenic as gallium chloride (GaCl2) and arsenic chloride (AsCl2), respectively. The result is a trench in the gallium arsenide, as depicted in FIG. 9C. It will be appreciated that other ion species and etching species may be selected depending on the material or materials to be etched. The deposition of thin films has been a staple process in microelectronics fabrication. Many techniques have been used to deposit thin films, including evaporation, physical vapor deposition (PVD) (e.g., sputter deposition), chemical vapor deposition (CVD), atomic later deposition (ALD), plating (e.g., electroplating), and coating (e.g., spin coating). In conventional fabrication, these techniques normally deposit material on an entire surface of a workpiece, and the material is formed into patterns by a liftoff or milling process using a resist patterning process. Due to the cost, complexity, and physical limitations of resist patterning processes, other non-resist techniques are generally preferred in semiconductor processing. Deposition techniques that may advantageously avoid resist patterning processes by exposure to a particle beam before, during, or after the application of a deposition process include particle beam and thermally activated deposition, for example, but not limited to, digital beam activated CVD, digital beam activated thermal nucleation, digital beam activated ALD, and chemically-assisted digital beam deposition. Two examples of direct pattern deposition are ion beam nucleation deposition (IBND) and chemically assisted ion beam deposition (CAIBD). Both techniques decompose or nucleate atoms on the surface of a workpiece, but can be limited by slow beam writing techniques. CAIBD is described above with respect to advantages in using a digital beam, although it may be performed without a digital beam. IBND is a multi-PVD/CVD process in which an organic gas is introduced to a workpiece after it has been exposed by a particle beam. Growth occurs from the nucleation (exposed) sites similar to ALD. IBND generally employs an ion dosage that is about five orders of magnitude less than CAIBD, which enables IBND to be more than 100,000 times faster than CAIBD. In situ deposition processes provide a variety of desired materials for the fabrication of silicon complementary metal-oxide semiconductors (CMOS), gallium arsenide, and other devices. However, deposition throughput and film quality are highly desired for such processes. Prior to IBND, reasonable throughput deposition for wafer fabrication was not possible using in situ beam processing. As an example, the rate of film deposition for CAIBD using a large ion dosage (e.g., 4×1016 ions/cm2) would be limited by the beam current to about 100 A/cm2, and it would take over 20 years to deposit one square centimeter for a layer 500 Å thick. IBND, however, is able to produce desired film thicknesses while also satisfying throughput and quality concerns. For example, a process resulting in 30% coverage of the usable surface of a 300 mm diameter workpiece (about 200 cm2) by 2.5 Å of deposited material would take about five seconds to expose using an exposure chamber 102 having a beam current density of 10 A/cm2, which can expose nucleation sites on the order of 10 cm2/s. The workpiece can then be transported to a nucleation chamber for deposition while another workpiece is exposed in the exposure chamber 102. FIGS. 10A-10C schematically illustrate an example digital beam assisted deposition process. Starting with an unprocessed workpiece in FIG. 10A, portions of the workpiece are exposed with groups of charged particles of a digital beam, represented by arrows 1002 in FIG. 10B. The workpiece is then transferred to a deposition chamber, where it is exposed to reactant (e.g., a reactant fluid, preferably a reactant gas). The reactant reacts with the exposed areas to nucleate or atomically deposit a material, resulting is the workpiece of FIG. 10C with an area 1104 of deposited material. Integrated microelectronic manufacturing of silicon, gallium arsenide, and other electronics utilize ion implantation to alter device mobility profiles at transistor junction edges. Traditional implantation techniques generally include resist deposition, patterning, development, and baking, followed by ion implantation, resist removal (e.g., by ashing and/or stripping), and cleaning. Device fabrication uses a series of these implantation techniques, thereby requiring a large number of patterning steps. Patterning may be reduced by using serial implantation, but devices incorporating gradient implantation, lightly doped drains (LDD), and co-implantation, which can achieve higher performance, typically trade process simplification for increased device performance. For example, high resolution implantation placement accuracy may result in increased device reliability and/or process robustness. Throughput limitations associated with the traditional implant techniques are exacerbated by devices that require a large number of implantations. Using a conventional CMOS process as an example, implantation alone (i.e., resist deposition, patterning, development, and baking followed by ion implantation, resist removal, and cleaning) may require about 70 process steps. As a result, the cost of the next generation, high leverage electronics can be substantial. The development of such implantation schemes can be particularly costly. For example, the fabrication of reticle masks used in the resist patterning steps may take on the order of months and tens of thousands of dollars. Process development (e.g., the resist exposure conditions appropriate for the reticle, resist type, resist thickness, etc.) may take additional months. Once developed, pilot fabrication can take weeks or months. If testing reveals defects in the reticle design or the process steps, the process may need to start over, and iterates until a functional device can be reliably created. Such lengthy development is impractical or even impossible for certain devices (e.g., specialty military devices where a limited number of devices will be produced). The use of resistless, direct write implantation can provide the manufacturing flexibility and quick development time to incorporate advanced techniques (e.g., single-level gradient implantation, LDD, and co-implantation) in research, pilot production, and full production environments. Such a system can achieve vertical implantation profiles ranging from about 5 kilo electron volts (keV) to about 500 keV within, for example, about 20 nm. These systems can have the flexibility to select the species of the ion beam and to place the beam within 9 nm of itself in a gradient energy or dose profile, which can be used to achieve advanced implantation processes such as gradient implantation, LDD, and co-implantation. FIGS. 11A through 11D schematically depict a cross-section of a workpiece processed with direct write implantation. Starting with an unprocessed workpiece in FIG. 11A, the workpiece is implanted with a first set of digital beam exposure profile, represented by arrows 1100 in FIG. 11B. The result is a workpiece of FIG. 11C with an area 1102 of doped material. An implantation with a second digital beam exposure profile, represented by arrows 1104 in FIG. 11C, is then performed. The result is a workpiece of FIG. 11D with doped area 1102 and doped areas 1106. The workpiece may be annealed after each implantation or after the series of implantations to activate the dopants. In certain embodiments, the workpiece illustrated in FIG. 11D can be performed with a single implantation, for example using the techniques illustrated in FIGS. 11E through 11I. In FIG. 11E, the charged particle density of the groups of charged particles are altered as they are scanned across the workpiece, illustrated by arrow 1108. Area 1110 of the workpiece is lightly doped (e.g., n−) while area 1120 is heavily doped (e.g., n++). FIG. 11F is a plot of the log of dosage versus position of a workpiece similar to that of FIG. 11E. The dosage profile can become linear by, for example, overlapping the beams of FIG. 11E. In FIG. 11G, the energy of the beam is altered as it scans across the workpiece, illustrated by arrow 1122. Area 1124 of the workpiece is shallowly doped (e.g., like a lightly doped drain) while area 1134 is deeply doped (e.g., like an n-well). FIG. 11H is a plot of the implant depth versus position of a workpiece similar to that of FIG. 11G. The dosage profile can become linear by, for example, overlapping the beams of FIG. 11G. In some embodiments, the energy varies between about 5 and 500 keV, or more preferably between about 5 and 200 keV. In FIG. 11I, the charged particle density of the groups and the energy of the groups are altered as the digital beam scans across the workpiece, illustrated by arrow 1136. Area 1138 of the workpiece is both lightly and shallowly doped while area 1148 is both heavily and deeply doped. Although not illustrated, it will be understood that other beam parameters, for example species, may also be altered. In certain embodiments, the beam is altered across a transistor, across a die, or across a workpiece. In certain embodiments, the beam is altered within about a 20 nm area on the workpiece. Alteration of the beam may also enhance etching, deposition, and other processes. The standard approach for achieving acceptable levels of reliability in devices with gate lengths under 1.5 μm is to incorporate the use of a lightly doped drain (LDD) process. This two-step implantation process creates source and drain regions that lower the electric field near the channel edge, which lowers the impact ionization rate and results in fewer hot carriers available for migration into the gate oxide, thereby enhancing the long term reliability of the device. Beam implantation enables proper horizontal grading of the source/drain implant, which allows completion of the entire LDD process in a single step. As such, beam implantation can eliminate two photoresist steps and the need for a sidewall spacer and its associated etching process, which can particularly affect yield due to damage to the silicon substrate. The LDD process can degrade the peak transconductance of a 1 μm gate length device by roughly 10% and a 0.5 μm gate length device by as much as 20%. However, elimination of ion implantation on one side can result in considerable improvements in device performance. With conventional processing, this would require additional patterning steps to mask the source side, and hence is almost never performed. Beam implantation, however, allows the LDD to be placed only on the drain side of the transistor channel, where the electric field is high, and ion implantation can be omitted from the source side. FIGS. 12A through 12C depict example schematic cross-sections of implant structures within workpieces that can be achieved with a single digital beam process step. Beam implantation can also be used to improve device scaling. A limited factor in controlling device scaling is the source/drain junction depth. The shallower the junction, the fewer the short channel effects and the greater the degree of scalability. However, a shallow junction results in increased parasitic source/drain resistance. The impact of this source drain/resistance is appreciable for deep sub-micron devices. The lateral grading potential of beam exposure can be particularly useful in this instance, for example by making a drain that is very shallow near the transistor channel yet deep under the transistor contact. The shallow junction near the channel edge results in reduced short channel effects, while the deep junction under the contact promotes low series resistance. Another option is placing a deep junction on the source side and a graded junction on the drain side. This can keep the series resistance at a minimum on the source side where it is most important while reducing the negative effect of drain induced barrier lowering on the drain side. Lateral channel doping variations produced by digital beam implantation permit the construction of high performance, high yield planar gate FETs. LDD regions increase the output resistance and breakdown voltage of the transistor, which increases power capability. As illustrated in FIG. 13A, increasing the doping of the source and beneath the gate (area 1302 of FIG. 13A) can reduce the source-gate resistance to improve the FET transconductance and gain by increasing the channel current. These desired lateral doping variations, illustrated by the chart of FIG. 13B, can be produced by varying the implant dose in segments along the length of the channel. The number of different segments that can be used is only limited by the digital beam size. Although GaAs FETs are a key element of many microwave (or millimeter-wave) monolithic integrated circuits (MIMIC), other elements such as Schottky diodes are frequently fabricated on the same workpiece for high performance devices. However, a FET gate cannot be used as an ideal diode because the cutoff frequency is much lower than a high quality Schottky diode. Fabrication of FETs and Schottky diodes on the same workpiece is difficult to accomplish with either epitaxial growth or blanket implantation processing. Digital beam processing is well suited for selective ion implantation processing of FETs and diodes on the same workpiece because the ability to perform discrete processing at different location on the workpiece, as illustrated in FIG. 15. The resulting devices are substantially planar, which improves yield. As is true with all processes described herein, other processing steps may be combined with traditional processes. GaAs MIMICs are traditionally produced using blanket implantation and deposition steps, and batch etching and alloying steps. Photoresist is used to selectively mask and define the device and circuit patterns at each level. Altogether, traditional methods employ over 25 different process steps including 12 mask levels. The front-end (topside) process alone typically takes over 240 hours to complete for a 6-workpiece batch. The process is very inefficient because of the large amount of overhead time and touch labor required. GaAs MIMIC processing can be significantly improved and simplified to increase yield and lower cost by designing a digital beam process of selective pixel based implantation, deposition, and etching. Digital beam processing offers tremendous benefits in simplification and improvement of the GaAs MIMIC process, thereby leading to faster cycle times, higher circuit yields, and lower chip costs. In situ processing can eliminate more than a dozen process steps that typically take nearly 100 hours to perform, and enables major process modifications for improving device performance, yield, lowering cost, and expanding capability within an acceptable timeframe. For example, a digital beam process may comprise only 9 steps and 19 operations, reducing cycle time to 40 minutes per wafer. As illustrated in FIG. 16A through 16K, an example GaAs MIMIC digital beam process comprises selective channel and contact implants followed by annealing. Ohmic contacts are delineated and contact metal is deposited by digital beam deposition followed by digital beam isolation implant. The contacts are alloyed by annealing. Thin film resistors, FET gates, metal interconnects, insulators, and passivation layers are digital beam deposited. Multifunction MIMICs (MFICs) integrate multiple functions in a single device. Traditionally, GaAs MFICs have been fabricated with increased levels of integration of receiver functions, combining analog and digital functions, and combining transmitter and receiver functions. MFICs lower system cost by reducing parts count, size, weight, and assembly/tune costs. Wafer scale integration (WSI), which would integrate many dissimilar functions to form a complete system on a wafer, is well suited for many generation of future microelectronics. MFICs are generally required for advanced phased array antenna systems, which integrate complex radio frequency functions with optical control and digital signal processing. An example is the System Level Integrated Circuit (SLIC). Most of the components developed for phased array applications are designed individually using hybrid assembly techniques. Sufficient support functions are not integrated, hence, cannot be inserted into the system directly. Monolithic integration of the circuit functions reduces part count, size, weight, and assembly costs. High electron mobility transistors (HEMT) are heterojunction FETs with greatly improved performance over conventional GaAs FETs. HEMTs are generally used in high speed digital circuits and low noise amplifiers operating at millimeter-wave frequencies. High speed, ultra-low power digital circuits typically have complementary n-channel and p-channel FETs fabricated on the same workpiece, which is difficult, even with selective epitaxial material growth techniques. Digital beam processing implantation is well suited to fabricate complementary heterojunction insulating gate (HIG) FETs on the same wafer. Cross-sections of the basic n and p channel HIGFET devices are shown in FIGS. 14A and 14B, respectively. The devices are substantially planar and embedded in a semi-insulating substrate. A digital beam comprising silicon can be used for the n-channel device implant, and digital beam comprising beryllium can be used for the p-channel device implant. The metal-silicide gate (e.g., Schottky gate) and ohmic contacts (e.g., comprising AuGe, AuZn) can be deposited by digital beam deposition. Such a sequence allows a two step in situ device fabrication process. As a result, the unique features of the device would be a high resolution duel proximity printing with a minimum step process. Heterojunction bipolar transistors (HBTs) are typically used in devices with high linearity, precision analog, digital/digital converter, and microwave power applications. However, current gain and maximum frequency of oscillation are limited by parasitic base resistance and collector capacitance, respectively. The performance and yield of GaAs HBT devices can be improved with selective digital beam implantation. FIG. 17 represents an example cross-section of a workpiece under such an application. First, beryllium implants into the substrate before contact metallization reduces contact resistance. The base layer can have a lower doping concentration for the same base contact resistance, which increases the current gain by promoting electron transportation through the p-type base. Second, oxygen or boron implantation between the emitter and base electrodes electrically isolates and confines the electron current to flow vertically through the device rather than recombining laterally. This reduces stray capacitance and improves the operating frequency. Such fabrication processes are difficult to perform with standard photoresist processing techniques because of the small dimensions and because photoresist processing increasing surface recombination which decreases gain. The lateral doping capability of a beam implant can also improve device scalability through use of channel stop implants. Such channel stop implants usually reduce the effective device width of narrow transistors, reducing the junction capacitance and increasing the performance of the technology. With conventional implants, difficulty in tailoring the doping concentration along the edge of the field oxide can result in more implant than needed going into the active area. With a beam exposure, the implant can be spatially controlled to keep a sufficient, but not excessive, surface concentration along the field oxide edge. Varying the channel stop implant can include grading a channel stop or guard ring implant along a bird's beak to prevent field inversion resulting from ionizing radiation. Guard ring approaches normally result in large area penalties because the implant dose required for hardness is sufficiently high to promote breakdown problems from the close proximity of n+/p+ regions. Leaving a space between the guard ring and the n+ implant layers can solve this breakdown problem (e.g., as depicted in FIG. 19A). Although effective, this approach can result in a large density penalty, especially as devices are scaled into the sub-micron regime. Using a digital beam exposure, a horizontal doping gradient can decrease the ion dosage near the junction edge, where the field oxide is thin and thus less sensitive to radiation, and can increase the ion dosage under the thicker part of the field oxide. Using such a strategy can achieve excellent radiation hardness, while not compromising the layout density due to the potential breakdown problem. Implantation of a non-conducting layer can be used to form a very dense isolation approach. An implantation of sufficient dosage (e.g., between about 1×1015 charged particles/cm2 and 1×1017 charged particles/cm2) forms amorphous regions with essentially infinite resistivity (e.g., as depicted in FIG. 19B). Devices can thus be isolated from each other by this high resistance region. The dimensions of this isolation region can be extremely small, for example much smaller than an oxide isolation guard ring, resulting in greatly improved circuit densities. In some CMOS applications, the use of a bipolar transistor can be very desirable. This has led to the widespread interest in BiCMOS technology. Many of these applications do not require a high frequency bipolar device, and hence the greatly increased process complexity associated with BiCMOS is not warranted. A lateral bipolar device exists in every CMOS technology, but typically performance is too poor to have widespread use. Using beam exposure implantation can greatly improve the performance of this lateral bipolar transistor technology. Horizontal grading in the channel (base) region can result in electric field aided minority carrier transport, improving both transistor beta and transition frequency (Ft). An LDD process destroys the emitter efficiency of this bipolar device, so the ability to selectively place LDDs on the drain side of the channel allows the source regions to act as emitters and to not be processed as LDDs. It will be appreciated that digital beam implantation can be used for other applications and devices, and the embodiments described herein are only examples. It will also be appreciated that combinations of digital beam processes including etch, implant, and deposition can be used to form a wide variety of semiconductor devices and the embodiments described herein are only examples. For example, FIG. 18 depicts a process sequence for building a substantially completed semiconductor device. Some steps may be performed with traditional methods and combined with digital beam processing, or, preferably, each of the process steps is performed using digital beam processing. Annealing is a process by which the atoms or molecules in a material layer are heated or energized in order to activate dopants, change film-to-film or film-to-wafer substrate interfaces, densify deposited films, change states of grown films, repair damage from ion implantation, move dopants, drive dopants from one film into another or from a film into the wafer substrate, to drive off excess solvents (e.g., from resist deposition, spin-on dielectrics, etc.), and the like. As such, annealing is particularly useful in conjunction with direct write processes. However, it will be appreciated that a workpiece need not be exposed in a beam exposure chamber prior to rapid thermal annealing. Combinations of the above and other processes can be used to produce workpieces that are substantially finished (e.g., ready for passivation, ready for die cutting, etc.) from a bare substrate (e.g., a single-crystal wafer, a workpiece with a deposited field oxide) in a single processing tool. The demand for military and commercial electronics will continually drive advances in a wide range of integrated circuits that use the same or similar forms of logic cells. Over the past several years, multiple exposure technologies have been used to meet the demands of the ever decreasing next node critical geometries. Generally, increasing the number of processing steps reduces manufacturing yield and throughput, resulting in expediential cost increases per new design rule. A promising manufacturing technology, which simultaneously addresses cost, resolution, and throughput and process yield improvement does not currently exist for the future development of new devices. A paradigm combining semiconductor processing and lithography to offer digital beam pattern processing can thus provide a solution to the future of the industry. Incorporating resistless digital beam exposure aided deposition, etching, and implantation processing on a fully automated, high throughput cluster processing tool can provide a significant reduction in the manufacturing cost of high performance and/or high density integrated circuits. For example, the manufacture of a partially in situ 15 nm source/drain BiCMOS device can include the spontaneous nucleation of tungsten, silicon oxide, and platinum for the alignment or metallization, dielectric insulators, and silicide, respectively. A deep sub-micron polysilicon gradient implantation source/drain technology can be used to minimize the number of implantation levels while maximizing the performance of the devices. DBACE can be used for LOCOS and the formation of gate oxides. Polysilicon for the implantation, gate, and passivation levels can be deposited on the entire wafer in a CVD chamber on the apparatus. The field oxide can be the only ex situ process done outside of the system prior to all other processes. Several different pixel/digital beam spot size combinations can be used for exposure at different workpiece levels in order to simultaneously maximize the resolution and throughput of the processing tool. Exposure strategies can also be used to compensate for uniform nucleation deposition as discussed above. To increase the sensitivity of the digital beam exposure aided deposition process, several levels can be exposed with reduced beam current density without reducing the throughput of the system. In order to perform a complete in situ process, the tool can include one or more dedicated oxidation (e.g., plasma enhanced CVD (PECVD)) and nucleation chambers. A wide variety of variations are possible. Components may be added, removed, or reordered. Different components may be substituted out. The arrangement and configuration may be different. Similarly, processing steps may be added or removed, or reordered. Those skilled in the art will appreciate that the methods and designs described above have additional applications and that the relevant applications are not limited to those specifically recited above. Also, the present invention may be embodied in other specific forms without departing from the essential characteristics as described herein. The embodiments described above are to be considered in all respects as illustrative only and not restrictive in any manner. Applications for this technology are virtually unlimited and span far beyond the development of tomorrow's microelectronics components. Described above are a small number of high leverage electronics applications to demonstrate the potential of resistless processing, for example in the military and commercial electronics industries. A wide variety of other applications are possible. It will be appreciated that the majority of the in situ process steps can include a reduction in the system beam current density to 40 A/cm2. This is equivalent to present day technology and greatly reduces the risk for each of these tasks. Although this invention has been disclosed in the context of certain preferred embodiments and examples, it will be understood by those skilled in the art that the present invention extends beyond the specifically disclosed embodiments to other alternative embodiments and/or uses of the invention and obvious modifications and equivalents thereof. In addition, while several variations of the invention have been shown and described in detail, other modifications, which are within the scope of this invention, will be readily apparent to those of skill in the art based upon this disclosure. It is also contemplated that various combinations or sub-combinations of the specific features and aspects of the embodiments may be made and still fall within the scope of the invention. It should be understood that various features and aspects of the disclosed embodiments can be combined with, or substituted for, one another in order to form varying modes of the disclosed invention. Thus, it is intended that the scope of the present invention herein disclosed should not be limited by the particular disclosed embodiments described above, but should be determined only by a fair reading of the claims that follow.
abstract
An integral pressurized water reactor (PWR) comprises: a cylindrical pressure vessel including an upper vessel section and a lower vessel section joined by a mid-flange; a cylindrical central riser disposed concentrically inside the cylindrical pressure vessel and including an upper riser section disposed in the upper vessel section and a lower riser section disposed in the lower vessel section; steam generators disposed inside the cylindrical pressure vessel in the upper vessel section; a reactor core comprising fissile material disposed inside the cylindrical pressure vessel in the lower vessel section; and control rod drive mechanism (CRDM) units disposed inside the cylindrical pressure vessel above the reactor core and in the lower vessel section. There is no vertical overlap between the steam generators and the CRDM units.
claims
1. An electron beam device which includes an electron source and a deflector which defines a position of an electron beam emitted from the electron source on a sample and obtains an image of the sample based on a secondary electronic signal which is generated from the sample by irradiating the electron beam whose position is defined by the deflector, or a signal of a reflection signal electron or an absorbed electron, the electron beam device further comprising:a deflected chromatic aberration correcting element including an electromagnetic deflector which is disposed to be closer to the electron source than the deflector with respect to the sample and an electrostatic deflector which is separated from the electromagnetic deflector and has a smaller inner diameter than the electromagnetic deflector, is disposed inside such that a height position from the sample overlaps the electromagnetic deflector and applies an offset voltage. 2. The electron beam device according to claim 1, wherein the electrostatic deflector of the deflected chromatic aberration correcting element also functions as a focal point corrector. 3. The electron beam device according to claim 1, further comprising:upper and lower electrodes which are disposed above and below the electrostatic deflector of the deflected chromatic aberration correcting element and apply a voltage, wherein the upper and lower electrodes are used as a focal point corrector. 4. An electron beam device which includes an electron source and a deflector which defines a position of an electron beam emitted from the electron source on a sample and obtains an image of the sample based on a secondary electronic signal which is generated from the sample by irradiating the electron beam whose position is defined by the deflector, or a signal of a reflection signal electron or an absorbed electron, the electron beam device further comprising:a deflected chromatic aberration correcting element including an electrostatic deflector which is disposed to be closer to the electron source than the deflector with respect to the sample and an electromagnetic deflector which has a larger inner diameter than the electrostatic deflector, and is disposed inside such that a height position from the sample overlaps the electrostatic deflector,wherein any one of the electrostatic deflector and the electromagnetic deflector of the deflected chromatic aberration correcting element is configured to have a double stage structure. 5. The electron beam device according to claim 4, wherein the deflected chromatic aberration correcting element adjusts an intensity ratio and a deflection direction of a deflector which has a double stage structure so as to match a deflecting point when a deflector having the double stage structure among the electrostatic deflector and the electromagnetic deflector is interlocked and a deflecting point of the other deflector. 6. The electron beam device according to claim 1, wherein the electrostatic deflector of the deflected chromatic aberration correcting element functions as a quadrupolar aberration corrector or a hexapolar aberration corrector. 7. The electron beam device according to claim 4, wherein the electrostatic deflector of the deflected chromatic aberration correcting element functions as a quadrupolar aberration corrector or a hexapolar aberration corrector. 8. The electron beam device according to claim 1, further comprising:upper and lower electrodes which apply a voltage to upper and lower portions of the electrostatic deflector of the deflected chromatic aberration correcting element and are longer than the inner diameter of the electrostatic deflector. 9. The electron beam device according to claim 4, further comprising:upper and lower electrodes which apply a voltage to upper and lower portions of the electrostatic deflector of the deflected chromatic aberration correcting element and are longer than the inner diameter of the electrostatic deflector. 10. The electron beam device according to claim 1, further comprising:a grounded conductor or an electrode which applies a voltage between the electrostatic deflector and the electromagnetic deflector of the deflected chromatic aberration correcting element. 11. The electron beam device according to claim 4, further comprising:a grounded conductor or an electrode which applies a voltage between the electrostatic deflector and the electromagnetic deflector of the deflected chromatic aberration correcting element. 12. The electron beam device according to claim 1, wherein a total length of the electrostatic deflector and the upper and lower electrodes is larger than a total length of the electromagnetic deflector of the deflected chromatic aberration correcting element. 13. The electron beam device according to claim 4, wherein a total length of the electrostatic deflector and the upper and lower electrodes is larger than a total length of the electromagnetic deflector of the deflected chromatic aberration correcting element. 14. The electron beam device according to claim 1, further comprising:a lens disposed between the deflector which defines a position of the electron beam on the sample and the deflected chromatic aberration correcting element. 15. The electron beam device according to claim 4, further comprising:a lens disposed between the deflector which defines a position of the electron beam on the sample and the deflected chromatic aberration correcting element. 16. An electron beam device which includes an electron source and a deflector which defines a position of an electron beam emitted from the electron source on a sample and obtains an image of the sample based on a secondary electronic signal which is generated from the sample by irradiating the electron beam whose position is defined by the deflector, or a signal of a reflection signal electron or an absorbed electron, the electron beam device further comprising,a deflected chromatic aberration correcting element including an electromagnetic deflector which is disposed to be closer to the electron source than the deflector with respect to the sample and an electrostatic deflector which is separated from the electromagnetic deflector and has a smaller inner diameter than the electromagnetic deflector, is disposed inside such that a height position from the sample overlaps the electromagnetic deflector and applies an offset voltage, anda unit that automatically measures a change in the position of the electron beam, or changes in a deflected amount and the deflection direction of the deflectors or both of them when a voltage of the electron source or intensities of the electromagnetic deflector and the electrostatic deflector of the deflected chromatic aberration correcting element are simultaneously and minutely changed.
summary
042008042
abstract
The invention is a system for transporting, storing, and injecting of radioactive isotopes, the system including a unit dose of radioactive isotope-containing fluid loaded in a disposable shielded syringe. There is provided a syringe body including a barrel and a plunger slideable in the barrel and extending from the rear of the barrel for manual actuation. A body of radiation-shielding material substantially covers the barrel, the body having a slot therein. A radiation-shielding unit is removably mounted over the slot. An aliquot of radioisotope-containing fluid is contained within the barrel. In the preferred embodiment of the invention, an optically-transparent radiation-shielding member is adapted for removable insertion over the slot. Before use of the syringe, the radiation-shielding unit, which provides protective shielding over the slot during shipping and storage, is removed and replaced by the optically transparent radiation-shielding member through which the user can view the syringe contents. In this embodiment the radiation-shielding unit comprises a shielding cover proportioned to cover the slot and a front flange extending downwardly from the front of the cover for providing shielding forwardly of the syringe body. The preferred embodiment further includes manually disengageable means for preventing a forward stroke of the plunger, preferably a clip engageable with the plunger.
summary
047626615
abstract
A nuclear fuel assembly for a spectral shift reactor has an upper end piece and a lower end piece secured to a structural element belonging to the upper end piece by guide tubes arranged for receiving a cluster of control elements. The upper end piece further comprises a support member arranged for receiving said cluster at the end of the fall thereof, means for guiding said support member. The support member is guided for movement parallel to the axis of the guide tubes. Springs are contained within the end piece and disposed between the structural member and the plate for braking the cluster at the end of the fall thereof.
description
The present invention relates generally to an x-ray beam collimator assembly, and, more particularly to a collimator assembly that can be manufactured as segments and assembled into larger collimators. Computed tomography has been utilized for a wide variety of imaging applications. One such category of applications is comprised of medical imaging. Although it is known that computed tomography may take on a wide variety of configurations within the medical industry, it commonly is based on the transmission of low energy rays (x-rays) through a body structure. These low energy rays are subsequently received and processed to formulate an image, often three-dimensional, of the body structure that can by analyzed by clinicians as a diagnostic aid. The reception of the low energy rays, such as x-ray photons produced in an x-ray tube, is often accomplished through the use of a device referred to as a scintillator camera or detector array. The scintillator camera or detector array is typically comprised of a plurality of structures working in concert to receive and process the incoming energy rays after they have passed through the body structure. The scintillator element is commonly a material with the ability to absorb the x-ray photons and convert their energy into light. This allows the low energy rays received by the scintillator camera to be converted into useful information. Scintillator elements may come in a wide variety of forms and may be adapted to receive a wide variety of incoming rays. The light produced by the scintillator element is commonly processed by way of a device such as a light sensitive photodiode which converts the light from the scintillator element into an amplified electronic signal. In this fashion, the information from the scintillator camera can be easily transferred, converted, and processed by electronic modules to facilitate viewing and manipulation by clinicians. A collimator is an element often found in a scintillator camera that is used to limit the direction of photons as they approach the scintillator detecting element. The collimator is commonly used to increase the signal to scatter rejection ratio and magnification of a viewed object or control resolution or field of view. Their primary purpose, however, is to control the photons impinging on the scintillator element. The collimator components often consist of a matrix of tungsten plates. These elements must be aligned with the scintillator and the x-ray focal spot of the x-ray source. The height of the collimator elements in the y-direction (direction along the x-ray beam) is critical for scatter rejection. This scenario presents the following challenges when using the state of the art technology, where plates are used to reject scatter in one direction: Plate bow along the z-direction (along the axis of the patient in CT system) is often realized. Alignment of the scintillation detector array (often referenced as a pack) to the collimator in both x and z-directions (perpendicular plan to the direction of the x-ray beam) can be difficult. Focal alignment of the plates can be difficult and costly. Improper manufacturing can result in undesirable sensitivity to focal spot motion. The concerns with collimator construction are further increased as longer z-direction images are desired, especially for volumetric computed tomography (VCT). As volumetric imaging gains prominence, larger collimator elements are often required. Manufacturing limitations, however, often present significant challenges to such larger collimator elements. As collimator size increases, plate bow, dimensional accuracy, and alignment can all begin to negatively impact collimator and detector performance. Although high precision manufacturing, fixturing, and precision features can at least partially address these concerns, they often are associated with undesirable cost increases. One approach is to manufacture the collimator in a series of individual modules that may be assembled prior to installation in the imaging system. The interface between adjoining modules, however, can become difficult to shield. The edge between modules can become unshielded and negatively impact the resultant image produced by the imaging system. It would, therefore, be highly desirable to have a collimator assembly that could be manufactured in a modular/segmented fashion and thereby improve dimensional tolerance and precision features. It would additionally be highly desirable to have a modular collimator assembly with improved assembly properties such that proper shielding can be maintained. A detector assembly is provided comprising a collimator assembly. The collimator assembly comprises a first collimator segment having a first left end and a first right end. The first collimator segment includes a plurality of first segment longitudinal walls having a first segment depth. Each of the plurality of first segment longitudinal walls includes a first interlocking protrusion comprising only a portion of the first segment depth. The collimator assembly also includes a second collimator segment having a second left end and a second right end. The second collimator segment comprises a plurality of second segment longitudinal walls having a second segment depth. Each of the plurality of second segment longitudinal walls includes a second interlocking protrusion comprising only a portion of the second segment depth. Each of the second interlocking protrusions engages one of the first interlocking protrusions to form a continuous sidewall segment. Other features of the present invention will become apparent when viewed in light of the detailed description of the preferred embodiment when taken in conjunction with the attached drawings and appended claims. Referring now to FIG. 1, which is an illustration of a computed tomography (CT) imaging system 10 for use with the detector assembly 18 of the present invention. Although a particular CT imaging system 10 has been illustrated, it should be understood that the detector assembly 18 of the present invention can be utilized in a wide variety of imaging systems. The CT imaging system 10 includes a scanner assembly 12 illustrated as a gantry assembly. The scanner assembly 12 includes an x-ray source 14 for projecting a beam of x-rays 16 toward a detector assembly 18 positioned opposite the x-ray source 14. The detector assembly 18 senses the projected x-rays 16 that pass through an object, such as a medical patient 22. The detector assembly 18 produces an electrical signal that represents the intensity of an impinging x-ray beam and hence the attenuation of the beam 16 as it passes through the object of patient 22. Commonly, during a scan to acquire x-ray projection data, the scanner assembly 12 is rotated about the center of rotation 24. The detector assembly 18 can be arranged such that projection data corresponding to a plurality of parallel slices can be acquired simultaneously during a scan. The detector assembly 18 is comprised of a collimator assembly 30 and a scintillator assembly 32 (see FIG. 2). The scintillator assembly 32 comprises a plurality of scintillator elements 34 used to convert x-ray photons into light and in turn into usable electronic signals. The design, use, and development of scintillator assemblies 32 is well known. The present invention further includes an extended collimator assembly 30. The present invention addresses the manufacturing concerns involved with producing elongated collimator assembles by creating a collimator assembly 30 formed from a plurality of individually manufactured collimator segments 36. FIGS. 2 and 3 illustrate the collimator assembly 30 comprised of a plurality of individual segments 36. Although only two individual segments 36 are illustrated in FIGS. 2 and 3, it should be understood that additional segments 36 may be included (see FIG. 4). The collimator assembly 30 is comprised of a first collimator segment 38 that has a first left end 40 and a first right end 42 (it should be understood that the terms left and right are descriptive only). The first collimator segment 38 is comprised of a plurality of first segment longitudinal walls 44 each having a first segment depth 46. Although a variety of manufacturing techniques are contemplated for producing the first collimator segment 38, one embodiment contemplates casting the first collimator segment 38 out of tungsten or lead. A plurality of first latitudinal segments 48 are positioned between the plurality of first segment longitudinal walls 44 such that a plurality of first collimator chambers 50 is formed. Each of the first collimator chambers 50 preferably has a similar first collimator width 51. The plurality of first collimator chambers 50 are utilized to allow x-ray photons 16 to pass through the collimator assembly 30 to reach the scintillator assembly 32 while reducing scatter radiation. The present invention further contemplates the use of a second collimator segment 52 as part of the collimator assembly 10. The second collimator segment 52 has a second left end 54 and a second right end 56. The second collimator segment 52 is comprised of a plurality of second segment longitudinal walls 58 each having a second segment depth 60. Although a variety of manufacturing techniques are contemplated for producing the second collimator segment 52, one embodiment contemplates casting the second collimator segment 52 out of tungsten or lead. A plurality of second latitudinal segments 62 are positioned between the plurality of second segment longitudinal walls 58 such that a plurality of second collimator chambers 64 is formed. Each of the second collimator chambers 64 preferably has a similar second collimator width 65. The plurality of second collimator chambers 64 are utilized to allow x-ray photons 16 to pass through the collimator assembly 10 to reach the scintillator assembly 32 while reducing scatter radiation. The present invention provides a unique method and structure for combining the first collimator segment 38 and the second collimator segment 52 to form a collimator assembly 30 that minimizes problems associated with unshielded interfaces between collimator segments. The present invention accomplishes this through the use of a first interlocking protrusion 66 formed on each of the plurality of first segment longitudinal walls 44. Each of the plurality of first interlocking protrusions 66 is formed as a portion of one of the first segment longitudinal walls 44 and comprises only a portion of the first segment depth 46. The first interlocking protrusions 66 extend past the last first latitudinal segment 48 defining the first right end 42. The first interlocking protrusions 66 have a first protrusion height 68 and a first protrusion depth 70. The first protrusion height 68, depth 70, and the very geometry of the first interlocking protrusion 66 can be varied. One embodiment contemplates the first protrusion depth 70 is less than or equal to the first collimator width 51. Another embodiment contemplates the first protrusion height 68 being less than or equal to half of the first segment depth 46. Finally, although the first interlocking protrusion 66 can be formed in any geometric shape, illustrated embodiments contemplate block shaped protrusions (FIGS. 2, 3, 4 and 6) as well as triangular shaped protrusions (FIG. 5). The first interlocking protrusions 66 are designed to mate and interlock with a plurality of second interlocking protrusions 72 formed on each of the plurality of second segment longitudinal walls 58. Each of the plurality of second interlocking protrusions 72 is formed as a portion of one of the second segment longitudinal walls 58 and comprises only a portion of the second segment depth 60. The second interlocking protrusions 72 extend past the beginning second latitudinal segment 62 defining the second left end 54. The second interlocking protrusions 72 have a second protrusion height 74 and a second protrusion depth 76. Each of the second interlocking protrusions 72 is designed to engage one of the first interlocking protrusions 66 such that a continuous sidewall segment 78 is formed between the first collimator segment 38 and second collimator segment 52. In this fashion, each of the first segment longitudinal walls 44 can be joined to one of the second segment longitudinal walls 58 by way of a continuous sidewall segment 78 without a vertical seam passing through the collimator assembly 30. In this fashion scatter corruption can be minimized. Although the second interlocking protrusions 72 may be varied in a fashion similar to the first interlocking protrusions 66, they are preferably shaped so as to form the mirror negative image (see FIG. 4) of the first interlocking protrusions 66. In this fashion the first and second protrusions 66, 72 naturally mate to form the continuous wall segments 78. A similar technique can be accomplished by forming the second locking protrusions 72 as simple mirror images (see FIG. 7) and altering orientation of the adjoining collimator segments 36. Although the first collimator segment 38 and the second collimator segment 52 can be formed as unique mating components, it is contemplated that by forming the a plurality of opposing interlocking protrusions 80 on the first left end 40 of the first collimator segment 38 can be used such that a single design can be utilized to form both the first and second collimator segments 38, 52. In such an arrangement (see FIG. 2) each of the opposing interlocking protrusions 80 would be positioned on the longitudinal wall end opposite one of the first interlocking protrusions 66 and comprise only a portion of the first segment depth. Each of the opposing interlocking protrusions 80 would preferably be a mirror negative to the first interlocking protrusion 66 it opposed. In this fashion, a single casting design could be used and a single collimator segment 38 could be sold. Multiples of this single collimator segment 38 could be combined such that a collimator assembly 10 can be formed with a collimator assembly longitudinal width 82 greater than the scintillator longitudinal width 84. In this fashion, the collimator segments 38 can be combined in different numbers to accommodate a wide variety of different scintillator designs and sizes. While particular embodiments of the invention have been shown and described, numerous variations and alternative embodiments will occur to those skilled in the arm. Accordingly, it is intended that the invention be limited only in terms of the appended claims.
description
Field The present disclosure relates in general to nuclear reactor scram control systems and in particular to systems and methods for enabling verification of an energization state of a solenoid included in a scram solenoid pilot valve used to initiate a scram of a nuclear reactor control rod. Description of Related Art Nuclear reactors included in one or more nuclear plants may include one or more sets of control rods configured to be inserted or removed from a reactor core to control the rate of nuclear reactions occurring in the reactor core. A nuclear plant may be configured to rapidly insert some or all of the control rods into a reactor core. Inserting a control rod into a reactor core may result in a rapid shutdown of the reactor core. Such a shutdown operation may be referred to as a scram, SCRAM, etc. of the nuclear reactor. A rapid insertion of a control rod into a nuclear reactor core as part of a scram of the nuclear reactor may be referred to herein as a scram of the control rod, scramming the control rod, etc. A nuclear reactor may include equipment configured to rapidly insert one or more control rods into a nuclear reactor as part of a scram of the nuclear reactor. In some cases, a nuclear reactor includes one or more hydraulic control units (HCUs) configured to cause one or more control rods to be scrammed into a nuclear reactor as part of a scram of the nuclear reactor. In some cases, a scram of at least one control rod is controlled by a particular solenoid valve apparatus. The solenoid valve apparatus actuates to cause the HCU to scram one or more control rods. The apparatus may include a scram solenoid pilot valve (“SSPV”). An SSPV may be configured to cause one or more corresponding HCUs to scram at least one control rod based on actuation of the SSPV. The SSPV may actuate to cause a working fluid to be introduced into the HCU. The working fluid may cause the HCU to scram at least one control rod via hydraulic force. In some cases, an SSPV actuates based on an energization state of at least one solenoid coil (“SSPV solenoid”) included in the SSPV. An SSPV may be configured to actuate in response to at least one SSPV solenoid included therein being de-energized. As a result, a scram of at least one control rod may be implemented based on a loss of electrical power to the SSPV solenoid, thereby providing fail-safe scram functionality. Various embodiments herein relate to apparatuses, systems, and methods associated with a solenoid indicator light electrically coupled to a solenoid of a scram solenoid pilot valve (SSPV). The indicator light may provide an immediate, active, and visually observable indication of an energization state of the SSPV solenoid, thereby mitigating a risk of at least partial nuclear reactor shutdowns and mitigating a radiation dosage exposure to operators engaged in verifying the energization states of one or more sets of SSPVs in a nuclear plant. In some embodiments, a nuclear reactor scram control system includes a scram solenoid pilot valve (SSPV) and at least one solenoid indicator light. The SSPV may include at least one solenoid. The SSPV may be configured to actuate based at least in part upon an energization state of the at least one SSPV solenoid. The at least one solenoid indicator light may be electrically coupled to the at least one SSPV solenoid. The indicator light may be configured to selectively activate based at least in part upon the energization state of the at least one SSPV solenoid. In some embodiments, the indicator light may be separate and remotely located relative to the SSPV. The indicator light may be electrically coupled to the at least one SSPV solenoid via at least one power transmission line. In some embodiments, the nuclear reactor scram control system includes a direct current rectifier. The direct current rectifier may be configured to supply direct current (DC) electrical power to the at least one SSPV solenoid. In some embodiments, the SSPV may include an instance of circuitry electrically coupled to the at least one SSPV solenoid. The nuclear reactor scram control system may further include at least one circuit indicator light electrically coupled to the instance circuitry. The at least one circuit indicator light may be configured to selectively activate based at least in part upon a fault state of the instance of circuitry. In some embodiments, the instance of circuitry may include an instance of voltage reduction circuitry. The instance of voltage reduction circuitry may be configured to reduce a voltage of DC electrical power supplied to the at least one SSPV solenoid. In some embodiments, the SSPV may include a plurality of SSPV solenoids. The SSPV may be configured to actuate based at least in part upon a common energization state of each SSPV solenoid of the plurality of SSPV solenoids. The nuclear reactor scram control system may include a plurality of indicator lights electrically coupled to separate solenoids of the plurality of SSPV solenoids. Each separate indicator light of the plurality of indicator lights may be configured to selectively activate based at least in part upon the energization state of the respective SSPV solenoid to which the separate indicator light is electrically coupled. In some embodiments, the at least one solenoid indicator light includes a light emitting diode (LED) indicator light. In some embodiments, a method includes configuring a scram solenoid pilot valve (SSPV) to provide a visible indication of an energization state of at least one SSPV solenoid included therein. The configuring may include electrically coupling at least one solenoid indicator light to the at least one SSPV solenoid, such that the at least one solenoid indicator light is configured to selectively activate based at least in part upon the energization state of the at least one SSPV solenoid. In some embodiments, the indicator light may be separate and remotely located relative to the SSPV. The configuring may include electrically coupling the at least one solenoid indicator light to the at least one SSPV solenoid via at least one power transmission line. In some embodiments, the method may include electrically coupling a direct current (DC) rectifier to the SSPV solenoid, to configure to SSPV solenoid to be energized via DC electrical power. In some embodiments, the SSPV may include an instance of circuitry electrically coupled to the at least one SSPV solenoid. The method may include configuring the SSPV to provide a visible indication of a fault state of the instance of circuitry. The configuring may include electrically coupling at least one circuit indicator light to the instance of circuitry, to configure the at least one circuit indicator light to selectively activate based at least in part upon a fault state of the instance of circuitry. In some embodiments, the instance of circuitry may include an instance of voltage reduction circuitry. The instance of voltage reduction circuitry may be configured to reduce a voltage of DC electrical power supplied to the at least one SSPV solenoid. In some embodiments, the SSPV may include a plurality of SSPV solenoids. The SSPV may be configured to actuate based at least in part upon a common energization state of each solenoid of the plurality of SSPV solenoids. The configuring may further include electrically coupling a plurality of indicator lights to separate SSPV solenoids of the plurality of SSPV solenoids, to configure each separate indicator light of the plurality of indicator lights to selectively activate based at least in part upon the energization state of the respective SSPV solenoid to which the separate indicator light is electrically coupled. In some embodiments, the at least one solenoid indicator light includes a light emitting diode (LED) indicator light. In some embodiments, a method for operating a scram solenoid pilot valve (SSPV) may include electrically coupling an SSPV solenoid included in the SSPV to a power supply and selectively activating a solenoid indicator light electrically coupled to the SSPV solenoid. An energization state of the SSPV solenoid may be based at least in part upon electrical power received from the power supply. The SSPV may be configured to actuate based on an energization state of the SSPV solenoid. The selectively activating the solenoid indicator may be based on the energization state of the SSPV solenoid. In some embodiments, the indicator light may be electrically coupled in parallel with the SSPV solenoid to a power supply. Selectively activating the indicator light based on an energization state of the SSPV solenoid may include deactivating the indicator light in response to the SSPV solenoid becoming de-energized. In some embodiments, the SSPV may include an instance of circuitry electrically coupled to the SSPV solenoid. The method may include selectively activating a circuit indicator light electrically coupled to the instance of circuitry based on a fault state of the instance of circuitry. In some embodiments, the instance of circuitry may include an instance of voltage reduction circuitry, the instance of voltage reduction circuitry configured to reduce a voltage of DC electrical power supplied to the at least one SSPV solenoid. In some embodiments, the SSPV may include a plurality of SSPV solenoids. The SSPV may be configured to actuate based at least in part upon a common energization state of each solenoid of the plurality of SSPV solenoids. The method may include selectively activating separate indicator lights of the plurality of indicator lights based at least in part upon the respective energization states of the respective SSPV solenoid to which the separate indicator lights are electrically coupled. In some embodiments, the at least one solenoid indicator light may include a light emitting diode (LED) indicator light. Further areas of applicability will become apparent from the description provided herein. The description and specific examples in this summary are intended for purposes of illustration only and are not intended to limit the scope of the present disclosure. One or more example embodiments will be described in detail with reference to the accompanying drawings. Example embodiments, however, may be embodied in various different forms, and should not be construed as being limited to only the illustrated embodiments. Rather, the illustrated embodiments are provided as examples so that this disclosure will be thorough and complete, and will fully convey the concepts of this disclosure to those skilled in the art. Accordingly, known processes, elements, and techniques, may not be described with respect to some example embodiments. Unless otherwise noted, like reference characters denote like elements throughout the attached drawings and written description, and thus descriptions will not be repeated. Although the terms “first,” “second,” “third,” etc., may be used herein to describe various elements, components, regions, layers, and/or sections, these elements, components, regions, layers, and/or sections, should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section, from another region, layer, or section. Thus, a first element, component, region, layer, or section, discussed below may be termed a second element, component, region, layer, or section, without departing from the scope of this disclosure. Spatially relative terms, such as “beneath,” “below,” “lower,” “under,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below,” “beneath,” or “under,” other elements or features would then be oriented “above” the other elements or features. Thus, the example terms “below” and “under” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. In addition, when an element is referred to as being “between” two elements, the element may be the only element between the two elements, or one or more other intervening elements may be present. As used herein, the singular forms “a,” “an,” and “the,” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups, thereof. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list. Also, the term “exemplary” is intended to refer to an example or illustration. When an element is referred to as being “on,” “connected to,” “coupled to,” or “adjacent to,” another element, the element may be directly on, connected to, coupled to, or adjacent to, the other element, or one or more other intervening elements may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” “directly coupled to,” or “immediately adjacent to,” another element there are no intervening elements present. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. Terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and/or this disclosure, and should not be interpreted in an idealized or overly formal sense unless expressly so defined herein. Example embodiments may be described with reference to acts and symbolic representations of operations (e.g., in the form of flow charts, flow diagrams, data flow diagrams, structure diagrams, block diagrams, etc.) that may be implemented in conjunction with units and/or devices discussed in more detail below. Although discussed in a particularly manner, a function or operation specified in a specific block may be performed differently from the flow specified in a flowchart, flow diagram, etc. For example, functions or operations illustrated as being performed serially in two consecutive blocks may actually be performed simultaneously, or in some cases be performed in reverse order. Units and/or devices according to one or more example embodiments may be implemented using hardware, software, and/or a combination thereof. For example, hardware devices may be implemented using processing circuity such as, but not limited to, a processor, Central Processing Unit (CPU), a controller, an arithmetic logic unit (ALU), a digital signal processor, a microcomputer, a field programmable gate array (FPGA), a System-on-Chip (SoC), a programmable logic unit, a microprocessor, or any other device capable of responding to and executing instructions in a defined manner. Software may include a computer program, program code, instructions, or some combination thereof, for independently or collectively instructing or configuring a hardware device to operate as desired. The computer program and/or program code may include program or computer-readable instructions, software components, software modules, data files, data structures, and/or the like, capable of being implemented by one or more hardware devices, such as one or more of the hardware devices mentioned above. Examples of program code include both machine code produced by a compiler and higher level program code that is executed using an interpreter. For example, when a hardware device is a computer processing device (e.g., a processor, Central Processing Unit (CPU), a controller, an arithmetic logic unit (ALU), a digital signal processor, a microcomputer, a microprocessor, etc.), the computer processing device may be configured to carry out program code by performing arithmetical, logical, and input/output operations, according to the program code. Once the program code is loaded into a computer processing device, the computer processing device may be programmed to perform the program code, thereby transforming the computer processing device into a special purpose computer processing device. In a more specific example, when the program code is loaded into a processor, the processor becomes programmed to perform the program code and operations corresponding thereto, thereby transforming the processor into a special purpose processor. Software and/or data may be embodied permanently or temporarily in any type of machine, component, physical or virtual equipment, or computer storage medium or device, capable of providing instructions or data to, or being interpreted by, a hardware device. The software also may be distributed over network coupled computer systems so that the software is stored and executed in a distributed fashion. In particular, for example, software and data may be stored by one or more computer readable recording mediums, including the tangible or non-transitory computer-readable storage media discussed herein. According to one or more example embodiments, computer processing devices may be described as including various functional units that perform various operations and/or functions to increase the clarity of the description. However, computer processing devices are not intended to be limited to these functional units. For example, in one or more example embodiments, the various operations and/or functions of the functional units may be performed by other ones of the functional units. Further, the computer processing devices may perform the operations and/or functions of the various functional units without sub-dividing the operations and/or functions of the computer processing units into these various functional units. Units and/or devices according to one or more example embodiments may also include one or more storage devices. The one or more storage devices may be tangible or non-transitory computer-readable storage media, such as random access memory (RAM), read only memory (ROM), a permanent mass storage device (such as a disk drive), solid state (e.g., NAND flash) device, and/or any other like data storage mechanism capable of storing and recording data. The one or more storage devices may be configured to store computer programs, program code, instructions, or some combination thereof, for one or more operating systems and/or for implementing the example embodiments described herein. The computer programs, program code, instructions, or some combination thereof, may also be loaded from a separate computer readable storage medium into the one or more storage devices and/or one or more computer processing devices using a drive mechanism. Such separate computer readable storage medium may include a Universal Serial Bus (USB) flash drive, a memory stick, a Blu-ray/DVD/CD-ROM drive, a memory card, and/or other like computer readable storage media. The computer programs, program code, instructions, or some combination thereof, may be loaded into the one or more storage devices and/or the one or more computer processing devices from a remote data storage device via a network interface, rather than via a local computer readable storage medium. Additionally, the computer programs, program code, instructions, or some combination thereof, may be loaded into the one or more storage devices and/or the one or more processors from a remote computing system that is configured to transfer and/or distribute the computer programs, program code, instructions, or some combination thereof, over a network. The remote computing system may transfer and/or distribute the computer programs, program code, instructions, or some combination thereof, via a wired interface, an air interface, and/or any other like medium. The one or more hardware devices, the one or more storage devices, and/or the computer programs, program code, instructions, or some combination thereof, may be specially designed and constructed for the purposes of the example embodiments, or they may be known devices that are altered and/or modified for the purposes of example embodiments. A hardware device, such as a computer processing device, may run an operating system (OS) and one or more software applications that run on the OS. The computer processing device also may access, store, manipulate, process, and create data in response to execution of the software. For simplicity, one or more example embodiments may be exemplified as one computer processing device; however, one skilled in the art will appreciate that a hardware device may include multiple processing elements and multiple types of processing elements. For example, a hardware device may include multiple processors or a processor and a controller. In addition, other processing configurations are possible, such as parallel processors. Although described with reference to specific examples and drawings, modifications, additions and substitutions of example embodiments may be variously made according to the description by those of ordinary skill in the art. For example, the described techniques may be performed in an order different with that of the methods described, and/or components such as the described system, architecture, devices, circuit, and the like, may be connected or combined to be different from the above-described methods, or results may be appropriately achieved by other components or equivalents. The present disclosure relates to unique scram solenoid pilot valves (SSPVs) that control scram operations associated with a nuclear reactor, and methods associated therewith, although example embodiments are not limited thereto. The SSPVs described herein are unique in that they include at least one solenoid indicator light that is electrically coupled to a solenoid of the SSPV. The at least one solenoid indicator light provides an immediate, observable, and reliable indication of a present energization state of the solenoid of the SSPV. As a result, SSPV solenoid verification operations to verify SSPV solenoid energization states may be improved in reliability and reduced in duration. Improved SSPV solenoid verification reliability may mitigate a risk of inadvertent nuclear reactor scrams, thereby mitigating a risk of undesirable nuclear reactor interruptions and thus streamlining nuclear plant operations. In addition, reduced SSPV solenoid verification duration may result in improved immediacy of the verification results relative to test operations associated with the SSPVs, further mitigating a risk of undesirable nuclear reactor interruptions. Furthermore, reduced SSPV solenoid verification duration may result in reduced radiation exposure to operators conducting the verification, thereby reducing safety hazards in association with nuclear reactor operations. FIG. 1 is a schematic view of a nuclear reactor structure 100, according to some embodiments. Nuclear reactor structure 100 includes a nuclear reactor core 101 and a nuclear reactor scram control system 105. In some embodiments, the nuclear reactor structure 100 includes a containment structure, a portion of a nuclear plant which corresponds to an elevated-radiation region of the plant, some combination thereof, etc. The scram control system 105 includes a set of control rods 102 configured to be inserted into the core 101 to at least partially control nuclear reactions occurring in the reactor core 101, including controlling the rate of the reactions. In some embodiments, one or more control rods 102 are configured to be reversibly inserted into the reactor core 101. A control rod 102 may be comprised of one or more various materials configured to absorb neutrons without the one or more various materials fissioning. Nuclear reactor scram control system 105 includes a bank 110 of hydraulic control units (HCUs) 112-1 to 112-n. The HCUs 112-1 to 112-n are each communicatively coupled 114 to one or more of the control rods 102 in the plant 100. An HCU 112 may be configured to control at least one communicatively coupled control rod 102, so that the HCU 112 is configured to at least insert the communicatively coupled control rod 102 into the reactor 101. For example, an HCU 112 may be coupled to a control rod 102 via a hydraulic line 114, so that the HCU 112 is configured to control a position of the control rod 102 by causing hydraulic force to be exerted upon at least a portion of the rod 102 via the line 114. HCU bank 110 includes a set of scram solenoid pilot valves (SSPVs) 120-1 to 120-n. The SSPVs 120-1 to 120-n−1 to 120-n are coupled to separate HCUs 112-1 to 112-n in the HCU bank 110. Each SSPV 120 may be configured to cause the coupled HCU 112 to at least partially scram the at least one control rod 102 to which the coupled HCU 112 is communicatively coupled, based on actuation of the SSPV 120. For example, an SSPV 120 may, as a result of actuating, cause one or more working fluids to be introduced into the coupled HCU 112. The introduction of the one or more working fluids may result in the HCU 112 exerting a hydraulic force on at least one control rod 102. As a result, an SSPV 120 may be configured to cause at least one control rod 102 to at least partially scram into the reactor core 101 based on actuation of the SSPV 120. In some embodiments, an SSPV may, as a result of actuating, cause one or more working fluids to be evacuated from a portion of the coupled HCU 112. The introduction of the one or more working fluids may cause the HCU 112 to exert a hydraulic force on at least one control rod 102 In some embodiments, an SSPV includes at least one solenoid. The solenoid receives electrical power (i.e., is energized) from a power supply. The at least one solenoid may be referred to herein as an “SSPV solenoid.” In some embodiments, the SSPV selectively actuates based on an energization state of at least one SSPV solenoid included in the SSPV. The SSPV may be configured to actuate in response to de-energization of at least one SSPV solenoid included in the SSPV. As a result, the SSPV 120 may be configured to cause at least one control rod 102 in the plant 100 to at least partially scram into the reactor core 101 based on an energization state of at least one SSPV solenoid of the SSPV 120. In some embodiments, an SSPV includes multiple SSPV solenoids. At least two of the SSPV solenoids in an SSPV may receive power from separate power supplies. For example, as illustrated in FIG. 1, each SSPV 120 included in HCU bank 110 includes a set of multiple SSPV solenoids 122-1 to 122-n. Each separate SSPV solenoid 122-1 to 122-n included in a given SSPV 120 is electrically coupled to a separate power line 150-1 to 150-n. As referred to herein, a power line may include a set of one or more of any instance of known power transmission lines, including one or more instances of power cabling, one or more instances of power busses, some combination thereof, etc. The illustrated power lines 150-1 to 150-n are electrically coupled to power supplies 140-1 to 140-n. The power supplies 140-1 to 140-n may include separate power supplies or a common power supply. In some embodiments, a given SSPV 120 is configured to actuate. The actuating SSPV 120 may cause at least one control rod 102 to be at least partially scrammed into reactor core 101, based on the energization states of each of the SSPV solenoids 122-1 to 122-n included in the SSPV 120. For example, an SSPV 120 may be configured to actuate in response to each of the SSPV solenoids 122-1 to 122-n being de-energized as a result of electrical power from power lines 150-1 to 150-n being cut to each of the solenoids 122-1 to 122-n in the given SSPV 120. As a result, a probability of inadvertently triggering a control rod scram may be reduced, as de-energization of only one SSPV solenoid 122 in an SSPV may result in the SSPV 120 failing to actuate. In some cases, a limited selection of the SSPV solenoids 122-1 to 122-n included in an SSPV may be intentionally de-energized as part of a scram testing (“surveillance”) operation to verify that the limited selection of SSPV solenoids are operating properly and will operate properly to scram at least one control rod when desired. De-energizing a limited selection of SSPV solenoids in a SSPV as part of a “partial scram surveillance” test of the SSPV, may not cause the SSPV to actuate, based on the SSPV being configured to actuate as a result of all SSPV solenoids included in the SSPV being de-energized. In some embodiments, if one of the SSPV solenoids 122-1 to 122-n included in an SSPV 120 is inadvertently de-energized, a partial scram surveillance test of the SSPV 120 may result in the SSPV 120 actuating. The partial scram surveillance test may include intentionally de-energizing the remaining energized SSPV solenoids 122 of the SSPV 120, causing an inadvertent (“unintentional,” “undesired,” etc.) scram of at least one control rod 102. Such an inadvertent scram may result in an interruption of nuclear reactor operations. In some embodiments, a risk of inadvertent SSPV actuation may be at least partially mitigated through implementing a verification operation. The verification operation may include verifying the energization state of SSPV solenoids 122-1 to 122-n in SSPVs 120-1 to 120-n, prior to implementing various testing operations associated with the SSPVs 120-1 to 120-n, including a partial scram surveillance test of one or more of the SSPVs 120-1 to 120-n. Testing operations associated with the SSPVs 120-1 to 120-n may include a include testing some or all of the SSPVs 120-1 to 120-n in the reactor structure 100. Thus, the verification operations may include verifying whether each of the SSPV solenoids 122-1 to 122-n in each of the SSPVs 120-1 to 120-n in structure 100 are energized. Such a verification operation may include inspecting a set of fuses associated with the set of SSPVs 120-1 to 120-n to determine whether any fuses are tripped, as a tripped fuse associated with an SSPV solenoid may indicate that the corresponding SSPV solenoid is de-energized. Fuse inspection may include an operator 170 entering a region of the nuclear reactor structure 100 in which a set of fuses associated with the SSPVs 120-1 to 120-n are located and manually inspecting the fuses for visual indications that any of the fuses are tripped. However, such a verification operation may require extended presence by an operator in the nuclear reactor structure 100. The verification operation may, for example, include manual visual inspection 172, by the operator 170, of a large quantity of fuses associated with the SSPVs 120-1 to 120-n. As a result, the operator may receive an elevated dose of radiation exposure as a result of the operator's extended presence within structure 100. In addition, a tripped fuse may be difficult to manually distinguish from a non-tripped fuse, as a tripped fuse may provide limited visual indication of having tripped. Furthermore, an extended time duration of a verification operation which includes fuse inspection may result in the operation being implemented substantially prior to a test operation. As a result, a fuse trip occurring subsequent to the verification operation and prior to the test operation may go undetected, thereby increasing a risk of inadvertent nuclear reactor interruption. In some embodiments, SSPV solenoid verification operations may include monitoring a set of SSPVs 120-1 to 120-n via thermographic scans of the SSPVs 120-1 to 120-n to determine energization states of SSPV solenoids included in the SSPVs based on observing thermographic images of the SSPV solenoids 122-1 to 122-n. An energized SSPV solenoid 122-1 to 122-n may generate more heat than a de-energized SSPV solenoid 122-1 to 122-n, such that a de-energized SSPV solenoid may be distinguishable from an energized SSPV solenoid in a thermographic image. However, such verification may require extended presence by an operator 170 in the nuclear reactor structure 100. For example, the verification may include manual operation of a thermographic sensor device by an operator 170 in a portion 130 of the reactor structure 100 in physical proximity to the set of SSPVs 120-1 to 120-n. As a result, the operator 170 may receive an elevated dose of radiation exposure as a result of the operator's extended presence within structure 100. Furthermore, an extended time duration of a verification operation which includes thermographic scanning may result in the operation being implemented substantially prior to a test operation. A SSPV solenoid de-energization occurring subsequent to the thermographic scanning and prior to the test may go undetected, thereby increasing a risk of inadvertent nuclear reactor interruption. In some embodiments, an SSPV includes one or more indicator lights electrically coupled to separate SSPV solenoids of the one or more SSPV solenoids included in the SSPV. Such indicator lights may be referred to herein as solenoid indicator lights. A solenoid indicator light may be configured to be selectively activated based on an energization state of the SSPV solenoid to which it is electrically coupled. For example, where an SSPV solenoid is receiving electrical power from a power supply and is energized, an electrically coupled solenoid indicator light may be activated in response. In another example, where electrical power to the SSPV solenoid is terminated, such that the SSPV solenoid is de-energized, the electrically coupled solenoid indicator light may be deactivated in response. As a result, the solenoid indicator light may be configured to provide an indication of an energization state of the electrically-coupled SSPV solenoid, where the indication is at least one of immediate, active, and visually observable. In some embodiments, an SSPV which includes multiple SSPV solenoids may include multiple solenoid indicator lights, where the separate solenoid indicator lights are electrically coupled to separate, corresponding SSPV solenoids included in the SSPV. As shown in FIG. 1, each SSPV 120 includes a set of solenoid indicator lights 124-1 to 124-n, where each separate solenoid indicator light 124-1 to 124-n included in a given SSPV 120 is electrically coupled to a separate, respective SSPV solenoid 122-1 to 122-n of the given SSPV 120. In some embodiments, the solenoid indicator lights 124 provide at least one of an immediate, active, and visually observable indication of the energization states of the SSPV solenoids 122-1 to 122-n included in the SSPVs 120-1 to 120-n of HCU bank 110. For example, as shown in FIG. 1, the lights 124 may be visually observable by an operator 170 who traverses a portion 130 of the nuclear reactor structure 100 in which the SSPVs 120-1 to 120-n are located. As shown, the indicator lights 124 may be located within a field of vision 172 of an operator 170 traversing the portion 130. The portion 130 may include a space, aisle, etc. which extends along at least one side of at least one portion of the HCU bank 110. The operator 170 may, as a result of viewing one or more of the indicator lights 124 within the field of vision 172 of the operator 170, observe whether one or more of the lights 124 are activated or deactivated. The operator 170 may determine, based upon observing whether a given light 124 is activated or deactivated, whether a corresponding SSPV solenoid 122 to which the light 124 is coupled is energized or is de-energized. As a result, a verification operation to verify the SSPV solenoid 122 energization states may be simplified and improved in reliability and verification operation duration. FIG. 2 is a perspective view of a scram solenoid pilot valve, according to some embodiments. The SSPV shown in FIG. 2 may be included in any of the embodiments herein, including one or more of the SSPVs 120-1 to 120-n illustrated in FIG. 1. The SSPV 220 shown in FIG. 2 includes a set of two SSPV solenoid housings 222A-B. Each separate housing 222 includes at least one separate SSPV solenoid. The SSPV 220 further includes a fluid orifice 210 configured to be coupled with a fluid conduit. One or more working fluids, may pass between the SSPV 220 and an external fluid conduit coupled to the orifice 210. The one or more working fluids may include one or more of a hydraulic fluid, gas, liquid, etc. It will be understood that an additional fluid orifice 210 may be located at another location on the SSPV 220. In some embodiments, the SSPV 220 is configured to selectively actuate, thus selectively passing one or more fluids between at least two orifices 210 of the SSPV 220 via an internal fluid conduit (not shown in FIG. 2), based on each of the SSPV solenoids included in housings 222A-B being at a common energization state. The common energization state may include each of the SSPV solenoids included in housings 222A-B being de-energized. SSPV 220 includes a set of solenoid indicator lights 224A-B coupled to electrical interfaces of separate solenoid housings 222A-B. Each indicator light 224A-B may be electrically coupled to a separate set of one or more SSPV solenoids included in the respective housing 222A-B to which the indicator light 224 is coupled. Each indicator light 224 may be configured to be selectively activated based on an energization state of one or more SSPV solenoids included in the respective housing 222 to which the indicator light 224 is coupled. In some embodiments, one or more of the indicator lights 224 includes one or more light emitting diodes (LEDs). FIG. 3 is a schematic view of a scram solenoid pilot valve, according to some embodiments. The SSPV shown in FIG. 3 may be included in any of the embodiments herein, including one or more of the SSPVs 120-1 to 120-n illustrated in FIG. 1. In some embodiments, SSPV 300 includes a fluid conduit 390 bounded by separate fluid orifices 392A-B. The SSPV 300 is configured to selectively actuate to permit one or more working fluids to flow through the conduit 390 between the separate orifices 392A-B. In some embodiments, SSPV 300 includes one or more SSPV solenoids 310A-B. The one or more SSPV solenoids 310A-B may be collectively configured to cause the SSPV 300 to actuate based on an energization state of the one or more SSPV solenoids 310A-B. In some embodiments, an SSPV solenoid causes the SSPV to actuate based at least in part upon the SSPV being energized. In some embodiments, an SSPV solenoid causes the SSPV to actuate based at least in part upon the SSPV being de-energized. The illustrated SSPV 300 included in FIG. 3 includes two separate SSPV solenoids 310 in separate solenoid housings 322. The separate solenoids 310A-B are each configured to selectively open or close at least a portion of a flow path through the conduit 390 based on an energization state of the respective solenoids 310A-B. In some embodiments, the SSPV solenoids 310A-B are each configured to open a flow path through at least a portion of the conduit 390 based on the respective SSPV solenoids 310A-B having a common energization state. A common energization state may include the SSPV solenoids 310A-B either being each energized or each de-energized. As a result, the SSPV 300 may be configured to actuate based on the respective SSPV solenoids 310A-B having a common energization state. For example, as described herein, an SSPV may be configured to actuate based on each of the SSPV solenoids included therein being de-energized. The SSPV 300 includes a set of power terminals 330A-B, and the separate solenoids 310A-B are each electrically coupled to a separate power terminal 330A-B. In some embodiments, the separate power terminals 330A-B are configured to be coupled to separate power lines. As a result, the separate SSPV solenoids 310A-B may be electrically coupled to separate power supplies supplying power to the separate power lines. In the illustrated embodiment, for example, each terminal 330A-B is coupled to a separate power line 320A-B, and each separate power line 320A-B may receive power from a separate power supply. As a result, a loss of electrical power in one of the power lines 320A-B may result in de-energization of one SSPV solenoid 310 but not the other solenoid coupled to the other power line 320. In some embodiments, where one of the solenoids 310 is inadvertently de-energized, an intentional termination of electrical power to the other SSPV solenoid 310 may result in the SSPV 300 inadvertently being caused to actuate. In some embodiments, one or more SSPV solenoids included in an SSPV are configured to receive alternating-current (AC) electrical power and are thus configured to be energized or de-energized based on a supply of AC power. In some embodiments, one or more SSPV solenoids included in an SSPV are configured to receive direct-current (DC) electrical power and are thus configured to be energized or de-energized based on a supply of DC power. In some embodiments, an SSPV is configured to convert received electrical power between DC and AC electrical power. In the illustrated embodiment, for example, SSPV 300 includes rectifier devices 370A-B. The rectifier devices may each be coupled in series with a separate SSPV solenoid 310A-B to a separate power terminal 330A-B. Each power terminal 330A-B may be configured to electrically couple to, and receive electrical power from, an AC power supply via one or more power lines 320. Each rectifier 370 may be configured to convert AC electrical power received at a coupled terminal 330 to DC power and to further supply at least a portion of the converted DC power to the SSPV solenoid 310 to which the rectifier 370 is coupled in series. SSPV 300 includes a set of solenoid indicator lights 324A-B. The solenoid indicator lights 324A-B are each physically coupled to a separate electrical interface. The separate electrical interfaces are electrically coupled to separate SSPV solenoids 310A-B and thereby results in each separate solenoid indicator light 324A-B being electrically coupled to a separate SSPV solenoid 310A-B. In the illustrated embodiment, each light 324A-B is electrically coupled in parallel with a separate SSPV solenoid 310A-B to a separate power terminal 330A-B. In some embodiments, a solenoid indicator light 324 may be coupled in series with an SSPV solenoid 310 to a separate power terminal 330A-B. In the illustrated embodiment, the SSPV 300 may be configured to selectively activate at least one solenoid indicator light 324A-B based on an energization state of the respective electrically coupled SSPV solenoid 310A-B, where the light 324 is activated in response to the electrically coupled SSPV solenoid 310 being energized and may be deactivated in response to the electrically coupled SSPV solenoid 310 being de-energized. In some embodiments, the SSPV 300 is configured to activate a given solenoid indicator light 324 in response to the electrically coupled SSPV solenoid 310 being de-energized and deactivate the solenoid indicator light 324 in response to the electrically coupled SSPV solenoid 310 being energized. In some embodiments, a solenoid indicator light emits light at a certain color in response to the electrically coupled SSPV solenoid 310 being energized and emits light at a different color temperature in response to the electrically coupled SSPV solenoid 310 being de-energized. In the illustrated embodiment, a given solenoid indicator light 324A-B may be configured to selectively activate based on an energization state of the respective electrically coupled SSPV solenoid 310A-B, where the light 324 is activated in response to the electrically coupled SSPV solenoid 310 being energized and is deactivated in response to the electrically coupled SSPV solenoid 310 being de-energized. In some embodiments, a given solenoid indicator light 324A-B may be configured to activate in response to the electrically coupled SSPV solenoid 310 being de-energized and deactivate in response to the electrically coupled SSPV solenoid 310 being energized. In some embodiments, a solenoid indicator light emits light at a certain color in response to the electrically coupled SSPV solenoid 310 being energized and emits light at a different color temperature in response to the electrically coupled SSPV solenoid 310 being de-energized. In some embodiments, an SSPV includes one or more instances of circuitry that are associated with one or more operations of the SSPV. In some embodiments, an instance of circuitry includes a monitoring circuit configured to generate information associated with one or more portions of the SSPV and causes the information to be transmitted to a receiver. In some embodiments, an instance of circuitry includes a control circuit configured to selectively control a supply of electrical power to an SSPV solenoid based on control signals received from a remote source. In some embodiments, an instance of circuitry includes a voltage reduction circuit configured to reduce a voltage of electrical power supplied to an SSPV solenoid. In the illustrated embodiment, SSPV 300 includes a set of voltage reduction circuits 340A-B that are coupled in series with separate SSPV solenoids 310A-B to separate power terminals 330A-B. Each voltage reduction circuit 340 comprises an instance of circuitry configured to receive electrical power supplied from a separate power terminal 330. The instance of circuitry may supply the power, at a reduced voltage relative to a voltage at which the electrical power is received at the respective circuit 340, to a separate SSPV solenoid 310. A voltage reduction circuit may provide a benefit of mitigating a risk of damage to an SSPV solenoid as a result of excessive voltage of received electrical power. In some embodiments, an SSPV includes a circuit indicator light electrically coupled to at least one instance of circuitry included in the SSPV, via an electrical interface. For example, the illustrated SSPV 300 includes a set of circuit indicator lights 350A-B electrically coupled, via separate electrical interfaces, to separate instances of circuitry 340A-B coupled to separate SSPV solenoids 310A-B. An instance of circuitry 340 may be configured to selectively activate the electrically coupled circuit indicator light 350 based on a fault state (“failure state”) of the instance of circuitry 340. For example, where an instance of circuitry 340 includes a voltage reduction circuit, the SSPV 300 may be configured to activate the coupled indicator light 350 in response to a fault in the instance of circuitry 340. The fault may result in an increase in the voltage of the electrical power supplied from the instance of circuitry 340 to the coupled SSPV 310. In the illustrated embodiment, each instance of circuitry 340 is configured to selectively activate the respective electrically coupled circuit indicator light 350A-B based on a fault state of the respective instance of circuitry 340A-B, where each instance of circuitry 340 is configured to selectively activate an electrically coupled light 350 in response to an at least partial failure of the respective instance of circuitry 340A-B and is further configured to deactivate the electrically coupled light 350 in response to an absence of an at least partial failure of the respective electrically coupled instance of circuitry 340A-B. In some embodiments, a circuit indicator light 350 is configured to be deactivated in response to an at least partial failure of an electrically coupled instance of circuitry 340 and is further configured to be activated in response to an absence of an at least partial failure of the electrically coupled instance of circuitry 340A-B. In some embodiments, a circuit indicator light is configured to emit light at a certain color in response to an at least partial failure of the electrically coupled instance of circuitry 340 and is further configured to emit light at a different color in response to an absence of an at least partial failure of the electrically coupled instance of circuitry 340. As referred to herein, an at least partial failure of an instance of circuitry may include an occurrence of one or more faults at the instance of circuitry. FIG. 4 is a schematic diagram of a nuclear reactor scram control system 400, according to some embodiments. The system 400 includes one or more SSPVs 416-1 to 416-n. The one or more SSPVs 416-1 to 416-n may include any embodiment of SSPVs included herein. In some embodiments, a solenoid indicator light electrically coupled to a SSPV solenoid included in an SSPV is located external to the SSPV. The solenoid indicator light may be remotely located from the SSPV and may be electrically coupled to the SSPV via one or more power transmission lines, electrical interfaces, outlets, etc. between the solenoid indicator light and the SSPV solenoid. As a result, the solenoid indicator light may provide at least one of an immediate, active, and visually observable indication of an energization state of an SSPV solenoid to an operator who is located remotely from the SSPV. Providing such indication may enable SSPV solenoid energization state monitoring in association with reduced radiation exposure to the operator, as the remotely-located solenoid indicator light may be positioned further from a nuclear reactor core relative to the SSPV to which the solenoid indicator light is electrically coupled. The system 400 illustrated in FIG. 4 includes a nuclear reactor structure 410. The nuclear reactor structure 410 includes a nuclear reactor core 401, a set of control rods 402-1 to 402-n, and a bank 412 of HCUs 414-1 to 414-n configured to control scramming of one or more of the control rods 402-1 to 402-n. The HCU bank 412 may include a set of HCUs 414-1 to 414-n and a corresponding respective set of SSPVs 416-1 to 416-n, where each SSPV 416 is coupled to a separate corresponding HCU 414 and each SSPV 416 may be configured to at least partially control the coupled HCU 414, such that each SSPV 416 may be configured to cause the coupled HCU 414 to cause a corresponding control rod 402-1 to 402-n to at least partially scram into the nuclear reactor 401. As shown in FIG. 4, each SSPV 416 includes an electrical interface 417 coupled to a separate set of one or more power lines 418-1 to 418-n. The multiple sets of one or more power lines 418-1 to 418-n may extend between the SSPVs 416-1 to 416-n to a remote SSPV monitoring apparatus 422 located in a remote location, relative to the HCU bank 412. In some embodiments, the apparatus 422 is located in a region 420 of a nuclear plant, where the region 420 is remotely located relative to the nuclear reactor structure 410 in which at least the core 401 and HCU bank 412 are located. The region 420 may be located more distantly from the reactor 401 relative to the HCU bank 412, including a portion of the plant beyond a containment region in which the bank 410, reactor 401, and control rods 402-1 to 402-n are located. Apparatus 422 may include an indicator board to which solenoid indicator lights 426-1 to 426-n are coupled, where the board 422 couples the indicator lights 426-1 to 426-n to separate corresponding electrical interfaces 427 to which separate sets of one or more power lines 418-1 to 418-n are coupled. As a result, each solenoid indicator light 426-1 to 426-n coupled to apparatus 422 may be electrically coupled to a separate SSPV solenoid included in a separate SSPV 416 from which the indicator light 426 is remotely located. Therefore, each solenoid indicator light 426 may provide a remote indication of an energization state of a separate SSPV solenoid included in the SSPVs 416-1 to 416-n of HCU bank 412, based on the solenoid indicator lights 426-1 to 426-n being electrically coupled to the SSPV solenoids via separate sets of power lines 418 and interfaces 417, 427. FIG. 5 illustrates configuring 500 a scram solenoid pilot valve (SSPV) to provide control rod scram control and visible indication of SSPV solenoid energization state, according to some embodiments. The configuring 500 may be implemented with regard to any embodiment of the SSPV included herein. In some embodiments, the configuring 500 is implemented by a human operator. At 502, an SSPV solenoid included in the SSPV is electrically coupled to a power terminal of the SSPV. The SSPV may include multiple SSPV solenoids, and each SSPV solenoid may be electrically coupled to a separate power terminal, so that separate SSPV solenoids receive electrical power from separate power lines. The SSPV solenoid may be configured to receive DC power or AC power. At 504, an instance of circuitry is electrically coupled to the SSPV. The instance of circuitry may comprise an instance of voltage reduction circuitry, and the instance of voltage reduction circuitry may be electrically coupled to the power terminal in series with an SSPV solenoid, such that electrical power received at the power terminal is supplied to the SSPV solenoid via the instance of voltage reduction circuitry. At 506, a rectifier is electrically coupled to the power terminal in series with the SSPV solenoid, so that the rectifier is configured to convert AC power, received at the power terminal, into DC power and further supply the DC power to the SSPV solenoid. At 508, a solenoid indicator light is electrically coupled to the SSPV solenoid. The solenoid indicator light may be electrically coupled to the SSPV via coupling with an electrical interface, including an electrical socket, included in the SSPV. The socket may be electrically coupled to the SSPV solenoid via one or more internal electrical circuits in the SSPV. The electrical socket may be electrically coupled to the power terminal in parallel with the SSPV solenoid, so that coupling the solenoid indicator light to the electrical socket includes electrically coupling the solenoid indicator light in parallel with the SSPV solenoid to the power terminal. The solenoid indicator light may be coupled in series with the SSPV solenoid. The solenoid indicator light may be configured to receive AC power or DC power. The solenoid indicator light may be, as a result of being electrically coupled to the SSPV solenoid, configured to selectively activate based on whether the SSPV solenoid is receiving electrical power (i.e., an energization state of the SSPV solenoid). In some embodiments, the solenoid indicator light is installed in a device which is external to, and remotely located from the SSPV. For example, the solenoid indicator light may be installed in an indicator board. Electrically coupling the solenoid indicator light may include electrically coupling the solenoid indicator light to at least one power line electrically coupled to the SSPV solenoid via at least one electrical interface, so that the solenoid indicator light is electrically coupled to the SSPV solenoid via at least the at least one power line. In some embodiments, where an instance of circuitry is included in the SSPV, a circuitry indicator light may be electrically coupled to the instance of circuitry at 510. The circuitry indicator light may be electrically coupled to the instance of circuitry in parallel or in series. The circuitry indicator light may be, as a result of being electrically coupled to the instance of circuitry, configured to selectively activate based on a fault state of the instance of circuitry. At 512, the SSPV power terminal is electrically coupled to a power line. Electrically coupling the SSPV power terminal to the power line may configure the SSPV power terminal to supply power, received from the power line, to at least the SSPV solenoid electrically coupled to the SSPV power terminal. Electrically coupling the power terminal to the power line may further configure the SSPV power terminal to supply power, received from the power line, to one or more of a solenoid indicator light electrically coupled to the SSPV solenoid, an instance of circuitry installed in the SSPV, or a circuitry circuit indicator light. FIG. 6 illustrates operating a scram solenoid pilot valve (SSPV), according to some embodiments. The operating 600 may be implemented with regard to any embodiments of the SSPV included herein. At 602 and 604, in response to a SSPV solenoid receiving electrical power (i.e., the solenoid is energized), the SSPV activates a solenoid indicator light. At 602 and 606, in response to the SSPV solenoid is not receiving electrical power (i.e., the solenoid is de-energized), the SSPV deactivates a solenoid indicator light. In some embodiments, the solenoid indicator light is configured to activate in response to the SSPV solenoid being de-energized and is further configured to deactivate in response to the SSPV solenoid being energized. In some embodiments, the solenoid indicator light is configured to change between different lighting modes, including changing between displaying a different color of light, based on whether the SSPV solenoid is energized or de-energized. At 608 and 610, in response to an instance of circuitry installed in the SSPV solenoid is operating in a non-fault state (i.e., the instance of circuitry is operating normally), the SSPV activates the circuitry indicator light. At 608 and 612, in response to an occurrence of a fault at the instance of circuitry installed in the SSPV (i.e., the instance of circuitry is not operating normally, is at least partially failed, etc.), the SSPV deactivates the circuitry indicator light. In some embodiments, the fault may include an at least partial loss of power supplied to the instance of circuitry, a logical fault in the instance of circuitry, an at least partial failure of the instance of circuitry to perform at least one operation, some combination thereof, etc. In some embodiments, the instance of circuitry is configured to activate or deactivate the circuitry indicator light. The instance of circuitry may be configured to activate the circuitry circuit indicator light in response to an at least partial failure of the instance of circuitry and is further configured to deactivate in response to the instance of circuitry operating normally. In some embodiments, the circuitry circuit indicator light is configured to change between different lighting modes, including changing between displaying a different color of light, based on whether or not an at least partial failure of the instance of circuitry has occurred. The following is a summary of the various features and advantages of the disclosed SSPV solenoid indicator light, although it should be understood that the following is not an exhaustive list. The solenoid indicator light is configured to provide an immediate, active, and visually observable indication of an energization state of an SSPV solenoid. The indication may be immediate based on the solenoid indicator light receiving power via a common power supply with the SSPV solenoid. A loss of power to the SSPV solenoid may result in a loss of power to the solenoid indicator light, thereby causing the solenoid indicator light to deactivate to provide an indication that the SSPV solenoid is de-energized. The indication may be active based on the solenoid indicator light, through the generation of light, providing a light indication in response to the indicator light receiving power in parallel with the SSPV solenoid. The indication may be visually observable based on the light generated by the indicator light. An operator observing a solenoid indicator light electrically coupled to an SSPV solenoid may be enabled to quickly determine an energization state of the SSPV solenoid based on the operator observing whether the solenoid indicator light is activated (i.e., generating light) or deactivated (i.e., extinguished). As a result, an operator is enabled to rapidly verify whether SSPV solenoids included in a set of SSPVs are de-energized by visually observing the solenoid indicator lights electrically coupled to the SSPV solenoids. Enabling rapid verification of SSPV solenoid energization states via visual monitoring of the immediate and active indications provided by the solenoid indicator lights may enable an operator to verify that SSPV solenoids are energized more quickly than via other processes, thereby reducing the amount of time spent by the operator in proximity to SSPVs positioned proximate to a nuclear reactor, thereby resulting in reduced dosages of radiation exposure received by an operator in association with a process of verifying SSPV solenoid energization states. In addition, enabling rapid verification of SSPV solenoid energization states via visual monitoring of the immediate and active indications provided by the solenoid indicator lights may enable the verification to be implemented just prior to implementation of tests, where such tests may include partial SSPV solenoid de-energization. As a result, a period of time between implementation of the verification and implementation of the tests may be reduced as a result of enabling rapid SSPV solenoid energization state verification, thereby reducing a probability of SSPV solenoid de-energization between completion of the verification and initiation of the tests and thus at least partially mitigating a risk of inadvertent at least partial control rod scrams cause by inadvertent actuation of an SSPV. The foregoing description has been provided for purposes of illustration and description. It is not intended to be exhaustive or to limit the disclosure. Individual elements or features of a particular example embodiment are generally not limited to that particular embodiment, but, where applicable, are interchangeable and may be used in a selected embodiment, even if not specifically shown or described. The same may also be varied in many ways. Such variations are not to be regarded as a departure from the disclosure, and all such modifications are intended to be included within the scope of the disclosure.
abstract
A sensor assembly for indicating the relative location of a metallic object. The sensor assembly including a primary electromagnetic coil arranged to generate a time varying magnetic field, and a secondary electromagnetic coil arranged to detect the time varying magnetic field as affected, directly or indirectly, by the object and to output, on the basis of the detected time varying magnetic field, a signal indicative of the relative location of the object. At least one of the primary and secondary electromagnetic coils is wound about a core body formed of a material having the same conductivity and/or magnetic permeability as the object.
description
The present invention relates to a process and device for reducing a radioactive material of an object containing the radioactive material to a safe level in a living environment. Nuclear power generation and atomic bomb, which uses a solid nuclear fuel, are dependent on a fission reaction in which nuclei of uranium-235 or plutonium-239 are artificially destroyed. During this process, the nuclei of uranium-235 or plutonium-239 are split into two or more nuclear transformation product (nuclides or elements), and energy is generated at that time. A process of slowly carrying out the fission under control is power generation, while a process of releasing instantly is used for a bomb. A plurality of nuclides resulting from the fission (fission products) generally lacks a balance between the number of protons and the number of neutrons; the nuclides therefore become radionuclides having radioactivity. The form of those radionuclides (gas/liquid/solid) and the intensity of radioactivity vary according to the type of the nuclide. The radionuclides eventually become other stable nuclides with no radioactivity as the radionuclides release radiation; the time required for that process, however, varies greatly according to the type of the nuclide. The amount of time required for a nuclide to lose half the radioactivity thereof is referred to as the half-life of the nuclide. The level of radioactivity of a nuclide with a short half-life is high. Radioactive krypton and radioactive xenon, which are fission products, are gases at room temperature. Radioactive cloud mainly consisting of the above substances emit intense radiation around when moving. However, after the radioactive cloud passed and went away, there is no radioactivity left. The half-life of gaseous radioactive iodine is eight days, and therefore almost all of the gaseous radioactive iodine will disappear in a half year. Radioactive cesium turns to gas at 678 degrees Celsius. Therefore, a nuclear accident likely would entail the release of radioactive cesium, which easily spreads widely in the environment. Moreover, the half-life of the cesium is long, i.e. 30 years. And furthermore, cesium can easily bind to soil particles, and therefore does not flow away from the earth's surface for a long time. Accordingly, cesium remains even after a radionuclide with a short half-life and radioactive iodine disappear; cesium continues to emit radiation from the earth's surface, and is absorbed into agricultural products, thereby causing long-term exposure. By the late 1960s, atmospheric nuclear tests released large quantities of fission products, or 105 million times 10 quadrillion becquerels, and contamination spread all over the globe. Radioactive cesium generated by nuclear tests still remain in the ocean, on the earth's surface, and in the atmosphere. The Chernobyl nuclear accident left a scattering of heavily contaminated areas in a range with a diameter of about 250 km. After the Fukushima nuclear accident, radioactive cesium was detected even from tea leaves in Shizuoka Prefecture, which is far away from the nuclear plant. The half-life of radioactive strontium is 28 years, posing the same problems as radioactive cesium. However, radioactive strontium is released in atomic bomb tests or accidents at nuclear power plants, in which a reactor core is completely destroyed as in the case of the Chernobyl nuclear accident. Therefore, the spread of radioactive strontium in the environment is limited compared with radioactive cesium. Therefore, in view of radioactive-material contamination of the environment, it is very important to take measures against radioactive cesium. A known method of removing awkward radioactive cesium, from a substance in the environment that is contaminated with it and putting together it into a particular area, is a process comprising the steps of putting, in water, a substance with a surface to which radioactive cesium in the environment is attaching, dissolving the water-soluble radioactive cesium in water, and dissolving ferrocyanide, such as iron ferrocyanide or nickel ferrocyanide, in the water, thereby allowing the radioactive cesium in the water to be adsorbed onto the ferrocyanide (Non-Patent Document 1). According to this process, soil is dispersed in water, and radioactive cesium attaching to the surface of the soil is therefore dissolved in the water. However, this process is not sufficient. The reason, though relatively well known, is that radioactive cesium is easily captured by clay minerals in the soil. By making use of this property, even tentative attempts have been made to use clay to clean the environment contaminated with radioactive material. In other words, once radioactive cesium gets into clay, the radioactive cesium cannot be easily removed. Among various kinds of clay, if cesium is adsorbed into a mineral called illite, which is one type of mica, the cesium may not be utilized by plants and be fixed to the soil (Non-Patent Documents 2, 3); one possible reason is that cesium ions are unlikely to come out of a layer of illite as the cesium ions are caught in the layer. If cesium is unlikely to move from soil to plants, cesium is also unlikely to move to plants that people eat, helping reduce the problems. If plants have enough potassium, it is difficult for the plants to absorb cesium. It is known that, if plants do not have enough potassium, the plants can alternatively capture cesium. It is unclear whether this phenomenon is also applied to clay. If that is the case, keeping excessive amounts of potassium fertilizer at all times is actually difficult because the potassium fertilizer is expensive. Moreover under a high concentration of cesium, it is hard to do farm work. Furthermore, consumers may not feel comfortable buying farm products produced from the land where the amount of cesium is not at an inconsiderable level. In the first place, clay is an essential soil component in rice-farming soil to keep water. Even if a surface layer of the contaminated land is removed and replaced with non-contaminated soil, the problem remains as to how to deal with large quantities of contaminated soil. Therefore if cesium adsorbed into clay cannot be removed, rice farming will be significantly affected. There is a well-known method of removing the radioactive material, from soil contaminated with so radioactive material that has a relatively long half-life and a high radioactivity level, it is a method of using gramineous plants, such as sweet sorghum, or other plants, such as sunflower, rape, pasture grass, or cabbage, to absorb the radioactive material. And then, as a subsequent process, a process of burying the plants in the earth or incinerating the plants is carried out. However, if the plants themselves are buried in the ground, a large area of land and enormous labors are required. In the case of the incineration process, the radioactive material adsorbed into the cells of the plants becomes condensed during the incineration process, and the high-concentration radioactive material might be released into the atmosphere as a gas even after passing through a filter. Another known method is to carry out a fermentation process of plants and turn the resultant organic substances into biofuel (Non-Patent Document 4). However, the disadvantages with this method are that, in the case of lignin or cellulose, the fermentation process takes a lot of time because the molecular weight thereof is high, and that the radioactive material still contained in the cell membrane cannot be removed. Moreover, the method is totally ineffective for the soil. Still another known method is to use algae “binos”: radioactive material is absorbed into cells of the algae from water contaminated with the radioactive material (Non-Patent Document 5). However, this method is only used for capturing the radioactive material dissolved in the water, and cannot be used for taking out the radioactive material in the body of an organism as in the case of the above-described method. Therefore the problem remains to how to deal with the radioactive material absorbed into the algae. In the aftermath caused by an accident at a nuclear power plant or the like, large amounts of pollutants are generates. if the level of radioactive contamination thereof is not so high, a method of incinerating the pollutants is employed. After the 2011 Fukushima nuclear accident, large amounts of pollutants were incinerated, judging that the level of contamination thereof was not so high. However, the radioactive material in incineration ash can be concentrated to, for example as much as 50,000 Bq/kq in terms of radioactivity level. Usually, in order to prevent the generation of dioxin, the incineration ash with porous media having such a fine structure that are high in water absorbability is exposed to about 900 degrees Celsius. The radioactive material is certain to be stored in pores of the porous media. There is no specific report that the radioactive material has been taken out of incineration ash and reduced to a safe level in the living environment. Therefore there is a problem that large quantities of incineration ash are left unprocessed. [Non-Patent Document 1] Mainichi Shimbun, “Purification of cesium contaminated water with pigment, developed by Tokyo Institute of Technology,” Apr. 15, 2011, Mainichi Newspapers Co., Ltd [Non-Patent Document 2] “This is the way to revive Fukushima soil; Food safety; JBpress,” [online] [Searched on Sep. 20, 2011] Internet <URL:http://jbpress.ismedia.jp/articles/-/5920> [Non-Patent Document 3] Masanori Arita, “Doc:Radiation/Clay Minerals,” [online], [Searched on Sep. 20, 2011], Internet <URL;http://metabolomics.jp/wiki/Doc:Radiation/ClayMinerals> [Non-Patent Document 4] DECONTAMINATE RADIATED SOIL AND GENERATION, [online], [Searched on Jan. 30, 2012], Internet <URL;http://www.j-aid.jp/flowersforjapan> [Non-Patent Document 5] Nihon Keizai Shimbun, “Utilization of algae for purification with algae “binos” for purifying radioactive-material contaminated water,” Jul. 15, 2011, Morning edition from Nikkei Inc. The present invention is intended to solve the above problems. The present invention includes: a heating/pressurizing/pressure release step selected from the group consisting of a step of carrying out a heating process on the object in a state where temperature is less than or equal to the critical temperature of water, water-soluble liquid, or a mixture of water and water-soluble liquid (Hereinafter, “water, water-soluble liquid, or mixture of water and water-soluble liquid” is referred to as “aqueous liquid”) and pressure is greater than or equal to the saturated vapor pressure of the aqueous liquid and then releasing the pressure, a step of placing the object under pressure and suddenly releasing the pressure, and a step of carrying out a heating process on the aqueous liquid and the object in a state where temperature is less than or equal to the critical temperature of the aqueous liquid and pressure is greater than or equal to the saturated vapor pressure of the aqueous liquid and then suddenly releasing the pressure; and a separation step of separating, after the heating/pressurizing/pressure release step, a mixture of the object and the aqueous liquid into liquid and solid. According to the present invention, from the object containing radioactive material, the radioactive material can be removed or reduced by the industrial process. After the object containing the radioactive material is processed by the present invention, the object can be safely returned to a living environment. In particular, when the heating process is carried out on the aqueous liquid and the object in a state where temperature is less than or equal to the critical temperature of the aqueous liquid and pressure is greater than or equal to the saturated vapor pressure, and then the pressure is suddenly released, the level of decontamination can further be improved. Moreover, when aqueous liquid is added to the object before the heating/pressurizing/pressure release step, preferably the object is immersed in the aqueous liquid to such a degree that the object is covered or more, the level of decontamination can further be improved. In the case of the present invention, “radioactive cesium” or “cesium” includes radioactive cesium compounds. First, each of steps of a method of the invention will be described. [An Object] According to the present invention, an object containing radioactive material is referred to as an object. The object may be of any material; for example, organisms such as plants microorganisms in sewage sludge, soil, and incineration ash. The organisms include an organism that is intentionally placed with an aim to capture radioactive material in the soil, oceans, or rivers where the radioactive material is spread; and an organism that unintentionally captures the radioactive material. [Step of Preferably Immersing the Object in Aqueous Liquid to Such a Degree that the Object is Covered or to a Greater Degree] As a preliminary process prior to a heating process of the present invention, preferably, in a container where the heating process is carried out, the object is immersed in aqueous liquid to such a degree that the object is covered or to a greater degree. The “covering” means a situation where, at the next step, the object is covered with the aqueous liquid in a state where temperature is less than or equal to the critical temperature of the aqueous liquid and pressure is greater than or equal to the saturated vapor pressure. Accordingly, there is no need to immerse the object, in the aqueous liquid in advance to be covered; for example, even if there is not enough water in advance for the covering, the object may be covered during a heating process as steam used for heating at the next step is condensed and the resultant water is added. If heating is not carried out at the next step, it is preferred that the object is covered in advance. The “degree to which the object is covered” varies depending on the level of radioactivity of radioactive material of the object. However, if 70% or more, or preferably 80% or more, or more preferably 90% or more, of the object has been covered, a permeation effect of the aqueous liquid can be expected in many cases. However, in the above case, the container is fixed. If the container can rotate around a horizontal shaft, for example, the object has a good chance of being immersed even with a smaller amount of the aqueous liquid. Moreover, given the amount of the aqueous liquid that is condensed as the object is exposed to steam at normal temperature or below normal temperature, a large area of the object may be immersed. As the object is completely covered, and as the surface of the aqueous liquid becomes higher than the surface of the object, the amount of the aqueous liquid increases. As a result, the amount of radioactive material dissolving into the aqueous liquid rises, helping to improve decontamination effect. However, in order to raise the temperature, more energy is required. Optimal conditions for the “degree to which the object is covered” may be determined in such a way as to take a balance of the above factors. As a rough guide, if the container is fixed, the aqueous liquid is 1.5 to 5 times the volume of the object, or preferably 2 to 4 times. As the aqueous liquid, water, methanol, ethanol, acetone, or the like may be preferably used. Moreover, if the object has a fine porous structure, an aqueous liquid containing a surfactant is more preferably used because the aqueous liquid can easily infiltrate. An operation of immersing the object to such a degree that the object is covered with the aqueous liquid or to a greater degree is a preferred method, but is not essential. The need for the covering varies depending on the state of the object. For example, if the object is an organism, there is no need to cover the object with the aqueous liquid because the object, or organism, itself contains water, which is the aqueous liquid, and there is no need to use the aqueous liquid; all that is required is just to pressurize the object at normal temperature. However, if the object is soil or incineration ash, the object is preferably immersed to such a degree that the object is covered with the aqueous liquid or to a greater degree. [Heating/Pressurizing Step] Then, the object and the aqueous liquid are subjected to a heating process (heating and pressurizing process) in a state where temperature is less than or equal to the critical temperature of the aqueous liquid and pressure is greater than or equal to the saturated vapor pressure; or the object is pressurized (pressurizing process). Each of the processes will be described. [Heating and Pressurizing Process] The container is hermetically sealed, and the aqueous liquid is heated. In this manner, a heating process is carried out in a state where temperature of the object is less than or equal to the critical temperature of the aqueous liquid and pressure is greater than or equal to the saturated vapor pressure. As the heating and pressurizing method, the following three types are available; in any case, the heating and pressurizing method is carried out by heating the aqueous liquid. One method is to put a mixture of the aqueous liquid and the radioactive material into a container and heating the aqueous liquid from the outside, thereby pressurizing by vapor pressure due to vaporization of the liquid. Another method is to put a mixture of the aqueous liquid and the radioactive material into a container in advance, introducing the saturated vapor of the same substance as the aqueous liquid into the container, and heating and pressurizing those in the container by using the heat and pressure thereof. Still another method is a combination of the above two methods. That is, this method uses both external heating and saturated vapor heat; as a pressure is added from the outside independently of pressure or heat generated by heating of the aqueous liquid, the entire object is immersed in the aqueous liquid and is pressurized. As a result, for example, if the object is one having porous pores such as soil or incineration ash, the aqueous liquid gets into the porous pores. Whereas if the heating process is carried out in a situation where there is no water in advance as in the case of steaming, the steam for the steaming may be partially condensed, but the amount thereof is very small, and the steam cannot get into pores of the incineration ash or the soil. The effects of the aqueous liquid getting into the pores will be described later. The condition for carrying out the heating process is one type of subcritical state. If the aqueous liquid is water, the subcritical state generally means a state of high-temperature, medium-pressure water vapor that is greater than or equal to the critical temperature of water and is less than or equal to the critical pressure of water (the critical temperature of water is 374 degrees Celsius, and the critical pressure of water is 22.1 MPa), and a state of medium-temperature, medium-pressure liquid water that is less than or equal to the critical temperature of water and is greater than or equal to the saturated vapor pressure. According to the present invention, the process is carried out in the latter case, or in the subcritical state of medium-temperature, medium-pressure liquid that is less than or equal to the critical temperature of water and is greater than or equal to the saturated vapor pressure. Heating in the subcritical state of water is also referred to as hydrothermal. In this state, an ionic product of water is significantly larger than that at room temperature and under atmospheric pressure. The ionic product at room temperature and under atmospheric pressure is 10−14 moles2/kg2, whereas an ionic product in the subcritical state is 10−12 to 10−11 moles2/kg2, or is 100 to 1,000 times as large as the ionic product at room temperature and under atmospheric pressure; the concentration of H+and OH−is about 3 to 30 times as large as that at normal temperature. Therefore, hydrolysis power is very large, and a possible attack to a binding site where hydrolysis is very strong. The same is true for any water-soluble liquid other than water. In the case of a mixture of water and water-soluble liquid, based on the hydrolysis power that each component has and the ratio of components, the process is carried out in a state of medium-temperature, medium-pressure liquid that is less than or equal to the critical temperature of one of the components and is greater than or equal to the saturated vapor pressure of one of the components. Given such a strong hydrolysis power, radioactive materials, particularly cesium, are considered easier to dissolve in the aqueous liquid. If the temperature rises over 330 degrees Celsius, the ionic product of water rapidly falls as the temperature rises, leading to a rapid drop in hydrolysis power; because the hydrolysis power will disappear after exceeding the critical point, the process should be carried out at a temperature that is less than or equal to the critical point. Moreover, even when the temperature is less than 130 degrees Celsius, the hydrolysis power is gradually weakened. Therefore, the process is preferably carried out at 130 to 330 degrees Celsius, or more preferably 180 to 300 degrees Celsius, or even more preferably 230 to 280 degrees Celsius, or still more preferably 240 to 270 degrees Celsius. The reaction may take place with no catalyst; the use of catalyst is more effective. As the catalyst, iron material such as iron powder is preferably used. The object is preferably covered with the aqueous liquid and is processed under the above conditions; the object is therefore subjected to a strong hydrolysis power. If the object is soil or incineration ash, the radioactive cesium that is likely to exist in the porous media is subjected to a strong hydrolysis power. As a result, it is considered that radioactive cesium becomes easier to dissolve in the aqueous liquid. Even in the case of radioactive material absorbed into cell membranes, such as those of biological organisms contained in compost contained in clay or of organic microbes contained not in the compost but in the soil, after the pressure is suddenly released and the cell membranes are thereby destroyed, the radioactive material is released out of the cell membranes. Moreover, for example, if the object is a plant or microorganism, cell membranes are subjected to a strong hydrolysis power; the cell membranes are physically and chemically destroyed, and the cell fluid inside the cell membranes can flow out of the cell membranes. As a result, it is possible to decompose solid organic substances constituting the cell membranes into low-molecular-weight organic substances for a short period of time, as well as to decompose high-molecular-weight substances such as lignin and cellulose. As a result, it is considered that radioactive cesium becomes easier to dissolve in the aqueous liquid. Meanwhile, in the case of steaming, aqueous liquid is not enough to infiltrate into porous media or cell membranes, and porous media are just in contact with the steam. Therefore, radioactive cesium is unlikely to be dissolved in the aqueous liquid and subjected to hydrolysis power; or, even if the radioactive cesium might be dissolved in the aqueous liquid and subjected to hydrolysis power, the hydrolysis power is insufficient. Therefore, the steaming is less preferred. In the container, the object occupies 60 to 90 percent by volume, or preferably 70 to 90 percent by volume, or more preferably 80 to 85 percent by volume, of the container, and then the pressure inside the container is intensified to a higher level. As the pressure is intensified, in the case where the object is incineration ash or soil, aqueous liquid is more likely to get into the pores thereof. In the case of organisms, the high pressure is desirable because the organisms are strongly affected physically and chemically. The pressure is greater than or equal to 3 standard atmosphere pressure (0.3 MPa), or preferably greater than or equal to 5 standard atmosphere pressure (0.5 MPa), or more preferably greater than or equal to 10 standard atmosphere pressure (1.0 MPa). As described above, as for the heating process, a method of heating a hermetically sealed space from the outside, or a method of adding a heating medium, for example, injecting steam, into the hermetically sealed space may be employed. However, in the latter case, only the steam is not enough to provide a sufficient amount of water; a certain amount of water therefore needs to exist in advance. To achieve this, the object may be immersed in the aqueous liquid. By the way, if the amount of the object occupying the container is less than the above preferred range, poor processing efficiency is the only problem. Therefore, it is not necessary to stick to the above range. If the size of the container is large, the temperature inside the container likely would become uniform. Therefore, it is desirable that the container is small in size, or that stirring may be carried out. In the former case, a small container with a capacity of about 30 to 200 liters, or preferably with a capacity of about 30 to 100 liters, may be used. The processing time varies depending on the temperature. If a preferred temperature is set, several seconds are sufficient. If a preferred temperature cannot be achieved depending on the device, several seconds to 60 minutes, or 2 to 30 minutes in many cases, are sufficient. If large amounts need, a plurality of such small containers are prepared. As a plurality of the small containers works together or closely with each other, a predetermined temperature can be achieved for a shorter period of time than a heating time required for a large container to reach a predetermined temperature; the temperature distribution in the containers can be made uniform, and larger amounts can be processed than when a large container is used for the processing. For example, the object placed on a conveyor, the object is sent by a limit switch to a weighing instrument that is opened via a valve, and the valve is closed when an optical sensor detects the signal that a predetermined amount of the object has been measured. Then, the predetermined amount of the object is supplied into the containers. The inlets and outlets for the object and steam between the containers are closed by a limit switch when a predetermined condition is satisfied, and are sequentially subjected to the heating process. In the state where temperature is less than or equal to the critical temperature of the aqueous liquid and pressure is greater than or equal to the saturated vapor pressure, unlike supercritical water, oxidation-reduction power is poor. Therefore, compared with a device for supercritical water, a container for subcritical reaction is not easily corroded. However, the existence of water and oxygen is a factor in accelerating corrosion. By expelling oxygen out of the heating process, it is possible to prevent the corrosion itself to a large extent. Moreover, when the pressure is rapidly released, the air (oxygen, nitrogen, or the like) in the containers does not turn into a liquid unlike water vapor. Therefore, in order to make the device smaller in size, it is desirable to keep the amount of the air is as small as possible. As a means of containing no oxygen, whether water is one used for steam or one that exists in advance, pure water is used. Moreover, it is preferred that, temperature of pure water is increased to about 80 degrees Celsius to expel oxygen dissolved in it. Another preferred means is that the air adsorbed into the object is expelled out of a blow tank or system with the use of water vapor with a pressure of 0.5 to 0.8 MPa, for example, so that the only gas within the system is water vapor. Still another preferred means is that inorganic phosphorus is not contained. After having taken the above care and attention, at a subsequent pressure release step, if the release of pressure after the heating process is carried out in such a way as to entail a sudden drop in pressure, everything inside the containers is blown away. Therefore, the inside of the containers is cleaned; it is therefore possible to use for a relatively long time. The above configuration is therefore preferred in terms of durability if the containers are used for a long time. The containers used in the present invention are preferably made of stainless steel such as austenite, martensite, or double-layer alloy, or high alloy steel; iron or the like, too, may be used. However, in the process of the reaction, cesium hydroxide is generated. Therefore, if the concentration of cesium is high, an attention needs to be paid to the strong alkaline thereof. [Pressure Release Step] The pressure of the object and the aqueous liquid is released into a hermetically sealed space. If the above heating and pressurizing process has been heated by the vaporization vapor pressure of the aqueous liquid, the pressure returns to normal pressure after the release process of the pressure. The release of the pressure may be carried out rapidly or gradually. However, the rapid release is preferred. If the pressure is not released rapidly, and instead the pressure is released gradually, ions of radioactive material, such as cesium, which has become activated under a heating condition, are slowly cooled under high pressure even as the ions become dissociated. Therefore, the ions become more likely to bind again to those to which the ions once bound before the dissociation. To prevent the rebinding as much as possible, a method of allowing an adsorbent for adsorbing radioactive material during the heating process to coexist is used preferably. Even when the pressure is released rapidly, an adsorbent for adsorbing radioactive material during the heating process may coexist. The adsorbing substances that can withstand a high temperature less than or equal to the critical temperature include inorganic adsorbents such as clay and zeolite. The adsorbents will be described later. A step to place the object under pressure and releasing the pressure rapidly is an operation of breaking the object by means of a sudden change in pressure. The operation is also referred to as blasting. The “sudden release of pressure” means the ratio of the area of an opening (cm2) for releasing the pressure at once to the volume of the hermetically sealed space (cm3) that has been subjected to the heating process is greater than or equal to 0.0002/cm. The higher ratio is preferred. The ratio is preferably greater than or equal to 0.0005/cm, or more preferably greater than or equal to 0.001/cm, or even more preferably greater than or equal to 0.005/cm, or still more preferably greater than or equal to 0.01/cm. The above is defined for the case where the pressure is released under atmospheric pressure and the opening is opened at once. Under other conditions, the pressure difference thereof and the moving speed are appropriately converted. In that regard, it is preferred that the object is turned into fine powder prior to the heating process. In the pressure release process, unlike the heating process, physical action is dominant. If the process in the heating/pressurizing process is a heating and pressurizing process, and the pressure is suddenly released after the heating process, in the case where the object is an organism, the pressure outside cell membranes returns to normal pressure because of the sudden release of the pressure whereas the pressure inside the cell membranes remains high. If the pressure difference thereof is large, the cell membranes are broken, and the radioactive materials absorbed into the cell membranes are exposed to the outside. In the case where the object is incineration ash or soil, a sudden volume expansion is caused not only by the thermal expansion of the aqueous liquid getting into the pores of the porous media of the soil or incineration ash, onto which radioactive material is considered to be adsorbed up to that point but also by the vaporization thereof. The pores are subjected to the sudden pressure and are further widened. As a result, the radioactive material can go out together with the aqueous liquid. Compared with a case where there is only a small amount of liquid caused by condensation as in the case of steaming, much more liquid has gotten into the pores of the porous media. Therefore, a sudden release of pressure can cause a sudden volume expansion in many of pores of the incineration ash or soil. The sudden release of pressure comes with another advantageous effect. If instead pressure is not released rapidly, and instead the pressure is released gradually, ions of radioactive material, such as cesium, which has become activated under a heating condition, are slowly cooled under high pressure even as the ions have been dissociated. Therefore, the ions become more likely to bind again to those to which the ions once bound before the dissociation. However, if the pressure is suddenly released after the heating process, a transition occurs from a situation where hydrolysis can easily occur rapidly to a situation where hydrolysis is unlikely to occur, thereby lowering the possibility of rebinding. The probabilities are that the radioactive material would be discharged together with liquid or vaporization of the liquid. In that regard, a sudden release of pressure is preferred. If the pressure is suddenly released, depending on the magnitude of the pressure previous to the release, a larger release space is required. If a sufficiently large space cannot be secured, an alternative method may be of depressurizing. In the specification and the appended claims, the phrase “release of pressure is performed in a hermetically sealed space capable of being released to normal pressure” is used in this meaning. If the pressure still cannot be released to normal pressure, the gaseous aqueous liquid, such as water vapor in gas, is condensed in the following manner. When the temperature and pressure at the heating/pressurizing step and the pressure release step are appropriate, most of the radioactive materials are moved to the liquid side, and only a small amount of the radioactive materials remains in a solid state. [Pressurizing/Pressure Release Step] According to the present invention, instead of the above step, a pressurizing/pressure release step of placing the object under pressure and releasing the pressure suddenly may be employed. In this case, at the time of pressurization, the temperature may be normal temperature, or a high temperature less than or equal to the critical temperature. However, it is preferred that the temperature is a high temperature less than or equal to the critical temperature. During this process, physical action is dominant. The operation, effects, and conditions of the case where the pressure is suddenly released after the heating process are described above. [Step to Remove Radioactive Material in Gas, Performed when Necessary] If the object is subjected to the heating process, for example, the heating process in a hermetically sealed container, and then is naturally cooled, most of radioactive material is dissolved in the aqueous liquid, and only a small amount of the radioactive material remains in the gas. Therefore, in many cases, it is unnecessary to remove the radioactive material in the gas. However, in the case where the pressure is suddenly released, while a certain amount of the radioactive material turns into liquid, the temperature is high, and so the radioactive material is likely to accompany the gas. If the amount thereof exceeds a safe level, the radioactive material cannot be released into the atmosphere without any treatment. Therefore, in order to prevent the radioactive material that exists together with the vaporized water gas from releasing into the outside, the process of the present invention is carried out in a hermetically sealed system, and the radioactive material needs to be recovered. As the methods thereof may be used publicly-known methods, including a method of cooling the gas using a publicly-known heat exchange means such as flash condenser to condense the gas into aqueous liquid, a method of forcing the gas through an adsorption means such as adsorptive column to adsorb the radioactive material, and a method of forcing the gas through aqueous liquid to dissolve the radioactive material in the aqueous liquid. According to this method, the gas that has resulted from the heating process can be safely released into the atmosphere. [Step to Separate into Liquid Phase and Solid Phase] Then, the mixture of the object and the aqueous liquid is separated into liquid phase and solid phase. As the solid-liquid separation means for the solid phase and liquid phase, the publicly-known solid-liquid separation means may be used, including filter, squeezer, screw press, and centrifuge. The solid phase include not only the object from which the radioactive material is released to the outside world by the above process, but also an adsorbent for the case where the adsorbent is used during the heating process and the pressure release process. In the former case, even if the radioactive material released into the outside might attach to the surface, the amount of radioactive material adsorbed into the inner portion has been greatly reduced by the above process; a safe level may be achieved by washing. In this case, the object is returned to living space. If the adsorbent is mixed during the heating process and the pressure release process, the adsorbent onto which the radioactive material is adsorbed needs to be easily separated from the decontaminated solid. One method thereof is a method of using a difference in specific gravity. The specific gravity of some kinds of the object is greater than 1, while the specific gravity of the other kinds of the object is less than 1. If the specific gravity of the object is greater than 1, an adsorbent with a specific gravity of less than 1 is selected. If the specific gravity of the object is less than or equal to 1, an adsorbent with a specific gravity of more than 1 is selected. As a result, it is feasible to separate. However, the present invention is not limited to that. On the condition that the specific gravity of the object is less than 1, an adsorbent with a specific gravity of less than 1 may be selected; only the adsorbent that has adsorbed the radioactive material then may be precipitated by a flocculant, thereby separating the decontaminated object from the adsorbent that has adsorbed the radioactive material. In the solid phase, in many cases, the fracture is fine when it is crushed by a sudden pressure release and the heating process. Therefore, in those cases, it is seemingly difficult to recognize the solid. The solid phase might be in a mushy liquid phase form rather than solid because of the existence of aqueous liquid. Depending on the solid-liquid separation means, substances that are solid but fine particles will be turned into liquid phase. However, many of those substances are at a safe level after the substances are washed when necessary. However, in some cases, some of those substances may be high in absorptivity to the radioactive material, and so have a high level of radioactivity. In this case, the substances are coagulated and precipitated by a flocculant. If the solid itself exceeds the safe level because of the radioactive material still attaching to the surface of the object, the object is washed with water. As for the water washing, stirring washing is preferred. When the water washing is carried out, vibration of the solid is also effective. And yet when the solid still exceeds the safe level, water washing is repeated, and the safe level thereby is more likely to be achieved. And yet when the safe level still cannot be achieved, the above heating/pressurizing step and the pressure release step are repeated, and the safe level thereby can be achieved. In the washing water, the radioactive material is dissolved. Therefore, the washing water is put together with the liquid before undergoing the subsequent process. As alternative, at the separation step, a filter press may be used. In this case, a filter press step can be immediately performed to separate into solid and liquid. However, the process takes a lot of time. Therefore, it is preferred that, after the substances are separated into coarse-grained fractions and other substances, and then the coarse-grained fractions are washed with water to achieve a safe level, the substances other than the coarse-grained fractions, and the water used for the washing of the coarse-grained fractions are transferred to the filter press step. Since the coarse-grained fractions are at the safe level, the coarse-grained fractions can return to the living space. A preferable method of separating the coarse-grained fractions from the other substances is a method of pouring slurry which is the mixture of the liquid and the solid, onto a roll filter in such a way as to leave coarse-grained fractions on the roll filter and allow the other substances to pass through the roll filter and go into a filter press while centrifuging the coarse-grained fractions left on the roll filter and supplying the resultant liquid to the filter press. The water used for the water washing is preferably hot water, which can easily remove the radioactive material. At the filter press step, the slurry transmitted from the previous step is subjected to the filter press, and then is washed with water. The filter press separates the slurry into liquid and solid, and the solid content becomes cake-like. According to the present invention, when the slurry cannot be transmitted because of choking, water may be injected to clear the choking. However, the water washing is carried out to wash away the radioactive material attaching to the surface of the solid. It was found that this kind of water washing is available for a pile of solid that underwent the filter press. The mesh size of the filter of the filter press is a mesh size that allows floating content known as “ss” to remain on the solid side. If the object is an organism and the hydrothermal time is long, hydrolysis proceeds and the object becomes solubilized to such a degree that choking can occur, the washing takes a lot of time. Therefore, an appropriate hydrothermal time is set in such a way as to prevent the choking and to generate a solid material that can be easily washed with water. When the water washing is carried out, hot water is preferred because the solubility of radioactive material is high. Through the above process, the solid in the slurry becomes cake-like, and the radioactive material contained therein can be lowered to a safe level. [Step to Adsorbing Radioactive Material in the Liquid Phase or Vaporizing Aqueous Liquid] Phase, the radioactive material is dissolved, and so the liquid phase cannot be returned to living space without any treatment. Therefore, the liquid phase is processed through one of the following two steps: a step to absorb the radioactive material in the liquid phase, and a step of vaporizing the aqueous liquid. [Step to Adsorbing Radioactive Material in the Liquid Phase] First, the step to adsorbing the radioactive material in the liquid phase will be described. In the liquid phase, water-soluble radioactive material, such as cesium, is dissolved. To remove the radioactive material from the liquid phase, an adsorbent is put into the liquid phase. In the case, some kind of adsorbent may be in a suspended form while the radioactive material is being adsorbed. In such a case, a coagulating agent for coagulating the suspending adsorbents, a flocculant for coagulating these substances, and the like are put into the liquid phase to precipitate the adsorbent. As a result, the liquid mainly made of aqueous liquid containing almost no radioactive material is separated from the precipitate containing radioactive material. An operation of adsorbing the radioactive material may be chemical adsorption or physical adsorption. The adsorbent is exemplified by ferrocyanide, zeolite, activated carbon, silica gel, activated alumina, and ss made of clay mineral. Some of the adsorbents float in the liquid phase due to the apparent specific gravity thereof, while the others precipitate; those adsorbents are appropriately used as described later. The adsorbents may be filled the column so that the liquid pass through the absorbents in the column; or required amounts of the adsorbents may be poured into the liquid phase and stirred. In the case of the stirring, the stirring may be performed as part of the above washing step. In the former case, namely, the case where the column is filled with the adsorbents and the liquid pass through the adsorbents, the particle size of the adsorbents needs to be such that the adsorbents can fill the column and do not flow away. In the latter case where the adsorbents are poured into the liquid phase, the adsorbents floating in the liquid phase may lead to trouble. Therefore, there is a need to use a flocculation-sedimentation agent being able to flocculate and precipitate only the adsorbents. For example, ferrocyanide is fine powder unless the ferrocyanide is particularly granulated. Therefore, granulation of the ferrocyanide is performed. When the fine powder of ferrocyanide is used, a flocculant is used. In the case of magnetic material such as ferrocyanide, agglomeration means such as those using a magnet to attract, may be used. The radiation intensity of the liquid having been affected by the influence of the adsorption of the adsorbent, if the appropriate adsorbent and an appropriate amount thereof are selected, can be get a safe level for the living environment without any further treatment. The adsorbent and the flocculant, which is used when necessary, are separated from the liquid phase. The mixture of the liquid and the adsorbent that have adsorbed the radioactive material may be transported, without any treatment, to a disposal site where water may be naturally evaporated. However, since the amount of radioactive material of the liquid phase is negligible, the adsorbent may be separated from the liquid phase, and only the adsorbent may be transported to a final disposal site; there is no harm to the environment even if the liquid is discharged or reused without any treatment. The adsorbent that has adsorbed the radioactive material is stored and preserved in a container capable of keeping the radiation intensity of radioactivity under control. The container is made of concrete, lead, or any other material that can significantly reduce the degree of radiation released into the outside. Concrete is preferably used. In this case, the container becomes a storage container at a final disposal site when the dose of radiation of the adsorbent that has adsorbed the radiation is high. When the dose of radiation of the adsorbent is low, the adsorbent that has adsorbed the radioactive material may be mixed with cement; for example, when used as concrete, the adsorbent may be used for civil-engineering and construction materials for which concrete is originally used, for example. [Fermentation Process, Performed when Necessary] If the object is a plant, sugar is dissolved in the liquid that has been separated from the adsorbent. Therefore, a fermentation process can be performed by using an enzyme. The enzymes used for the fermentation are exemplified by yeast and methanogen. The fermentation turns the object into a mixture of fermentation products, such as alcohol, aldehyde, and methane, sugars, water, and the like. After the fermentation proceeds to a certain degree, the fermentation may not proceed further even if there still are enough sugars. In this case, the fermentation products are removed and so the fermentation process is further carried out. After the fermentation process, water is separated from the fermentation products. The separation method may vary according to the nature of the fermentation products; for example, in the case of alcohol, distillation is carried out to obtain alcohol and water. The above process can reduce the molecular weights of cellulose and lignin in a short period of time, and turn the substances into single cells and so monosaccharides. Therefore, the fermentation time can be reduced. In particular, in the case where the heating process is carried out in a subcritical state of water and then a process of rapidly releasing the pressure is performed, the effects thereof are significant. The resultant fermentation products are at a safe level, and therefore can be safely used. Examples of the application thereof include biofuel, which is used for power generation and the like. [Step of Vaporizing the Liquid in which Radioactive Material is Dissolved] The following describes a step of vaporizing the aqueous liquid, instead of adsorbing the radioactive material in the liquid. In the liquid that has undergone the step of separating into the liquid and the solid, the radioactive material is dissolved. The radioactive material can be concentrated by vaporizing the liquid. As the vaporization means, a publicly-known means, such as heating, evaporation at normal temperature, or drying under reduced pressure, is employed. In the case, waste heat from a thermal power plant, a nuclear power plant, an incinerator, or the like may be available. As for the drying under reduced pressure, freeze-drying under reduced pressure is preferably employed. The vapor pressure of cesium is lower than the vapor pressure of water. Therefore, the liquid is frozen, and is dried under reduced pressure. If the radiation intensity of the vapor is at a safe level, the vapor can be released into the atmosphere without any treatment. If the radiation intensity of the vapor is not at a safe level, the vapor is collected and frozen, and a step of vaporizing again under reduced pressure is repeated. As a result, the radiation intensity of the vapor can be lowered to a safe level. After the vaporization of the liquid, the remaining radioactive material is hardened with resin or concrete, for example, and is aggregated. At this concentration step, no adsorbent is used; the radioactive material therefore can be aggregated into a small quantity, leading to an improvement in aggregation performance. The following describes a processing device of another invention of the present invention on the basis of the accompanying drawings. FIG. 1 is one embodiment showing a processing device of the present invention. The present embodiment includes: a chemical processing device 100, that processes an aqueous liquid and an object into which radioactive material is absorbed in a state where temperature is less than or equal to the critical temperature of the aqueous liquid and pressure which is greater than or equal to the saturated vapor pressure of the aqueous liquid; a pressure relief device 200 that communicates with the heating processing device 100 and has a space that enables the pressure to be released to normal pressure, or the pressure relief device 200 and a condensation devices 300 that condense add of the gaseous aqueous liquid, if the pressure cannot be released to normal pressure; a separation device 400 that separates the mixture of the object and the aqueous liquid into liquid and solid; and an adsorption device 500 that adds an adsorbent which adsorbs radioactive material to the separated liquid so that the radioactive material is adsorbed onto the adsorbent, to separate the radioactive material from the liquid. [Heating Processing Device 100] As shown in FIG. 3, the chemical processing device 100 includes a hermetically sealed container 101 in which a process is carried out; a heater 102 which heats the container 101; an inlet hopper 103 through which the object and the aqueous liquid are put into the container 101; a valve 104 which is located between the inlet hopper 103 and the container 101; and a ball valve 105, which is opened and closed between the container 101 and the pressure relief device 200. The heater 102 heats a heat medium, and heats the container via a jacket attached to the outside of the container. As a heating method different from the method illustrated in the diagram, there is a method of mounting a heater inside the container so that the substances inside the container are directly heated. Another method is one of directly heating the outside of the container using a heater attached to the outside of the container 101. Still another method is one of heating a heat medium by using a heater, and directly heating the substances inside the container via a heating tube or heating panel mounted inside the container. As another heating method, there is a method of providing a nozzle to inject the saturated vapor of the aqueous liquid into the container 101, and injecting the saturated vapor of the aqueous liquid through the nozzle, and heating and pressurizing until a predetermined temperature/pressure state is achieved. Furthermore, the combination of a heater, a heat medium or the like from outside and the heating by injecting the saturated vapor of the aqueous liquid may be used. A rapid release of pressure causes an inertial force due to a discharge of the substances inside the container when the substances are rapidly discharged, and the internal pressure may temporarily become a near vacuum. In this case, particularly in the case of the method of directly heating the substances in the container, sudden, strong force might be applied to the internal heater, the internal tubular heater, or the heating panel. Accordingly, there is a need to take sufficient means for holding the internal components against the vacuum and the flow. As the method thereof, for example, in order to strengthen mounting of components, the components may be directly welded to an internal surface of the container; or mounting brackets may be welded inside the container, and the components may be fixed with strong bolts and nuts; or a shape that does not resist the flow of the substances in the container that are discharged, such as a streamline shape, may be made; or a discharge flow path for the substances in the container is so secured as not to generate a reserver of pressure. In this manner, it is necessary to take such means. [Pressure Relief Device 200] As shown in FIG. 4, the pressure relief device 200 includes a valve, preferably a ball valve 105, which is opened and closed between the pressure relief device 200 and the container 101; a blow tank 201 which is a hermetically sealed space; and a washing means for washing away those attaching to an inner wall of the blow tank 201, which is, for example, a spray shower 202. Among the above components, the blow tank 201 is a space into which the pressure is released. If large amounts are processed, and if containers 101 are plurally prepared, a common blow tank 201 may be used; in this case, the object in each container is sent to the common blow tank 201. During this process, some of the radioactive material is dissolved into the aqueous liquid, while the others may be attached to the object which crushed by a sudden release of pressure and attached to the wall of the blow tank 201. In the latter case, the radioactive material can be dissolved to the water as the inside of the blow tank 201 is sometimes washed with water, and be sent to the next processing step. As the blow tank 201 becomes larger in size, a sudden volume expansion occurs; since the volume expansion occurs in an adiabatic manner, the temperature of the object in the blow tank decreases sufficiently, and the pressure may become normal pressure. The decrease in temperature becomes larger as the inside of the blow tank is larger in size. Moreover, if the inside of the blow tank is connected to a decompression device 700 (FIG. 1) and the pressure is reduced, the decrease in temperature becomes larger as the degree thereof is increased. The decompression device 700 can reduce the pressure of the blow tank 201 in advance. In the case, inside the blow tank 201 normally, no radioactive material is contained. Therefore, the air can be discharged to the outside. If the radioactive material might be attaching to the inner wall of the blow tank 201 or the like after repeated use of the blow tank 201, a moisture separation device, such as a demister or filter, may be provided in an exhaust path of the decompression device 700; only clean gas that has passed through the separation device is released to the outside. [Condensation Devices 300] As condensation devices 300, publicly-know condensation devices are used, such as a water-cooled type, an evaporation type, and an air-cooled, and such as a shell-and-tube condenser, a double pipe condenser, and a plate fin condenser. The condensers are so provided as to achieve a necessary number of stages. FIG. 1 shows an example of three-stage shell-and-tube condensers. For the first and second stages, a refrigerant of the same temperature is used. For the last, third stage, a lower-temperature refrigerant is used. The main condenser works in the first stage and a small amount of those not yet condensed are condensed in the second stage. The third stage uses a lower-temperature refrigerant for confirmation. Moreover, a silencer is usually required to cancel the sound generated by shocks at a time when the pressure is suddenly released. However, according to the present invention, as a condensation device is required, the condensation device serves as a silencer. Therefore, the silencer is not necessary. If there is a need to release the pressure to the outside, a pressure release valve with a demister or a filter that removes the aqueous liquid containing radioactive material may be provided, after the condenser. [Separation Device 400 that Separates the Mixture of the Object and the Aqueous Liquid into Liquid and Solid] As the solid-liquid separation device for the solid and liquid, publicly-known solid-liquid separation device may be used, including filter, squeezer, screw pressing, centrifuge, and filter press. [Adsorption Device 500 that Adds Adsorbent which Adsorbs Radioactive Material, to the Liquid so that Radioactive Material is Adsorbed onto Adsorbent] As the adsorption device 500 that uses an adsorbent to adsorb the radioactive material, publicly-known means are employed, including: a device in which the liquid passes through a column filled with the adsorbent; and a device that puts the adsorbent into a stirring device for stirring. After the adsorption process, the separation process of the liquid and the adsorbent is carried out by centrifugation, filtration, or any other method, depending on physical properties of the adsorbent. FIG. 5 shows one example thereof. The adsorption device 500 includes a stirred tank 501, into which the adsorbent that adsorbs the radioactive material dissolved in the liquid, the coagulation-sedimentation agent that is used when necessary, and the liquid are put and which includes a stirring device for stirring and mixing those substances; a solid/liquid separator 502, which separates the liquid from the solid such as the adsorbent and the flocculant that is put therein when necessary; and storage containers 503 in which the liquid and the solid each are stored. FIG. 2 shows another embodiment of the present invention. Some components are the same as those in the embodiment of FIG. 1. This embodiment is different from the embodiment of FIG. 1 in that, instead of using the adsorption device 500 at the end, a vaporization device 600 (concentration device) is used. As for the vaporization device, a publicly-known device is used. The stems of sunflower (Vincent Tangerine) were cut at the base thereof. The tips of the stem were immersed in 80 g of water in which 3.923 mg of cesium 133 was dissolved for four days at normal temperature. Then, a hydrothermal process (heating process) was carried out. The hydrothermal process was performed at 120 degrees Celsius and 0.2 MPa for 30 minutes. In the run-up to that point, the temperature and the pressure were linearly raised from normal temperature and normal pressure to 120 degrees Celsius and 0.2 MPa. After the hydrothermal process, the pressure and the temperature were brought back to normal pressure and normal temperature. After that, to 10 mL of hydrothermally-processed liquid, 0.1 g of ferric ferrocyanide (commercially-available pigment “prussian blue”) was added and stirred. After the stirring precess, flocculat “Ion Reaction N” (commercially available product manufactured by Saiseisha) was used, and the ferric ferrocyanide was coagulated and precipitated. After that, a 0.20 μm membrane filter (RC15) was used for filtration, and then the filtrate was centrifuged at 10,000 rpm for 10 minutes, and was diluted with water the amount of which was 1,000 times that of the filtrate. After that, ICP-MS was used to measure the cesium content in the filtrate. The results are shown in Table 1 (See “Liquid” of Post-hydrothermal Process in the table). After the above hydrothermal process, residues were dried at 110 degrees Celsius for 16 hours. Then, 0.1 g of the dried substances was dissolved in 5 ml of concentrated nitric acid, and ultrasonic waves were used to accelerate the dissolution rate. The substances were then diluted to 1,000 ml, and ICP-MS was used to measure the cesium content in the residues. The results are shown in Table 1 (See “Solid” of Post-hydrothermal Process in the table). In Table 1, the solid means sunflower, or solid after the hydrothermal process; the liquid means the water used for the immersion, or liquid after the hydrothermal process. Except that the temperature and the pressure of the hydrothermal process were changed as shown in Table 1, Examples 2 to 6 were carried out in a similar way to Example 1. The results are shown in Table 1. In the table, the hydrothermal process was performed on the solids (stems of sunflower) after the solid were immersed for four days. Therefore, the cesium content in the hydrothermally processed solids plus the cesium content in the hydrothermally processed liquid should substantially equal the quantity of the cesium content after the solid was immersed for four days. However, because of microanalysis and recovery loss, the results had error (about several tens of percent). However, it is clear that, as the temperature of the hydrothermal process approaches 250 degrees Celsius at which the ionic product is maximized, the cesium content in the solid decreases, and shifts into a liquid section. TABLE 1Cesium Content (mg)CesiumHydrothermalPre-4 days afterPost-hydrothermalRemovalConditionsImmersionimmersionprocessRate of LiquidTemperature (° C.)Pressure (MPa)SolidLiquidSolidLiquidSolidLiquidSection (%)Example 11200.203.922.871.051.771.2399.621400.403.923.700.221.192.4799.931801.003.923.010.910.601.9199.742001.403.923.070.860.421.6299.652202.303.923.060.860.362.0199.562403.303.922.761.170.222.6899.8 An object was sewage sludge taken from a terminal disposal site in Horikawa-cho, Fukushima City. 31.1 g of sewage sludge containing radioactive cesium with a radioactivity of 3,110 Bq (about 100,000 Bq per kg; 75% was water, with 6 g of solid). The sewage sludge was mixed with 93.1 g of water, and was put into an autoclave for a hydrothermal process. As for the autoclave, a 500 cc high pressure micro-reactor (manufactured by Omlabotech Co., Ltd.) was used. As for the hydrothermal process, the time during which the temperature was raised from normal temperature to 260 degrees Celsius was 60 minutes, with 4 MPa at 260 degrees Celsius; the retention time thereof was 30 minutes; the cooling time needed to bring the temperature from 260 degrees Celsius to normal temperature was 90 minutes. After the hydrothermal process, a nylon mesh (150 mesh) was used for filtration. The filtrate was 94.2 g and 1,680 Bq (about 1,800 Bq per kg); the residue was 16.3 g and 1,077 Bq (about 66,000 Bq per kg). As described later, the filtrate was almost 100% decontaminated. Therefore, if the decontamination rate was defined as (Becquerels before the said decontamination process−Becquerels in residue after the said decontamination process)/Becquerels before the said decontamination process, the decontamination rate was 66% at this stage. Then, the residue was washed with water. The water washing was carried out as stirring washing: to 16.3 g of the residue, 438 g of water that was 30 times was added. Then, as in the above-described case, a nylon mesh was used for filtration. The filtrate was 443 g and 600 Bq (about 1,350 Bq per kg); the residue was 7.5 g and 357 Bq (about 47,600 Bq per kg). At this state, the decontamination rate was 89%. Then, the above two filtrates were mixed and then, 1% of ferric ferrocyanide and 0.8% of floccgulant “Ion Reaction P” (commercially available product manufactured by Saiseisha) were added to the filtrates and stirred. Then, as in the above-described case, a nylon mesh was used for filtration. The filtrate was 490 g and 50 Bq (about 102 Bq per kg); the residue was 45 g and 1,953 Bq (about 43,400 Bq per kg). In the filtrate, the decontamination rate was 98%. The radioactivity in the filtrate finally was lowered to about 100 Bq/kg. Of 31.1 g of sewage sludge at the initial stage, 75% was water, with about 6 g of solid. However, most of the solid were microorganisms. On the other hand, of 45 g of the final residue, 95% or more was water, with 2 g of solid. Since cell membranes were destroyed during the hydrothermal process, most of the solid were presumed to be clay. It was found that the process of the present invention turns 31.1 g of sewage sludge into 2 g of solid by removing the water, and that the radioactive material, too, is aggregated. An object was 30.0 g of soil with radiation intensity of radioactive cesium being 1,650 Bq (about 55,000 Bq per kg), which was taken from Iidate Village in Fukushima Prefecture. The radiation intensity was measured by a germanium dosimeter. The soil was mixed with 90.1 g of water, and was put into an autoclave for a heating (hydrothermal) process. The autoclave was a 500 cc high-pressure micro-reactor (manufactured by Omlabotech Co., Ltd.). The details of the hydrothermal process were given below; the time during which the temperature was raised from normal temperature to 260 degrees Celsius was 60 minutes, with 4 MPa at 260 degrees Celsius; the retention time thereof was 30 minutes; the cooling time needed to bring the temperature from 260 degrees Celsius to normal temperature was 90 minutes. After the hydrothermal process, a nylon mesh (150 mesh) was used for filtration. The filtrate was 68.6 g and 960 Bq (about 13,990 Bq per kg); the residue (containing water) was 18.5 g and 690 Bq (about 37,300 Bq per kg). The filtrate was almost 100% processed. Therefore, if the decontamination rate was defined as (Becquerels before the said decontamination process −Becquerels in residue after the said decontamination process)/Becquerels before the said decontamination process, the decontamination rate was 58.2% at this stage. Then, the residue was washed with water. The water washing was carried out at stirring washing: to 18.5 g of the residue, 400 g of water that was about 20 times or more was added. Then, as in the above-described case, a nylon mesh was used for filtration. The filtrate was 385.3 g and 380 Bq (about 986 Bq per kg); the residue (containing water) was 14.2 g and 340 Bq (about 23,940 Bq per kg). At this stage, the decontamination rate was 79.4%. In this manner, the radioactive material in the residue was reduced. Therefore, the residue can be brought back to the living environment without any further treatment, or after being mixed with a small amount of uncontaminated soil. Then, the above two filtrates were mixed. And then, 0.2% of ferric ferrocyanide and 0.2% of flocculant “Ion Reaction P” (commercially available product manufactured by Saiseisha) were added to the filtrates and stirred. During this process, radioactive cesium dissolved in the filtrates was adsorbed onto the ferric ferrocyanide, and was precipitated by the flocculant. Then, as in the above-described case, a nylon mesh was used for filtration. The filtrate was 417 g and 40 Bq (about 96 Bq per kg); the residue of the filtrate coagulated and precipitated (containing water) was 32 g and 1,210 Bq (about 37,813 Bq per kg). The decontamination rate of the filtrate was 98%. The radioactive intensity in the filtrate finally was lowered to about 100 Bq/kg. Example 9 was different from Example 8 in that, instead of 50.1 g of water, 150 g of water was used; and, instead of the autoclave, a Yoshimura-type grain expansion machine (manufactured by puffed-cereal machine sales Co., Ltd.) was used. Soil and water were put into the pot thereof (the shape of an opening covered with the lid of the pot was circular with a diameter of about 7 cm; the capacity of the pot was 600 cm3). From the outside, the pot was quickly heated by propane gas, so that the surface temperature of the pot became 200 to 210 degrees Celsius with a pressure of 2.0 to 2.4 MPa; The temperature was kept for ten and several minutes. The surface temperature of the pot was that of outside of the pot measured by a noncontact thermometer. And then, a wooden hammer was used to hammer a claw of a stopper, which was designed to fix the body of the pot firmly to the lid of the pot. As a result, the pressure was released at once into a box extending from the opening of the expansion machine. The ratio of the area of the opening, about 50 cm2, to a heating-process space volume of the pot of the expansion machine, 600 cm3, was about 0.08/cm. The box made of steel (with a thickness of 1.2 mm; which was a rectangular parallelepiped that was 60 cm×60 cm×120 cm in size) containing a plastic container (which was made of polystyrol with a thickness of 5 mm; which was a rectangular parallelepiped that was 35 cm×35 cm×120 cm in depth, in size). The plastic was damaged by the release of pressure; the reactant attaching to a wall surface of the box was wiped with Kimwipes (Registered Trademark) and recovered. The radiation intensity of the processed soil was 8,700 Bq/kg. The filtrate corresponding that of Example 8 was dispersed as water gas in this example. If the water gas had been cooled and liquefied, and the adsorbent had been used as in the case of Example 8, the results similar to those of Example 8 would have been expected to be obtained. In this example, the soil with a radiation intensity of 55,000 Bq/kg was lowered to 9,000 Bq/kg or less, or one-sixth or less of the radiation intensity before the process. The amount of soil was 150 g, and the amount of water was 150 g as in the case of Example 9. It could be inferred that, in the pot, the water surface was about 20% higher than the surface of the soil. The rest of the process was carried out as in Example 9. The radiation intensity after the process was 20,000 Bq/kg, or about half or more of that of the unprocessed soil. The amount of soil was 200 g, and the amount of water was 150 g as in the case of Example 9. It could be inferred that, in the pot, the water surface was slightly higher than the surface of the soil. The rest of the process was carried out as in Example 9. The radiation intensity after the process was 22,500 Bq/kg, or about half of that of the unprocessed soil. Comparative Example 1 was carried out in the same way as Example 8 except that the heating process was not performed. The radiation intensity in the residue (containing water) after the water washing was 720 Bq in 27.4 g (about 26280 Bq per kg). The decontamination rate was 56.4%. Compared with the case where 79.4% was achieved as the heating process was performed, the decontamination rate was lower. Comparative Example 2 was different from Example 9 in that, instead of 150 g of water, 7 g of water was used; 7 g of water was an amount equivalent to an amount of the saturated water vapor in the pot with a capacity of 600 cm3 at 200 to 210 degrees Celsius. The water contained in the system was only the water and water vapor contained in the soil. There was not enough water for the soil to be immersed. This example was a quasi-experiment that was conducted under the same condition for the steaming. The rest of the process would have been carried out as in Example 9. However, because the heating was actually carried out by using a propane gas burner, the temperature was not managed to be controlled; the temperature rose to 297 degrees Celsius as a result. The radiation intensity of the processed soil was 115,000 Bq/kg. The reason why the radiation intensity was higher than that of the unprocessed was supposed that evaporation might have occurred because the water was contained in the unprocessed soil. In comparison with the examples, it is clear that the steaming cannot decontaminate, and most of the soil would have to be covered with aqueous liquid. 30.6 g of incineration ash with a radioactivity of 1,385 Bq (Radiation intensity 45,300 Bq/kg), which was an object, and 160.4 g of hot water were put into a pot of a Yoshimura-type grain expansion machine (manufactured by puffed-cereal machine sales Co., Ltd.). The shape of an opening of the pot was circular with a diameter of about 5 cm; the capacity of the pot was 600 cm3. The opening was closed with the lid. From the outside, the pot was heated by propane gas, and was quickly heated, so that the surface temperature of the pot became about 240 degrees Celsius with a pressure of 2 MPa; this state was kept for five minutes. The surface temperature of the pot was that of outside of the pot measured by a noncontact thermometer. And then, a wooden hammer was used to hammer a claw of a stopper, which was designed to fix the body of the pot firmly to the lid of the pot. As a result, the pressure was released at once into a steel box whose interior was a recovery polystyrol container with an opening facing the expansion machine. The ratio of the area of the opening, about 50 cm2, to a heating-process space volume of the pot of the expansion machine, 600 cm3, was about 0.08/cm. The polystyrol container was a rectangular parallelepiped with a thickness of 5 mm that was 55 cm×55 cm×120 cm in depth, in size. The steel box was a rectangular parallelepiped with a thickness of 1.2 mm that was 60 cm×60 cm×120 cm in size. The processed incineration ash that was attaching to a wall surface of the box and containing water was wiped with Kimwipes (Registered Trademark) and recovered; 31.9 g of the incineration ash was obtained, and the radiation intensity thereof was 8,390 Bq/kg. There was no residue in the pot. Most of the hot water poured therein was dispersed as vapor. In this example, the dispersed vapor was not cooled and liquefied for recovery. Needless to say, if such an operation had been carried out, radioactive cesium dissolved in the water would have been adsorbed onto an adsorbent. 31.9 g of the recovered substance was dispersed in 301.2 g of hot water and stirred; solid-liquid separation was carried out by using filter paper (No. 1). The filtrate was 251.2 g, the radioactivity thereof was 181 Bq, and the radiation intensity thereof was 720 Bq/kg. Radioactive cesium dissolved in the filtrate was adsorbed onto an adsorbent, and was recovered. Meanwhile, the residue of the filtrate (solid), though containing water, was 72 g, the radioactivity thereof was 97 Bq, and the radiation intensity thereof was 1,340 Bq/kg. The radiation intensity of the original incineration ash, 45,300 Bq/kg, was lowered to 1,340 Bq/kg, meaning that about 97% of radioactivity contained in the incineration ash was removed. A thermal process was carried out as in Example 12; the substances were naturally cooled over one night, and the temperature and the pressure were brought back to normal temperature and normal pressure. The filtration and water-washing were carried out as in Example 12. As a result, the radiation intensity of the residue of the filtrate (solid content) was 2,984 Bq/kg; about 93% of radioactivity contained in the incineration ash was removed. Unlike Example 12, a thermal process was not carried out; Comparative Example 3 was carried out to find out how much radioactivity could be reduced only by water washing. 30.6 g of the same incineration ash as that in Example 12 was dispersed in 74.9 g of hot water and stirred; filtration was carried out by using No. 1 filter paper. 16.5 g of hot water was further added to the residue, and was similarly stirred and filtered. The residue had a radioactivity of 325 Bq, and was 46.0 g containing water, and the radiation intensity thereof was 7,065 Bq/kg. Only about 85% of radioactivity of the incineration ash was removed. The water-washed incineration ash was washed with water again in a similar manner; it was found that the radiation intensity did not fall any further. The present invention can be used to return an environment contaminated with radioactive material to an original state thereof. 100: Heating processing device 200: Pressure relief device 300: Condensation device 400: Separation device 500: Adsorption device that uses adsorbent to adsorb radioactive material 600: Vaporization device (concentration device)
summary
abstract
A beta cell for converting beta-particle energies into electrical energy having a semiconductor junction that incorporates an icosahedral boride compound selected from B12As2, B12P2, elemental boron having an xcex1-rhombohedral structure, elemental boron having a xcex2-rhombohedral structure, and boron carbides of the chemical formula B12-xC3-x, where 0.15 less than x less than 1.7, a beta radiation source, and means for transmitting electrical energy to an outside load. The icosahedral boride compound self-heals, resisting degradation from radiation damage.
summary
051805260
description
The invention is illustrated by, but not limited to, the following Examples. EXAMPLE 1 Six hundred liters of solvent (comprising 120 liters of Tributyl Phosphate (TBP) and 480 liters of odourless kerosene) were taken from the Recovery Line solvent extraction plant in the production of nuclear grade uranium and washed with 4% aqueous sulphuric acid until free from uranium. The solvent and aqueous streams were fed counter-currently through a series of box mixer-settlers, at a solvent to aqueous ratio of 2:1 to effect washing. The solvent was then passed at 1.5 liters an hour (0.5 Bv/hr) through an ion-exchange column containing 3 liters of Amberlyst A21. The RU value (as hereinbefore described) of the solvent was reduced from 189 .mu.gU/ml to 11 .mu.gU/ml by passing the solvent once through the ion-exchange resin. EXAMPLE 2 900 ml of solvent (comprising 180 ml of TBP and 720 ml of odourless kerosene) from the Recovery Line solvent extraction plant was washed repeatedly with 2% sulphuric acid in a series of box mixer-settlers as in Example 1, until free from uranium. The solvent was then passed at 1.5 ml/hr (0.1 Bv/hr) through an ion-exchange column containing 15 ml of Amberlyst A26. The RU values of the solvent before and after the ion-exchange treatment were 82 .mu.gU/ml and 25 .mu.gU/ml respectively. EXAMPLE 3 One liter of solvent (comprising 200 ml of TBP and 800 ml of odourless kerosene) from the Recovery Line solvent extraction plant was washed repeatedly with 10% sulphuric acid in a series of box mixer-settlers as in Example 1, until free from uranium. The solvent was then passed at 150 ml/hr (1.0 Bv/hr) through an ion-exchange column containing 150 ml of Duolite A116, in the hydroxide form. The RU values of the solvent before and after the ion-exchange treatment were 275 .mu.gU/ml and 6 .mu.gU/ml respectively.
description
The invention relates to nuclear technology and radiochemistry, namely, to the production and extraction of radioactive isotopes for medical purposes. More specifically, the invention relates to the production of radiostrontium isotopes 82Sr and 85Sr, the former being widely used in medicine to diagnose a number of diseases with the use of positron emission tomography. A process is known in prior art to be used for the production of radiostrontium [see L. F. Mausner, T. Prach, S. C. Srivastava, J. Appl. Radioat. Isot., 1987, vol. 38, pp. 181-184], this process comprising the bombarding of targets made of rubidium chloride with beams of accelerated charged particles and the radiochemical extraction of radiostrontium therefrom. The limited productivity of this process is due to the low contents of the working body (rubidium) in the material and to the properties of the material to be irradiated: the low heat conductance of RbCl leads to high temperatures inside the target when it is bombarded with an intense beam of particles, inducing radiolysis of RbCl and corrosion of the target shell by nascent chlorine. Another process is also known to produce radiostrontium [see B. L. Zhuikov, V. M. Kokhanyuk, V. N. Gluschenko, et al., Radiokhimiya, 1994, vol. 36, pp. 494-498; B. L. Zhuikov, V. M. Kokhanyuk, N. A. Konyakin, A. A. Razbash, J. Vincent, Proc. 6th Workshop on Targetry and Target Chemistry, Vancouver, Canada, 1995, TRIUMF, Vancouver, 1996, Ed. by J. M. Liuk, T. J. Ruth, p. 112; D. R. Philips; E. J. Peterson, W. A. Taylor, et al., J. Radiochim. Acta, vol. 88, pp. 149-155], this process comprising the bombarding of a target made of metallic rubidium having a weight of up to 50 g with a beam of accelerated particles and the radiochemical extraction of radiostrontium therefrom by means of dissolution of the metallic rubidium in an alcohol, conversion of the products to an aqueous solution of chlorides, and ion exchange. The high heat conductance of metallic rubidium makes it possible to bombard thick targets with intense beams of particles, rendering this process efficient for producing large amounts of 82Sr (in Ci units). The shortcoming of this process consists in the complexity, length, and hazard of the radiochemical extraction of radiostrontium. In the context of a feasibility of a large-scale radiostrontium production from far bulkier metallic rubidium targets in a broad high-intensity beam, this approach seems even unrealistic. The most pertinent piece of prior art for the invention consists of the process for producing radiostrontium [see B. L. Zhuikov, V. M. Kokhanyuk, J. Vincent, patent RU 2102808 C1, 1998] comprising the bombarding of metallic rubidium targets with a beam of accelerated charged particles, melting of the irradiated rubidium, and the extraction of radiostrontium therefrom via sorption on the surface of various metals or oxides which are immersed into the molten metallic rubidium. The major drawback of this process consists in that a considerable part of the radiostrontium formed in this way is lost, being sorbed on the walls of the container to which radiated rubidium is transferred and on the inner surface of the target shell, specifically, when high-intensity beams are used for bombarding. For instance, for proton currents on the order of 0.5 to 1 μA, the inner surface of the target shell sorbs 10 to 30% of the resulting radiostrontium; when the current intensity increases, this percentage loss reaches 50 to 70%. The problem to be solved by the invention is to separate radiostrontium from a great pool of liquid metallic rubidium via sorption directly on the inner shell of the target, or extract radiostrontium from circulating rubidium via sorption on a heated surface, or via filtration of liquid rubidium, thereby enhancing the efficiency of radiostrontium production and simplifying the technology. The technical result is reached as follows: in the process for the production of radiostrontium comprising the bombarding, by an accelerated particle beam, of a target containing metallic rubidium enclosed in a target shell, melting of the rubidium inside the target shell after bombarding, and extraction of radiostrontium therefrom via sorption on the surface of various materials contacting with the liquid rubidium, radiostrontium is extracted from the liquid metallic rubidium via sorption directly on the inner shell surface of the irradiated target by means of exposure of the hermetically sealed target at temperature of 275 to 350° C. Useful shell materials represent stainless steel, tantalum, niobium, tungsten, molybdenum, nickel, or noble metals. Further, the metallic rubidium is pumped from the target to leave 96±4% radiostrontium sorbed on the inner surface of the target shell. Then, the radiostrontium may be solubilized by pouring into the target various solvents, for example, organic alcohols, water, and/or aqueous solutions of mineral acids, and others. The simplest and most technological way to accomplish washing is first with water and then with mineral acids. Another variation of the invention consists in that, as the working body, use is made of liquid rubidium which is circulating during irradiation through a closed loop equipped with a trap. There are two methods for extracting radiostrontium. One method consists of radiostrontium sorption on the surface of metallic rods heated to 220 to 350° C. and immersed into liquid rubidium, for example, on the surface of metallic rods in a trap, these rods being made of stainless steel, tantalum, niobium, titanium, zirconium, tungsten, molybdenum, nickel, or precious noble metals. The temperature of the rubidium circulating through the loop is maintained in the range of 10 to 220° C., and the content of oxygen in the rubidium does not exceed 3% by weight. The other method extracts radiostrontium sorbed on sol particles (a solid phase) contained in the liquid rubidium, by means of a filter, this filter being a porous membrane made of, for instance, a metal that is inert with respect to rubidium, the oxygen content of the circulating rubidium being maintained in the range of 0.1 to 4.0% by weight via adding oxygen or rubidium. The temperature is selected from the range of 10 to 38° C. so that a certain ratio of the solid and liquid phases to be maintained. Next, radiostrontium is washed from the surface of the rods or filter with organic alcohols, water, and/or aqueous solutions of mineral acids. This variation allows radiostrontium to be extracted from rubidium pools weighing kilograms with simultaneous bombarding thereof by a beam of accelerated high-intensity protons (of several hundreds of microamperes). In oxygen-containing rubidium, oxygen can occur (depending on its concentration) in the form of either dissolved species or rubidium oxide colloidal particles. The radiostrontium generated by the bombarding occurs in rubidium in the form of a true solution or is sorbed on the surface of rubidium oxide colloidal particles. Depending on the oxygen percentage content, the colloidal particles will either dissolve in rubidium or coarsen and precipitate in response to rising temperature. Table 1 shows the radiostrontium distribution in rubidium along the height of a vertically positioned container which represents a glass cylinder having an inner diameter of 25 mm to which irradiated rubidium was transferred from the target shell. The radiostrontium concentration is expressed as the Sr activity at the end of bombarding per unit weight of irradiated rubidium. One can see that most radiostrontium precipitates together with rubidium oxide particles. Some radiostrontium is concentrated near the liquid rubidium surface which is in contact with the gas where oxygen is contained in a greater amount. Thus, for a certain concentration and for a certain size of colloidal particles that is determined by apparatus parameters, strontium can be transported with liquid rubidium avoiding considerable precipitation on the inner surfaces of parts of the loop. TABLE 1Radiostrontium distribution in irradiated rubidium along the height of avertically positioned containerZone1234567891011Container zone0-1010-2020-3030-4040-5050-6060-7070-8080-9090-100100-110height, mm(bottom)Radiostrontium16.910.56.943.612.162.222.242.042.012.276.40concentration, μCi Table 2 displays the distribution of radiostrontium sorbed on the inner surface of the target shell shown in FIG. 1, along the target height after irradiated rubidium was removed. In FIG. 1, reference numbers 1 through 8 denote strontium sorption zones, and position 9 denotes the cavity of the target shell filled-in with rubidium. TABLE 2Distribution of remnant radiostrontium after irradiated rubidium was pumpedout, over the inner surface of the target shellZone12345678TotalTarget zone height,0-2424-3535-4646-5656-6565-7474-8585-115mmZone volume,2355555535mlRadiostrontium29.526.717.16.52.74.93.85.296.4fraction, % Radiostrontium was sorbed on the inner target shell surface that was in contact with rubidium. From Table 2, it follows that most part of the radiostrontium was concentrated in the lower portion of the target on the surface of precipitated rubidium oxide particles, while the other part was distributed over the entire inner target shell surface. FIG. 2 shows the degree of radiostrontium sorption on the inner surface of the irradiated target (FIG. 1) as a function of stepped temperature elevation; the heating time at each temperature is 3 h. At a relatively low temperature (of about 100° C.), adsorption is a reversible process; at 275° C. or above, there is a rather complete radiostrontium sorption, evidently as a result of the dissolution of rubidium oxide colloidal particles. FIG. 3 represents radiostrontium sorption as a function of time of heating the irradiated target at 275° C. In 3 h of heating, about 95% of the radiostrontium is sorbed on the inner target shell surface. Once sorption is over, liquid metallic rubidium is removed from the target and radiostrontium is washed with a solvent from the inner target shell surface. Table 3 shows the efficiency of radiostrontium washing with a solvent from the surface for targets of various volumes. TABLE 3Results obtained on consecutive washing of radiostrontiumout from the surfaces of steel target shells (sorption lasted 3 h)Liquid compositionSurface treatment timeRadiostrontium washing, %Small target (13 ml)Butanol10 min71 ± 1Methanol10 min 3 ± 10.1 M HCl10 min25 ± 1TOTAL:  99−2+1Small target (13 ml)Propanol10 min65 ± 2Distilled water10 min28 ± 2TOTAL:93 ± 2Small target (13 ml)0.1 M HCl15 min>99Large target (35 ml)0.5 M HCl30 min92 ± 20.5 M HCl30 min 7 ± 10.5 M HCl30 min <0.5TOTAL:>99.5 The process proposed for the production of radiostrontium makes it possible to organize continuous production. FIG. 4 shows a schematic representation of a setup proposed for the continuous production and extraction of 82Sr from a liquid metallic rubidium target. Here, rubidium is circulating through the loop that comprises a continuously bombarded target 1 in a stainless steel shell and a trap 2 for the adsorptive extraction of Sr. The loop is equipped with an induction pump 3 for pumping liquid rubidium, a flow rate monitoring system 4, and a rubidium purity monitoring system 5 (standard solid-electrolyte pickups). The temperature of liquid rubidium in the loop is maintained within the range from 10 to 220° C. The rubidium melting temperature is 39° C., but it shifts down at a certain concentration of dissolved oxygen. The oxygen concentration in liquid metallic rubidium should not exceed 3% by weight in order for rubidium oxide precipitation to be inhibited. For this purpose, a means 6 is provided in the loop system for replenishing with metallic rubidium having a certain oxygen concentration. The trap 2 for radiostrontium equipped with a thermostat 7 is mounted inside a hot chamber 8 filled with an inert atmosphere. Sorbing rods 9 are heated by means of a heat conductor or built-in heaters for providing better radiostrontium sorption at temperatures of 220 to 350° C., and there is an option of heating central rods alone to minimize adsorption on the walls of the trap. A vertically positioned filter (a thin smooth metallic membrane 10) is also useful as a sorbing unit, as shown in FIG. 5, the membrane continuously filtering metallic rubidium and retaining radiostrontium-containing sol particles. In this case, the oxygen content of the circulating rubidium is maintained in the range of 0.1 to 4.0% by weight. Temperatures in various parts of the loop are selected from the range 10 to 38° C. so that to maintain a certain ratio between the solid and liquid phases. The sorbing units 9 (FIG. 4) and 10 (FIG. 5) are periodically withdrawn (optionally, even without arresting the beam and rubidium circulation). In an adjacent hot chamber, the withdrawn sorbing unit is washed with water and a solution (e.g., HCl) and dried to be then returned to the trap. The washes containing 82Sr are forwarded to further processing to produce the final product. Further secondary refining of the extracted radiostrontium to free it from radionuclides and stable impurities is carried out by known radiochemical methods [see B. L. Zhuikov, V. M. Kokhanyuk, N. A. Konyakin, A. A. Razbash, J. Vincent, Proc. 6th Workshop on Targetry and Target Chemistry, Vancouver, Canada, 1995, TRIUMF, Vancouver, 1996, Ed. by J. M. Liuk, T. J. Ruth, p. 112; D. R. Philips, E. J. Peterson, W. A. Taylor, et al. // Radiochim. Acta, 2000, vol. 88, pp. 149-155]. For the better understanding of the claimed process for the production of radiostrontium, some specific examples are given hereinbelow. A target containing 53 g of metallic rubidium was bombarded by a proton beam of 62 for 2 hours in the proton energy range of from 100 to 40 MeV. After two-week exposure, the target was heated at 275° C. for 5 hours and then cooled, after which irradiated rubidium was withdrawn from the shell at 46° C. 97.5% of the radiostrontium was found to remain on the inner surface of the shell. Then, radiostrontium was washed layer by layer from the inner surface of the shell, which is schematically shown in FIG. 1, with a 0.5 M HCl solution. The layer-by-layer washing was carried out by pouring the solution, each portion of the solution having a greater volume than the preceding one (first to reach the boundary of zone 1, then the boundary of zone 2, and so on). After pouring each portion, the poured solution was exposed for one hour and then pumped out. The radiostrontium distribution along the height of a large target obtained in this manner (Table 2) shows that most part of the radiostrontium is concentrated in the lower portion of the target on the surface of particles of rubidium oxide that has been first precipitated and then dissolved at higher temperature; the other part is distributed over the entire target shell surface. Next, all solution portions were combined. Comparison of radionuclide concentrations in the irradiated rubidium target and in the combined 0.5 M HCl solution demonstrates the selectivity of radiostrontium sorption (Table 4): purification occurs not only from rubidium but also simultaneously from selenium and arsenic isotopes. TABLE 4Radionuclides contained in an irradiated rubidium target andin a 0.5 M HCl solution obtained by washing radiostrontiumfrom the inner surface of the target shell, as calculated for theend of irradiationRadionuclide composition, Bq/Bq 82Sr83Rb84Rb86Rb75Se74AsIrradiated1.32.41.2  7-10−38-10−3rubidium targetRadiostrontium0.0140.0240.0142.5-10−38-10−4solutionPurification factor90-100310 A 50-g portion of metallic rubidium was placed in a target inside an air-tight shell made of stainless steel and bombarded with a proton beam of 0.5 μA for 1 hour in the proton energy range of from 100 to 40 MeV. After one-week exposure, the target was heated to 47±2° C., and then irradiated rubidium was withdrawn from the shell under a nitrogen atmosphere. 33% of the radiostrontium was found to remain on the inner surface of the shell. Another target containing 53 g of metallic rubidium was bombarded with a proton beam of 70 μA for 5 hours in the proton energy range of from 100 to 40 MeV. After one-week exposure, the target was heated to 46±2° C., then irradiated rubidium was withdrawn from the shell under a nitrogen atmosphere, and 64% of the radiostrontium was found to remain on the inner surface of the shell. This example shows that, at a relatively low temperature, radiostrontium sorption on the inner shell of the target is not so efficient compared to 275° C. as in Example 1. A target containing 52 g of metallic rubidium was bombarded with a proton beam of 50 μA in the proton energy range of from 100 to 40 MeV. The overall proton charge amounted to 960 μA h. After three-week exposure, the target was placed in a furnace and heated at 320° C. for 3 hours. Then, the target was cooled to 80° C. The target was opened under an argon atmosphere, and metallic rubidium was pumped out therefrom. Radiostrontium sorbed on the inner surface of the target shell which was made of stainless steel, and was withdrawn by filling-in the target with a 0.5 M HCl solution and allowing it to stand for 1 hour. Then, the solution was pumped out from the target, and the step of washing radiostrontium from the inner target shell surface was repeated. Both portions were combined, and secondary refining of the radiostrontium was carried out. Radionuclide impurities and stable impurities, such as 75Se, 74As, iron, nickel, and chromium, were removed on Chelex-100, Dowex 1×8, and Dowex 50×8 ion-exchange resins. The total Sr yield was 98 to 99%; radionuclide purity >99.9%. Rubidium withdrawn from an irradiated target and containing 3.5% of oxygen was analyzed for the content of colloidal particles via measuring radiostrontium along the height of a vertically positioned glass container (Table 1). Following this, liquid rubidium which contained radiostrontium sorbed on colloidal particles, was stirred (for leveling out colloidal particle concentrations over the volume) and passed through a porous filter made of an inorganic material of titania (porous granules having diameters of 0.2 to 0.4 mm) at 30° C. Practically complete (>98%) extraction of radiostrontium from liquid rubidium was reached. Thus, use of the present invention enhances the efficiency of radiostrontium production and simplifies radiostrontium extraction technology on account of carrying out radiostrontium sorption from liquid metallic rubidium directly on the inner shell surface of an irradiated target. Irradiated metallic rubidium removed from the target may be reused in radiostrontium production. Where rubidium circulating in the loop is bombarded, the process as claimed allows radiostrontium to be extracted either on the surface of materials immersed into liquid rubidium or on a porous membrane filter.
042788927
abstract
A unitarily cast receptacle for the storage of radioactive wastes consists of cast iron, especially spherolitic cast iron, or cast steel, and has upright walls defining a chamber in which the radioactive waste is stored above a base unitary with these walls. A cover is recessed in the receptacle and, according to the invention, is overlain by a safety cover which fits within an annular recess defined by a welding lip formed unitarily on the receptacle and defined at the upper end thereof by an upwardly open annular groove. The safety cover, which overlies the shielding cover which can be of the plug type, may have a counterlip which is likewise defined by an upwardly open annular groove.
description
Earth formations may be used for various purposes such as hydrocarbon production, geothermal production and carbon dioxide sequestration. The earth formations are typically accessed by drilling boreholes that penetrate the formations or reservoirs in the formations. In order to efficiently use expensive resources for drilling and production, it is important for petro-analysts and engineers to acquire accurate and detailed information related to the earth formations of interest. One way to acquire the needed information is by performing measurements with tools or instruments that are conveyed through a borehole such as for example by a wireline, referred to as wireline logging, or by a drill string, referred to as logging-while-drilling (LWD) or measurement-while-drilling (MWD). In wireline logging, the tool may be required to operate in a borehole filled with drilling mud or other fluids. In LWD and MWD applications, the tools or instruments are attached to a drill string that is used to drill a borehole. The measurements are generally performed while the drill string rotates to turn a rock disintegrating device. Challenges may arise due to effects of the borehole fluids in wireline logging and drill string operation in LWD and MWD. Hence, improvement in the accuracy of wireline, LWD or MWD measurements would be well received in the drilling and production industries. Disclosed is an apparatus for providing a signal indicative of a property of an earth formation. The apparatus includes: a carrier configured to be conveyed through a borehole penetrating the earth formation; a neutron source disposed on the carrier and configured to emit neutrons into the earth formation; a radiation detector disposed on the carrier and configured to detect radiation from the earth formation due to interaction of emitted neutrons with the earth formation and to provide the signal indicative of the property; and a radiation detector neutron shield configured to shield the radiation detector from emitted neutrons that did not interact with the earth formation; wherein the radiation detector shield includes a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm that includes Li and/or Boron and a rare-earth element that have positions in the periodic crystal structure of each nano-crystallite. Also disclosed is a method for providing a signal indicative of a property of an earth formation. The method includes: conveying a carrier through a borehole penetrating the earth formation; emitting neutrons into the earth formation using a neutron source disposed on the carrier; detecting radiation from the earth formation due to interaction of emitted neutrons with the earth formation using a radiation detector configured to provide a signal indicative of the property; shielding the radiation detector from emitted neutrons that did not interact with the earth formation using a radiation detector neutron shield, wherein the radiation detector shield includes a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm that includes Li and/or B and a rare-earth element that have positions in the periodic crystal structure of each nano-crystallite. A detailed description of one or more embodiments of the disclosed apparatus and method is presented herein by way of exemplification and not limitation with reference to the figures. Disclosed is a downhole tool that is shielded from neutrons using a shield made of a glass ceramic material having rare earth elements in nano-crystallite form. In one or more embodiments, the down-hole tool may be configured for performing measurements of an earth formation. The downhole tool may include a neutron source, neutron detector (or detectors) and a neutron shield. The neutron shield is placed in proximity of the neutron detector, such as for example a lithium glass or glass-ceramic based neutron detector, for elimination of neutrons scattered by construction elements of a well or drilling system. The teachings herein disclose the use as a shield of a nanostructured glass ceramic material possessing high stopping power to scattered neutrons. Radiation detectors sensitive to gamma-rays may also be shielded from “parasitic” counts due to neutrons rather than gamma-rays coming from an earth formation being characterized. For example, neutron porosity tools may include gamma-ray sensitive detectors in order to provide the user with a wider range of measurements. Other types of gamma-sensitive sensors may also be shielded by this shield. The nanostructured glass ceramic contains atoms of elements, such as boron, lithium and rear earth elements, with high cross-section of interaction with neutrons in the energy range from thermal and epithermal neutrons to at least resonance neutrons. Such elements are packed into high-density ceramic body, and their combinations are selected in order to reduce the level of gamma radiation background originating from neutron radiative capture in the neutron shield and ultimately reduce the unwanted increase of background count rate of the neutron detector. The term “nano-structured glass ceramic material” relates to a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm that includes a rare-earth element that has positions in the periodic crystal structure of each nano-crystallite. U.S. patent application Ser. No. 14/484,581 filed Sep. 12, 2014 discusses glass ceramic-based neutron detectors and techniques for fabricating glass-ceramic based materials and is incorporated by reference herein in its entirety. Apparatus for implementing the disclosure is now discussed in more detail. FIG. 1 illustrates a cross-sectional view of an embodiment of a drilling system 14 configured to drill a borehole 2 penetrating the earth 3, which may include a formation 4. The borehole may also be referred to as a well. The formation 4 may include a reservoir of hydrocarbons that the borehole 2 is designed to access. A downhole tool 10 is conveyed through the borehole 2 by a carrier 5, which can be a drill string 6. In alternative embodiments, the carrier 5 may be an armored wireline for wireline logging. A drill bit 7 is disposed at the distal end of the drill string 6. A drill rig 8 is configured to conduct drilling operations such as rotating the drill string 6 and thus the drill bit 7 in order to drill the borehole 2. In addition, the drill rig 8 is configured to pump drilling fluid (or mud) though a mud channel in the drill string 6 in order to lubricate the drill bit 7 and flush cuttings from the borehole 2. The downhole tool 10 is configured to perform measurements of one or more properties of the formation 4. In order to perform the measurements, the downhole tool 10 includes a neutron source 11 such as a pulsed neutron generator that is configured to electronically emit a pulse of neutrons into the formation. The tool 10 also includes a radiation detector 9, such as a neutron detector 12, which is configured to detect or measure radiation resulting from an interaction of the neutrons with atoms of the formation 4. In other embodiments, the radiation detector 9 may be a gamma-ray detector 13. Downhole electronics 15 are configured to operate the downhole tool 10, process data acquired by the tool 10, and/or act as an interface with telemetry for transmitting data to or receiving commands from a surface computer processing system 16. Some or all tool operating and/or data processing functions may be performed by the surface computer processing system 16. The nano-structured glass ceramic-based neutron shield 17 may be used to shield the downhole electronics 15 from neutrons that may cause a degradation of the electronics and affect their operability. The nano-structured glass ceramic-based neutron shield 17 also shields and the radiation detector 9 from neutrons that are not intended to be detected such as neutrons scattered by well structures or drilling system structures such as drill string structures or mud in the mud channel. In general, the shield 17 for shielding the radiation detector 9 includes an opening 18 in which there is no shielding or a reduced thickness of shielding compared to the shielding 17 not at the opening 18. The opening 18 is configured to receive neutrons from the formation 4 after these neutrons have interacted with the atoms of the formation 4. The neutron shield 17 may include an electrical penetration 19 for connecting an electrical conductor to the radiation detector 9 and/or the downhole electronics 15. In one or more embodiments, the electrical penetration 19 is formed by inserting an electrical conductor into the glass ceramic material when the glass ceramic material is in a molten state. Using the data acquired by the downhole tool 10, one or more properties of the formation 4, such as porosity, density or boundary locations, may be estimated using algorithms known in art and implemented by a processor such as in the surface computer processing system 16. Further aspects and advantages of the disclosure are now discussed. Use of neutron generators in well logging unavoidably results in scattering by construction elements of a well and/or drilling system of some portion of generated neutrons. Conventional neutron detectors which are based on neutron reactions with 3He, 6Li and 10B cannot distinguish the neutrons scattered by the construction elements from “useful” neutrons scattered by the formation. As a result, “useful” detection spectrum, produced from formation, becomes distorted and measurement errors of hydrocarbons in the formation result. Thus, cut-off of thermal, epithermal and higher energy neutrons from the borehole and construction elements is a desired objective in well logging and downhole measurements. In a down-hole detector based on Li-6 glass or glass-ceramic material, the gamma energy equivalent of the neutron absorption peak is in the range of 1.5-1.8 MeV. Due to this, all gamma quanta emitted from the neutron shield with energies larger than 1.5 MeV will contribute to the neutron detector “useful” neutron peak due to pedestal from Compton scattering events. Gamma-lines from (n,γ) radiative capture reactions with energies close to the neutron peak energy equivalent will distort the shape of “useful” neutron peak. Gamma-lines with energies much higher than 1.5 MeV will give rather flat and low-intensity pedestal from Compton scattering events only. Gamma-lines with energies lower than 1.5 MeV will generally not influence “useful” neutron peak (besides possible coincidences at very high-count rates). The neutron shield 17 is configured to provide directional sensitivity of the neutron detector (or radiation detector) to increase precision and accuracy of the formation characterization through reducing the reading from borehole-scattered neutrons and non-formation-scattered neutrons as illustrated in the top view in FIG. 2. The neutron shield 17 may be made up of a plurality of neutron shield sections 27 to form a desired geometry. The sections 27 may be connected together by an adhesive 28 and/or a mechanical fastener 29 such as threaded connector. Alternatively, the neutron shield 17 may be formed as one monolithic piece of glass ceramic material. The neutron shield 17 when shielding a radiation detector may be referred to as a radiation detector neutron shield. As illustrated in FIG. 2, a mud channel provides for drilling fluid to flow through the interior of the drill string. The drilling fluid and/or the mud channel may also scatter neutrons emitted by the neutron source, so the neutron shield may be configured to shield against the neutrons scattered by those non-formation elements. In wireline logging applications, neutrons may be scattered by the drilling mud or fluid in the borehole surrounding the wireline logging tool and/or by well construction elements. FIG. 3 illustrates an example of when a rare-earth neutron shield made of cerium is irradiated by a neutron flux of high energy neutrons. A gamma line with energy of ˜1.6 MeV is close to the energy equivalent of the neutron detector “useful” neutron peak from a 14 MeV neutron generator. This gamma line may distort the shape of the output of the neutron detector and add a significant background pedestal. This example and other examples disclosed herein were generated by a simulation using GEANT4 software where N equals the number of incident neutrons in the simulation. In these examples, N=1×107. FIG. 4 illustrates an example of when a rare-earth neutron shield made of gadolinium (Gd) is irradiated by a neutron flux of high energy neutrons. Gamma lines with energies in the range of 1.5-4 MeV result from the irradiation and may distort “useful” neutron peak shape and add significant background pedestal. FIG. 5 illustrates an example of when a rare-earth neutron shield made of samarium (Sm) is irradiated by a neutron flux of high energy neutrons. Gamma lines with energies below 1.5 MeV result from the irradiation and will not distort “useful” neutron peak shape or add background pedestal. FIGS. 6, 7 and 8 illustrate examples of responses of neutron shields made of Europium (Eu), Neodymium (Nd) and ytterbium (Yb), respectfully, due to irradiation by a neutron flux of high energy neutrons. In general, to protect a down-hole neutron detector from unwanted neutrons scattered by construction elements of a well or drilling system, non-gamma-radiative nuclear reactions are preferable, e.g. (neutron, alpha-α). Alpha particle (or triton), produced after neutron absorption, can be completely absorbed by thin neutron shielding. For thermal neutrons, the most commonly used reactions are 6Li(n,α) T and 10B(n,α)7Li. In the reaction with 6Li no gamma-quanta emits, in the reaction with 10B Eγ=0.48 MeV only. Also, neutron radiation from neutron generators used in well logging brings unwanted additional radiation load to the electronic components of a down-hole tool. Contemporary neutron pulse generators produce more than 108 neutrons per pulse, and their pulse rate may vary from 1 to 104 pulses per second in one or more embodiments. At neutron generator count rates higher than 1012 neutron per second, or up to 1016 in an hour, the problem of down-hole tool electronics radiation hardness and stability arises. A neutron full-absorbing material, without emission of energetic (and better none) gamma quanta and charged particles to outside the material (i.e., shield), is a necessary option to protect down-hole tool electronics. From these points of view, an ideal neutron shield for a down-hole tool should be made of a non-gamma-radiative moderator material for fast or resonance neutrons with high scattering cross section (or at least a material showing the most intense lines with Eγ<1.5 MeV due to (n,γ) radiative capture reactions), and be combined with an efficient absorber of thermal and epithermal neutrons based on (n,α) reaction. This means that such material should be either layered material or composite using prior art technology. Unfortunately, 10B and 6Li cannot be produced or practically used as metal screens or foils. As disclosed herein, glass ceramic neutron shielding approach resolves this problem. Glass ceramics based on Li—Si-RE or Li—B-RE glass (lithium or boron glass) systems with Rare-Earth (RE) elements are able to combine B, Li, Gd, Eu, Sm etc. elements in compactly-packed high density (>4 g/cm3) glass ceramic body, which is thermally stable and rugged for down-hole use. Table 1 summarizes thermal neutron absorption cross sections (c.s.) σn,γ and resonance integrals Iγ, measured for some rare earth elements in natural composition and Li and B isotopes after Cd filter, from 0.5 eV to ∞. TABLE 1Elementσn, γIγCommentSm58001400Er162740Eu46006320expensiveGd49000390strong gammaYb37182high scattering c.s.Nd5145high scattering c.s.Li 940 (6Li) 425 (7Li)B3840 (10B)1722 (10B) In one or more embodiments, glass or glass ceramic neutron shielding may combine such elements as Sm, Yb or Nd, 6Li or 10B. Eu is generally better than Sm, but can be too expensive. Gd is better too, but generally produces too many gammas. Several glass compositions allowing high density of the resulting glass can be considered. Among them, those which allow production of nanostructured glass ceramics by heat treatment are preferred. There are several compositions of stoichiometric compounds, namely: BaO—SiO2 with the mol. ratio (1:1, 2:3, 1:2); a composition of BaO and SiO2 with mol. ratio 1:2 with addition of CeO2 as an excess to composition allows production of glass or glass ceramics; and a composition of BaO and SiO2 with mol. ratio 2:3 with addition of CeO2 as an excess to composition has the melting temperature close to the composition of BaO and SiO2 with mol. ratio 1:2. Barium (Ba) is one example of a glass body forming element. Density of the glass ceramic obtained from composition 2:3 is larger than 3.7 g/cm3, whereas density of the glass ceramic obtained from composition 1:2 does not exceed 3.5 g/cm3. Both compositions allow an addition of rare earth ion oxides as an excess to composition, however, incorporation of sufficient amount of rare earth ions or their combination into the composition requires introduction of modifying ions, which are, as a rule, light species, such as Na, Mg, Ca etc. Further increase of the glass ceramic density and increase of neutron absorption ability is achieved by admixture of stoichiometric composition of RE2O3 and SiO2 with 1:1 mol. ratio to the composition of 2:3 mol. ratio of BaO and SiO2. RE means the sum of oxides Sm2O3, Er2O3, Yb2O3, Nd2O3. As an example, sum of oxides Sm2O3, Er2O3, Yb2O3, Nd2O3 is obtained with a partial molar concentration (weight %) 41.65(40); 23.73(25); 18.44(20); 16.19(15) in the sum, respectively. Glass ceramics obtained from these compositions have density higher than 4 g/cm3. Further increases of the temperature of the glass working are achieved by use of the composition of oxides and fluorides of RE. Resulting glass ceramic is oxy-fluoride glass. Starting composition to prepare glass ceramics can be made by several ways: mechanical mixture of the chemicals and sol-gel approach. Sol-gel approach is a preferable procedure for the oxy-fluoride composition preparation. The sol-gel process is a wet-chemical technique (also referred to as chemical solution deposition) used in the fields of material science and ceramic engineering primarily for the fabrication of materials such as a metal oxide starting from a chemical solution (sol), which acts as the precursor for an integrated network (or gel) of either discrete particles or network polymers. Nano-structuring of the glass ceramics allows the increase in density of the glass ceramics. Thermal treatment of the glass ceramics obtained from the admixture of stoichiometric composition of RE2O3 (RE here means the sum of oxides Sm2O3, Er2O3, Yb2O3, Nd2O3) and SiO2 with 1:1 mol. ratio to the composition of 2:3 mol. ratio of BaO and SiO2 at a temperature in the range 800-900° C. launches crystallization of plurality of crystallites in the body of the glass ceramic. X-ray diffraction (XRD) measurements have identified several types of the crystallites in the material namely: BaSi2O5, RE2Si2O7, RE2O3, Ba3Si5O13, and BaRE2Si3O10. Creation of nanocrystallites leads to compacting of the glass ceramic and results in a commensurate increase of the density of the glass ceramic. Two hours of annealing of the glass ceramic having the composition described above at 830° C. increases density from 3.92 g/cm3 to 4.12 g/cm3 where as the density is increased to 4.5 g/cm3 at the same duration annealing at 870° C. Increasing the annealing temperature to above 1100° C. or increasing the duration of the annealing leads to creation of the microcrystallites. Because microcrystallites have different crystal structure and different symmetry of the crystal structure, their creation inside the glass is correlated with creation of pores and other inperfections. Microceramics which contain a plurality of microcrystallites of different structure have decreased density due to increased pore size and deteriorated mechanical properties. Boron ions similar to silica ions also create glass ceramics. Several glass compositions allowing a large fraction of RE in the resulting glass can be considered. Among them, those which allow producing glass ceramics at treatment by heating are preferable. There are several compositions creating stoichiometric compounds of RE and B ions allowing crystallization, namely: YAl3(BO3)4. Compounds with above formula have the structure of huntite and can be produced in a crystalline and glass ceramic form. Density of the glass ceramic obtained from stoichiometric composition is greater than 3.4 g/cm3. To increase density and reach needed neutron absorption properties, yttrium in the composition can be substituted by set of RE. Aluminium (Al) ions also can be substituted by gallium Ga ions. RE here means the sum of rare-earth oxides Sm2O3, Er2O3, Yb2O3, Nd2O3. As an example, sum of oxides Sm2O3, Er2O3, Yb2O3, Nd2O3 is with partial molar concentration in percent (%) and (weight % in parenthesis) 41.65(40); 23.73(25); 18.44(20); 16.19(15) in the sum, respectively. (Partial molar concentration relates to the relative concentration of different molecules in a selected volume. Weight percent relates to the ratio of weight of different molecules with respect to the total weight of the molecules in a selected volume.) Glass ceramics obtained from these compositions have a density more than 3.9 g/cm3. Various embodiments of glass ceramic materials used for the neutron shield are now disclosed. In one or more embodiments, the neutron shield or absorption screen (screens) is made of glass ceramic containing the composition of 10B, 6Li and oxides, Sm2O3, Er2O3, Yb2O3, Nd2O3. In one or more embodiments, the neutron shield or absorption screen (screens) is made of glass ceramic containing the composition of 10B, 6Li and mixture of RE oxides Sm2O3, Er2O3, Yb2O3, Nd2O3 with partial molar concentration in percent (weight %), 41.65(40); 23.73(25); 18.44(20); 16.19(15), respectively. In one or more embodiments, the neutron shield or absorption screen (screens) is made of glass ceramic containing the composition of a natural B and oxides, Sm2O3, Er2O3, Yb2O3, and Nd2O3. (Natural boron relates to the mixture of boron isotopes present in nature.) In one or more embodiments, the neutron shield or absorption screen (screens) is made of glass ceramic containing the composition of natural B and a mixture of RE oxides Sm2O3, Er2O3, Yb2O3, Nd2O3 with partial molar concentration in percent (weight %), 41.65(40); 23.73(25); 18.44(20); 16.19(15), respectively. In one or more embodiments, the neutron shield or absorption screen (screens) is made of glass ceramic containing the composition of 10B and RE oxides, Sm2O3, Er2O3, Yb2O3, Nd2O3. In one or more embodiments, the neutron shield or absorption screen (screens) is made of glass ceramic containing the composition of 10B and mixture of RE oxides Sm2O3, Er2O3, Yb2O3, Nd2O3 with partial molar concentration (weight %), 41.65(40); 23.73(25); 18.44(20); 16.19(15), respectively. FIG. 9 is a flow chart for a method 90 for performing a downhole measurement. Block 91 calls for conveying a carrier through a borehole penetrating the earth formation. Block 92 calls for emitting neutrons into the earth formation using a neutron source disposed on the carrier. In one or more embodiments, the neutron source is an electronic-pulsed neutron source that emits a pulse of neutrons for a selected time duration. Block 93 calls for detecting radiation from the earth formation due to interaction of emitted neutrons with the earth formation using a radiation detector configured to provide a signal indicative of the property. In one or more embodiments, the radiation detector is a neutron detector configured to detect (inclusive of measuring) neutrons and/or a gamma-ray detector configured to detect gamma-rays. Block 94 calls for shielding the radiation detector from emitted neutrons that did not interact with the earth formation using a radiation detector neutron shield, wherein the radiation detector shield includes a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm that includes Li and/or B and a rare-earth element that has positions in the periodic crystal structure of each nano-crystallite. External to the nano-crystallites is amorphous material. This amorphous material contains all the same elements that are in the nano-crystallites including the rear-earth ones. The method 90 may also include shielding downhole electronics disposed on the carrier and coupled to the radiation detector from neutrons emitted by the neutron source using a downhole electronics neutron shield, the downhole electronics neutron shield comprising a glass ceramic material having a glass ceramic material that includes a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm and includes a rare-earth element that has positions in the periodic crystal structure of each nano-crystallite. The method 90 may also include selecting one or more rare-earth elements for inclusion in the nano-crystallites so that there are no resonance gamma-rays in a gamma-energy range of interest when the gamma-ray detector 13 is used for measurements. The corresponding advantage is to prevent resonance gamma-rays from interfering with measurement of gamma-rays received from the earth formation. The resonance gamma-rays are those gamma-rays having one or more energy peaks as illustrated in FIGS. 3-8 for various rare earth elements. In one or more embodiments, the energy range of gamma-rays to be avoided is the energy range of interest of the gamma-ray detector such as, for example, from 100-200 keV up to at least 3 MeV. In one or more embodiments, the energy range of interest of the gamma-ray detector is 1.5 MeV and above to as high as about 8 MeV because as discussed above gamma-lines with energies lower than 1.5 MeV will generally not influence the “useful” neutron peak for measurements of interest. The neutron shield disclosed herein has several advantages. One advantage is that the shield material has a higher density than prior art neutron shields and is thus more effective at absorbing neutrons. Consequently, downhole measurements using sensors shielded by the disclosed neutron shield are generally more focused on the elements being sensed without interference from neutrons not of interest, thereby resulting in more accurate measurements. Another advantage is that downhole electronics or other components subject to degradation by neutron irradiation may also be shielded by the disclosed neutron shield to provide continued operation without the effects of degradation. Set forth below are some embodiments of the foregoing disclosure: An apparatus for providing a signal indicative of a property of an earth formation, the apparatus comprising: a carrier configured to be conveyed through a borehole penetrating the earth formation; a neutron source disposed on the carrier and configured to emit neutrons into the earth formation; a radiation detector disposed on the carrier and configured to detect radiation from the earth formation due to interaction of emitted neutrons with the earth formation and to provide the signal indicative of the property; and a radiation detector neutron shield configured to shield the radiation detector from emitted neutrons that did not interact with the earth formation; wherein the radiation detector shield comprises a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm that includes Li and/or Boron and a rare-earth element that have positions in the periodic crystal structure of each nano-crystallite. The apparatus according to claim 1, wherein the radiation detector shield defines an opening configured to admit the radiation from the earth formation due to interaction of emitted neutrons with the earth formation. The apparatus according to claim 1, further comprising: downhole electronics disposed on the carrier, coupled to the radiation detector and configured to process the signal indicative of the property to estimate the property; and a downhole electronics neutron shield configured to shield the downhole electronics from neutrons emitted by the neutron source; wherein the downhole electronics shield comprises a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm and includes a rare-earth element that has positions in the periodic crystal structure of each nano-crystallite. The apparatus according to claim 3, wherein at least one of the detector neutron shield and the downhole electronics neutron shield comprises a plurality of sections. The apparatus according to claim 4, where the plurality of sections is connected by at least one of an adhesive and a mechanical fastener. The apparatus according to claim 1, wherein the radiation detector comprises a neutron detector. The apparatus according to claim 1, wherein the radiation detector comprises a gamma-ray detector. The apparatus according to claim 1, wherein the property is porosity and/or density. The apparatus according to claim 1, wherein the glass ceramic material comprises a composition of 10B, 6Li and rare-earth oxides, Sm2O3, Er2O3, Yb2O3, Nd2O3. The apparatus according to claim 1, wherein the glass ceramic material comprises a composition of a natural B and rare-earth oxides, Sm2O3, Er2O3, Yb2O3, and Nd2O3. The apparatus according to claim 1, wherein the glass ceramic material comprises a 10B and rare-earth oxides, Sm2O3, Er2O3, Yb2O3, Nd2O3. The apparatus according to claim 1, wherein the radiation detector neutron shield comprises an electrical penetration for electrically connecting an electrical conductor to the radiation detector. The apparatus according to claim 1, wherein the carrier comprises at least one of a drill string, coiled tubing, a slickline and a wireline. A method for providing a signal indicative of a property of an earth formation, the method comprising: conveying a carrier through a borehole penetrating the earth formation; emitting neutrons into the earth formation using a neutron source disposed on the carrier; detecting radiation from the earth formation due to interaction of emitted neutrons with the earth formation using a radiation detector configured to provide a signal indicative of the property; shielding the radiation detector from emitted neutrons that did not interact with the earth formation using a radiation detector neutron shield, wherein the radiation detector shield comprises a glass ceramic material having a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm that includes Li and/or B and a rare-earth element that have positions in the periodic crystal structure of each nano-crystallite. The method according to claim 14, further comprising shielding downhole electronics disposed on the carrier and coupled to the radiation detector from neutrons emitted by the neutron source using a downhole electronics neutron shield, the downhole electronics neutron shield comprising a glass ceramic material having a glass ceramic material that includes a plurality of nano-crystallites, each nano-crystallite in the plurality having a periodic crystal structure with a diameter or dimension that is less than 1000 nm and includes a rare-earth element that has positions in the periodic crystal structure of each nano-crystallite. The method according to claim 14, wherein the glass ceramic material comprises a composition of 10B, 6Li and rare-earth oxides, Sm2O3, Er2O3, Yb2O3, Nd2O3. The method according to claim 14, wherein the glass ceramic material comprises a composition of a natural B and rare-earth oxides, Sm2O3, Er2O3, Yb2O3, and Nd2O3. The method according to claim 14, wherein the glass ceramic material comprises a composition of 10B and rare-earth oxides, Sm2O3, Er2O3, Yb2O3, Nd2O3. In support of the teachings herein, various analysis components may be used, including a digital and/or an analog system. For example, radiation detector 12, the downhole electronics 15, the computer processing system 16, and/or the telemetry may include digital and/or analog systems. The system may have components such as a processor, storage media, memory, input, output, communications link (wired, wireless, pulsed mud, optical or other), user interfaces, software programs, signal processors (digital or analog) and other such components (such as resistors, capacitors, inductors and others) to provide for operation and analyses of the apparatus and methods disclosed herein in any of several manners well-appreciated in the art. It is considered that these teachings may be, but need not be, implemented in conjunction with a set of computer executable instructions stored on a non-transitory computer readable medium, including memory (ROMs, RAMs), optical (CD-ROMs), or magnetic (disks, hard drives), or any other type that when executed causes a computer to implement the method of the present invention. These instructions may provide for equipment operation, control, data collection and analysis and other functions deemed relevant by a system designer, owner, user or other such personnel, in addition to the functions described in this disclosure. The term “carrier” as used herein means any device, device component, combination of devices, media and/or member that may be used to convey, house, support or otherwise facilitate the use of another device, device component, combination of devices, media and/or member. Other exemplary non-limiting carriers include drill strings of the coiled tube type, of the jointed pipe type and any combination or portion thereof. Other carrier examples include casing pipes, wirelines, wireline sondes, slickline sondes, drop shots, bottom-hole-assemblies, drill string inserts, modules, internal housings and substrate portions thereof. Elements of the embodiments have been introduced with either the articles “a” or “an.” The articles are intended to mean that there are one or more of the elements. The terms “including” and “having” and the like are intended to be inclusive such that there may be additional elements other than the elements listed. The conjunction “or” when used with a list of at least two terms is intended to mean any term or combination of terms. The term “configured” relates to one or more structural limitations of a device that are required for the device to perform the function or operation for which the device is configured. The flow diagram depicted herein is just an example. There may be many variations to this diagram or the steps (or operations) described therein without departing from the spirit of the invention. For instance, the steps may be performed in a differing order, or steps may be added, deleted or modified. All of these variations are considered a part of the claimed invention. While one or more embodiments have been shown and described, modifications and substitutions may be made thereto without departing from the spirit and scope of the invention. Accordingly, it is to be understood that the present invention has been described by way of illustrations and not limitation. It will be recognized that the various components or technologies may provide certain necessary or beneficial functionality or features. Accordingly, these functions and features as may be needed in support of the appended claims and variations thereof, are recognized as being inherently included as a part of the teachings herein and a part of the invention disclosed. While the invention has been described with reference to exemplary embodiments, it will be understood that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications will be appreciated to adapt a particular instrument, situation or material to the teachings of the invention without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out this invention, but that the invention will include all embodiments falling within the scope of the appended claims.
043702970
summary
This invention relates to a Method and Apparatus for Nuclear Thermochemical Water Cracking and in particular utilizes the charged products from a nuclear fusion reaction for obtaining the dissociation of water into hydrogen and oxygen. BACKGROUND Much work is presently being done on the achievement of ignition and burn of fusion fuel such as, for example, deuterium-tritium in pellet form. While there are a number of different approaches to this problem, one of them includes the utilization of a source of energy from a laser and particular pellet configurations which will make it possible to achieve ignition and burn in a reaction chamber. Patents which illustrate generally the apparatus which can be used in this type of system are: Hedstrom: U.S. Pat. No. 3,762,993--Oct. 2, 1973; Whittlesey: U.S. Pat. No. 3,378,446--Apr. 16, 1968; Daiber: U.S. Pat. No. 3,489,645--Jan. 13, 1970. Many other U.S. patents issued in this field recently, e.g. U.S. Pat. Nos. 3,802,993; 3,748,226; 3,624,239; 3,152,958; 3,037,922; 3,748,226 and 3,152,958, exemplify the state of the art for production of chemicals by exposure to nuclear radiation in both fusion and fission reactor environment. Publications which show the details of construction of the reactors, laser systems and report the status of the art include: (a) Research/Development, May 1975, Vol. 26, No. 5, pp 55ff., "Thermonuclear fusion research with high-power lasers", an article showing fusion optics structure and requirements. (b) "Plasma Physics and Controlled Nuclear Fusion Research", 1974, Vol. II, International Atomic Energy Agency Vienna, which defines detailed conditions of target physics in laser fusion reactions. (c) Laser Focus, September 1975, pp 39ff., "More Evidence that Fusion Works", an article showing the production of neutrons by the laser-fusion process. (d) Advances in Nuclear Science and Technology, 1962, Academic Press, a general report of chemonuclear reactors and chemical processing. (e) KMS Optical Systems brochure pricing laser fusion systems and fuel pellets in the commercial market. (f) A joint KMS Industries and General Electric catalog of commercially available Laser Systems for Plasma Research (E H M 12,214). (g) Lawrence Livermore Laboratory reports including UCID 16850 reporting DT Fusion neutron radiation of various chemicals. (h) "Advances in Activation Analysis", Vol. 2, Academic Press, 1972, activation analysis with 14 MeV neutron generators, and (i) "The relevance of various neutron sources to Fusion-Reactor Radiation Effects", Nuclear Technology, Vol. 22, April 1974. All of the aforesaid art is incorporated into and made part of this specification and disclosure. Therefore, it is clear that the status of the art is well known, and it is unnecessary in this disclosure to obscure the nature of the invention in a myriad of details within the skill of those currently working in the nuclear arts. OBJECTIVES Current calculations of a first generation laser-driven nuclear fusion reaction utilizing deuterium-tritium pellets show that about 20 percent of the energy is available in the form of charged particles (particularly alpha-particles) which must be absorbed inside the reaction chamber, or on collision with the chamber wall. If this available energy can be absorbed and utilized within the cavity, there are a number of advantages which will accrue as follows: 1. The energy will be available directly without the losses occasioned by passage through the chamber wall and possible exterior heat transfer loops; 2. Thermal and mechanical stresses in the chamber wall will be alleviated; and 3. The radiation damage to the chamber wall will be reduced. It is, therefore, one of the primary objectives of the present invention to disclose a method and apparatus whereby an appreciable fraction of the fusion energy may be applied directly to the cracking of steam. Another object of the invention is to improve the integrity of the fusion reaction chamber by reduction of the direct charged particle impact on the wall. It is a further object of the invention to provide a source of hydrogen gas and oxygen from the dissociation of steam, each of which are valuable materials which may be utilized for additional sources of heat and fuel. BRIEF DESCRIPTION OF THE INVENTION The above, as well as other objects, features and advantages of the invention, will become apparent by reference to the following detailed description and claims wherein there is set forth the principles of the invention together with a description of the utility thereof in connection with the best mode presently contemplated for the practice of the invention.
abstract
A collimator for a computed tomography imaging device can include first and second leaves positioned on opposing sides of a primary radiation delivery window. The first and second leaves can include first and second gratings having a plurality of attenuating members with a plurality of secondary radiation delivery windows extending between adjacent attenuating members.
abstract
A detector system adapted for monitoring a radiation treatment system comprising a pulsed beam radiation source for treating a body with a given beam intensity and beam configuration, with pulse times and intervals between pulses less than 100 milliseconds, using at least one monitoring radiation source located inside or outside the body, the detector system comprising; a) a detector designed to detect radiation from the monitoring source, and subject to interference radiation from the beam source; and b) control circuitry that creates a data record of radiation received by the detector, to provide information about the body; wherein, when the detector detects radiation in real time during operation of the beam, the data record selectively excludes data for radiation received by the detector during the pulses, as opposed to data for radiation received by the detector between pulses.
description
The invention relates generally to systems and methods for power generation using stored energy from a passively powered Main Control Room Habitability System (VES) following an accident or event involving a loss of all AC power. Various functions for generating electric power in a nuclear reactor power plant require two critical resources, i.e., water and power. For example, water is used for cooling the fuel inside of the reactor and the spent fuel pool, and power is employed for a variety of plant functions including pumping, valve actuations, instrumentation and plant monitoring. During an accident scenario, such as station blackout, or other event resulting in the loss of all AC power, it may be difficult to obtain and provide external resources to the nuclear plant site to cope with the accident. Therefore, it is beneficial for the plant site to have alternate means to produce power and provide cooling water during a loss of AC power scenario. In general, the Main Control Room Habitability System (VES) in a nuclear reactor power plant is a passively powered system which uses air to provide ventilation, cooling and filtration of the control room's environment following an accident or other event involving a loss of all AC power. FIG. 1 shows schematically a VES system 10 in accordance with the prior art. Compressed air is stored in tank 2 and pressurized. Typically, air is stored at a maximum pressure of 4000 psi. A minimum pressure of the tank is 3333 psi, with air at 60° F. under normal conditions. Further, it is typical for VES systems to employ more than one tank to store the compressed air. For example, in some commercial nuclear reactor power plants, such as the Westinghouse AP1000 plant, 32 tanks are used with each tank having a free volume of about 46.1 ft3. A stream of compressed air 4 is passed from the tank 2 through a pressure regulator 6. The minimum inlet pressure of the pressure regulator 6 is 200 psi. The pressure regulator 6 reduces the pressure of the stream of compressed air 4 such that a stream of lower pressure compressed air 8, which has a volumetric flow rate of 65 SCFM, passes through the outlet 7, is fed to an eductor nozzle 11 and is used to power an eductor 9. The air pressure at the outlet 7 is reduced to an intermediate pressure. For the AP1000 plant, the intermediate pressure, i.e., the pressure of the stream of lower pressure compressed air 8, is 120 psi. The flow fed to the eductor nozzle 11 provides fresh air for the control room and the eductor 9 provides for a circulation of airflow within the control room space 13. For the AP1000, the compressed air stored in a nuclear reactor power plant is sufficient to provide 65±5 SCFM to the main control room area for at least 72 hours following an accident or other event involving a loss of all AC power. This compressed air represents a significant amount of potential energy. It is known in the art to employ various passively powered designs, such as the VES, to mitigate accident events in a nuclear reactor without operator intervention or off-site power. These passively powered designs emphasize safety features that rely on natural forces, such as pressurized gas, gravity flow, natural circulation flow, and convection, and do not rely on active components (such as, pumps, fans or diesel generators). Further, passive systems are designed to function without safety grade support systems (such as, AC power, component cooling water, service water, and HVAC). It is desired to develop further passive features and mitigation strategies for use in nuclear reactor power plants. For example, it is desired to recover energy from nuclear plant processes for use during accident and other event sequences when resources may not be readily available. Accordingly, this invention provides systems and methods for extracting significant useful energy from the compressed air in the VES. This energy may be employed in the nuclear plant to cope with accident and other loss of AC power event sequences wherein the VES is activated. In one aspect, the invention provides a generation system for converting compressed air in a passive main control room habitability system to energy when the main control room habitability system is activated during a scenario involving the loss of all AC power in a nuclear reactor power plant. The main control room habitability system includes at least one tank for storing compressed air; a pressure regulator, having an inlet and an outlet, for reducing the pressure of the compressed air to produce from the pressure regulator outlet a stream of lower pressure compressed air; an eductor, having an eductor nozzle, to deliver the compressed air to the control room; and piping to connect the tank to the pressure regulator and the eductor to allow the flow of compressed air therein. The generation system includes a mechanism positioned upstream of the eductor for receiving the stream of lower pressure compressed air from the outlet of the pressure regulator and converting said stream of lower pressure compressed air into energy. The mechanism can include a turbine having an inlet and an exhaust, and a generator. In a further embodiment, the mechanism can include an air-driven pump. The maximum pressure of the compressed air in the at least one tank can be about 4000 psi. The minimum pressure in the at least one tank can be about 3333 psi. The stream of lower pressure compressed air can have a pressure of about 120 psi. A pressure differential of 25 psi between the exhaust of the turbine and the inlet of the eductor can be used to operate the air-driven pump. In another aspect, the invention provides a method of generating energy by recovering compressed air in an activated, passive main control room habitability system in a nuclear reactor power plant. The method includes pressurizing compressed air in at least one storage tank; passing the compressed air through a pressure regulator to produce a stream of lower pressure compressed air; delivering the stream of lower pressure compressed air to a mechanism for converting the stream of lower pressure compressed air into energy. The mechanism can include a turbine, having an inlet and an exhaust, and a generator. In a further embodiment, the mechanism can include an air-driven pump. The invention relates to systems and methods for extracting energy and generating power from compressed air in a passively powered Main Control Room Habitability System (VES) in a nuclear reactor power plant, such as a pressurized water reactors and boiling water reactors, during a coping scenario, such as an accident or other event which involves the loss of all AC power, when the VES is activated. In particular, the power generation systems and methods of the invention extract energy from the pressure differential of the compressed air, from the initial pressure (i.e., in a compressed air tank) to a pressure at the inlet of an eductor. This stored energy is converted into useful power, for example, by a turbine and/or pump. Further, the compressed air (at a lower pressure) is available to pass through the eductor and into the control room of the nuclear reactor power plant. The VES generally supplies compressed air for the ventilation, cooling and filtration of the control room environment during a coping scenario. Thus, the invention is useful for converting stored energy in the compressed air inventory of the VES into useful energy to lengthen the ability of the plant to cope following an accident with extended loss of AC power. FIG. 2 shows schematically a VES power generation system 20 in accordance with certain embodiments of the invention. FIG. 2 includes the tank 2, stream of compressed air 4, pressure regulator 6, outlet 7, stream of lower pressure compressed air 8, eductor 9, eductor nozzle 11 and control room 13 as shown in FIG. 1. As previously indicated, the pressure regulator 6 reduces the pressure of the incoming stream of compressed air 4 such that the stream of lower pressure compressed air 8 passes through the outlet 7. In addition, FIG. 2 includes an air turbine 15 and an alternator/generator 17. These two components are provided upstream of the eductor 9 and eductor nozzle 11. The stream of lower pressure compressed air 8 exits the pressure regulator outlet 7 and is fed into the air turbine 15 and alternator/generator 17 to convert stored energy in the compressed air into useful energy, e.g., power, which can be used for coping functions during an accident scenario in a nuclear reactor power plant when the VES is activated. The lower pressure compressed air 8 has a pressure that is essentially equal to that required by the air turbine 15. The recovery and conversion of the stored energy to useful energy in the VES is accomplished while meeting the design requirements of the VES. The pressure of the compressed air can vary. In certain embodiments, the compressed air is initially at a pressure of about 3333 psig, e.g., in the tank 2. The air is adiabatically and isentropically expanded from this initial pressure to a final pressure of about 200 psig. This expansion occurs through the air turbine 15 and therefore, the pressure of about 200 psig is downstream of the air turbine 15. In certain embodiments, a secondary pressure regulator (not shown) may be employed to further reduce the pressure to that required by the eductor 9 or other component positioned downstream of the air turbine 15, such as an air-driven pump (as shown in FIG. 3). In certain embodiments, the secondary pressure regulator (not shown) may be positioned between the air turbine 15 and the eductor nozzle 11. The available energy is estimated by multiplying the difference in enthalpy of the initial and final pressure states by the mass of air that is expanded. The details of this conversion are later provided herein. This energy can be used during the coping period of an accident scenario for a variety of useful functions, such as, but not limited to, charging batteries or powering instrumentation and other equipment. The invention also includes the VES power generation system 25 shown schematically in FIG. 3, in accordance with certain embodiments. FIG. 3 includes the tank 2, stream of compressed air 4, pressure regulator 6, outlet 7, stream of lower pressure compressed air 8, eductor 9, eductor nozzle 11 and control room 13 as shown in FIG. 1. In addition, FIG. 3 includes an air-driven pump 27 positioned downstream of the pressure regulator 6 and its outlet 7, and upstream of the eductor 9 and its nozzle 11. In this embodiment, the pressure regulator 6 is set to deliver the inlet pressure required by the air-driven pump 27 (instead of the air turbine 15 as shown in FIG. 1). The invention further includes the VES power generation system 30 shown schematically in FIG. 4, in accordance with certain embodiments. FIG. 4 includes the tank 2, stream of compressed air 4, pressure regulator 6, outlet 7, stream of lower pressure compressed air 8, eductor 9, eductor nozzle 11 and control room 13 as shown in FIG. 1. In addition, FIG. 4 includes the air turbine 15, the alternator/generator 17 and the air-driven pump 27. The air-driven pump 27 is positioned downstream of the air turbine 15 and, upstream of the eductor 9 and its nozzle 11. In this embodiment, the system will be configured such that either the air turbine 15 exhausts at a pressure that matches the required inlet pressure of the air-driven pump 27, or a secondary pressure regulator (not shown) may be installed between the air turbine 15 and the air-driven pump 27 such that the appropriate inlet pressure to the air-driven pump 27 is achieved. In certain embodiments, the pressure regulator 6 is set to provide a pressure of 120 psig at its outlet 7 and the eductor nozzle 11/eductor 9 is designed to operate at 110 psig. In other embodiments, the pressure regulator 6 is set at a significantly higher pressure corresponding to the design/inlet pressure of the air turbine, and the turbine exhaust is at the design/inlet pressure of the air-driven pump. It is understood that additional pressure regulating devices may be included as needed to produce the desired inlet pressures to the air turbine, air-driven pump or eductor. Changing the setting of the pressure regulator 6 to a slightly higher pressure of about 135 psig, for example, and maintaining the inlet pressure to the eductor at 110 psig, provides a 25 psi pressure differential to operate the air-driven pump. This pump can be used, for example, to transport water from on-site storage tanks to locations where it is needed for coping during an accident scenario. The following examples apply to AP1000 plants designed by Westinghouse Electric Company. The stored energy of any substance is equal to the total enthalpy of that substance. The total useful energy is the difference between the enthalpy before performing work and the enthalpy at the final condition. For the VES air storage tanks, the initial pressure of the compressed air is a function of the ambient conditions in the air storage tank room. In this example, the calculated tank pressure at ambient temperatures of 60 and 80° F. was determined to be 3333 and 3504 psig, respectively. The pressure of the air at the inlet of the eductor was determined to be 110 psig. The total energy in the stored air was obtained from:E=mair*h1  (Equation 1) wherein E is the stored energy in the tank, mair is the mass of the air in the tank, and h1 is the enthalpy of the air in the tank. h1 was determined from thermodynamic tables, and the total mass of air in the tanks was found using Equation 2, which relates the specific volume and the total storage volume to the total mass of air: m air = V v air ( Equation ⁢ ⁢ 2 ) wherein vair is the specific volume of air at the system temperature and pressure and V is the total storage volume of the air tanks. Not all of the energy stored in the tanks was extracted and turned into useful work. The overall energy balance of any system is shown as Equation 3: Δ ⁢ ⁢ H + Δ ⁢ ⁢ u 2 2 ⁢ g c + g ⁢ ⁢ Δ ⁢ ⁢ z = Q + W s ( Equation ⁢ ⁢ 3 ) Equation 3 governs the total energy transfer from any system, wherein Δ ⁢ ⁢ u 2 2 ⁢ g c is the change in kinetic energy, gΔz is the change in the potential energy, ΔH is the change in the system enthalpy, Q is heat and Ws is the work. Wherein the kinetic and potential energy of the system was negligible compared to the change in enthalpy, and wherein the system was assumed to be adiabatic (no heat transferred into or out of the system), Equation 3 was simplified to:H1−H2=Ws  (Equation 4) The final enthalpy, H2, was not readily known but the final pressure was known and it was assumed that the system was completely reversible (isentropic). The final enthalpy was determined by interpolating the thermodynamic table for compressed air. Isentropic expansion uses the assumption that entropy is constant, i.e. S1=S2. Assumptions: 1. The kinetic and potential energy of the system was negligible. 2. The system was adiabatic. 3. The system was isentropic. 4. Expansion from tank pressure to the minimum inlet pressure regulator did not affect overall system performance criteria. 5. Electricity Generation efficiency of 33% for compressed air turbine. Input: Design pressure of compressed air tanks=4000 psig Design temperature of compressed air tanks=60-80° F. Total number of compressed air tanks=32 Total free volume of each compressed air tank=46.1 ft3 Minimum Inlet Pressure Regulator Setpoint=200 psig Eductor Inlet pressure=110 psig Minimum Pressure of Tanks at 60° F.=3333 psig Minimum Pressure of Tanks at 80° F.=3504 psig Specific Volume of Air at 68° F. and 200 bar=0.00433 m3/kg Determined Mass of Air Inside Compressed Air Tanks TABLE 1Pressure280 K300 K200 barEnthalpy = 239.6 kJ/kgEnthalpy = 265.5 kJ/kgEntropy = 5.149 kJ (kg * K)Entropy = 5.238 kJ/(kg * K)Specific Volume = 0.00407 m3/kgSpecific Volume =0.00446 m3/kg250 barEnthalpy = 234.3 kJ/kgEnthalpy = 260.8 kJ/kgEntropy = 5.064 kJ/(kg * K)Entropy = 5.155 kJ/(kg * K)Specific Volume = 0.00338 m3/kgSpecific Volume =0.00368 m3/kg Temperature of air was 60° F.=288.7 K Initial Pressure of air was 3333 psig=3347.4 psia=230.8 bar Interpolating between the table values:Enthalpy Enthalpy ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 200 ⁢ ⁢ Bar = 239.6 + [ ( 288.7 - 280 ) 300 - 280 * ( 265.5 - 239.6 ) ] = 250.9 ⁢ ⁢ kJ kg Enthalpy ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 250 ⁢ ⁢ Bar = 234.3 + [ ( 288.7 - 280 ) 300 - 280 * ( 260.8 - 234.3 ) ] = 245.8 ⁢ ⁢ kJ kg Enthalpy ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 230.8 ⁢ ⁢ Bar = 250.9 + [ ( 230.8 - 200 ) 250 - 200 * ( 245.8 - 250.9 ) ] = 247.8 ⁢ ⁢ kJ kg Entropy Entropy ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 200 ⁢ ⁢ Bar = 5.149 + [ ( 288.7 - 280 ) 300 - 280 * ( 5.238 - 5.149 ) ] = 5.188 ⁢ ⁢ kJ kg * K Entropy ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 250 ⁢ ⁢ Bar = 5.064 + [ ( 288.7 - 280 ) 300 - 280 * ( 5.155 - 5.064 ) ] = 5.104 ⁢ ⁢ kJ kg * K Entropy ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 230.8 ⁢ ⁢ Bar = 5.188 + [ ( 230.8 - 200 ) 250 - 200 * ( 5.104 - 5.188 ) ] = 5.136 ⁢ ⁢ kJ kg * K Specific Volume Specific ⁢ ⁢ Volume ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 200 ⁢ ⁢ Bar = 0.00407 + [ ( 288.7 - 280 ) 300 - 280 * ( 0.00446 - 0.00407 ) ] = 0.00424 ⁢ ⁢ m 3 kg ⁢ ⁢ Specific ⁢ ⁢ Volume ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 250 ⁢ ⁢ Bar = 0.00338 + [ ( 288.7 - 280 ) 300 - 280 * ( 0.00368 - 0.00338 ) ] = 0.00351 ⁢ ⁢ m 3 kg ⁢ ⁢ Specific ⁢ ⁢ Volume ⁢ ⁢ at ⁢ ⁢ 288.7 ⁢ ⁢ K ⁢ ⁢ and ⁢ ⁢ 230.8 ⁢ ⁢ Bar = 0.00351 + [ ( 230.8 - 200 ) 250 - 200 * ( 0.00424 - 0.00351 ) ] = 0.00396 ⁢ ⁢ m 3 kg Calculated Mass of Compressed Air in VES Storage Tanks Volume of tank=46.1 ft3=1.305 m3 Total Volume of Compressed Air Tanks=1.305*32=41.76 m3 m air = V v air m air = 41.76 ⁢ ⁢ m 3 0.00396 ⁢ ⁢ m 3 kg = 10545.45 ⁢ ⁢ kg Initial Stored Energy in Compressed Air Tanks E = m air * h 1 h 1 = 247.8 ⁢ ⁢ kJ kg E = 10545.45 ⁢ ⁢ kg * 247.8 ⁢ ⁢ kJ kg = 2613.2 ⁢ ⁢ MJ Final Enthalpy Assuming Isentropic Expansion The pressure regulating valve had a minimum inlet pressure of 200 psig (214.7 psia), and it was a reasonable assumption that if the compressed air was expanded to 200 psig, the VES system performance was not affected.214.7 psia=14.8 bar TABLE 2Pressure120 K140 K10 barEnthalpy = 106.2 kJ/kgEnthalpy = 130.2 kJ/kgEntropy = 5.214 kJ/(kg * K)Entropy = 5.398 kJ/(kg * K)20 barEnthalpy = 85.2 kJ/kgEnthalpy = 118.5 kJ/kgEntropy = 4.882 kJ/(kg * K)Entropy = 5.140 kJ/(kg * K)Interpolated Between Points to Find Enthalpy at 214.7 Psia and Entropy of 5.136 Enthalpy ⁢ ⁢ at ⁢ ⁢ 214.7 ⁢ ⁢ psia ⁢ ⁢ ( 14.8 ⁢ ⁢ bar ) ⁢ ⁢ and ⁢ ⁢ 120 ⁢ ⁢ K = 106.2 + [ ( 14.8 - 10 ) 20 - 10 * ( 85.2 - 106.2 ) ] = 96.1 ⁢ ⁢ kJ kg Enthalpy ⁢ ⁢ at ⁢ ⁢ 214.7 ⁢ ⁢ psia ⁢ ⁢ ( 14.8 ⁢ ⁢ bar ) ⁢ ⁢ and ⁢ ⁢ 140 ⁢ ⁢ K = 130.2 + [ ( 14.8 - 10 ) 20 - 10 * ( 118.5 - 130.2 ) ] = 124.6 ⁢ ⁢ kJ kg Entropy ⁢ ⁢ at ⁢ ⁢ 214.7 ⁢ ⁢ psia ⁢ ⁢ ( 14.8 ⁢ ⁢ bar ) ⁢ ⁢ and ⁢ ⁢ 120 ⁢ ⁢ K = 5.214 + [ ( 14.8 - 10 ) 20 - 10 * ( 4.882 - 5.214 ) ] = 5.055 ⁢ ⁢ kJ kg * K Entropy ⁢ ⁢ at ⁢ ⁢ 214.7 ⁢ ⁢ psia ⁢ ⁢ ( 14.8 ⁢ ⁢ bar ) ⁢ ⁢ and ⁢ ⁢ 140 ⁢ ⁢ K = 5.398 + [ ( 14.8 - 10 ) 20 - 10 * ( 5.140 - 5.398 ) ] = 5.274 ⁢ ⁢ kJ kg * K Temperature ⁢ ⁢ at ⁢ ⁢ 214.7 ⁢ ⁢ psia ⁢ ⁢ where ⁢ ⁢ Entropy ⁢ ⁢ is ⁢ ⁢ 5.136 = 5.055 + [ ( X - 120 ) 140 - 120 * ( 5.274 - 5.055 ) ] = 5.136 ⁢ ⁢ kJ kg * K X = 127.4 ⁢ ⁢ K Enthalpy ⁢ ⁢ at ⁢ ⁢ 214.7 ⁢ ⁢ psia ⁢ ⁢ ( 14.8 ⁢ ⁢ bar ) ⁢ ⁢ and ⁢ ⁢ 127.4 ⁢ ⁢ K = 96.1 + [ ( 127.4 - 120 ) 140 - 120 * ( 124.6 - 96.1 ) ] = 106.6 ⁢ ⁢ kJ kg Calculated Total Energy Extracted by Expanding Air at 3333 Psig (230.8 Bar) to 200 Psig (14.8 Bar) h 1 = 247.8 ⁢ ⁢ kJ kg and h 2 = 106.6 ⁢ ⁢ kJ kg E = m air ⁡ ( h 1 - h 2 ) E = 10545.45 ⁢ ⁢ kg * ( 141.2 ⁢ ⁢ kJ kg ) = 1489018 ⁢ ⁢ kJ = 1489.0 ⁢ ⁢ MJ Estimated Expected Electrical Output There are multiple ways to use the energy of the compressed air effectively, but a common way is to convert the energy to electricity in a turbine by expanding through a turbine that drives an electrical generator. A calculation was made by assuming a reasonable value for the overall electrical generation efficiency of 33%. Electric ⁢ ⁢ Output = n electric * Energy i ⁢ ⁢ n = 0.33 * 1489.0 ⁢ ⁢ MJ * 1 3600 ⁢ hr sec = 136.5 ⁢ ⁢ kwh Table 3 below provides a listing of typical post accident loads that may be powered by the generation systems and methods of the invention. It is shown from the electric output which is available and these loads that several hours of additional coping time may be provided during a loss of AC power scenario. TABLE 3Ancillary ACAncillary ACItemGenerator 1Generator 2No.Description of LoadsLoad (kW)Load (kW)1.Post-Accident Monitoring6.5(PAM) Emergency and PanelLighting (Division B) in MainControl Room and ancillary fans2.Post-Accident Monitoring6.5(PAM) Emergency and PanelLighting (Division C) in MainControl Room and ancillary fans3.PCS Recirculation Pumps19.319.34.Ancillary Generator Room0.50.5Lights5.Ancillary Generator Fuel1.25kW1.25kWTank HeaterTotal27.55kW27.55kW Downstream of the pressure regulator, the compressed air was expected to be 120 psig, with a flowrate of 65±5 SCFM. The inlet eductor pressure was 110 psig, which did not allow for much opportunity to extract useful energy from the compressed air stream downstream of the pressure regulator. However, if the eductor inlet pressure was decreased to ˜95 psig, another potential use of the compressed air was investigated. Instead of extracting energy and generating electricity, the relatively lower air pressure was used to power a pneumatic device, e.g., an air-operated diaphragm pump. A representative pump curve is shown in FIG. 5. Using FIG. 5, 65 SCFM of 120 psig pressure inlet air provided approximately 40 gpm of water at a discharge head of approximately 225 feet. The air outlet pressure was >95 psig. Potential Water Transfer The VES operated for a minimum of 72 hours. Water ⁢ ⁢ pumped = ⁢ 40 ⁢ ⁢ gpm * 60 ⁢ ⁢ min ⁢ / ⁢ hr * 72 ⁢ ⁢ hr = ⁢ 172 , 800 ⁢ ⁢ gallons . The total amount of energy contained in the compressed air tanks was found to be 2613.2 MJ. Adibatic, isentropic expansion from the expected operating pressure to the design pressure of the pressure regulator had the ability to yield 1489.0 MJ. Assuming an overall electrical generation of 33%, 136.5 kwh of electricity was expected from a compressed air turbine system. In addition, an air-operated diaphragm pump located downstream of the pressure regulator had the potential to deliver 172,800 gallons of water at a discharge head of ˜225 feet using a representative pump curve. While the invention has been described in terms of various specific embodiments, those skilled in the art will recognize that the invention can be practiced with modifications within the spirit and scope of the appended claims.
summary
abstract
A method to obtain gain-corrected measurements. A measurement tool having one or more arrays is provided, wherein the arrays include two co-located triaxial transmitters and two co-located triaxial receivers. Measurements are obtained using the transmitters and the receivers. Impedance matrices are formed from the obtained measurements and the impedance matrices are combined to provide gain-corrected measurements. The apparatus may alternatively be a while-drilling logging tool having one or more arrays, wherein each array comprises a transmitter, a receiver, and a buck, and wherein the signal received by the receiver is subtracted from the signal received by the buck or vice versa. A slotted shield may be incorporated into either embodiment of the tool. The slots may form one or more island elements. A material is disposed in the slots. The islands and shield body have complementary tapered sides that confine the islands within the shield body.
summary
060211695
abstract
A feedwater control system and method for a pressurized water reactor steam generating system having first and second output signals. The first output signal is determined by first and second input signals, and, when combined with a third input signal automatically controls at least one feedwater pump and first designated valves which regulate water flow from the one or more feedwater pumps to a steam generator when a steam generator steam load and reactor are operating at a first predetermined power level. The first input signal is determined by a downcomer feedwater flow differential pressure. The second input signal is determined by a reactor power level. The third input signal is determined by a steam generator level. The second output signal, determined by a steam generator water level, automatically controls at least one startup feedwater control valve when the steam generator steam load and the reactor are operating at a second predetermined power level. In a preferred embodiment, the first designated valves include at least one economizer feedwater control valve and at least one downcomer feedwater control valve. Also, in a preferred embodiment, the first predetermined power level is between about 5 and about 20 percent, and the second predetermined power level is between zero and about 5 percent.
058825525
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to the recycle of defective fuel pellets having a composition of UO.sub.2 alone or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium into the manufacture of new fuel pellets. Particularly this invention relates to a method for comminuting defective fuel pellets through oxidation to fuel particles of U.sub.3 O.sub.8 alone or U.sub.3 O.sub.8 containing an oxide of plutonium, gadolinium or erbium, adding a sintering aid to the sintering powder which consists of said recycled fuel particles and fresh fuel powder of UO.sub.2 alone or UO.sub.2 in a mixture of PuO.sub.2, Gd.sub.2 O.sub.3 or Er.sub.2 O.sub.3, mixing the sintering powder uniformly, pressing the sintering powder into green pellets, and sintering green pellets in a reducing atmosphere to make new fuel pellets. 2. Description of Prior Art The common method used to fabricate fuel pellets of UO.sub.2 alone or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium consists of the following steps ; mixing or homogenizing fresh fuel powder, cold-pressing powder into green pellets, sintering green pellets in a reducing atmosphere at a temperature of at least 1500.degree. C., to achieve density of about 95% TD (theoretical density is 10.96 g/cm.sup.3), and then grinding sintered pellets to a diameter set by specification. Nuclear fuel pellets used in nuclear power reactors must meet stringent fuel specifications in order to allow efficient and economical operation of the power reactors. During sintering, some pellets have cracks or deform into an "hour-glass" shape having a central diameter too small for use, and sludge is also produced during grinding. Pellet chips can be produced during handling. In addition, the corresponding batch will be sometimes defective pellets if the sample representing one batch does not meet fuel specification. Since defective fuel pellets and grinding sludge are expensive and radioactive, they can not be discarded. Under a normal operation of fabrication, plant fuel scrap is recycled into the manufacture of new fuel pellets. The art commonly used in recycling UO.sub.2 fuel scrap is known. Defective UO.sub.2 pellets are comminuted to U.sub.3 O.sub.8 particles through the oxidation at a temperature in the range of 400.degree. C. to 700.degree. C. for 2 to 4 hours under a flowing air. Recycled U.sub.3 O.sub.8 particles and grinding sludge are mixed with fresh UO.sub.2 powder, and then the mixed powder is pressed and sintered to produce new UO.sub.2 fuel pellets. However, recycled U.sub.3 O.sub.8 particles and grinding sludge are much less sinterable than fresh UO.sub.2 powder, and, in particular, especially recycled U.sub.3 O.sub.8 particles cause more complicated problem since the reduction of U.sub.3 O.sub.8 to UO.sub.2 makes pores in the fuel pellet during sintering in a reducing atmosphere. It is known that the sintered density of fuel pellet decreases with the contents of recycled U.sub.3 O.sub.8 particle and grinding sludge, so the amounts of recycled U.sub.3 O.sub.8 particle and grinding sludge which can be directly mixed with fresh UO.sub.2 powder are limited within about 7% and about 3% by weight, respectively, in order to avoid excessive density drop. In case a large amount of defective UO.sub.2 pellets has to be recycled, the prior art described above will not be an effective method since the amount of recycled U.sub.3 O.sub.8 particle which can be directly mixed with fresh UO.sub.2 powder is restricted, which means that it will take a long time for defective pellets to be recycled. Moreover, the remaining defective pellets will have to be stored for a very long time, if new fuel pellets of which U.sup.235 enrichment is different from that of scrap material are fabricated before all the defective UO.sub.2 pellets are recycled. Recently, the amount of recycled U.sub.3 O.sub.8 particle to be added to fresh UO.sub.2 powder is much restricted since fuel density is controlled to be in a higher range within fuel specification. The problem that the amount of fuel scrap which can be directly recycled is limited is common to the manufacture of not only UO.sub.2 fuel but also UO.sub.2 fuel containing an oxide of plutonium, gadolinium or erbium. When UO.sub.2 fuel containing an oxide of plutonium, gadolinium or erbium is produced, this problem is much aggravated since these fuels are less sinterable than UO.sub.2 fuel. Thus the amount of fuel scrap which can be directly recycled will be much smaller. In order to overcome the above problem, the arts have been disclosed in which fuel scrap is treated entirely to be sinterable powder suitable for the manufacture of new fuel pellets. U.S. Pat. No. 3,578,419, U.S. Pat. No. 3,294,493, U.S. Pat. No. 3,140,151, U.S. Pat. No. 3,343,926, and European Pat. No. 84 129 describe methods of processing fuel scrap through oxidation and reduction in a fluidized bed; fuel scrap consisting of defective pellets and grinding sludge is oxidized to U.sub.3 O.sub.8 particle, which is then reduced to UO.sub.2 particle, and the UO.sub.2 particle so produced is subsequently oxidized and reduced up to 5 times. Particle size becomes smaller due to the repeated oxidation-reduction cycle, and thus sinterable UO.sub.2 powder can be produced in at least one oxidation-reduction cycle. Specific fluidized beds and processing variables such as temperatures for oxidation and reduction and/or gas composition are disclosed. However, disadvantages of the above art that a fluidized bed has to be additionally provided and powder treatments are hard to control. Oxidation rate of UO.sub.2 powder is much faster than that of defective UO.sub.2 pellets and thus resultant reaction heat can increase the temperature of powder above 800.degree. C. The powder so produced has unexpectedly a very low surface area and thus is poorly sinterable. SUMMARY OF THE INVENTION Briefly stated, the above disadvantages of the prior art are overcome by the present invention. The principal object of this invention is to provide a method for recycling fuel scrap entirely in the manufacture of new fuel pellets by using a sintering aid. With foregoing object and other objects in view, there is provided in accordance with the invention a method for the recycling of defective fuel pellets which have a composition of UO.sub.2 alone or UO.sub.2 containing an oxide of plutonium, gadolinium or erbium, by comminuting the defective pellets to fuel particles of U.sub.3 O.sub.8 alone or U.sub.3 O.sub.8 containing an oxide of plutonium, gadolinium or erbium through oxidation at a temperature in the range of about 300.degree. C. to about 800.degree. C. in an oxidizing gas, by adding a sintering aid to the sintering powder which consists of the recycled fuel particles and fresh fuel powder of UO.sub.2 alone or UO.sub.2 in a mixture of PuO.sub.2, Gd.sub.2 O.sub.3 or Er.sub.2 O.sub.3, by mixing uniformly the sintering powder, by cold-pressing the sintering powder into green pellets, and by sintering in a reducing atmosphere at a temperature in the range of 1500.degree. C. to 1800.degree. C. for 1 to 20 hours. A method according to the invention is characterized in that the sintering aid is an oxide or a compound containing an element selected from the group consisting of Nb, Ti, Li, Al, Mg, V, Sn, Cr, Si and mixtures thereof, and that the amount of the sintering aid, on said element basis, is in the range of about 0.02% to about 2% by weight with respect to the sintering powder. A method according to the invention is characterized in that the amount of the recycled fuel particle which can be directly mixed with the fresh fuel powder is not limited, ranging from about 10% to about 100% by weight with respect to the sintering powder. Advantage accomplished by the invention is that a fluidized bed and related powder treatments are not needed. Another advantage is that the recycle of fuel scrap is easily incorporated in the main production line of fuel pellets since the mixed ratio of recycled fuel particle to fresh fuel powder can be flexibly determined in the range of about 10% to about 100% by weight.
052767256
summary
FIELD OF THE INVENTION AND RELATED ART This invention relates to an exposure system for transferring onto a workpiece a pattern formed on an original. More particularly, the invention is concerned with an exposure system which uses a synchrotron orbital radiation (SOR) beam as an exposure energy and which is arranged to execute axis alignment between the exposure beam and a pattern transferring exposure station of the exposure system. In the field of the manufacture of semiconductor microcircuit devices such as integrated circuits (ICs), large scaled integrated circuits (LSIs) and the like, many proposals have been made to an exposure system using X-rays of a wavelength of an order of 1-150 angstroms, for example, in an attempt to meet the requirement of higher-resolution printing with further increase in the density of semiconductor devices. Among these X-ray exposure systems, those which use a synchrotron orbital radiation beam having high luminance are considered effective. In such an exposure system, a mask and a wafer are disposed opposed to each other and in parallel to each other with a predetermined gap (clearance) maintained therebetween. A synchrotron orbital radiation beam is projected perpendicularly to the mask and the wafer, within a predetermined exposure area, by which a pattern formed on the mask is transferred onto the wafer having a suitable radiation-sensitive surface layer. Since, however, the structure of a light source device for providing the synchrotron orbital radiation beam is very bulky as compared with a simple light source device used in traditional exposure systems, it is difficult to provide a major assembly of an exposure system, including a pattern transferring exposure station, as a unit with such a synchrotron orbital radiation source device. In consideration of this difficulty, the major assembly of the exposure system has to be separated from the radiation source device. This results in the possibility of a change in the relative attitude of the radiation source device and the major assembly of the exposure system, which causes an undesirable change in the angle of incidence of the exposure beam upon the pattern transferring exposure station. If this occurs, the pattern of the mask can not be transferred correctly and accurately. Where a reflection mirror is used to set the angle of radiation of a synchrotron orbital radiation beam, if the set angle of such reflection mirror is not correct, the radiation beam flux can not impinge on a mask or wafer exactly perpendicularly or, in some cases, the position of incidence of the radiation beam flux deviates. SUMMARY OF THE INVENTION In consideration of the foregoing, the present invention aims at solving problems involved in the axis alignment between a pattern transferring exposure station of an exposure system and an exposure beam such as a synchrotron orbital radiation beam, to thereby allow the exposure beam to be projected perpendicularly upon an original or a workpiece, placed in the pattern transferring exposure station within a predetermined positional relationship and to execute higher-precision printing with less pattern transfer distortion. In another aspect, the invention aims at accomplishing the axis alignment with an inexpensive structure. Briefly, in accordance with an aspect of the present invention, there is provided an exposure system using a synchrotron orbital radiation beam, supplied from a radiation source, and including a pattern transferring exposure station effective to transfer, by exposure, a pattern formed on an original, such as a mask, onto a workpiece, such as a semiconductor wafer, wherein light in a visible region or a region adjacent thereto, which is contained in the synchrotron orbital radiation beam supplied from the radiation source, is used to accomplish axis alignment between the radiation source and the pattern transferring exposure station. This allows axis alignment without the necessity of an X-ray detector which is expensive. In one preferred form of the present invention, the exposure apparatus usable with a mask having a pattern and a wafer having a radiation-sensitive surface layer, for transferring with a radiation energy beam the pattern of the mask to the wafer, comprises: a mask supporting member for supporting the mask; PA1 a wafer supporting member for supporting the wafer; PA1 means for projecting a beam, which is thinner than the radiation energy beam and which advances along or about the axis of the radiation energy beam, upon said reflective member; PA1 means for observing a positional relationship between the indication pattern and a spot formed by projection of the thinner beam upon said reflective member; and PA1 means for correcting a relationship between the indication pattern and the spot, on the basis of the observation. a reflective member having a reflection surface and an indication pattern, said reflective member being supported by one of said mask supporting member and said wafer supporting member; In another preferred form, the exposure apparatus further comprises: a projector having an axis which is coaxial with or substantially coaxial with the axis of the radiation energy beam, said projector having a masking member which can be projected upon said reflective member supported by one of said mask supporting member and said wafer supporting member; an optical system effective to re-image the masking member projected upon said reflective member, said re-imaging optical system having an axis which is coaxial with the axis of the radiation energy beam; and means for correcting any inclination of said reflective member to bring the position of the image as formed as a result of the re-imaging into a predetermined relationship with respect to the axis of said re-imaging optical system. These and other objects, features and advantages of the present invention will become more apparent upon a consideration of the following description of the preferred embodiments of the present invention taken in conjunction with the accompanying drawings.
048246328
description
DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to the drawings in detail, FIGS. 1 and 2 show a partial section of a nuclear reactor upper core plate 10 with two fuel assembly alignment pins, one misaligned or bent 12 and the other relatively straight 14. FIG. 1 shows the straightening device, generally designated at 16, which has been positioned by a refueling machine auxiliary hoist (not shown) so as to place the straightening means, generally designated at 19, under the upper core plate 10. The upper core plate 10, and the upper internals structure of which it is a part, is supported for this purpose, outside of the reactor vessel, upon a support structure (not shown) within a refueling cavity of the containment area of a nuclear power plant. The straightening device 16 generally comprises an elongated mast 22 having a bail 25, or other support means, secured to its top end and a cross member 28 secured to the bottom end, generally perpendicularly disposed to the elongated mast 22. Secured to one end of the cross member 28, the left hand side of FIG. 1, is the straightening means 19. On the opposite end of the cross member 28 is a counterweight 31 matched to the weight of the straightening means 19 (which in this example is about 295 kg or 650 lbs.) so as to keep the cross member 28 horizontal in a generally perpendicular orientation with respect to the elongated mast 22. Preferably, the elongated mast 22 is comprised of a plurality of segments 32. Since plants have differing sized containment buildings, the use of segments 32 having different lengths allows the straightening device 16 to be used in a number of different plants. The cross member 28 would also be made of segments so that the straightening means 19 can reach all fuel assembly alignment pins regardless of their radial position on the upper or lower core plate 10. These segments may either be rigidly secured end to end, or may be telescoping. The device 16 is supported and positioned by the bail 25 by means of the plant's overhead crane (not shown). The straightening means or assembly 19 is secured to the cross member 28 by a baseplate 34, and generally comprises a housing 37, a means 40 of interconnecting said housing 37 with said baseplate 34 for providing both angular and translational compliance for the housing 37, as shown in FIG. 3. Preferably, the interconnecting means 40 is comprised of four axially compressible and laterally deformable compression springs 41 connected between the housing 37 and the baseplate 34. Also secured to the baseplate 34, on a side opposite the housing 37, is a hydraulic ram 44 or other means for lifting the housing 37 into full planar contact with a bottom surface 47 of the upper core plate 10. Shown in greater detail in FIG. 2, the housing 37 of the pin straightening assembly 19 has two generally parallel vertical holes 50 and 51 disposed therein. A die insert 54 is adapted to be secured within a first hole 50, the die insert 54 also having a bore 55 disposed therein for receiving a bent fuel assembly alignment pin 12. Preferably, the bore 55 has a frusto-conical opening 56 to facilitate the entry of the bent pin 12 into the bore 55 of the die insert 54. The die insert 54 is secured to the housing 37 by a set screw 57. Also, the die insert 54 is preferably made of a hardened material, most preferredly 17-4 ph stainless steel, to prevent its deformation as the pin 12 is bent back into alignment. The other bore 51 is adapted to receive. the other pin 14 and is an aid to alignment of the housing 37 with respect to the upper core plate 10. The bore 51 is of a diameter which is greater than that of the fuel assembly alignment pin 14 to allow compliance of the housing 37 as the bent pin 12 is inserted into the die insert 54. This is especially true if the other pin 14 happens to be misaligned as well. A most important feature of the straightening assembly 19 is a wedge-shaped adjustable shim 61 disposed on an upper surface of the housing 37. The shim 61 also has two holes 62 and 63 which are concentric with the two holes 50 and 51 in the housing 37. The shim 61 is a plate which is secured to the top of the housing 37 by set screws 64. The purpose of the adjustable shim 61 is to compensate for expected spring back in the pin 12. This is accomplished by bending the pin 12 a predetermined amount past a centerline, generally designated at 66, which corresponds to a correct alignment of the bent pin 12 (see FIG. 4). For a typical stainless steel pin, having a diameter of about 22 mm, the pin 12 should be bent past the centerline 66 at an angle which is about 15% to 25%, and preferably about 20%, greater than and opposite to an angle at which the pin 12 was originally bent. For example, if the pin 12 were misaligned at an angle 67 of 3.degree., the adjustable shim 61 would have an included angle 68 of about 3.6.degree.. (The predetermined angle at which the pin 12 is bent back beyond the original centerline 66 is dependent upon the material of the pin 12). At this value the spring back of the pin 12 will cause it to align exactly with the desired centerline 66, after the straightening assembly 19 is lowered from the upper core plate 10 and out of engagement with the pins 12 and 14 (FIG. 5). Alternatively, the hardened die insert 54 may have a bore 55 which is slanted at an angle opposite to that of the bent pin 12 in order to compensate for spring back in the pin 12, instead of using an adjustable shim 61. This included angle for the adjustable shim 61 or die insert 54 mainly is a function of the material of which the alignment pin 12 is constructed. The angle which will adequately compensate for expected springback of a pin 12 can thus be functionally derived for whatever material the pin 12 is constructed. When a bent pin 12 is discovered, its angle of misalignment 67 is determined. This can be accomplished either by the use of high resolution photography to determine bend angles and direction, or by making a mold of the bent pin 12. In the second method, a putty-like substance is applied to the bent pin 12, and allowed to harden. The mold is then removed and the angle 67 and direction of bent pin 12 measured. An adjustable shim 61 is then chosen which has an included angle which is about 20% greater than and opposite to the misalignment angle 67. The straightening procedure, as shown in FIGS. 2-5, is then performed. The plant's auxiliary hoist (not shown) maneuvers the straightening device 16 so that the straightening assembly 19 is generally placed under the upper core plate 10 in an area in which a bent pin 12 is situated. The device 16 is suspended by the hoist by the bail 25. The length of the elongated mast 22 is dependent upon the height of the hoist above the refueling cavity, and the length of the cross member 28 is dependent upon the radial position of the bent pin 12: the closer the pin 12 is to the centerline of the reactor upper internals, the longer the cross member 28 must be. Also, the orientation of the pin 12 determines the orientation of the shim 61 on the housing 37. More exact positioning of the housing 37 and the die insert 54 therein under the bent pin 12 is accomplished by means of remote television cameras (not shown) positioned under the upper core plate 10. When the housing 37 is so positioned (FIG. 2), the hoist lifts the device 16 such that the bent pin 12 enters the bore 55 of the insert 54 (FIG. 3), until the shim 61 contacts the bottom surface 47 of the upper core plate 10. At this time, the hydraulic ram 44 is activated so that piston 70 moves downward into contact with the reactor containment refueling cavity floor 73. In order to protect the floor 73, a block 76 may be placed between the piston 70 and the floor 73. This action forces the ram 44, and the attached baseplate 34 upward. This continues until the springs 41 of the compliant interconnecting means 40 are compressed, thereby forcing the housing 37 and the adjustable shim 61 into full planar contact with the upper core plate 10 (FIG. 4). As the baseplate 34 and housing 37 are forced upward the pin 12 is thus bent back in an opposite direction, past the original centerline 66. To accomplish this, in this example, the ram 44 is activated to a force of about 267 kN (60,000 lbs), which is just below that necessary to lift the internals structure out of its support; although all that is necessary to straighten the bent pin 12 is the force required to bring the housing 37 into full planar contact with the upper core plate 10, by activating the ram 44 to this level such full planar contact is assured. At this point, the ram 44 is deactivated in order to remove the insert 54 out of engagement with the pin 12. When the housing 37 is fully retracted, the pin 12 will spring back a predetermined amount necessary to correctly align the pin 12 with the original designed centerline 66 (see FIG. 5). The device 16 can then be maneuvered to perform the above procedures on any other bent fuel assembly alignment pin. DESCRIPTION OF A SECOND EMBODIMENT Instead of the cross member 28 being comprised of sectional members, it is made of a single elongated boom. The boom would be capable of reaching alignment pins 12, 14 in a number of different plants, regardless of the diameter of the reactor internals structures. Also, the mass of the counterweight 31 is capable of remote adjustment. In this configuration, the transverse position of the elongated mast 22 between the pin straightening assembly 19 and the counterweight 28 on the elongated boom or cross member 28 is also remotely adjustable (FIG. 6). This can be accomplished by any suitable means known in the art, preferably by an electric motor and an associated encoder which would indicate the position of the mast 22 with respect to the cross member 28. In this manner the effective length, L, of the cross member 28 can be remotely adjustable. Since the radial positions of each of the alignment pins is known, the individual size and mass of each of the counterweight 31 members are chosen to balance the cross member 28 as the straightening assembly 19 is positioned under a respective bent alignment pin 12. For example, each set of pins 12, 14 occupies a fixed radial (and linear) position on the reactor internals. The maximum mass of the counterweight 31 is placed on the end of the cross member 28, and the mast 22 remotely positioned at a point on the cross member nearest the counterweight in order to reach those alignment pins which are innermost on the diameter of the reactor internals. As each radial (or linear) row of pins is remotely inspected by television cameras (not shown), the straightening assembly 19 can be positioned thereunder for straightening any bent pins 12 discovered. When such operation is completed for those innermost pins, the mast 22 is then moved to a position on the cross member 28 in order that the straightening assembly 19 can be positioned under the next group of pins; the appropriate weight would be removed from the counterweight 31 to balance the cross member 28. This operation would be repeated until each of the pins 12, 14 has been inspected and, if necessary, straightened. Alternatively, the counterweight 31 can be slidably mounted on the cross member 28, the counterweight not being adjustable, in order to compensate for the bending moment on the cross member 28 produced by the straightening assembly 19. Thus, the mast 22 would always be positioned midway between the straightening assembly 19 and the counterweight. It is also to be understood that the orientation and angle 68 of the adjustable shim 61 (or die insert 54) would be capable of remote adjustment to be able to straighten any bent pin 12, regardless of its misalignment angle 67. Another advantage to this type of arrangement is that the inspecting and straightening of fuel assembly alignment pins can be completed much more quickly. Instead of having to first inspect the reactor internals in order to map the locations of any bent pins to provide for predetermined parameters for the tool 16, the inspecting and straightening procedures can be simultaneously performed. This even further would reduce refueling downtime, as well as reducing individual man-rem exposure for plant maintenance personnel. Although the embodiments discussed refer to vertical pins which project upward or downward from a horizontal wall, it is to be understood that the device may be also used to straighten pins, or other such members, which project horizontally from a vertical wall. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alterations would be developed in light of the overall teachings of the disclosure. Accordingly, the particular arrangements disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
059995858
description
DESCRIPTION OF PREFERRED EMBODIMENT FIG. 1 shows the oxygen potential in kJ/mole calculated on the basis of the Lindemer and Besmann formula for UO.sub.2, as well as for superstoichiometric oxides UO.sub.2+x and substoichiometric oxides UO.sub.2-x, as a function of the temperature in .degree.C. FIG. 2 shows the evolution of the oxygen potential (in kJ/mole) for the Cr/Cr.sub.2 O.sub.3 pair as a function of the temperature (in .degree.C.), and it can be seen that, throughout the temperature range in question, the oxygen potential of the oxide is below that of the superstoichiometric oxides UO.sub.2+x of FIG. 1. FIG. 3 shows the evolution of the oxygen potential (in kJ/mole) for MoO.sub.2 as a function of the temperature, and it can be seen that it is still below that of the superstoichiometric oxides UO.sub.2+x at the same temperatures. Consequently these two elements are suitable as a metal able to trap oxygen for fuel materials based on UO.sub.2 and the following examples illustrate the use of the two elements with UO.sub.2. In all the examples, use is made of a UO.sub.2 powder with an average grain size of 0.5 to 100 .mu.m. EXAMPLE 1 In this example preparation takes place of UO.sub.2 pellets incorporating micrometric, metallic precipitates of Cr. 100 g of UO.sub.2 powder are mixed together with 0.1 g of metallic Cr powder having an average grain size below 2 .mu.m and then the mixture is brought into pellet form by uniaxial compression at 350 MPa, the matrix being lubricated in a hydraulic press. The pellets are then placed in a molybdenum boat and sintered at 1700.degree. C. for 4 h under dry hydrogen. This gives a small UO.sub.2 grain microstructure with micrometric, metallic precipitates of Cr. FIG. 4 is a micrograph illustrating this structure with a 600X magnification. It is clearly possible to see the intergranular or intragranular metallic precipitates (white particles), and the electron diffraction pattern confirms the metallic character of these inclusions. In order to verify the behavior of said fuel for trapping oxygen, a managed oxidation takes place of the pellets by heat treatment at 700.degree. C. in a helium atmosphere having 0.01 vol % oxygen, under conditions making it possible to achieve in the case of pure oxide an average O/U ratio of 2.024. FIG. 5 is a micrograph with a 400.times. magnification illustrating the structure of the fuel material having undergone the oxidation. It can be seen that the fuel material has trapped the oxygen and has no phases other than the previously obtained UO.sub.2 matrix. For comparison purposes, FIG. 6 shows the micrograph of a uranium dioxide pellet obtained under the same conditions as in example 1, but without any chromium addition and when it has undergone the same managed oxidation for obtaining the average O/U ratio of 2.024. FIG. 6 shows that there are U.sub.4 O.sub.9 needles in the UO.sub.2 matrix. Thus, by comparing FIGS. 5 and 6, it is possible to see the effectiveness of the metallic chromium inclusions, which have prevented the transformation of UO.sub.2 into U.sub.4 O.sub.9. EXAMPLE 2 In this example preparation takes place of uranium dioxide nuclear fuel pellets having a small UO.sub.2 grain microstructure with micrometric, metallic Cr precipitates. In this case, 100 g of UO.sub.2 powder are mixed with 0.15 g of Cr.sub.2 O.sub.3 powder (with a grain size below 2 .mu.m), followed by the formation of pellets from the mixture and they are sintered as in Example 1, under a dry hydrogen atmosphere. In this case, the added chromium oxide is reduced to metallic chromium during the sintering under dry hydrogen and has not activated the crystal growth of UO.sub.2 in order to form a large grain microstructure. Thus, a small grain microstructure is obtained with metallic Cr precipitates. FIG. 7 shows this structure. EXAMPLE 3 In this example, preparation takes place of a nuclear fuel having a UO.sub.2 small grain microstructure with metallic Cr precipitates. Preparation takes place of a powder by the atomization-drying of a slip containing 150 g of UO.sub.2, 0.6 g of a soluble chromium salt: (NH.sub.4).sub.2 CrO.sub.4 and 250 g of distilled water. The powder obtained is then calcined for 2 h in an alumina boat at 400.degree. C. in an alumina laboratory tubular furnace under an argon flow (300 ml/min) in order to transform the chromium salt into Cr.sub.2 O.sub.3. This is followed by the shaping of the powder and sintering, as in Example 1, under a dry hydrogen atmosphere. In this case, the oxygenated compound of the chromium is reduced during sintering into metallic chromium, so that it cannot serve as an activator for UO.sub.2 crystal growth. Thus, a UO.sub.2 small grain microstructure is obtained with metallic chromium precipitates. EXAMPLE 4 In this example, preparation takes place of a nuclear fuel having a UO.sub.2 large grain microstructure with nanometric, micrometric, metallic precipitates of Cr. A powder is prepared by atomization-drying, as in Example 3, using 1.5 g of (NH.sub.4).sub.2 CrO.sub.4, i.e., a Cr.sub.2 O.sub.3 content above the Cr.sub.2 O.sub.3 solubility limit in UO.sub.2 at 1700.degree. C. The powder obtained is treated in accordance with Example 3, being calcined for 2 h in an alumina boat at 400.degree. C. in an alumina laboratory tube furnace under an argon flow (300 ml/min). It is then brought into the form of pellets by uniaxial compression at 350 MPa, as in Example 1. Sintering then takes place under a hydrogen atmosphere humidified with 1.7 vol. % water, at 1700.degree. C. and for 4 h in order to keep the chromium in oxide form and assist the increase in the UO.sub.2 grain size. After sintering, an annealing treatment takes place at 1300.degree. C. for 5 h and under dry hydrogen having a water content below 0.05 vol. % in order to reduce the Cr.sub.2 O.sub.3 oxide to metallic chromium. Maintaining the Cr.sub.2 O.sub.3 in oxide form during sintering has made it possible to use it as an activator for crystal growth and in this way to obtain a large grain microstructure and the annealing treatment under dry hydrogen has then made it possible to reduce Cr.sub.2 O.sub.3 to metallic chromium and consequently obtain nanometric, micrometric, metallic precipitates. The microstructure of the material obtained under these conditions is illustrated in FIG. 8, where it is possible to see the large grains 1 of UO.sub.2 and the micrometric chromium inclusions 5. The nanometric chromium inclusions are revealed by electron diffraction. EXAMPLE 5 A powder is prepared as in Example 3 by atomization-drying, but using 0.2 g of (NH.sub.4).sub.2 CrO.sub.4, i.e. a Cr.sub.2 O.sub.3 equivalent content below the solubility limit of Cr.sub.2 O.sub.3 in UO.sub.2 at 1700.degree. C. This is followed by the compression of the powder in the form of pellets and sintering as in Example 4 to obtain a large grain microstructure due to the maintaining of the chromium in oxide form. This is followed by an annealing treatment as in Example 4 for reducing Cr.sub.2 O.sub.3 into metallic chromium. In this case, a large grain UO.sub.2 microstructure is obtained with nanometric metallic precipitates of Cr, because there was no Cr.sub.2 O.sub.3 excess for forming metallic, micrometric precipitates during the reduction. EXAMPLE 6 This example adopts the same operating procedure as in Example 4, but use is made of 1.5 g of (NH.sub.4).sub.2 CrO.sub.4 and 0.04 g of ultrafine SiO.sub.2 in slip containing 150 g of UO.sub.2 and 250 g of distilled water. The powder obtained by atomization-drying is compressed in pellet form and then sintered in a humidified hydrogen atmosphere and subjected to an annealing treatment under dry hydrogen, under the same conditions as in Example 4. This gives a large grain UO.sub.2 microstructure with metallic chromium precipitates and a silica phase at the grain boundaries. EXAMPLE 7 In this example a mixture of 100 g of UO.sub.2 and 0.6 g of MoO.sub.3 is prepared by cogrinding in a metallic uranium ball jar, followed by the compression of the powder mixture to pellet form and sintering under the same conditions as in Example 1. In this case, the molybdenum oxide is reduced to molybdenum during sintering and it is not possible to active the crystal growth of the UO.sub.2 grains. Thus, a small grain UO.sub.2 microstructure is obtained with micrometric, metallic precipitates of Mo. EXAMPLE 8 A powder is obtained by atomization-drying of an aqueous suspension constituted by 150 g of UO.sub.2 and 7.7 g of ammonium heptamolybdate (NH.sub.4).sub.6 Mo.sub.7 O.sub.24, 4H.sub.2 O and 250 g of distilled water. The powder is then treated as in Example 1. This gives a small grain UO.sub.2 microstructure with micrometric, metallic Mo precipitates.
description
During fabrication of integrated circuit (“IC”) masks, for example, critical dimension (“CD”) controls are implemented in stages to ensure dimensions such as spacing and line width are maintained within predefined specifications. Currently, to identify CD errors, CD measurements are generally obtained using equipment such as a critical dimension scanning electron microscope (“CD-SEM”). CD-SEM uses a scanning electron microscope to take top-down images of pattern features and extract dimension information from those images. The fabrication environment in which CD-SEM equipment is used is replete with sources of electro-magnetic interference (“EMI”), the effects of which negatively impact the CD-SEM, resulting in blurred images and fluctuation of the measurement spot. Clearly, this has a negative impact on quality control (“QC”). Currently available CD-SEMs provide some level of EMI shielding. For example, at least one commercially-available CD-SEM has a cover made of iron (“Fe”) having a thickness of 1 mm; this provides EMI shielding of approximately 3 milligauss (mG). Such a cover, however, it is generally ineffective against the wide range of EMI (e.g., high- and low-frequency) originating from various sources within a fab at levels of 10-15 mG. In view of the foregoing, what is needed is an improved method and system for shielding CD-SEM equipment from EMI within a fab. The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Referring now to FIG. 1, a CD-SEM 100 in accordance with embodiments described herein is illustrated. As shown in FIG. 1, the CD-SEM 100 comprises an electron gun 102 and an SE detector 104. As will be recognized by one of ordinary skill in the art, in operation, an electron beam is thermionically emitted from the electron gun 102. The beam is focused by a series of lenses (not shown in FIG. 1) and is deflected in the x and y axes so that it scans in a raster fashion over a rectangular area of the sample surface. When the electron beam interacts with the sample, such as the mask, the energy exchange between the beam and the sample results in the reflection of secondary electrons by inelastic scattering, which is detected by the SE detector 104. The CD-SEM 100 further includes a cover 106, the front side and top of which in the illustrated embodiment have been cut away so that the inside of the CD-SEM 100 is visible. In one embodiment, the cover 106 is fabricated of iron and has a thickness of approximately 1 mm. The cover 106 is capable of shielding the electron gun 102 and more importantly, the SE detector 104 from EMI 108 of less than or approximately equal to 3 mG. Unfortunately, as previously mentioned, when used in a semiconductor fab environment, a CD-SEM may be subjected to EMI of well over 10 mG. As a result, the cover 106 does not provide sufficient environmental EMI shielding. In accordance with features of one embodiment, a dual-layer shield 107, comprising a shielding elements 108 disposed within a shielding element 110, is provided around the base of the gun 102 and, more importantly, around the SE detector 104 such that it completely surrounds the SE detector. In one embodiment, the shielding element 108 comprises magnetic shielding lamina comprised of a nickel-iron (Ni—Fe) alloy, while the shielding element 110 comprises a shielding foil comprised of aluminum (“Al”). The Ni—Fe magnetic shielding lamina is effective due to its high permeability μ (i.e., approximately 2.4×10−2 henry/meter (H/m)) and relative permeability μ/μ0 (i.e., approximately 8000). Other highly ferro-magnetic materials with high permeabilities/relative permeabilities, including, but not limited to steel plate and platinum, may be substituted for Ni—Fe. The Al shielding foil is effective due to its high conductivity σ (i.e., approximately 3.5×107 siemens/meter (S/m) at 20° C.) and low resistivity ρ (i.e., approximately 2.82×10−8 ohm meters (Ωm) at 20° C.), relatively low cost compared to other materials with similar properties, and resistance to corrosion. Other effective conductors that are similarly corrosion-resistant may be substituted for Al, including, but not limited to, gold, copper, and silver. As will be described in greater detail below, due to the combination of materials described above, and more particularly due to the high conductivity and high permeability of the shield due to the combination of materials comprising the shield 107, the shield is highly effective to block EMI 112 resulting from the fab environment from affecting performance of the CD-SEM 100, and particularly the SE detector 104. For example, the shield 107 is capable of blocking EMI of approximately 4 to 15 mG generated by a sub-fab power tray at a frequency of 60 hertz (“Hz”) (due to the high permeability of Ni—Fe), as well as EMI of approximately 12 mG generated by an automated material handling system (“AMHS”) overhead transport (“OHT”) at a frequency of approximately 400-10,000 Hz (due to the high conductivity of Al). FIG. 2 is a cutaway view of an alternative arrangement of a CD-SEM 200 in accordance with one embodiment. As with the CD-SEM 200 shown in FIG. 2, the CD-SEM 200 also comprises an electron gun 202 and an SE detector 204 disposed within a cover 206, each of which may be similar or identical to those elements of the CD-SEM 100. As described above with reference to FIG. 1, the CD-SEM 200 also includes a dual-layer shield 207, comprising a shielding element 208 disposed within a shielding element 210, is provided around the base of the gun 202 and around the SE detector 204 such that it completely surrounds the SE detector. The CD-SEM 200 differs from the CD-SEM 100 in that it includes an inductor coil 212 through which the electron beam produced by the electron gun 202 passes. The inductor coil 212 partially protects the electron beam from EMI influences. In particular, the inductor coil protects the inspection line of 90 degrees from EMI Y-axis influence; however, the inductor coil is incapable of protecting the inspection line of 0 degree from EMI Y axis influence or the inspection line of 0 and 90 degrees from EMI X axis influence. Only the shield 207 is capable of shielding all four inspection lines from the influence of EMI, due to its combined characteristics of high permeability and high conductivity. FIG. 3 is a graph 300 illustrating a moving range of quality control line data before and after shielding in accordance with one embodiment. In particular, a first portion 302 of the data illustrates a moving range prior to shielding and a second portion 304 of the data illustrates a moving range subsequent to shielding. As is evident from the graph 300, the shielding has a significant impact on the moving range. Specifically, prior to shielding, the moving range is approximately 2.4 nm; subsequent to shielding, the moving range is 0.4 nm. One embodiment is a scanning electron microscope (“SEM”) comprising an electron gun for producing an electron beam directed toward a sample; a secondary electron (“SE”) detector for detecting secondary electrons reflected from the sample in response to the electron beam; and a dual-layer shield disposed around and enclosing the SE detector. The shield comprises a magnetic shielding lamina layer and a metallic foil layer. Another embodiment is an apparatus for shielding a secondary electron (“SE”) detector of a scanning electron microscope (“SEM”) from effects of EMI. The apparatus comprises a dual-layer shield disposed around and enclosing the SE detector, the shield comprising a first layer comprising a magnetic shielding lamina; and a second layer comprising a metallic foil. Yet another embodiment is a method of shielding a secondary electron (“SE”) detector of a scanning electron microscope (“SEM”) from effects of electromagnetic interference (“EMI”). The method comprises providing a first shielding layer around the SE detector; and providing a second shielding layer over the first shielding layer. Although only a few exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. It is understood that various different combinations of the above-listed embodiments and steps can be used in various sequences or in parallel, and there is no particular step that is critical or required. Moreover, each of the modules depicted in the drawings can be implemented on multiple devices, including computing devices, and implementation of multiple ones of the depicted modules may be combined into a single device, including a computing device. Furthermore, features illustrated and discussed above with respect to some embodiments can be combined with features illustrated and discussed above with respect to other embodiments. Accordingly, all such modifications are intended to be included within the scope of this invention. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.