patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
044773773
claims
1. The process of recovering cesium ions in higher concentration from mixtures thereof with other ions which comprises (1) establishing a separate aqueous source phase of the ions to be separated of basic pH, (2) establishing a separate aqueous recipient phase, (3) establishing a liquid membrane phase containing a macrocyclic calixarene ligand in a liquid membrane solvent interfacing with the source phase and the recipient phase, (4) maintaining this interfacial contact for a period of time long enough to transport a substantial part of the cesium ions from the source phase to the recipient phase, and (5) recovering the cesium ions from said recipient phase. 2. The process as set forth in claim 1 in which the liquid membrane solvent is a mixture of methylene chloride and carbon tetrachloride. 3. The process as set forth in claim 1 in which the ions to be separated are derived from nuclear waste which contains a plurality of degredation products of uranium splitting which have molelcular weights about half of the molecular weight of uranium. 4. The process as set forth in claim 1 in which the ligand is the tetrameric calixarene. 5. The process as set forth in claim 1 in which the ligand is the hexameric calixarene. 6. The process as set forth in claim 1 in which the ligand is the octameric calizarene. 7. The process as set forth in claim 1 in which the source phase is separated from the recipient phase by the liquid membrane phase and by a solid physical barrier. 8. The process as set forth in claim 1 in which the source phase is separated from the recipient phase by the liquid membrane only.
claims
1. A device for the transportation of nuclear fuels, comprising:a barrel with a longitudinal axis which forms a compartment designed to contain a casing loaded with nuclear fuel, said compartment being equipped, at a first longitudinal end, with a first opening which is closed off by a closure device, and is constructed to allow passage of the casing, and at a second longitudinal end with a second opening closed off by a plug which includes a through passage and a force transmission component,wherein said force transmission component forms a biological shield adapted for slidable movement in said through passage of said plug,wherein said force transmission component is constructed to exert a thrust force on the casing,wherein said through passage is constructed to allow a loading/unloading device to apply, respectively, a thrust force on the casing through the force transmission component, along a longitudinal direction in a direction of the first opening in order to unload a casing, or a traction force in a direction of the second opening in order to load the casing into the transportation device,wherein said force transmission component is a separate structure from said loading/unloading device, said force transmission component having first and second ends,wherein said first end of said force transmission component is adapted to be detachably connected with said loading/unloading device,wherein said force transmission component is arranged with respect to said through passage such that said second end of said force transmission component contacts said casing in response to receiving said thrust force via said loading/unloading device, andwherein said through passage of said plug is adapted to sealingly retain said force transmission component inside said through passage, and said force transmission component forms a center portion of said plug, when said thrust force is not being applied. 2. The device according to claim 1,wherein the through passage of the plug in the second opening is closed off to an outside of the device by a door and to an inside of the device by the force transmission component, said component being adapted to slide inside the compartment. 3. The device according to claim 2, further comprising:a system for checking that the compartment is sealed, said system for checking including means for injecting helium between two concentric seals disposed between the plug and the barrel or between the door and the plug,wherein one of the seals is radially internal and another seal is an intermediate seal, and means for detecting the presence of helium in the intermediate seal and a radially external seal. 4. The device according to claim 1,wherein said component includes a massive cylindrical component which fits a diameter of the through passage and a diameter of the compartment,wherein the compartment forms a biological shield,and wherein a sealing system is fitted between said component and the through passage through the plug. 5. The device according to claim 1, wherein said force transmission component includes a gripper at said second end which attaches to the casing automatically to transfer a traction force onto the casing. 6. The device according to claim 1,wherein said closure device closing off the first opening includes a first plug on the outside and an additional plug on the inside, the additional plug forming a biological barrier when the first plug is withdrawn. 7. The device according to claim 6,wherein the additional plug is adapted to rotate around an axis which is orthogonal to the longitudinal axis, andwherein said additional plug includes a passage with a longitudinal axis and said additional plug has a diameter which allows the casing to pass through and which is arranged in such a manner that a rotation of the additional plug around the axis of rotation results in the axis of passage of the additional plug being aligned with the axis of the compartment, thereby allowing the casing to pass through the additional plug. 8. The device according to claim 1, further comprising:an inflatable seal disposed on an interior wall of the compartment proximate to said component and which is designed to come into contact with the casing. 9. The device according to claim 8, further comprising:a plurality of shock absorbing caps which cover longitudinal ends of said transportation device. 10. The device of claim 1, wherein said through passage and said force transmission component each have a circular cross-section in a direction perpendicular to said longitudinal direction. 11. A method for unloading from a transportation device a casing loaded with nuclear fuel, said transportation device including a barrel with a longitudinal axis which forms a compartment designed to contain a casing loaded with nuclear fuel, said compartment being equipped, at a first longitudinal end, with a first opening which is closed off by a closure device and which is designed to allow passage of the casing, and at a second longitudinal end with a second opening closed off by a plug which includes a through passage and a force transmission component which forms a biological shield fitted to slide in said through passage of said plug, said force transmission component being designed to exert a thrust force on the casing, said through passage being designed to allow a loading/unloading device to apply, respectively, a thrust force on the casing through the force transmission component, along a longitudinal direction in a direction of the first opening to unload a casing, or a traction force in a direction of the second opening to load the casing into the transportation device, said method comprising:opening the closure device; andapplying a thrust force, using the force transmission component, from the second opening in the direction of the first opening so that the casing is made to slide in the device towards the first opening, thereby causing the casing to emerge from said transportation device,wherein said force transmission component is a separate structure from said loading/unloading device, said force transmission component having first and second ends,wherein said first end of said force transmission component is adapted to be detachably connected with said loading/unloading device,wherein said force transmission component is arranged with respect to said through passage such that said second end of said force transmission component contacts said casing in response to receiving said thrust force via said loading/unloading device, andwherein said through passage of said plug is adapted to sealingly retain said force transmission component inside said through passage, and said force transmission component forms a center portion of said plug, when said thrust force is not being applied. 12. The method of claim 11, wherein said through passage and said force transmission component each have a circular cross-section in a direction perpendicular to said longitudinal direction. 13. A method for loading a transportation device with a casing loaded with nuclear fuel, said transportation device including a barrel with a longitudinal axis which forms a compartment designed to contain a casing loaded with nuclear fuel, said compartment being equipped, at a first longitudinal end, with a first opening which is closed off by a closure device and which is designed to allow passage of the casing, and at a second longitudinal end with a second opening closed off by a plug which includes a through passage and a force transmission component which forms a biological shield fitted to slide in said through passage of said plug, said force transmission component being designed to exert a thrust force on the casing, said through passage being designed to allow a loading/unloading device to apply, respectively, a thrust force on the casing through the force transmission component, along a longitudinal direction in a direction of the first opening to unload a casing, or a traction force in a direction of the second opening to load the casing into the transportation device, said method comprising:opening the closure device;applying a thrust force, using the force transmission component, from the second opening in the direction of the first opening so that the force transmission component grippingly engages with said casing; andapplying a traction force, using the force transmission component, from the first opening in the direction of the second opening so that the casing is made to slide inside the transportation device,wherein said force transmission component is a separate structure from said loading/unloading device, said force transmission component having first and second ends,wherein said first end of said force transmission component is adapted to be detachably connected with said loading/unloading device,wherein said second end of said force transmission component includes a gripper adapted to be detachably connected with said casing,wherein said force transmission component is arranged with respect to said through passage such that said second end of said force transmission component grippingly engages with said casing in response to receiving said thrust force via said loading/unloading device, andwherein said through passage of said plug is adapted to sealingly retain said force transmission component inside said through passage, and said force transmission component forms a center portion of said plug, when said thrust force is not being applied. 14. The method of claim 13, wherein said through passage and said force transmission component each have a circular cross-section in a direction perpendicular to said longitudinal direction.
abstract
Provided herein are various embodiments of systems for casting thin metal plates and sheets. Typical embodiments include layers of mold cavities that are oriented vertically for casting the metal plates. In some embodiments, the mold cavities include a beveled edge such that the plates that are cast have a beveled edge. In some embodiments, the mold cavities are filled with a molten metal through an open horizontal edge of the cavity. In some embodiments, the mold cavities are filled through one or more vertical feed orifices. Further disclosed are methods for forming a thin cast metal plate or sheet where the thickness of the cast part is in a range from 0.005 inches to 0.2 inches, and the surface area of the cast part is in a range from 16 square inches to 144 square inches.
051026163
abstract
A water cooled nuclear reactor comprises a reactor core, a primary water coolant circuit and a pressurizer arranged as an integral unit in a pressure vessel. A passive full pressure emergency core cooling and residual heat removal system is provided which comprises a tank having a reserve supply of water positioned above the primary water coolant circuit or the reactor core. The tank is interconnected to the primary water coolant circuit by a first pipe and a second pipe. The first pipe has an inverted U-bend which passes through a water space and a steam space of the pressurizer to form a vapor lock. The first pipe and second pipe are also provided with hydrostatic thermal seals. The tank has one or more residual heat removal circuits comprising a heat exchanger positioned in the tank and a heat exchanger outside the tank. Movement of the vapor lock from the inverted U-bend allows cool water from the tank to flow into the primary water coolant circuit and hot water to flow into the tank to be cooled. The vapor lock detects abnormalities in the reactor core and primary water coolant circuit and in the water level in the pressurizer, and automatically initiates full pressure passive emergency cooling and residual heat removal.
summary
052271226
summary
A portion of the disclosure of this patent document contains material which is subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure, as it appears in the Patent and Trademark Office patent file or records, but otherwise reserves all copyright rights whatsoever. BACKGROUND OF THE INVENTION The present invention relates to apparatus and methods for monitoring and controlling the operation of commercial nuclear power plants. Conventionally, commercial nuclear power plants have a central control room containing equipment by which the operator collects, detects, reads, compares, copies, computes, compiles, analyzes, confirms, monitors, and/or verifies many bits of information from multiple indicators and alarms. Conventionally, the major operational systems in the control room have been installed and operate somewhat independently. These include the monitoring function, by which the components and the various processes in the plant are monitored; control, by which the components and the processes are intentionally altered or adjusted, and protection, by which a threat to the safety of the plant is identified and corrective measures immediately taken. The result of such conventional control room arrangement and functionality can sometimes be information overload or stimulus overload on the operator. That is, the amount of information and the variety and complexity of the equipment available to the operator for taking action based on such extensive information, can exceed the operator's cognitive limits, resulting in errors. The most famous example of the inability of operators to assimilate and act correctly based on the tremendous volume of information stimuli in the control room, particularly during unexpected or unusual plant transients, is the accident that occurred in 1978 at the Three Mile Island nuclear power plant. Since that event, the industry has focused considerable attention to increasing plant operability through improving control room operator performance. A key aspect of that improvement process is the use of human engineering design principles. Advances in computer technology since 1978 have enabled nuclear engineers and control room designers to display more information, in a greater variety of ways, but this can be counterproductive, because part of the problem is the overload of information. Improving "user friendliness" while maintaining the quantity and type of information at the operator's disposal has posed a formidable engineering challenge. SUMMARY OF THE INVENTION It is thus an object of the present invention to provide apparatus and method for nuclear power plant control and monitoring operations having the characteristics of concise information processing and display, reliable architecture and hardware, and easily maintainable components, while eliminating operator information overload. This objective should be accomplished while achieving enhanced reliability, ease of operation, and overall cost effectiveness of the control room complex. The solution to the problem is accomplished with the present invention by providing a number of features which are novel both individually and as integrated together in a control complex. The complex includes six major systems: (1) the control center panels, (2) the data processing system (DPS), (3) the discrete indication and alarm system (DIAS), (4) the component control system consisting of the engineered safeguard function component controls (ESFC) and the process component controls (PCC), (5) the plant protection system (PPS), and (6) the power control system (PCS). These six systems collect data from the plant, efficiently present the required information to the operator, perform all automatic functions and provide for direct manual control of the plant components. The control complex in accordance with the invention provides a top-down integrated information display and alarm approach that supports rapid assessment of high level critical plant safety and power production functions; provides guidance to the operator regarding the location of information to further diagnose high level assessments; and significantly reduces the number of display devices relative to conventional nuclear control complexes. The complex also significantly reduces the amount of data the operator must process at any one time; significantly reduces the operational impact of display equipment failures; provides fixed locations for important information; and eliminates display system equipment used only for off normal plant conditions. It is known that the nuclear steam supply system can be kept in a safe, stable state by maintaining a limited set of critical safety functions. The present invention extends the concept of the critical plant safety functions to include critical plant power production functions, in essence integrating the two functions so that the information presentation to the operator supports all high level critical plant functions necessary for power production as well as safety. The information display hierarchy in accordance with the invention includes a "big board" integrated process status overview screen (IPSO) at the apex, which provides a single dedicated location for rapid assessment of key information indicative of critical plant power production and safety functions. Further detail on the sources and trends of normal or abnormal parameter changes are provided by the DIAS. Both IPSO and the DIAS provide direct access and guidance to additional system and component status information contained on a hierarchy of CRT display pages which are driven by the DPS. The IPSO continually displays spatially dedicated information that provides the status of the plant's critical safety and power production functions. This information is presented using a small number of easily understood symbolic representations that are the results of highly processed data. This relieves the operator of the burden of correlating large quantities of individual parameter data, systems or component status, and alarms to ascertain the plant functional conditions. The IPSO presents the operator with high level effects of lower level component problems. The IPSO relies primarily on parameter trend direction, e.g., higher, lower, an alarm symbol color and shape, to convey key information. These are supplemented by values for selected parameters. The IPSO presents consolidated, simplified information to the operator in relatively small quantities of easily recognized and understood information. Furthermore, the IPSO compensates for the disadvantage inherent in recent industry trends towards presenting all information serially on CRTs, by enabling the operator to obtain an overview, or "feel" of the plant condition. Display of plant level overview on a large-format dedicated display addresses two additional operational concerns. First, operator tasks often require detailed diagnostics in very limited process areas. However, maintaining concurrent awareness of plant-wide performance is also necessary. Rather than relying on multiple operators in the control room to monitor respective indicators and the like on spatially separated panels, the IPSO can be viewed from anywhere in the control room and thus provides an operator a continuous indication of plant performance regardless of the detailed nature of the task that may be requiring the majority of his attention. In the preferred implementation, IPSO supports the assessment of the power and safety critical functions by providing for each function, key process parameters that indicate the functional status. For each function, key success paths are selected with the status of that success path The IPSO clearly relates functions to physical things in the plant. The critical functions are applied to power production, normal post trip actions, and optimal functional recovery procedures. The second level in the display information hierarchy in accordance with the present invention is the presentation of plant alarms from the DIAS. A limited number of fixed, discrete tiles are used with three levels of alarm priorities. Dynamic alarm processing uses information about the plant state (e.g., reactor power, reactor trip, refueling, shut-down, etc.) and information about system and equipment status to eliminate unnecessary and redundant alarms that would otherwise contribute to operator information overload. The alarm system provides a supplementary level of easily understood cueing into further information in the discrete indicators, CRTs and controls. Alarms are based on validated data, so that the alarms identify real plant process problems, not instrumentation and control system failures. The alarm features include providing a detailed message through a window to the operator upon the acknowledgment of an alarm and the ability to group the alarms without losing the individual messages. The tiles can dynamically display different priorities to the operator. The acknowledgment sequence insures that all alarms are acknowledged while at the same time reducing the operator task loading by providing momentary tones, then continuous alarm, followed by reminder tones to insure that the alarms are not forgotten. The operator has the ability to stop temporarily alarm flashing to avoid visual overload, and resume the flashing to insure that the alarm will eventually be acknowledged. The discrete indicators in the DIAS provide the third level of display in the hierarchy of the present invention. The flat panel displays compress many signal sources into a limited set of read-outs for frequently monitored key plant data. Signal validation and automatic selection of sensors with the most accurate signal ranges are also employed to reduce the number of control panel indicators. Information read-outs are by touch-screen to enhance operator interaction and include numeric parameter values, a bar form of analog display, and a plot trend. Various multi-range indicators are available on one display with automatic sensor selection and range display. The automatic calculation of a valid process representation parameter value, with the availability of individual sensor readings at the same display, avoids the need for separate backup displays, or different displays for normal operation versus accident or post-accident operation. Moreover, in another preferred feature of the invention, the parameter verification automatically distinguishes failed or multiple failed sensors, while allowing continued operation and accident mitigation information to the operator even if the CRT display is not available. Furthermore, the normal display information can be correlated to a qualified sensor, such as that used for post-accident monitoring purposes. At the information display level associated with control of specific components, dynamic "soft" controllers are provided with component status and control signal information necessary for operator control of these components. For the ESFC system, this information includes status lamp, on-off controls, modulation controls, open-closed controls, and logic controls. For the PCCS, the information includes confirm load, set points, operating range, process values, and control signal outputs. In the fourth level of the information hierarchy, dynamic CRT display pages are complementary to all levels of spatially dedicated control and information and can be accessed from any CRT location in the control room, technical support center, or emergency operations facility. These displays are grouped into a three level hierarchy that includes general monitoring (level 1), plant component and systems control (level 2), and component/process diagnostics (level 3). Display implementation is driven by the DPS and duplicates and verifies all discrete alarm and indicator processing performed in the DIAS. In the preferred implementation of the invention, the indicator, alarm, and control functions for a given major functional system of the plant are grouped together in a single, modularized panel. The panel can be made with cutouts that are spatially dedicated to each of the displays for the indicators, alarms, controls, and CRT, independent of the major plant functional system. This permits delivery, installation, and preliminary testing of the panels before finalization of the plant specific logic and algorithms, which can be software modified late in the plant construction schedule. This modularization is achievable because the space required on the panel is essentially independent of the major plant functional system to which the plant is dedicated. Both the alarms and indicators can be easily modified in software. The number of indicators and alarm tiles that can be displayed to the operator are not significantly limited by the available area of the panel, so that standardization of panel size and cutout locations for the display windows is possible.
abstract
The invention relates to a method for electron diffraction tomography in a Transmission Electron Microscope. Known methods involve using Scanning Transmission Electron Microscope, and use the scanned beam for STEM diffraction. The invention proposes to form the diffraction patterns with a stationary beam with a diameter slightly larger than the crystal, as a result of which a TEM without STEM unit can be used. Finding the crystal is done in TEM mode. Advantages of the method according to the invention are: a TEM without scanning unit can be used, and the diffraction volume is not depending on the orientation of the crystal, as the whole crystal is illuminated while obtaining the diffraction pattern.
040015882
abstract
A radioactive source of heat which is resistant to cremation conditions is made by encapsulating a radioisotope within a containment vessel and forming a refractory metal silicide diffusion coating exterior thereof. A secondary molybdenum vessel may be provided with a molybdenum silicide coating and then heated in air to oxidize its outer layer. A layer is applied exterior of the diffusion-coating which provides a continuous ceramic oxide layer upon subjection to cremation. This outer layer may be discrete silica carried in a hardenable binder of an organic polymer, and a minor amount of antimony is preferably also included.
summary
046577262
claims
1. In combination with a fuel assembly for a nuclear reactor including an organized array of upstanding fuel rods, a number of elongated guide thimbles strategically located within the fuel rod array, and a moderator/coolant flowing upwardly along the fuel rods to above said fuel assembly, an apparatus to control the nuclear reactivity for improving fuel utilization and thereby reducing fuel cycle costs, said control apparatus comprising: (a) a plurality of hollow displacer rods inserted into respective ones of said guide thimbles, displacing a predetermined volume of said moderator/coolant associated with said fuel rods to decrease the hydrogen/uranium ratio from a given level; (b) a manifold disposed on the top of said fuel assembly in fluid flow communication with said moderator/coolant and having a plurality of inlet ports and a plurality of exit ports connected to and in fluid flow communication with respective ones of said displacer rods, each inlet port being in fluid flow communication with at least one of said exit ports; and (c) valve means operably associated with said manifold inlet ports for controlling the flow and non-flow of said moderator/coolant through said inlet ports and into said displacer rods via said exit ports of said manifold, said flow of said moderator/coolant through said inlet ports and into said displacer rods increasing the moderator/coolant volume in thereby shifting the hydrogen/uranium ratio back to its given level. (a) a plurality of hollow displacer rods inserted into respective ones of said guide thimbles, displacing a predetermined volume of said moderator/coolant associated with said fuel rods to decrease the hydrogen/uranium ratio from a given level; (b) a manifold disposed on the top of said fuel assembly in fluid flow communication with said moderator/coolant and having a plurality of inlet ports and a plurality of exit ports connected to and in fluid flow communication with respective ones of said displacer rods, each inlet port being in fluid flow communication with at least one of said exit ports; and (c) valve means operably associated with said manifold inlet ports for controlling the flow and non-flow of said moderator/coolant through said inlet ports and into said displacer rods via said exit ports of said manifold, said flow of said moderator/coolant through said inlet ports and into said displacer rods increasing the moderator/coolant volume in thereby shifting the hydrogen/uranium ratio back to its given level; (d) said valve means being selectively movable relative to said inlet ports between a non-flow mode, wherein all of said inlet ports are closed to the flow of said moderator/coolant therethrough, and a sequential flow mode, wherein some of said inlet ports are open to flow while other ones of said inlet ports are closed to the flow of said moderator/coolant therethrough. (a) a plurality of hollow displacer rods inserted into respective ones of said guide thimbles, displacing a predetermined volume of said moderator/coolant associated with said fuel rods to decrease the hydrogen/uranium ratio for a given level; (b) a manifold disposed on the top of said fuel assembly and having a central opening disposed in fluid flow communication with said moderator/coolant, a plurality of inlet ports defined in said manifold in circumferentially spaced relation about and adjacent to said central opening and a plurality of exit ports connected to and in fluid flow communication with respective ones of said displacer rods, said each inlet port being in fluid flow communication with at least one of said exit ports; and (c) valve means operably associated with said manifold for controlling the flow and non-flow of said moderator/coolant through said inlet ports and into said displacer rods via said exit ports of said manifold, said flow of said moderator/coolant through said inlet ports and into said displacer rods increasing the moderator/coolant volume in thereby shifting the hydrogen/uranium ratio back to its given level, said valve means including an elongated hollow valve stem rotatably disposed in said central opening of said manifold and having at least one orifice defined in a lower section thereof such that said stem abuts said inlet ports to prohibit flow of said moderator/coolant therethrough when said orifice is not in alignment with a respective one inlet port and to permit flow of said moderator/coolant therethrough and into said displacer rod in fluid flow communication with said one inlet port when said orifice is in alignment therewith. 2. The control apparatus as defined in claim 1, wherein in said flow mode no more than two of said inlet ports are open to the flow of moderator/coolant therethrough at any one time. 3. The control apparatus as defined in claim 1, wherein said displacer rods are filled with a burnable poison gas, said gas being released into said moderator/coolant to control the reactivity simultaniously as said moderator/coolant flows into said displacer rods. 4. The control apparatus as defined in claim 3, wherein said burnable poision gas is He.sup.3. 5. The control apparatus as defined in claim 3, wherein each of said displacer rods includes a valve located at the lower end thereof for refilling said rod with a burnable poison gas after completion of a fuel cycle. 6. The control apparatus as defined in claim 12, wherein said lower section of said valve stem includes two orifices, one orifice being disposed diametrically opposite the other orifice. 7. The control apparatus as defined in claim 12, wherein said manifold includes a central hub which defines said central opening and a plurality of hollow tube-like vanes mounted on and extending radially outwardly from said hub, the inward end of said vanes defining said inlet ports whereas the outward end of said vanes defining at least some of said exit ports. 8. The control apparatus as defined in claim 7, wherein some of said vanes include at least two exit ports, said vanes having two exit ports being alternately arranged with said tubes having one exit port. 9. The control apparatus as defined in claim 7, wherein said vanes are circumferentially spaced about said hub and arranged such that one inlet port is diametrically opposite another inlet port. 10. The control apparatus as defined in claim 14, further including means for rotating said valve stem about the axis of said central opening for selective movement between said flow and non-flow modes. 11. In combination with a fuel assembly for a nuclear reactor including an organized array of upstanding fuel rods, a number of elongated guide thimbles strategically located within the fuel rod array, and a moderator/coolant flowing upwardly along the fuel rods to above said fuel assembly, an apparatus to control the nuclear reactivity for improving fuel utilization and thereby reducing fuel cycle costs, said control apparatus comprising: 12. In combination with a fuel assembly for a nuclear reactor including an organized array of upstanding fuel rods, a number of elongated guide thimbles strategically located within the fuel rod array, and a moderator/coolant flowing upwardly along the fuel rods to above said fuel assembly, an apparatus to control the nuclear reactivity for improving fuel utilization and thereby reducing fuel cycle costs, said control apparatus comprising:
description
This application is a continuation application of application Ser. No. 10/966,907, filed Oct. 15, 2004, entitled “ADVANCED FIRST CORE FUEL ASSEMBLY CONFIGURATION AND METHOD OF IMPLEMENTING THE SAME.” The present invention relates generally to fuel assemblies for a nuclear reactor and, more particularly, to an advanced method of fuel management through arrangement of nuclear fuel assemblies within the initial core of a pressurized water reactor. The invention also relates to advanced initial cores for pressurized water nuclear reactors. Modern commercial nuclear power reactors are fueled with uranium having a slightly enriched U-235 content. The core of the reactor is formed by numerous elongated, rectangular fuel assemblies arranged in a cylindrical vessel. The fuel assemblies are arranged in accordance with a loading pattern intended to meet certain engineering requirements, such as the distribution of power, including limits on power peaks within the core. Other considerations include the maximization of the fuel cycle, or the time required between refuelings. The initial loading configuration and plan of replacement and arrangement of fuel during the life of the reactor is known as in-core fuel management, and is a major nuclear reactor design consideration. Use of the slightly enriched U-235 fuel necessitates that portions of the core be periodically removed and replaced with new or fresh fuel. Thus, it is common to combine fuel assemblies from previous fuel cycles with new fuel. A typical inventory of fuel assemblies includes about one-third new fuel assemblies, commonly referred to as feed assemblies, about one-third once-burned fuel assemblies and about one-third twice-burned fuel assemblies. Accordingly, the fuel loading pattern for the first core of a nuclear reactor, such as a pressurized water reactor, commonly utilizes three enrichment zones, based upon the average enrichment of U-235 of the fuel assemblies with a given zone, with each zone having generally equal proportions. FIG. 1 shows a schematic representation of such a known prior art loading pattern 2 which uses three generally equal-sized fuel batches 4,6,8. A batch is a group of fuel assemblies that are typically placed into, and then permanently removed from, the core 14 together. Note that the pattern shown in FIG. 1 illustrates only one-eighth of the reactor core 14 and assumes core symmetry. The zones include two low enrichment zones 4, 6 which, as shown, are generally loaded in a checkerboard fashion toward the reactor interior 10, and a high enrichment zone 8, which is loaded primarily at the reactor periphery 12. The length of the fuel reload cycle for cores 14 assembled in accordance with the foregoing arrangement is adjusted by varying the enrichments of all of the zones 4, 6, 8 in a substantially equal manner. However, this results in the disadvantage of relatively poor fuel utilization due primarily to high neutron leakage caused by the high enrichment zone 8 being disposed at the reactor periphery 12. Additionally, the use of three generally equal-sized regions in zones 4, 6, 8 is inconsistent with current industry fuel management practices with regard to reload cycles, wherein the refueling fraction varies as a function of the desired cycle length. A cycle is the time during which the arrangement of normally stationary fuel in the reactor core is unchanged, usually beginning with the placement of a feed batch, or a batch of fresh fuel, into the core, and ending with the removal of highly burned fuel assemblies. The number of burns a fuel assembly has experienced is the number of cycles it has been in the reactor core. A typical cycle might range from 10 to 18 months in duration. By way of example, 18-month cycles in accordance with the aforementioned prior art require about 40% of the core to be replaced at each cycle, with the replacement typically comprising a mixture of fuel assemblies having both low and high initial enrichments. Therefore, it will be appreciated that the use of equal size batches results in the discharge of low enrichment regions or zones at very low burn-up, while requiring a significant financial investment. Accordingly, such practice is inefficient and uneconomical. The greatest savings in overall fuel costs is achieved by minimizing the initial enrichment required to achieve an equilibrium fuel management scheme. Further adding to the inefficiency of known prior art methods for establishing initial cores is the fact that such methods are essentially ad hoc basis, performed on a substantially trial and error basis relying on years of experience in the art. There is no systematic approach for developing the first core. As such, initial cores created by such methods must be conservatively designed, with a built in margin for error, which results in the core taking longer than necessary to reach equilibrium, thereby raising costs. It is desirable, therefore, to avoid the substantial fuel cycle cost penalties associated with known initial core nuclear fuel management schemes. There is a need, therefore, for an advanced method of implementing initial cores for nuclear reactors. Accordingly, there is room for improvement in the art of in-core fuel management for nuclear reactors including initial core fuel assembly arrangement and in methods of implementing the same. These needs and others are satisfied by the present invention, which is directed to a method of loading an initial core for a nuclear reactor such that it substantially emulates the highly economical and efficient equilibrium cycle reload core of a nuclear reactor. As one aspect of the invention, a method of implementing an economical initial core for a nuclear reactor comprises: providing a plurality of fuel assemblies having different average enrichments of uranium 235; and arranging the plurality of fuel assemblies in an initial core configuration structured to emulate a known equilibrium reload cycle core at least in terms of spatial reactivity distribution. The method may include providing bundles of the plurality of fuel assemblies, wherein the bundles include at least highly enriched fuel batches, less enriched fuel batches and least enriched fuel batches. The equilibrium cycle reload core may include batches of feed fuel assemblies, once-burned fuel assemblies and twice-burned fuel assemblies, wherein the feed fuel assemblies have the highest average enrichment of uranium 235, the once-burned fuel assemblies have less enriched fuel and the twice-burned fuel assemblies have the least enriched fuel, and wherein the highly enriched fuel batches of the initial core are approximately the same size and enrichment as the feed fuel batch of the equilibrium cycle reload core. The method may further include the step of approximating the reactivity of the once-burned fuel batches and the twice-burned fuel batches of the equilibrium cycle reload core in the initial core through use of fuel batches in the initial core which have initial average enrichments based upon the reactivity of the non-zero, beginning of cycle burnup and initial enrichment of the once and twice-burned fuel of the equilibrium reload cycle core. One or more of the fuel batches of the initial core may include one or more sub-batches comprising fuel assemblies of similar average enrichment. The method may include providing as the highly enriched fuel batches, two high enrichment sub-batches sized and enriched to emulate the feed fuel batches of the known equilibrium reload cycle core. The high enrichment sub-batches may be loaded toward the interior of the initial core and the low enrichment batches of fuel may be primarily loaded at the periphery of the initial core. The method may include providing an advanced lattice design for the initial core wherein the lattice design is structured to compensate for a large thermal neutron flux peak which results from the highly enriched fuel batches within the initial core configuration being disposed adjacent less enriched fuel batches within the initial core configuration. The advanced lattice design may include a generally square pattern of adjacent rows of fuel rods wherein the fuel rods include at least six different fuel rod types ranging in average enrichment from least enriched to most enriched and wherein the advanced lattice disposes the least enriched fuel rods at the corners of the generally square pattern, the next to least enriched fuel rods at the outermost rows of the generally square pattern and the most enriched fuel rods toward the center of the generally square pattern. As another aspect of the invention, an advanced initial core for a nuclear reactor comprises: a plurality of fuel assemblies having different average enrichments of uranium 235, wherein the initial core is loaded by arranging the plurality of fuel assemblies in a configuration that emulates a known equilibrium reload cycle core, at least in terms of spatial reactivity distribution. The advanced initial core may include bundles of the plurality of fuel assemblies wherein the bundles include at least highly enriched fuel batches, less enriched fuel batches and least enriched fuel batches. The equilibrium cycle reload core may include batches of feed fuel assemblies, once-burned fuel assemblies and twice-burned fuel assemblies wherein the feed fuel assemblies have the highest average enrichment of uranium 235, the once-burned fuel assemblies have less enriched fuel and the twice-burned fuel assemblies have the least enriched fuel and wherein the highly enriched fuel batches of the initial core are approximately the same size and enrichment as the feed fuel batch of the equilibrium cycle reload core. The reactivity of the once-burned fuel batches and the twice-burned fuel batches of the equilibrium cycle reload core may be approximated in the initial core through use of fuel batches in the initial core which have initial average enrichments based upon the reactivity of the non-zero, beginning of cycle burnup and initial enrichment of the once and twice-burned fuel of the equilibrium reload cycle core. One or more of the fuel batches of the initial core may include one or more sub-batches comprising fuel assemblies of similar average enrichment. The highly enriched fuel batches of the initial core may include two high enrichment sub-batches sized and enriched to emulate the feed fuel batches of the known equilibrium reload cycle core. The high enrichment sub-batches may be loaded toward the interior of the initial core and the low enrichment batches of fuel may be primarily loaded at the periphery of the initial core. The advanced initial core may include an advanced lattice design wherein the lattice design is structured to compensate for a large thermal neutron flux peak which results from the highly enriched fuel batches within the initial core configuration being disposed adjacent less enriched fuel batches within the initial core configuration. The invention will be described as applied to a method of implementing initial cores for pressurized water nuclear reactors using data for an 18-month equilibrium cycle reload core as a design target, although it will become apparent that it could be equally applicable to other fuel reloading cycles regardless of the number of fuel batches used. It will also be appreciated that the figures and corresponding disclosure herein merely provide representative examples of initial cores generated through application of the method of the present invention and are, therefore, not limiting upon the scope of the invention. Before proceeding with a more detailed description of the present invention, it is important to provide an overview of terminology commonly employed in the nuclear power industry, as it will be applied herein. Certain such terms were described in the Background Information section hereinbefore. Additional terminology will now be discussed. As employed herein, the reference to “checkerboard” is used to describe a known prior art reactor core fuel assembly loading pattern wherein fuel assemblies of like enrichment are arranged in a manner analogous similar to the grid of red and black squares on a checkerboard, with fuel assemblies of one enrichment (e.g., once-burned fuel) being disposed in a position corresponding to the red squares of the checkerboard, and fuel assemblies of another enrichment (e.g., twice-burned fuel) being disposed in the staggered, adjacent positions corresponding to the black squares of the checkerboard. The term “equilibrium” is typically used with reference to the highly desirable, substantially stable state of the reactor core which is traditionally achieved after several fuel reload cycles following the initial core cycle. Once achieved, the equilibrium cycle provides very efficient operation (e.g., fuel burn) and predictable, economical reloading of the core at subsequent reload cycles. Accordingly, it is usually desirable to achieve an equilibrium in-core fuel management scheme as early as possible in the plant lifetime. In equilibrium, the feed assemblies will always have substantially the same enrichment and will be placed in the same locations as the previous feed assemblies and the once-burned and twice-burned assemblies that remain in the core, will be shuffled to identical locations occupied by the previously once and twice-burned assemblies. As employed herein, the term “bundle” refers to one or more than one (i.e., a plurality) fuel assembly. The term “lattice” refers to the array of fuel within a fuel assembly and the particular arrangement of individual fuel rods within such array. The advanced fuel assembly configuration of the present invention results in a first core loading pattern that closely emulates the spatial reactivity distribution of such desirable equilibrium reload core. Comparing the initial core of the present invention with, for example, the known prior art initial core fuel assembly arrangement of FIG. 1, and with the desired equilibrium core of FIG. 2, which is typically achieved after several reload cycles following the initial core cycle of FIG. 1, will help to provide a clear understanding and appreciation of the present invention. FIG. 1, as previously discussed, shows a known prior art reactor core loading pattern 2, as represented in a schematic diagram of one-eighth of the reactor core 14 which is assumed to be symmetric in shape. FIG. 1A shows the outline of the entire core 14 and the location of the one-eighth section thereof, shown in FIG. 1. As shown in FIG. 1, the loading pattern 2 places the highest enrichment zone 8, which includes fuel represented symbolically with the letter A, at the periphery 12 of the core 14. Zones 4, 6 include less reactive, once-burned fuel B and least reactive, twice-burned fuel C, respectively, which are disposed in a checkerboard pattern toward the interior 10 of the core 14, as shown. Such configuration, as previously discussed, results in a reactor power distribution that is peaked near the core periphery 12, where neutrons will leak out of the reactor and never return. Accordingly, the aforementioned fuel assembly arrangement results in poor fuel utilization and economical inefficiency. The advanced initial core (e.g., 214 of FIG. 3) and method of implementing the same, of the present invention, overcome these shortcomings by providing an initial core 214 (FIG. 3) that permits a lower initial enrichment while providing the same energy extraction and establishes a power distribution which remains centrally peaked throughout the burnup cycle. Specifically, the present invention uses the desirable equilibrium cycle reload core 114 (FIG. 2) as a design target and emulates at least the reactivity distribution of the equilibrium core 114 in the initial reactor core 214 (FIG. 3). The reactivity distribution of the equilibrium core 114 (FIG. 2) provides a model for determining the number of discrete regions within the first core loading pattern 216 (FIG. 3) that emulate the fresh (e.g., fuel assemblies A′ of zone 108 in FIG. 2), once-burned (e.g., fuel assemblies B′ of zone 104 in FIG. 2) and twice-burned fuel (e.g., fuel assemblies C′ of zone 106 in FIG. 2) of the target equilibrium reload cycle core 114. The reactivity values for the equilibrium core 114 are obtained through the initial enrichment of the fuel assemblies A, B, C and, to a lesser extent, from the burnable absorber loading of such assemblies. This can be more fully understood by comparing FIGS. 2 and 3, which will now be discussed in further detail. FIG. 2 shows a schematic representation of the loading pattern 116 of the target equilibrium cycle reload core. Like FIG. 1, FIG. 2 also assumes one-eighth core symmetry. As shown, unlike the high enrichment fuel A of zone 8 in the prior art loading pattern 2 of FIG. 1, the high enrichment fuel assemblies A′ are located toward the core interior 110, in zone 108. The highly enriched fuel A′ is checkerboarded with once-burned fuel assemblies B′, also disposed towards the core interior 110: in zone 104. The least enriched fuel assembles C′ are primarily disposed on the core periphery 112. FIG. 3 shows a schematic illustration of a representative first core loading pattern 216 and resulting initial core 214 when employing the advanced method of the present invention in order to emulate the desired equilibrium cycle reload core 114 of FIG. 2. Specifically, in accordance with the advanced method of the present invention, the reactivity distribution of the desirable equilibrium cycle reload core 114 is known. Such distribution is illustrated in the example of FIG. 2. Additionally, it is well known that much data, including data relating to such reactivity distribution, is available with respect to equilibrium cycles for nuclear reactors, generally. Such data has been obtained through years of monitoring the equilibrium cycles of various reactors and through the development and application of many known prior art methods (e.g., algorithms) in the nuclear art over the years in an attempt to optimize in-core fuel management. In essence, the advanced method of the present invention involves taking such data and applying it to emulate the reactivity distribution of the highly economic and efficient equilibrium cycle reload core 114 (FIG. 2), in the initial core 214. In other words, the method of the present invention emulates a desired end result (e.g., core equilibrium) which typically takes years to achieve, and achieves a comparable result in an initial step (e.g., the initial core 214 of the reactor), thereby dramatically improving fuel management efficiency and economy. This involves applying known parameters of the desired equilibrium cycle reload core 114, such as the initial enrichment of particular fuel assemblies 104, 106, 108 and the burnable absorber loading or amount of burnup of the assembly, and emulating the reactivity distribution which results from such parameters, using only those fuel assembly parameters which may be varied within the initial core 214. For example, only initial enrichment, batch quantity and location of the fuel assemblies within the core, may be varied because all other parameters are at an initial start up value of zero variability, the initial core has not yet experienced any burnup. Therefore, the method of the present invention backs out an advanced core 214 using known parameters from the desired equilibrium target core and replicating them as closely as possible. FIG. 3, again illustrates one-eighth of the core 214 and assumes core symmetry. Comparing the initial core 214 of FIG. 3 with the equilibrium cycle reload core 114 of FIG. 2, it is evident that the exemplary initial core 214 succeeds in closely emulating the spatial reactivity distribution of the very economical target equilibrium cycle reload core 114 both in terms of sub-batch quantity and spatial distribution. In order to accomplish this goal, the method of the present invention, unlike the three substantially equal zones of known initial cores (e.g., zones 4, 6 and 8 of FIG. 1), provides a core having essentially any necessary, suitable combination and configuration of fuel batches and sub-batches. Specifically, the enrichments of the exemplary initial core 214 are obtained through use of a group of high enrichment bundles 208 of approximately the same size and enrichment as the equilibrium cycle feed batch 108. Second, the burned fuel 104, 106 in the equilibrium reload cycle core 114 is approximated through use of initial enrichments that approximate the reactivity of the non-zero beginning of cycle (BOC) burnup and initial enrichment of the burned fuel inventory 104, 106 of the equilibrium reload cycle core 114. The resulting enrichment range of the initial core 214 is much larger than the known prior art (see, e.g., FIG. 1). For example, the enrichment of the exemplary initial core 214 of FIG. 3 ranges from about 0.30 to above about 4.5, as measured in weight of U-235. Conversely, by way of comparative example, the representative prior art initial core 14 of FIG. 1 has an enrichment range from about 2.35 to about 4.45 weight of U-235. Furthermore, the exemplary initial core 214 of FIG. 3 includes two high enrichment sub-batches 208, 208′ which are sized and enriched to emulate the fresh fuel region or zone 108 of the equilibrium reload cycle 116. The next two sub-batches 204, 204′ are sized similarly to and are enriched to emulate the reactivity of the once-burned portion 104 of the equilibrium cycle reload core 114. Finally, the remaining sub-batches, for example, 206, 206′ are sized to reflect the twice-burned portion 106 of the reload core 114 with enrichments being similarly selected to emulate the reactivity of the twice-burned fuel 106 in the equilibrium reload core 114. In the example of FIG. 3, the two sub-batches of highly enriched fuel 208, 208′ are positioned toward the interior 210 of the core 214 rather than at the periphery 212 like the known prior art (see, e.g., highly enriched fuel 8 at core periphery 12 of FIG. 1). The method next involves positioning the pair of sub-batches of fuel 206, 206′ emulating the twice-burned fuel 106 of the equilibrium cycle reload core 114 primarily at the periphery 212 of the core 214. The remainder of the fuel within the initial core 214 can be enriched and arranged as needed, in any combination, in order to replicate the desired equilibrium cycle. For example, the initial core 214 shown in FIG. 3 includes two sub-batches 204, 204′ sized and enriched to emulate the once-burned fuel 104 of the equilibrium core 214. Such sub-batches 204, 204′ are disposed intermittently, as necessary to achieve the desired reactivity distribution. Accordingly, the initial core 214 and method of implementing the same, of the invention, overcomes the disadvantageous neutron leakage of the prior art, premature removal or replacement of highly enriched fuel and the economic inefficiencies associated therewith. Additionally, because the initial core 214 emulates the reactivity distributions of the equilibrium reload core 114, the time or cycle length to transition to the reload core cycle is dramatically improved. Such improvement is due largely to the much wider range of enrichments and to the unequal sub-batch sizes used in the advanced initial core 214. This set of advanced core design parameters has the effect of minimizing the discharge enrichment of the initial core 214 and, thereby, maximizing the enrichment of the fuel carried over for further exposure within the reactor. The fuel which is carried over from the initial cycle 214 to the reload cycles is of an enrichment and reactivity that is representative of the target reload core 114. In order to support the foregoing advanced initial core design 214, an advanced lattice design is required. The lattice is the array of fuel and the particular arrangement of rod locations in a fuel assembly. FIG. 4 shows a representative example of such an advanced lattice design 300 for at least some of the fuel assemblies of the exemplary initial core 214. For ease of illustration, only the upper left quadrant of the lattice 300 is shown. Mirror symmetry in the remaining quadrants is assumed (see FIG. 4A, highlighting the location of the one-quarter section of FIG. 4 within the lattice 300). The lattice 300 is designed to compensate for the highly enriched inboard fuel bundles 208, 208′ that are adjacent to low enrichment bundles (e.g., 206, 206′). It is well known that such a fuel loading arrangement will result in a large thermal neutron flux peak at the radial edge rows 304 of the high enrichment bundle 208 due to the very low absorption of the low enrichment bundles 206 (not shown in FIG. 4). This is one aspect of the equilibrium reload core 114 (FIG. 2) that cannot be emulated in the initial core 214 (FIG. 3) because the equilibrium reload core low-reactivity bundles (e.g., 106) are much more highly absorbing of neutrons than the initial core low-enrichment bundles (e.g., 204). This is because the burned bundles of the reload core 114 include highly absorbing fission products and high-order actinides. The initial core low enrichment bundles (e.g., 206, 206′) having not been burned, do not possess such absorbing nuclear products. In known prior art lattice designs (not shown), each fuel rod in a given assembly generally has the same enrichment. A uniformly enriched bundle design, for the highly enriched bundles, would result in unacceptably high power peaking factors within the exemplary initial core 214 (FIG. 3). Thus, the advanced lattice design 300 of the present invention uses six different rod types (see, e.g., the key beneath lattice 300 of FIG. 4) in a configuration designed to reduce peaking factors when the highly enriched assemblies are adjacent lower enriched assemblies (see, e.g., fuel assemblies 208 adjacent fuel assemblies 206′ of FIG. 2). Lower enriched in this case refers to enrichments ranging from between about 1 to 2.50 weight of U-235, in order to simulate the reactivity of burned assemblies (e.g., 104, 106). Specifically, as shown in FIG. 4, radial zoning of the bundle 208 is employed to reduce the enrichment of the fuel rods 302 at the edges of the bundle 208 and, thereby, result in a bundle power distribution that compensates for the unusually high thermal neutron flux at the bundle periphery 212 (FIG. 3). Such enrichment reduction is typically limited to the first two rows 304, 306 of the bundle 208 due to the thermal neutron mean-free path in the high enrichment bundle 208 which is relatively short (e.g., roughly one pitch). The example of FIG. 4 shows the lattice 300 or how the fuel rods are arranged to form a bundle, such as the high enrichment bundle 208, shown. Six types of fuel rods 302, 304, 306, 308, 310, 312 are employed and range, respectively, in level of enrichment from least enriched to most enriched. As previously discussed, low enrichment in the exemplary case refers to between about 0.3-2.50 weight-percent of U-235. Medium enrichment is preferably between about 2.50-3.50 weight of U-235 and high enrichment is preferably between about 3.50-5 weight of U-235. It will, however, be appreciated that there are variations in enrichment even with these designated categories (e.g., low, medium, high). This will be appreciated with reference to the various enrichments of the fuel assemblies (e.g., A,B,C,A′,B′,C′) and bundles (e.g., 4,6,8,104,106,108,204,206,208) in FIGS. 1-3 and of the individual fuel rods (e.g., 302,304,306,308,310,312) as designated in the legend under lattice 300 of FIG. 4, wherein VL designates very low enrichment fuel rods 302, L designates low enrichment fuel rods 304, M designates medium enrichment fuel rods 306, MI designates medium enrichment fuel rods with integral fuel burnable absorbers 308, H designates high enrichment fuel rods 310, and HI designates high enrichment fuel rods with integral fuel burnable absorbers 312. It will further be appreciated that the specific enrichment levels and ranges illustrated and discussed herein are merely an example for an initial core (e.g., 214) loaded in accordance with the present invention. A wide variety of alternative arrangements are within the scope of the invention. The lattice 300 of FIG. 4 has a generally square pattern of adjacent fuel rods. The lowest enrichment fuel rods or very low (VL) enrichment fuel rods 302 are disposed at the corners (one corner, and thus one very low (VL) enrichment fuel rod 302, are shown in FIG. 4) of the lattice 300. The next lowest enrichment rods or low (L) enrichment fuel rods 304 are disposed along the sides or edges of the lattice 300. The fuel rod enrichments then gradually increase as the rod positions approach the center of the lattice 300 where the most highly enriched fuel rods or high enrichment fuel rods with integral fuel burnable absorbers (HI) 312 and high (H) enrichment fuel rods 310 and next to most highly enriched or medium enrichment fuel rods with integral fuel burnable absorbers (MI) 308 are disposed in a symmetric pattern. Accordingly, the foregoing advanced lattice design 300 of the present invention compensates for the thermal flux peak of the environment, primarily caused by the inboard highly enriched bundles 208, 208′ (best shown in FIG. 3) of the exemplary initial core 214 (FIG. 3) being adjacent low enrichment bundles 206, 206′ (best shown in FIG. 3), by lowering the enrichment at the edges or sides 304 of the lattice 300, thereby reducing such power peaks and supporting the highly efficient and thus economical initial core 214 (FIG. 3) of the present invention. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular arrangements disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the claims appended and any and all equivalents thereof.
abstract
The object of this invention is to provide an imaging apparatus capable of providing a high-quality image optimum for medical diagnosis or the like by an arrangement for preventing any degradation in image quality due to the influence of electromagnetic noise and vibration caused by grid movement. In order to achieve this object, as operation control in receiving radiation transmitted through an object by an image sensing element through a movable grid and reading the accumulated signal from the image sensing element, a control device stops moving drive movement of the grid after the end of radiation irradiation for the object, and after the stop of moving drive stopping the movement, starts reading the accumulated signal from the image sensing element.
summary
summary
046541721
claims
1. A method of processing radioactive waste resin by pyrolyzing radioactive waste ion exchange resin generated in a nuclear plant in a reaction vessel, comprising pyrolyzing said ion exchange resin without the presence of oxygen at low temperatures between 120.degree. C. and 350.degree. C. in the same reaction vessel, separating the resulting decomposition gas, then pyrolyzing said ion exchange resin in the presence of oxygen at high temperatures between 350.degree. C. and 600.degree. C. in the same reaction vessel, separating the resulting decomposition gas, and thereafter hot-pressing the residue of said ion exchange resin into a molded article in the same reaction vessel. 2. A method of processing radioactive waste resin as defined in claim 1, wherein the pyrolyzing at a high temperature is effected while supplying an oxidizing agent. 3. A method of processing radioactive waste resin as defined in claim 2, wherein said oxidizing agent is air. 4. A method of processing radioactive waste resin as defined in claim 3, wherein the average velocity of the air supplied from outside is up to 1.5 cm/s within the reaction vessel. 5. A method of processing radioactive waste resin as defined in claim 1, wherein said hot-pressing is effected while at least part of said residue is being fused or softened by the pyrolysis at high temperatures between 350.degree. C. and 600.degree. C. 6. A method of processing radioactive waste resin as defined in claim 5, wherein said hot-pressing is effected immediately after the pyrolysis at a high temperature while the temperature is being kept as such. 7. A method of processing radioactive waste resin as defined in claim 1, wherein the pyrolysis at a high temperature is effected in the presence of a vitrifying agent which adsorbs volatile radioactive substances. 8. A method of processing radioactive waste resin as defined in claim 7, wherein said vitrifying agent is added before the pyrolysis at a low temperature is effected. 9. A method of processing radioactive waste resin as defined in claim 7, wherein said vitrifying agent is glass frit comprising silica as its principal component. 10. A method of processing radioactive ion exchange resins into stable and safely storable forms comprising the steps of: a. introducing a quantity of radioactive ion exchange resin into a sealed reaction vessel; b. heating the radioactive ion exchange resin in the sealed reaction vessel without the presence of oxygen to a temperature sufficient to remove the ion exchange group from the radioactive ion exchange resin but insufficient to decompose the polymer backbone of the radioactive ion exchange resin and insufficient to initiate the spattering of the radioactive material; c. removing the gases produced by the heating of the radioactive ion exchange resin from the reaction vessel and introducing said gases to an exhaust gas processing apparatus; d. inserting an oxygen-containing gas into the reaction vessel at a velocity insufficient to initiate the spattering of the radioactive material; e. heating the remaining radioactive resin and the oxygen-containing gas in the reaction vessel to a temperature sufficient to decompose the polymer backbone of the remaining radioactive resin; f. removing the gases produced by the heating of the radioactive resin and the oxygen containing gas from the reaction vessel; g. hot-pressing the remaining radioactive residue while within the reaction vessel into a molded article at a temperature substantially similar to that of the heating of the radioactive resin; and, h. removing the molded article containing the radioactive residue from the reaction vessel. 11. A method of processing radioactive ion exchange resin as defined in claim 10, wherein the heating of the radioactive ion exchange resin in the reaction vessel without the pressure of oxygen occurs at a temperature of 350.degree. C. or below. 12. A method of processing radioactive ion exchange resin as defined in claim 10, wherein the heating of the radioactive resin and the oxygen-containing gas in the reaction vessel occurs at a temperature of 350.degree. C. or above. 13. A method of processing radioactive ion exchange resin as defined in claims 10, wherein the inserting of an oxygen-containing gas into the reaction vessel is at a velocity of 1.5 cm/s or below. 14. A method of processing radioactive ion exchange resin as defined in claim 10, wherein the gases produced by the heating of the radioactive ion exchange resin in the reaction vessel without the pressure of oxygen are sulfur and nitrogen oompounds. 15. a method of processing radioactive ion exchange resin as defined in claim 14, wherein the gases of the sulfur and nitrogen compounds are SO.sub.x, H.sub.2 S, NO.sub.x and NH.sub.4. 16. A method of processing radioactive ion exchange resin as defined in claim 10, wherein the exhaust gas processing apparatus is an alkali scrubber which converts the gases produced by the heating of the radioactive ion exchange resin in the reaction vessel without the presence of oxygen, into aqueous solutions of sodium salts. 17. A method of processing radioactive ion exchange resin as defined in claim 10, wherein the gases produced by the heating of the radioactive resin and the oxygen-containing gas in the reaction vessel are CO.sub.2, CO, H.sub.2 and CH.sub.4. 18. A method of processing radioactive ion exchange resin as defined in claim 17, wherein the CO.sub.2, CO, H.sub.2 and CH.sub.4 gases are introduced to a flame stack and burnt producing CO.sub.2 and H.sub.2 O gases. 19. A method of processing radioactive ion exchange resin as defined in claim 11, wherein the heating of the radioactive ion exchange resin in the reaction vessel without the presence of oxygen occurs at a temperature between 120.degree. C. and 350.degree. C. 20. A method of processing radioactive ion exchange resin as defined in claim 19, wherein the heating of the radioactive ion exchange resin in the reaction vessel without the presence of oxygen occurs at about 300.degree. C. 21. A method of processing radioactive ion exchange resin as defined in claim 12, wherein the heating of the radioactive ion exchange resin and the oxygen-containing gas in the reaction vessel occurs at a temperature of about 600.degree. C. 22. A method of processing radioactive ion exchange resin as defined in claim 10, wherein said hot-pressing occurs immediately after the heating of the remaining radioactive resin and the oxygen-containing gas in the reaction vessel to a temperature sufficient to decompose the polymer backbone of the remaining radioactive resin, which the temperature of the reaction vessel is maintained as such. 23. A method of processing radioactive ion exchange resin as defined in claim 10, wherein the heating of the remaining radioactive resin and the oxygen-containing gas in the reaction vessel to a temperature sufficient to decompose the polymer backbone of the remaining radioactive resin occurs in the presence of a vitrifying agent which absorbs volatile radioactive substances. 24. A method of processing radioactive waste resin as defined in claim 23, wherein said vitrifying agent is added prior to heating.
description
This application claims the benefit of U.S. provisional patent application No. 60/791,243 entitled “Improved Light Source Employing Laser-Produced Plasma” filed on Apr. 12, 2006, which is hereby incorporated by reference herein. This invention was made with government support under DE-FG03-99ER54547 awarded by Department of Energy. The government has certain rights in this invention. The present invention relates to light sources and, more particularly, to light sources involving the generation of laser-produced plasmas. In order to achieve higher density semiconductor circuits, it is desired that higher optical-resolution lithographic light sources be developed. Since resolution scales linearly with wavelength, many in the semiconductor industry view extreme ultraviolet lithography (EUVL) technology as a promising technology that in coming years will be used to produce smaller and faster microchips with feature sizes of 32 nm or less. Several issues remain to be addressed before EUVL can be successfully applied in high volume semiconductor production. One is the need to develop a high-power, long-lifetime EUVL light source. Extreme ultraviolet light (EUV) is essentially “soft X-ray” emission, and light sources involving the generation of laser-produced plasmas (LPPs) have been one of the most promising candidates for providing such emissions. Indeed, recent international efforts have resulted in great progress in enhancing the conversion efficiency achieved in such light sources. EUVL light sources can employ a high repetition rate laser (10-100 kHz) with 100-1000 mJ pulse energy, and operate by irradiating a metal target with the high-power laser radiation to cause the target material to be vaporized into a plasma with excited metal atoms and ions. The excited metal atoms and ions in turn emit the desired soft X-rays, which are then collected and transported onto a photoresist coated wafer. Further detailed information regarding the design of such light sources can be obtained in “Extreme ultraviolet light sources for use in semiconductor lithography—state of the art and future development” by Uwe Stamm (J. Phys. D: Appl. Phys. 37 (2004) 3244-3253), which is hereby incorporated by reference herein. Notwithstanding the promise of such light sources, a remaining significant problem in implementing EUVL light sources is the generation of energetic debris from the plasmas, which can damage the optics in a EUVL light source. For example, while solid density tin targets offer the highest in-band conversion efficiency and the simplest target supply for high repetition rate operation, such targets result in high kinetic energy debris and subsequent optic damage that limits the source lifetime. Various attempts have been made to solve the problem of fast particle damage. Conventional techniques include the use of low-density tin-doped foam targets, tin-doped water droplet targets, or shockwave punch-out foils, the addition of low impedance (Z) elements into solid density tin, the use of electric and magnetic fields, and the addition of a background gas. Nevertheless, all of these techniques suffer from serious drawbacks, including limited effectiveness (e.g., below industry requirements on ion dose to the optics), reduced conversion efficiency, and the addition of undesirable impurities and complexity. For at least these reasons, it would be advantageous if an improved light source involving the generation of LPP(s) could be developed. It would in particular be advantageous if, in at least some embodiments, the system operated in a manner such that the amount of high kinetic energy debris, and consequent optic or other damage resulting from such debris, were reduced so as to increase the operational lifetime of the light source. The present inventors have recognized that pre-pulses can be employed in generating LPPs such as, for example, Sn-based plasmas. Further, the present inventors have recognized that the use of such pre-pulses in generating LPPs can reduce the generation of fast ions from the LPPs, and thus can be useful in achieving longer-lasting light sources including, for example, EUVL light sources, EUV light sources for microscopy, pulsed laser deposition (PLD) particle sources and LPP x-ray sources. In at least some embodiments of the present invention, a EUVL light source involving a LPP includes a standard main laser pulse together with an extra early laser pulse. The early laser pulse produces a pre-plasma with a finite density gradient. The pre-formed target plasma isolates the direct interaction of laser pulse with the sharp density jump at the target surface. More than 30 times reduction in ion kinetic energy is thus obtained with almost no loss of conversion efficiency (in terms of laser input to plasma emission). This is a higher reduction in ion energy than any existing techniques, and enables a large reduction in the amount of ablated material reaching the optics and other sensitive elements. Further, this enables the use of solid density targets (rather than requiring the use of complicated, expensive, or lower conversion efficiency low-density Sn-doped foam, fiber, or droplet targets). The cost of implementation is low, and the technique can be easily coupled into existing designs of laser plasma systems and/or EUVL systems, used in conjunction with existing Sn-doped droplet and low density foam targets, and/or used in combination with conventional methods to mitigate debris such as the use of buffer (or background or “stopping”) gas to restrict the movement/discharge of debris, or the use of electric fields to reduce debris output. Further, in at least some embodiments, the present invention relates to a system that includes at least one laser source that generates a first pulse and a second pulse in temporal succession, and a target including a first solid material. At least a portion of the first solid material becomes a plasma upon being exposed to the first pulse. Also, the plasma expands after the exposure to the first pulse, the expanded plasma is then exposed to the second pulse, and at least one of a radiation emission and a particle omission occurs after the exposure to the second pulse. In at least some other embodiments, the target need not be or include a solid material (for example, the target can be or include a first liquid material). Additionally, in at least some embodiments, the present invention relates to radiation generation system that includes at least one laser source that generates a first pulse and a second pulse in temporal succession, and a target at least a part of which becomes a plasma upon being exposed to the first pulse. The plasma expands after the exposure to the first pulse, the expanded plasma is then exposed to the second pulse, and a radiation emission occurs after the exposure to the second pulse. The second pulse occurs subsequent to the first pulse by a time period, and wherein the timer period is less than 1 microsecond. Further, in at least some embodiments, the present invention relates to a method of generating radiation. The method includes generating a first laser pulse, generating a second laser pulse, exposing a target to the first laser pulse at a first time, so as to produce an expanded plasma, and exposing the expanded plasma to the second laser pulse at a second time, the second time being later than the first time. The exposing of the expanded plasma to the second laser pulse results in a radiation emission, and also at least one of the following is true: the target is made from a solid material, and a period separating the first and second laser pulses is less than 1 microsecond in length. In at least some other embodiments, the target need not be or include a solid material (for example, the target can be or include a first liquid material). Referring to FIG. 1, a schematic diagram shows an exemplary extreme ultraviolet lithography (EUVL) light source 0 in accordance with at least some embodiments of the present invention, in which the light source involves generation of a laser-produced plasma (LPP) and is driven by dual pulses. More particularly, the light source 0 includes an “early pulse” or pre-pulse laser 1 that is capable of repeatedly emitting a sub-nanosecond, early laser pulse 2. The pre-pulse polarization of the pulse 2 is rotated with a waveplate 3. Additionally, the light source 0 includes a main laser 4 that is capable of repeatedly emitting a longer, main laser pulse 5 having a width of several nanoseconds. In the present embodiment, the lasers 1 and 4 are 1 micron solid-state Nd-YAG lasers, albeit other types of lasers can be used in other embodiments (e.g., other short-pulse laser systems, carbon dioxide lasers, etc.). As will be described further below, typically the light source 0 is operated so that a pair of the respective pulses 2, 5 occur in succession, that is, with the pulse 2 being followed by the pulse 5. The delay time between the pulsing of the pre-pulse laser 1 and main laser 4 is controlled with a pulse generator and delay unit 6, which is coupled to each of the lasers. Although the delay time can vary depending upon the embodiment, in at least some embodiments a delay time of 840 nanoseconds has been found to result in best performance. As illustrated, in the present embodiment control and monitoring signals are respectively communicated from and to the pulse generator and delay unit 6 to and from each of the laser 1 and the laser 4 (e.g., bidirectional communications occur between the pulse generator and delay unit and each of the lasers). In alternate embodiments, communications can occur in some other manner. For example, the pulse generator and delay unit 6 might only send control signals to each of the lasers 1, 4 but not receive any feedback or other signals from the lasers. Further as shown, in the present embodiment the light source 0 also includes a polarizing cube beamsplitter or simply cube polarizer 7 at which the two laser pulses 2 and 5 are combined into a co-linear optical path. Upon being combined, the resulting overall laser pulse (e.g., the combination of the pulses) is focused at normal incidence onto a target 10 by way of a convex-planar lens 8 positioned between the cube polarizer 7 and the target 10. In the present embodiment, albeit not necessarily, the target 10 is a solid density Sn (tin) target that is placed inside of a vacuum chamber 9. Also, within the vacuum chamber 9 is a Faraday cup 11, and adjacent the vacuum chamber can be positioned an EUV energy monitor 12. As described further with reference to FIGS. 2(a)-(c), exposure of the target 10 to the laser pulses results in the creation of a Sn LPP, namely, a plasma 13. Referring additionally then to FIGS. 2(a)-(c), an exemplary working sequence of the EUVL light source 0 with the early laser pulse 2 is illustrated, particularly in relation to the generation of the Sn LPP by the early laser pulse. First, as shown in FIG. 2(a), the early laser pulse 2 (corresponding to that shown in FIG. 1) irradiates the target 10, which in this embodiment is a Sn target. As a result, early plasma 12 is generated. At this time, as shown, the main laser pulse 5 (corresponding to the main laser pulse 5 of FIG. 1) has not yet arrived at the target 10. Subsequently after a delay, as shown in FIG. 2(b), the main laser pulse 5 interacts with an expanded early plasma 14 at a lower density. Turning to FIG. 2(c), as a result of the main laser pulse 5 interacting with the expanded early plasma 14, the expanded early plasma is heated up to a favorable temperature (e.g., 30-60 eV), after which EUV emission 16 as well as ions and neutral particles 17 are generated. Although FIG. 2(c) shows the EUV emission 16 to be represented by one arrow pointing in one direction and the ions and neutral particles 17 to be represented by two other arrows pointing in other directions, it will be understood that each of the EUV emission, ions and neutral particles proceed in all directions (and particularly away from the target 10). In the present embodiment involving a Sn target, therefore, the early laser pulse 2 tends to create the early plasma by vaporizing and partially ionizing Sn atoms. The second, main laser pulse 5 in turn tends to heat up the already-ionized Sn atoms, so as to excite some of the remaining electrons of the atoms to bring about the emission of desired EUV. While the main laser pulse 5 also can contribute to the generation of ions and other particles, the amount of high kinetic energy debris resulting from the main laser pulse is less than that which is produced by way of conventional light sources. This can be explained as follows. As illustrated in FIG. 2(b), at the time at which the main laser pulse 5 interacts with the expanded early plasma 14, the plasma 14 has an ion density (ni) profile 15 that is largely “S-shaped” as shown, and thus is nearly Gaussian in its distribution (particularly as one moves away from the surface of the target 10). Further, while most of the energy of the early laser pulse 2 interacts directly with the target 10 and is deposited within the early plasma 12, most of the energy of the main laser pulse 5 interacts with the portion of the expanded early plasma 14 that has the Gaussian ion density with a finite density gradient (which is positioned slightly away from the surface of the target 10), rather than the portion of the expanded early plasma having a sharp density gradient at the solid density surface of the target 10. Because the main laser pulse 5 thus primarily interacts with the near Gaussian density profile, this interaction produces ions and neutral particles with much lower energy as compared with what would be produced by an interaction with a sharp density gradient target. Additionally referring to FIG. 3, a first graph 32 shows a first exemplary ion spectrum realized from a Sn LPP generated with an early laser pulse in addition to a main laser pulse, in accordance with embodiments of the present invention, and a second graph 34 shows a second exemplary ion spectrum realized from the same Sn LPP when it is generated without such an early laser pulse (and using the same main laser pulse). As shown by the second graph 34, without the early laser pulse, most of the ions are found above 2 keV, and the peak ion flux is centered around 5 keV. In comparison, with an early laser pulse as shown by the first graph 32, most of the ions have energy below 500 eV, with the peak flux centered around 150 eV. In addition, the total ion flux is significantly reduced when the early laser pulse is employed rather than not employed. Table 1 further shows two exemplary in-band conversion efficiencies, in terms of the conversion of energy from a laser to 13.5 nm EUV emission from LPPs, where the EUV emission is generated by way of a light source (such as the light source 0) employing an early laser pulse and also a conventional light source not employing an early laser pulse. As shown, for the light source employing the early laser pulse, the conversion efficiency is only reduced about 5% or even less than 5% (e.g., 5% of 2.0% as shown in Table 1) relative to the conventional light source not employing an early laser pulse. Thus, the various advantages achieved by embodiments of the present invention employing early laser pulses can be achieved without significant sacrifices in the operating efficiency of the EUV emission process. TABLE 1Measured conversion efficienciesTechniqueIn-band conversion efficiencyEarly Laser Pulse +1.9%Main Laser PulseMain Laser Pulse2.0%Only Various aspects of the devices, structures and processes described above can vary depending upon the embodiment. For example, while in the embodiment of FIGS. 1 and 2(a)-2(c), the target 10 is a solid Sn slab of material having a substantially flat planar surface toward which the pulses 2 and 5 are substantially normally directed (as illustrated in the figures), in other embodiments the target 10 can be a slab of material that is not substantially planar (e.g., a slab having a concave or convex surface). Further, in other embodiments, the target 10 can instead or in addition involve one or more (e.g., Sn-doped) droplets or microdroplets (e.g., 50 to 100 microns in diameter) and/or low density foam targets. Also, in other embodiments, the target 10 can be made from a material (or multiple materials) other than Sn (including many if not most elements of the periodic table). Additionally, at least some embodiments of the present invention employing a methodology involving early and main laser pulses as described above can also be implemented in combination with conventional methods to limit or mitigate debris, such as the use of buffer (or background or “stopping”) gas to restrict the movement/discharge of debris (in which case the amount of such gas that is used can be reduced relative to conventional methods), or the use of electric fields to reduce debris output. Notwithstanding the above comments regarding alternate embodiments of the invention, however, it is a significant advantage of at least some embodiments of the presently-described EUVL light source 0 (in comparison with some conventional light sources) that these embodiments can be used in conjunction with target(s) that are solid and/or of various geometries, rather than restricted to use only with droplets. Also for example, the lengths and amounts of energy, and temporal spacing between, the laser pulses 2 and 5 can vary depending upon the embodiment. In some embodiments, the early laser pulse 2 is a sub-nanosecond pulse at a low energy level, for example, a pulse having a pulse duration of 100 picoseconds or more (e.g., 130 picoseconds, or several 100 picoseconds) and an energy level on the order of about 2 mJ or less. Further, in at least some embodiments, the length of the main laser pulse 5 is 7 nanoseconds, and the main laser pulse contains an amount of energy in the range of about 200 mJ to 2 J (and often either about 1 J or 0.5 J). It should be noted that, while the amounts of energy in the different laser pulses are of some significance, the energy intensities/densities of the pulses also are of significance. Additionally, in at least some embodiments, the delay between the pulses 2, 5 is anywhere from 800 nanoseconds to 1500 nanoseconds in length. The length of the delay between the pulses 2, 5 is determined as the length that is appropriate for achieving the desired substantially-Gaussian ion density gradient (e.g., corresponding to the ion density (ni) profile 15 discussed above with respect to FIG. 2(b)). With these assumed values, a more than 30 times reduction in particle energy can be achieved using the light source 0 in comparison with conventional light sources, even though there is very little loss of conversion efficiency in switching from the conventional light source to the light source 0. Further, in some such embodiments, an optimum delay time between the early and main laser pulses 2, 5 to obtain simultaneously a high reduction in particle energy and a high conversion efficiency is 840 nanoseconds. Nevertheless, in other embodiments other energy levels, pulse durations, and pulse spacings are possible. For example, more than two (e.g., three) pulses can be employed in some alternate embodiments. Also, in some alternate embodiments, it is possible for a continuous or substantially continuous waveform (or waveforms) having any arbitrary number or types of pulses or pulse-like characteristics can be generated. In some alternate embodiments, the two or more pulses or other waveform(s) can be generated by a single laser or more than two lasers, in contrast to the embodiment of FIG. 1 in which the two lasers 1, 4 are employed. Embodiments of the present invention are intended to be applicable in connection with a variety of different types of light (or radiation) sources employing laser-produced plasmas (LPPs), and in a variety of different circumstances. For example, embodiments of the present invention can be employed in extreme ultraviolet lithography (EUVL) light sources such as those used for (or potentially useful in the future in connection with) semiconductor manufacture involving lithography and/or other lithographic procedures. Also for example, embodiments of the present invention can be employed in EUVL and/or other light sources used for microscopy (e.g., medical microscopy) as well as in laser-produced plasma x-ray sources. Additionally for example, embodiments of the present invention can be employed in pulsed laser deposition (PLD) particle sources. In such embodiments, the impacting of the laser pulses upon the target results in the emission of particles (of the target material) that are in turn deposited upon a substrate. As discussed above, embodiments of the present invention can have several advantages in comparison with alternative (e.g., conventional) techniques. For example, in at least some embodiments, the present invention achieves higher reduction factors in ion energy (and thus in terms of the total ablation rate, the amount of ablated material, and the generation of debris) than any existing technology, with little loss of conversion efficiency (in at least some embodiments, more than 30 times reduction can be achieved in terms of laser input to plasma emission). Also, at least some embodiments of the present invention are relatively simple and inexpensive to manufacture and/or operate. Further, at least some embodiments of the present invention can be implemented in connection with various types of targets, including for example, tin targets and solid density tin targets of various shapes and sizes (e.g., slabs having planar, convex or concave surfaces). The cost of implementation is low, and the technique can be easily coupled into existing designs of laser plasma systems and/or EUVL systems, used in conjunction with existing Sn-doped droplet and low density foam targets, and/or used in combination with conventional methods to mitigate debris such as methods involving the use of buffer gas or electric fields, among others. In at least some embodiments of the invention, a microprocessor or another control mechanism is implemented in connection with the light source 0 (or other light source) to control its operation or a portion thereof (e.g., in connection with the pulse generator and delay unit 6). It is specifically intended that the present invention not be limited to the embodiments and illustrations contained herein, but include modified forms of those embodiments including portions of the embodiments and combinations of elements of different embodiments as come within the scope of the following claims.
abstract
A process for the selective production and isolation of high specific activity cu67 from proton-irradiated enriched Zn70 target comprises target fabrication, target irradiation with low energy ( less than 25 MeV) protons, chemical separation of the Cu67 product from the target material and radioactive impurities of gallium, cobalt, iron, and stable aluminum via electrochemical methods or ion exchange using both anion and cation organic ion exchangers, chemical recovery of the enriched Zn70 target material, and fabrication of new targets for re-irradiation is disclosed.
052456480
summary
FIELD OF THE INVENTION The present invention relates to an x-ray tomographic image magnification process, to microscopy systems and to apparatus for use therein. More particularly, it relates to an x-ray tomographic microscopy system containing an image magnifier which enables three-dimensional images of high resolution to be achieved. BACKGROUND OF THE INVENTION Computerized axial tomography systems or machines, i.e., CAT scanners, have been used for a number of years to obtain three-dimensional images from two-dimensional data. These systems have been used primarily by the medical profession to obtain three-dimensional pictures of the body, but they also have been used in industry for a variety of purposes. Typically, the system comprises a source of x-rays, a sample positioned in the path of the x-rays, a detection system and an analyzing computer. Conventional computerized tomography (CT) measurements for industrial purposes involve collecting absorption information for a single cross-sectional slice through a material. Spatial resolution is achieved by either collimating an incident beam using a pinhole and then rastering the beam across the sample, or by using a position sensitive-linear photodiode array to measure all the projection data for a single angular view in parallel. There are disadvantages to both of these systems. The primary disadvantage of using the pinhole is that most of the incident radiation is thrown away. As a consequence, the rastering technique is extremely time consuming. Acquiring the data for reconstruction of a single sample takes upwards to twelve hours. The photodiode array is noisy and subject to non-linearities. The noise limits the dynamic range, and therefore, the maximum contrast that can be studied in a sample. The non-linearities also introduce ring-like artifacts in the reconstructions which can further reduce the usefulness of the information obtained. Finally, it still requires days to obtain enough information for three-dimensional sample visualization. THE PRIOR ART A high resolution tomography system with chemical specificity is described by Bonse et al., in an article in Nucl. Instrum. Methods A246,644 (1986). This system utilizes parallel beam synchrotron x-rays from an electron storage ring. The beams are passed sequentially through a double crystal monochromator, a collimator, the sample to be analyzed, a second monochromator, a scintillator which converts the x-rays to visible light, and a lens which Projects the light onto a charge coupled device. The charge coupled device records the intensity of the light and this value is stored in a computer. The sample is then rotated by a small angle and another two-dimensional absorption image is obtained. The process continues until 180.degree. of sample rotation has been recorded and the information stored in a computer. Tomographic software converts x-ray absorption profile data into two-dimensional reconstructions of the linear attenuation coefficients in the sample interior. These values are rendered into a three-dimensional view by means of high-speed, digital, image processing computers. The system described is a vast improvement over previous techniques, achieving spatial resolution of the order of 2-5 .mu.m. This order of resolution is sufficient for many purposes. However, even finer resolution is needed when the object is to discriminate between micrometer size objects and flaws in such materials as mineralized tissues or man-made composite microstructures. Higher resolution can be achieved by magnifying the x-ray images after the x-rays have passed through a sample. It is, therefore, an object of this invention to provide a process for magnifying x-ray images in a computerized axial tomography system. It is a further object of this invention to provide a computerized x-ray tomographic microscopy process having improved signal to noise ratios and improved spatial resolutions of x-ray images. It is a still further object of this invention to provide an improved computerized x-ray tomographic microscopy system having improved signal to noise ratios and spatial resolution as compared to the systems of the prior art. It is yet another object of this invention to provide an improved computerized x-ray tomographic microscopy system containing an image magnifier which enables three-dimensional images of high resolution to be achieved. It is a still another object of this invention to provide an x-ray image magnifier for use in a computerized tomography system. Other objects and advantages of the invention will be apparent from the description, drawings and claims to follow.
description
The invention relates to a grid with wall elements absorbing electromagnetic radiation. It also relates to a detector and an imaging device having such a grid and to a method of producing the grid. Grids of the above-mentioned type are used for example in X-ray computer tomographs, in flat dynamic X-ray detectors (FDXD), in SPECT (Single Photon Emission Computed Tomography) and PET (Positron Emission Tomography), in order to absorb radiation not desired for imaging, before it reaches the X-ray detector. In computer tomography, undesired radiation comprises secondary radiation for example, which is generated in the tissue of the patient, while in SPECT it comprises radiation for example from object areas which are not of interest. In the simplest case, grids consist of a one-dimensional sandwich structure, in which thin foils of a heavy metal such as for instance lead, tungsten or molybdenum of a thickness of approx. 0.1 mm and a height of approx. 20 mm alternate with a material of low X-ray absorption density, for example air or plastics, of a thickness of approx. 1 mm. Furthermore, more specialized grid structures are known, for example in the form of a two-dimensional grid structure formed of comb elements (c.f. DE 199 47 537 A1 corresponding to EP 1 089 297 A2). Grid production is very complex in particular in the case of such two-dimensional structures, since absorbent material has to be processed in very small layer thicknesses. Given this background, it is an object of the present invention to provide a grid for the absorption of scattered electromagnetic radiation, which may be produced relatively simply and flexibly in optimum geometries. This object is achieved by a grid having the features of claim 1, a detector having the features of claim 8, an imaging device having the features of claim 9 and a method having the features of claim 10. Advantageous developments are contained in the dependent claims. The grid according to the invention comprises wall elements which absorb electromagnetic radiation. The absorbed radiation is preferably X-radiation. The wall elements consist wholly or partially of a homogeneous or heterogeneous mixture of a material which is flowable in the processing state and of an absorption material absorbing the electromagnetic radiation. Production of the wall elements of the grid from the described mixture has the advantage that complicated and in particular thin structures may be produced simply, allowing a grid structure of optimum geometry. This flexibility of shape is possible in that a material which is flowable in the processing state is used, which contains the material absorbing electromagnetic radiation and thereby likewise makes it “flowable” from the point of view of processing. The mixture may therefore be loaded into virtually any desired molds in the processing state, the mold shape being retained after solidification of the mixture. Lower and upper limits are set for the absorption material volume fraction of the mixture, the lower limit substantially by the need to ensure the desired absorption effect and the upper limit substantially by miscibility. It preferably amounts to from just a few percent to approx. 75%, particularly preferably from approx. 10 to 30%. The absorption material absorbing the electromagnetic radiation is preferably embedded in the mixture in the form of small particles. These particles typically have an average diameter of approx. 1 to 100 μm, preferably 2 to 10 μm. It is also possible to use nanoparticles. The particulate structure of the absorption material has the advantage that flowability is thereby produced without the absorption material itself having to be fluid. The particles may be surface-coated, in order to influence favorably their properties such as for example flowability. The particles may likewise be coated with a fusible material, which may in particular be the material which is flowable in the processing state. The material flowable in the processing state may in particular be a polymer. In particular, the material may be a thermoplastic polymer, which by definition softens when heated and may thereby be given any desired permanent shape. Suitable thermoplastics are in particular polypropylene (PP), liquid crystal polymers (LCP), polyamide (PA), polycarbonate (PC) and/or polyoxymethylene (POM). Furthermore, the material flowable in the processing state may be a polymer which is uncrosslinked prior to processing and crosslinked, i.e. cured, after processing. Single-, two- or multi-component systems are especially suitable as such plastics. The plastics material may for example be an epoxy resin, which is fluid in the processing state and is cured by mixing with a curing agent or by UV radiation once it has been shaped as desired. The absorption material absorbing the electromagnetic radiation may in particular be or contain a heavy metal, wherein the heavy metals tungsten (W), lead (Pb), bismuth (Bi), tantalum (Ta) and/or molybdenum (Mo) are preferred. Polypropylene and tungsten or liquid crystal polymers and tungsten have proven to be particularly suitable combinations of the above-mentioned thermoplastics and heavy metals. In a preferred geometric configuration of the grid, the wall elements exhibit a double comb structure, in which webs project on two sides from a base surface. Both the base surface and the webs may be oriented parallel to the radiation direction of incident (primary) radiation. (Primary) Radiation leaving the radiation source may then pass unhindered between two webs oriented in parallel or towards the same radiation source. On the other hand, (secondary) radiation not coming from the radiation source has a high probability of hitting one of the webs or the base surface and being absorbed there. According to a particular development of the double comb structure, the base surface thereof takes the form of a foil absorbing electromagnetic radiation and provided with perforation holes, which foil may consist in particular of one of the above-mentioned heavy metals. In this arrangement, the webs of the double comb structure extend on both sides of the foil, wherein webs arranged back to back on different sides of the foil are connected physically through the perforation holes. In this way, a very stable double comb structure may be produced, in which the base surface is formed of a foil to which the webs are attached through their connection via the perforation holes. A plurality of the above-described double comb structures are arranged alternately with plane lamellae of an absorbent material, such as for instance a heavy metal. In this way, a two-dimensional grid is obtained with a relatively simple structure, which serves to absorb scattered radiation. The invention further relates to a detector, in particular an X-ray detector, which is characterized in that it comprises a grid of the above-described type for the absorption of X-rays. The invention likewise relates to an imaging device for generating an image of an object or part of an object by X-radiation, which imaging device is characterized in that it comprises a detector of the above-mentioned type. The device may in particular be an X-ray device, an X-ray computer tomograph and/or a device for performing PET or SPECT. In addition, the invention relates to a method of producing a grid of the above-described type with wall elements absorbing electromagnetic radiation. The method is characterized in that the wall elements are produced wholly or partially by a molding process from a mixture of a material which is flowable in the processing state and an absorption material absorbing electromagnetic radiation. Molding may in particular be performed by injection molding, in which temperatures of 220° C. and a pressure of approximately 1000 bar are typically applied. In particular, the method may use particles of the absorption material, which are coated with the material which is flowable in the processing state. Such coated particles may firstly be introduced into the desired mold due to their flowability, after which the coating is then liquefied (e.g. melted) and distributed in the mold cavity and embeds and binds together the particle cores made from the absorption material. FIG. 1 is an exploded view of a preferred geometric construction of a two-dimensional grid 10 for absorbing scattered rays. The grid consists of an alternating sequence of wall elements 1 of double comb structure and flat lamellae 2. The lamellae 2 may take the form of a smooth, absorbent metal foil, such as for instance 100 μm thick molybdenum. The basic structure illustrated in the Figure should be imagined as continuing appropriately upwards and downwards in an alternating sequence . . . -1-2-1-2- . . . of wall elements 1 and lamellae 2. The above-mentioned double comb structure of the wall elements 1 is formed by a flat base surface 4 and webs 3. The webs 3 are arranged on both sides of the base surface 4 and extend parallel to one another or are oriented towards a radiation source Q. The webs 3 lie back to back in pairs opposite one another on the two sides of the base surface 4. Transmission channels are formed between the webs 3, through which the (primary) radiation coming directly from an X-ray source Q may pass substantially unhindered, in order to reach a detector (not shown) on the other side of the anti-scatter grid 10. On the other hand, there is a high probability that (secondary) radiation not coining directly from the radiation source Q will hit a wall element 1 or a lamella 2 and be absorbed there. In this way, the proportion of the scattered radiation which reaches the detector and leads to degradation of the image information may be reduced. In the example illustrated in FIG. 1, 40 transmission channels are typically provided, each with one pixel per channel, wherein the X-ray source Q is located for instance at a distance of 1 m from the detector or anti-scatter grid 10. In other applications, however, a plurality of pixels may be assigned to one transmission channel or a plurality of transmission channels may be associated with one pixel. Two-dimensional scatter grids 10 of the above-described type or of similar type are very difficult to produce, since they have a fine spatial structure consisting of thin walls. In order to simplify production of such grids and to allow cost-effective mass production, the use of a special material is proposed according to the present invention for producing at least parts of the grid. This special material is characterized in that it comprises a mixture of a material which is flowable in the processing state and an absorption material providing the desired absorption of (X-)radiation. A preferred microscopic structure of such a mixture is illustrated schematically in FIG. 3. Here, the mixture is a heterogeneous mixture of a thermoplastic 7 and particles 8 of a heavy metal embedded therein, wherein the heavy metal may be for example W, Pb, Bi, Ta and/or Mo. If required, the melting point of Bi may be raised by adding 5% copper, for example. Suitable thermoplastics are in particular polypropylene PP, liquid crystal polymers LCP, polyamide PA and/or polyoxymethylene POM. Particularly suitable material combinations are PP and W or LCP and W. Thus, the mixture illustrated in FIG. 3 may for example consist of PP with a volume fraction of approx. 22% W (particle size approx. 5 μm). The mixture has the advantage that it may be converted for processing into a fluid or flowable state, in which it may be shaped virtually as desired. In particular, an injection molding process may be used (for example at 220° C. and 1000 bar), to shape the fluid mixture as desired. The thermoplastic 7 allows shaping in the plastic state, the shape being retained after setting of the plastics material, wherein the heavy metal particles 8 embedded in the plastics material ensure the desired absorption of X-rays. In this way, the wall element 1 with double comb structure illustrated in FIG. 1 may be produced as a unit in a single (injection) molding process. In an alternative method of producing a wall element 1 with double comb structure, the base surface of the wall element is formed from a foil 4 of an absorbent material, for example a molybdenum foil. Such a foil 4 is illustrated in FIG. 2. It has slots or perforation holes 6 arranged in parallel rows one behind the other. The rows of perforation holes 6 are arranged with the spacing desired for the webs 3 (FIG. 1). Typical dimensions of the foil 4 and the perforation holes 6 are given in FIG. 2 in millimeters. Starting with such a foil 4, a thermoplastic/metal mixture is then injection-molded substantially in only one direction (perpendicular to the foil 4), wherein the injection-molded thermoplastic/metal webs 3 are connected together and with the foil 4 on both sides of the foil 4 via the perforation holes 6. The advantage of such a hybrid double comb structure is greater dimensional stability and greater ease of assembly. With the material according to the invention, it is also possible to produce a complete two-dimensional grid in one piece and in one operation, for example by injection molding.
abstract
A fuel assembly for a pressurized water reactor having control rod guide thimbles (5) each having a dashpot (12) for protecting against flexural deformation which may impair insatiability of a control rod. The fuel assembly includes, a plurality of control rod guide thimbles (5) having bottom and top end portions fixedly secured to a lower nozzle (2) and an upper nozzle (4), respectively, disposed in opposition to each other. The dashpot (12) of each control rod guide thimble (5) includes a small diameter section (13b) having an outer diameter smaller than that of the control rod guide thimble (5) formed at an upper portion of the dashpot (12), and a large diameter section (13a) having an outer diameter substantially equal to that of the control rod guide thimble (5) formed at a lower portion of the dashpot (12). With the length of the control rod guide thimble (5) represented by L, the effective length (S) of the small diameter section (13b) is selected to lie within a range of from 0.03 L to 0.1 L.
abstract
Monitor-guide-tube fixing sections are fixed to portions on a core support plate side of a plurality of in-core monitor guide tubes disposed in a reactor vessel. The monitor-guide-tube fixing sections are fixed to the core support plate disposed in the reactor vessel to fix the in-core monitor guide tubes to the core support plate. This makes it possible to realize improvement of rigidity of the fixed sections of the in-core monitor guide tubes. A tie plate is fixed to the in-core monitor guide tubes. The in-core monitor guide tubes are coupled by the tie plate. This makes it possible to realize improvement of rigidity of the entire in-core monitor guide tubes. As a result, it is possible to realize vibration damping for the in-core monitor guide tubes.
description
1. Field of the Invention The present invention relates to an optical waveguide having photosensitivity in the core thereof, and more specifically to a production device for a grating-type optical component and a production method for a grating-type optical component, the properties of which are adjusted by changing the refractive index thereof using ultraviolet light (hereinafter “UV”) and to an optical component made using the production device for a grating-type optical component or production method for a grating-type optical component. 2. Description of the Related Art Quartz is a material having excellent optical transmission qualities and is therefore used in a variety of applications such as optical lenses or waveguides for optical transmission or the like. The material of an optical fiber as an optical communications line is silica based, when producing functional optical components such as an optical wavelength selection filter, an optical splitter, a spectral separator or attenuator or the like in that line, there are merits, in terms of compatibility with optical fiber (the refractive index, core diameter, and fusion point when making a fusion connection), to produce such functional components of quartz. In an optical waveguide such as a planar light wave circuit (PLC) or an optical fiber including photosensitive material such as Ge, phosphorus or boron added in the core, a grating is formed by irradiating UV light of an appropriate wavelength into the optical waveguide from the side thereof so as to alter the refractive index inside the core periodically, in a longitudinal direction; such gratings comprise optical components used as an above-mentioned wavelength selection filter. As shown in FIG. 1A, in accordance with the desired objective, the refractive index is varied at a determined periodicity following in a longitudinal direction of the optical waveguide 100. Further, besides a gradual, successive alteration, this alteration may be of an irregular or discontinuous periodicity. The grating 102 formed in the core 101 of the optical fiber 100 is called an optical fiber grating. Normally, the optical fiber grating is classified by the period of refractive index variation. One is long period grating whose period of refractive index variation is above 100 μm, and the other is fiber Bragg grating (hereafter “FBG”) whose period of refractive index variation is below a few micro meters. These are important optical components in the field of optical transmission. In the description following, optical fiber refers to optical waveguides. In the same manner, FBG in the description refers to a grating formed inside an optical waveguide. When the period of refractive index variation of an FBG formed in a core is determined as Λ, wavelength λ of light reflected at the FBG satisfying the expressionλ=2·neff·Λ0  (1). Here, neff is the effective refractive index of the FBG and neff is nearly equal to 1.46 at the silica-based core. As an example, if the above expression (1) is applied to wavelength λ=1550 nm used in public (commercial) optical transmission networks, then FBG pitch Λ0≈500 nm=0.5 μm is obtained. A conventional method for producing an FBG will now be described. Referring to FIG. 2A, firstly optical fiber 127 is disposed inside pressurized container 111. A kilometer or from several hundred to tens of meters of reeled optical fiber covered with protective coating, optical fiber covered with protective coating cut into several meter lengths, or optical fiber 127 as shown in the drawing cut into several meter lengths having a part of the covered protective coating material part 129 removed to expose the inner part are all suitable for use as the optical fiber 127. Next, in a condition loaded with hydrogen (H2) or deuterium (D2) and in a pressurized condition (e.g.: 10 MPa-30 MPa), high-pressure hydrogen 113 or deuterium is diffused through the cladding 125 of the optical fiber 127 reaching the core 123. This process is known as hydrogen diffusion treatment. The object of the above hydrogen diffusion treatment is that if hydrogen or deuterium are diffused into the core 123 of the optical fiber 127 then, as will be described subsequently, the photosensitivity of the core 123 can be increased when an interfering UV laser beam is radiated to the core 123. In other words, it is known that when imprinting an FBG, defusing hydrogen or deuterium in a core, here core 123, raises the speed of the increase of the refractive index approximately fiftyfold in comparison to a core that has not been diffused with hydrogen or deuterium. It is well known that in such a condition, raising the temperature inside the pressurized container above room temperature raises the speed of this diffusion. When, in this hydrogen diffusion treatment, the optical fiber 127 has been diffused with hydrogen or deuterium, the covering material part 129 must be removed to radiate UV laser rays therein. This because the covering material part 129, of resin, diffused with the hydrogen, absorbs UV laser light thereby preventing the rays from reaching the core. Next, as highly interfering UV laser light 171 is radiated through a phase mask 173 having a specific periodicity, a fringe pattern of the interference arises in the hydrogen diffused optical fiber core 123; the density of energy being higher, and thereby raising the refractive index, in the bright portions of this UV pattern. Usually, interference of diffracted light of first order through the phase mask is used, the resulting interference fringe being half the period of the phase mask such that the period of the FBG is half the period of the phase mask. An FBG (hydrogen diffused) 121 having an uniform period can be formed in this way. The process itself is known as UV exposure processing. As shown in FIG. 2C, the optical fiber with imprinted FBG is then placed in an oven 151 for a determined period of time (e.g. 12 hours) in a heated condition (120° C.) so that the hydrogen 153 or deuterium diffused into the optical fiber 127 is released to the outside. This process is called the hydrogen removal process. The optical fiber 117 shown in FIG. 2C is an optical fiber with hydrogen removed through the hydrogen removal process, and the optical fiber covering part 119 thereof is a cladding, the hydrogen in which has been removed in the same manner. An optical fiber having a refractive index periodically distributed at a constant pitch Λ0 in the core thereof inside a cladding produced in this way, as shown in FIG. 1A, is called a uniform type FBG. In a uniform type FBG reflection occurs at multiple points in phase in relation to signal light of wavelength λi satisfying the above expression (1), among signal light propagating in the core. Appropriate applications can be found in FBG for stabilization of wavelengths of laser diodes (“LD”) or FBG for Add/Drop for adding or dropping light with specific wavelengths. Where the pitch Λ of an FBG inside a core changes successively and gradually (e.g. Λ1-Λn), the FBG is said to be a chirped type FBG. This kind of FBG has broad bandwidth and is effective for multiple wavelengths. Appropriate applications can be found in FBG for compensating chromatic dispersion and FBG for equalizing gain after amplification by an optical amplifier. The above-described conventional methods however, only allow for imprinting of FBG having the same type of pitch from one type of mask as the periodicity of the FBG is determined by the phase mask. Thus, in order to create FBG's having different properties, a variety of different phase masks are required leading to increased production costs. With the foregoing in view, the present invention provides a method for production of a grating-type optical component that is superior in terms of facilitating mass production and enables formation of a variety of types of FBG using a single phase mask, a production device for producing that grating-type optical component and an optical component made using that production method or production device for a grating-type optical component. In a first technical aspect of the present invention the method for production of a grating-type optical component includes the steps of: radiating a monochromatic light of the ultraviolet region onto a silica-based optical waveguide diffused with at least one of hydrogen or deuterium so as to alter the refractive index of the silica-based optical waveguide and radiating interfering light to the quartz optical waveguide. Further, the first aspect includes an optical component created using the production method. In a second technical aspect of the present invention the production device for producing a grating-type optical component includes: a light source for generating a monochromatic light of the ultraviolet region, a primary irradiation system for radiating that monochromatic light to a silica-based optical waveguide diffused with at least one of hydrogen or deuterium and a secondary irradiation system for radiating interfering light to the silica-based optical waveguide. Further, the second aspect includes an optical component created using the production device. The preferred embodiments of the present invention will now be described with reference to the drawings. FIGS. 3 and 4 illustrate the production method for a grating-type optical component related to the first embodiment of the present invention. As shown in FIG. 3A an optical fiber 27 is placed inside a pressurized container 111. Several kilometers or from several hundred to tens of meters of reeled optical fiber covered with protective coating, covered optical fiber cut into several meter lengths, or optical fiber 27 as shown in the drawing cut into several meter lengths having a part of the covering material part 29 removed to expose the inner part, are all suitable for use as the optical fiber 27. Step 1 Hydrogen Diffusion Process Next, the optical fiber is left in a hydrogen (H2) or deuterium (D2) loaded, pressurized condition (e.g.: 10 MPa-30 MPa) and high-pressure hydrogen 113 or deuterium is diffused through the cladding 25 of the optical fiber 27 reaching the core 23. Where for example the diameter of the silica-based part is 125 μm, if pressurized at 55° C., the optical fiber must remain in that condition for a period of five days. If hydrogen or deuterium is diffused into the core 23 of the optical fiber 27 then, as will be described subsequently, the photosensitivity of the core 23 can be increased when an interference capable UV laser beam is radiated to the core 23. Step 2 Raising Refractive Index As shown in FIG. 3B, non-interfering UV light (hereinafter “UV light”) is then radiated to irradiation region 24a of the optical fiber 27 diffused with hydrogen or deuterium, using non-interfering UV lamp light 131 that radiates over a broad area. An excimer lamp that generates incoherent, monochromatic light is one example of a preferred light source for this non-interfering UV lamp light. Here, non-interfering refers to incoherent light that does not create interference. In step 2 above, as the UV light is irradiated, the power of this radiation is adjusted allowing the refractive index of the optical fiber 27 to be adjusted. In addition to adjusting the power of light radiated from a light source, adjusting the integrated power of radiation here can be performed by adjusting the duration thereof or by using the following methods for performing adjustment of the refractive index. For example, as shown in FIG. 5A, raising the base line level of refractive index (refractive index profiles) 550, of the entire optical fiber by radiating a fixed power of non-interfering UV light 501 over the entire optical fiber 507 can be used. Further, as shown in FIG. 5B, a changing of the refractive index in a longitudinal direction of an optical fiber 507 in which the degree of increase in the refractive index is adjusted in different portions of the optical fiber 507 by radiating varying quantities of non-interfering UV light 502 to those portions can also be used. This raising the refractive index 551 results in a transition in the refractive index as indicated by the sloping line in FIG. 5B. Again, as shown in FIG. 5C, there can be a raising of the refractive index 552 applied to only a part of an optical fiber, achieved by radiating only specific portions of the optical fiber with UV light 503 by using an amplitude mask 175 having slits disposed in parts thereof, or using two masks 175 to block part of the UV light radiated. Further, as shown in FIG. 6A, there can be a raising of the refractive index 553 in only a part of one side of an optical fiber 507 by using an amplitude mask 175 having slits that maintains a constant power of UV light 504. As shown in FIG. 6B, by radiating UV light 505 via an amplitude mask 175 in which the rate of permeation of light is disparate in different places, the degree of the increase in the refractive index can be adjusted in relation to a location, thereby enabling the refractive index to be altered following a longitudinal direction of the optical fiber 507. This raising of the refractive index from the bottom up 554 can be adjusted with changes in the properties of the amplitude of the adjusting mask used, as illustrated by the sloping line in the example on FIG. 6B. Moreover, as shown in FIG. 6C, the refractive index can be altered following a longitudinal direction of the optical fiber 507 by adjusting the duration of exposure of the UV light through adjusting the speed of movement of the amplitude mask 175 having slits, that maintains a constant power of UV light 506 radiated, as that mask is moved. This raising of the refractive index from the bottom up 555, can be adjusted by controlling the movement 178 of the amplitude mask 175, as illustrated by the sloping line in the example of FIG. 6C. FIG. 3B illustrates the case where the method of FIG. 6C is used. Although the required wavelengths of UV light used in order to obtain the above described changes in the refractive index is dependent to some degree on the sensitizing material added, normally wavelengths of below 280 nm are required. This is because it is difficult to obtain the desired refractive index with extremely low photosensitivity. On the other hand, at below 150 nm, there is an extremely high rate of light absorption by pure quartz having no sensitizing material, such that light cannot penetrate; accordingly the wavelength of radiated light must be above 150 nm. For this reason light sources generating light of wavelengths in the region between 150 and 280 nm from among those excimer lamps shown in FIG. 7 can be used. Among those the 222 nm lamp using KrCl and the 172 nm lamp using Xe are the most convenient, as they can be economically obtained and provide stable lamp functions because they are used for cleaning purposes on liquid crystal panels and the like. An excimer lamp, as opposed to an excimer laser, can be used for a UV light source. Whereas the power intensity of the laser is 1000 times that of the lamp, the lamp can radiate light over a broader area, 500×80 mm. A small type excimer lamp can be as small as 20 mm×18 mmφ and is approximately one tenth the cost of a laser light source, while light radiated from an excimer lamp for a long period is not highly conducive to deterioration in the mechanical strength of silica-based material of an optical fiber, and the 100V or 200V power supply used by the lamp makes for compatibility with ordinary commercial power supply voltage. Further, as the power density of an excimer lamp is low compared to that of a laser lamp, light from the excimer lamp does not cause damage to an optical waveguide during the exposure period. Step 3 Hydrogen Removal Process Referring to FIG. 4A, the optical fiber 27 is placed inside an oven 151 and left therein for a set duration (12 hours), remaining in a heated condition (120° C.), enabling the hydrogen 153 or deuterium diffused in the optical fiber 27 to be released to the outside. Numeric 17 in FIG. 4A, indicates the optical fiber with hydrogen removed therefrom. Numeric 15 in FIG. 4B is the cladding with hydrogen removed and numeric 13 in that figure is the core with hydrogen removed. As due to this step, the density of remaining hydrogen or deuterium inside the optical fiber 17 is lowered sufficiently, even where there is a long period of standby in which the optical fiber is kept before the subsequent step, basically no changes occur in the properties of the optical fiber during that time. Even with the hydrogen or deuterium thus removed however, photosensitivity to UV laser light during the formation of the FBG is still maintained. It is a characteristic of this invention that the photosensitivity of the core does not decrease after removal of the hydrogen or deuterium to the extent that occurs when technology of the present invention is used. Silica-based material that has undergone the above-described steps has increased photosensitivity and the deterioration over time of that photosensitivity is small, thereby enabling creation of an optical waveguide type optical component. Further, in addition to each of the above steps, a step 4 enables formation of an FBG by radiating interfering UV light to the optical fiber. Normally, a light source having an interfering effect such as a laser or the like that utilizes changes in amplitude through the interference of light, is used in order to change the refractive index of a core. The second harmonic of an argon ion laser or an excimer laser can be used to provide such a light source. An example of a short period FBG formed using a phase mask 173, as shown in FIG. 4B, provides an example of the first embodiment of the FBG production method of the present invention. Here, as interfering UV light 171 is radiated through a phase mask 173 having a fixed periodicity, a fringe pattern arises in the core 13 having no hydrogen) of the optical fiber, the refractive index of the core being raised at points exposed to the high brightness of the UV. FBG 11 (having no hydrogen) having a fixed period can be formed in this way. In addition to this method of using a phase mask as a method of forming an FBG, a twin beam interference method can also be used. Generally, as shown in FIG. 8A, the reflected center wavelength λ determined from the pitch Λ0 of a uniform type FBG formed using this method, results in λ=2N1Λ0 when expression (1) is applied. N1 is the effective refractive index in the FBG region. An FBG formed by step 1 of the method of this invention has the refractive index raised by UV lamp light, such that the reflection central wavelength is changed, becoming longer. Where the refractive index of an entire core of an optical fiber is raised, 556 as shown in FIG. 8B, the reflected center wavelength is λ2=2N2Λ0, and there is a shift toward longer wavelengths. Here, N2 is the effective refractive index of FBG regions having raised refractive index. FIG. 9A shows the case where four levels of refractive index are formed using a graded form of raising of refractive index. These four levels of effective refractive index of an FBG are termed, respectively, N1, N2, N3 and N4 (N1<N2<N3<N4). Four values exist for the reflection central wavelength, respectively, λ1=2N1Λ0, λ2=2N2Λ0, λ3=2N3Λ0, λ4=2N4Λ0 (λ1<λ2λ3<λ4). The FBG with four refractive indexes is equivalent to a combination of four FBGs, each has one refractive index and a different period of those four ones. Where the sloping raising of refractive index 558 is formed, as shown in FIG. 9B, as the refractive index gradually increases in a longitudinal direction of the optical fiber, the reflection central wavelength also gradually changes toward the longer wavelength side, such that reflection arises in some wavelength bandwidths, resulting in the appearance of transmission loss in those bandwidths. The results of an FBG of this embodiment experimentally produced will now be described. The optical fiber of the optical waveguide was single mode optical fiber, with approximately 3.5 Wt % GeO2 added, the core diameter being approximately 10 μm and the difference in a specific refractive index between the core and cladding being 0.35%. This optical fiber was placed in a 55° C., 10 MPa hydrogen atmosphere and left there for one week to allow the hydrogen to penetrate through to the center part of the optical fiber. The UV-curable resin providing a protective coating around the quartz optical fiber does not allow ultra violet light to penetrate, so that the resin was removed in parts to expose the quartz. After the exposed quartz parts were irradiated for a fixed duration with ultra violet light from an excimer lamp having power density of 15 mW/cm2, hydrogen removal processing was performed for 12 hours at 120° C. The wavelength radiated from the excimer lamp was 172 nm. Thereafter, using the phase mask method, a FBG with a reflection central wavelength of 1550 nm was formed on the lamp irradiated portions using the second harmonic (wavelength 244 nm) from an argon ion laser. At this time a uniform mask having equal periodicity was used for the phase mask such that each period of the periodicity of the FBG was equal. The length of the FBG region was 3 mm. The results obtained by measuring the changes of the central wavelength of the FBG are shown in FIG. 10. The horizontal axis in FIG. 10 shows time duration of exposure to the excimer lamp and the vertical axis, the degree of change in central wavelength of the FBG, taking the properties of the FBG without exposure to an excimer lamp as the base of measurement. As shown in FIG. 10, as the length of time of exposure to the excimer lamp increases the refractive index rises, and notwithstanding the fact that the periodicity of the FBG is constant, changes in the central wavelength were confirmed. This relationship between the time duration of radiation exposure and changes in central wavelength sits very well above a plain curved line, and as there is a one-to-one relationship between change in refractive index and duration of radiation exposure, the desired change in refractive index can be obtained simply by controlling this time of exposure, thereby confirming that it is possible to create a grating having the desired central wavelength. The difference between refractive index when non-interfering UV lamp light (excimer lamp light) is radiated to one side of an optical waveguide and radiated to multiple faces of an optical waveguide will be considered. FIG. 17A shows a core 702 and a cladding 703 disposed on a planar optical waveguide substrate 700. Due to the structure of the planar optical waveguide the face of the radiation is restricted to one side of the structure. That is to say, the side and lower faces of the optical waveguide are a thick substrate, such that irradiation of the optical waveguide from the surrounding area other than from the upper surface is difficult. Accordingly there is a substantial difference δ of the light amplitude in the inside and the upper surface of the optical waveguide close to the lamp light 701, thus, it can be estimated that the double refraction increases. On the other hand, in the case illustrated in FIG. 17B radiated light easily reaches from all around the optical waveguide and even if for example, UV lamp 711 irradiates only one side of the optical waveguide, the core 705 can still be irradiated due to the reflection and dispersal of the UV light 712 and 713 occurring within the optical fiber and coming from the material surrounding the fiber. Accordingly as the difference 6 of the light amplitude in the inside and the upper surface of the optical waveguide close to the lamp light is relatively small, it can be estimated that the double refraction is small. Further, as the supplementary UV light 712 and 713 is radiated from around the optical fiber, double refraction is lowered further. FIG. 18 illustrates the relationship of double refraction and duration of radiation time when UV lamp light irradiated one side of the optical fiber and multiple faces of the optical fiber. The duration of radiation from the excimer lamp is plotted on the horizontal axis in that figure and values for double refraction are plotted on the vertical axis. FIGS. 19A-19E depict methods for realizing the above-described irradiation of different aspects of an optical waveguide with UV lamp light. FIG. 19A illustrates the case where UV lamp light 801 irradiates optical fiber 811 from one side. FIGS. 19B to 19E illustrate radiation of UV lamp light to multiple faces of the optical waveguide. In FIG. 19B, the case of having two lamps 802 and 803 juxtaposed, irradiating both sides of optical fiber 811 is illustrated. FIG. 19C shows the case where two excimer lamps 804 and 805 are arranged on opposite sides of optical fiber 811, so as to radiate to both sides thereof. FIG. 19D shows a reflection plate 820 disposed opposing excimer lamp 806, such that as light radiated from the single excimer lamp is reflected and dispersed from this plate, the optical fiber 811 is irradiated with light from multiple directions. Finally, FIG. 19F illustrates that the shape cross-sectionally of a curved reflection plate 821 may be elliptical. Further, the reflection plate may be an ellipsoid having the optical fiber 811 and the excimer lamp 807 disposed respectively in two different focal points therein. The maximum value of double refraction of an FBG formed according to the above method is, in the case of irradiation from one side, 0.7×10−4. Further, it was confirmed that double refraction can be below 0.1×10−4 where radiation from the excimer lamp is directed to multiple surfaces of the optical waveguide. Accordingly, polarization dependent loss (PDL) and polarization mode dispersion (PMD) arising due to double refraction can be reduced. FIGS. 11 and 12 illustrate a method for producing a grating-type optical component related to the second embodiment of the present invention. As step 1 of FIG. 11A is the same as step 1 of the first embodiment depicted in FIG. 3A a description of step 1 of FIG. 11A is omitted here. As shown in FIG. 11B, in step 2 of this embodiment interfering UV laser light 171 is radiated, via phase mask 173, to optical fiber 27 to form FBG 21 with hydrogen diffused on the optical waveguide core part 23. According to this second embodiment, the optical fiber is single mode having a specific refractive index difference of 0.35%, with approximately 3.5 Wt % GeO2 added, the core diameter being approximately 10 μm. Descriptions of step 3 of the second embodiment shown in FIG. 12A and of step 4 of that embodiment shown in FIG. 12B are omitted here, those steps being the same respectively as step 2 of the first embodiment shown in FIG. 3B and step 3 of the first embodiment shown in FIG. 4A. According to this second embodiment, the order of irradiation using the excimer lamp and the laser light to form an FBG, performed under the same conditions as described with respect to the first embodiment, was changed. Moreover, removal of the hydrogen was performed as the last step. That is to say, the steps hydrogen diffusion, irradiation with laser light, irradiation from an excimer lamp and hydrogen removal were performed in that order and changes in the central wavelength of the laser light were confirmed. The results are shown in FIG. 13. It was evident that changes in the central wavelength of reflected light from the FBG were the same as those apparent in the case of the first embodiment even where irradiation with the excimer lamp was performed at this stage among the order of the steps, thus confirming that the results for central wavelength of reflected light from the FBG changes were the same regardless of the order in which the excimer lamp irradiation and FBG formation steps were performed. The maximum value of double refraction of an FBG created according to the above method is, in the case of radiation with an excimer lamp from one side, 0.7×10−4. Further, in the same manner as applied with respect to the first embodiment it was confirmed that double refraction can be below 0.1×10−4 where radiation from the excimer lamp is directed to multiple surfaces of the optical waveguide. Because these values are the same as those obtained using the FBG formed in accordance with the method of the first embodiment, it was confirmed that the same results were obtained with respect to the properties of double refraction regardless of whether the excimer lamp irradiation step or the FBG formation step is performed first. Accordingly, PDL and PMD arising due to double refraction can be reduced. A production method for a grating-type optical component related to a third embodiment of the present invention will now be described. According to this third embodiment, an experimental single mode optical fiber having a specific refractive index difference of 0.85%, with approximately 8.5 Wt % GeO2 added and a core diameter of approximately 4 μm was produced. In step 1, this optical fiber was placed in a 55° C., 10 MPa hydrogen atmosphere and left there for one week to allow the hydrogen to penetrate through to the core of the optical fiber. The UV-curable resin, providing a protective coating around the quartz optical fiber, does not allow ultra violet light to penetrate, so this was removed in parts to expose the quartz. At step 2, non-interfering UV lamp light 131 was uniformly radiated over the entire optical fiber as shown in FIG. 12A, raising the refractive index as shown in FIG. 5A. However, at this step a amplitude mask was not used. Further, the amplitude of the UV lamp light providing the light source was 10 mW/cm2. At step 3, after the exposed quartz parts were irradiated for a fixed duration with ultra violet light from an excimer lamp having power density of 10 mW/cm2, hydrogen removal processing was performed for 12 hours at 120° C. The wavelength radiated from the excimer lamp was 172 nm. Thereafter, using the phase mask method, a reflection central wavelength 1550 nm FBG was formed on the lamp irradiated portions using the second harmonic (wavelength 244 nm) from an argon ion laser. At this time a uniform mask having equal periodicity was used for the phase mask such that each period of the periodicity of the FBG was equal. The length of the FBG region was 3 mm. FIG. 14 shows the results obtained after the above steps were performed. The horizontal axis in FIG. 14 shows time duration of exposure to the excimer lamp and the vertical axis, the degree of change in central wavelength of the FBG, taking the properties of a sample not exposed to excimer lamp irradiation as the base of measurement. It is apparent that as the length of time of exposure to the excimer lamp increases, the refractive index rises, and notwithstanding the fact that the periodicity of the FBG is constant, changes in the central wavelength were confirmed. This relationship between the time duration of radiation exposure and changes in central wavelength sits very well above a plain curved line, and as there is a one-to-one relationship between change in refractive index and duration of radiation exposure, the desired change in refractive index can be obtained simply by controlling this time of exposure, thereby confirming that it is possible to form a grating having the desired central wavelength. The trend of increase in the degree of change in central wavelength (a curve shaped line) is largely the same in comparison to the results obtained with respect to the first embodiment. However, the absolute values for exposure time to the light and central wavelength change are different. This is because the amount of added photosensitive material (here, Ge) as well as the structure of the optical fiber were different and also because the respective amplitudes of the excimer lamp radiation were different. Generally, the speed of change in refractive index increases as the amplitude of light radiated from an excimer lamp increases and the maximum degree and speed of change in refractive index increases in line with the amount of photosensitive material added. As shown with respect to the first and second embodiments however, even where the amount of photosensitive material added and the optical fiber structures differ, a relationship of the power of excimer lamp radiation and the degree of central wavelength change, in other words, refractive index change, exhibits a relationship conforming to the same curve shaped line. That is to say, even where the amplitude of excimer lamp radiation or the amount of added photosensitive material are changed, it is possible for the desired properties to be easily obtained from the relationship between radiation time and refractive index change in accordance with those conditions. Further, those desired properties can be readily obtained in a short time by setting the appropriate structure for the optical fiber, such as the amount of added photosensitive material, and amplitude of excimer lamp radiation. The maximum value for double refraction of an FBG created according to the above method is 0.8×104 when only one side of the optical fiber is exposed to excimer lamp radiation. Further, double refraction can be brought below 0.1×10−4 by irradiating multiple surfaces of the optical fiber using the excimer lamp as described. Accordingly PDL and PMD arising due to double refraction can be reduced. After the above described step 3 of this third embodiment, step 4 for hydrogen removal is performed; however, this step 4 is the same as the step 4 of the second embodiment of this invention shown in FIG. 12B. Therefore, a description of this step 4 is omitted here. A production method for a grating-type optical component according to a fourth embodiment of the present invention will now be described. Step 1 of this fourth embodiment is the same has step 1 of the first embodiment shown in FIG. 3A therefore a description of this step one is omitted here. As shown in FIG. 6C, at step 2 of this fourth embodiment the duration of blocking non-interfering UV lamp light 131 was successively altered by moving the amplitude mask 175 at a predetermined speed, thereby changing the duration of exposure to light of the optical fiber core. As shown in FIG. 6C, a sloping line was obtained for refractive index under these conditions. Further, a single periodicity phase mask was used producing a substantially chirped FBG. The length of the change in refractive index represented by this refractive index sloping line, that is a length of the entire length of the FBG, was 100 mm. The experimental optical fiber used for this fourth embodiment was a single mode optical fiber having a specific refractive index difference of 0.35%, with approximately 3.5 Wt % GeO2 added and a core diameter of approximately 10 μm. A light source having UV lamp light of a power of 15 mW/cm2 was used. For this embodiment the required exposure time to UV light in a lengthwise direction of the optical fiber was obtained as a linear function of a position in a lengthwise direction of the optical fiber at an accuracy confining wavelength deviation to a range of 2 nm at the location of the maximum and 0 nm at the location of the minimum, based on the results of a second embodiment. Further, based on these results the desired movement of the amplitude mask 175 was obtained and for step 2, the amplitude mask 175 was moved based on those results. At step 3, hydrogen was removed from the optical fiber. This step of the process employed here was the same as that employed in step 3 of the first embodiment as shown in FIG. 4A therefore a description of this step is omitted here. Thereafter, at step 4, in the region for refractive index change, a 100 mm FBG was formed by exposure to light using the phase mask method using a uniform mask. The laser used for this light exposure was an argon ion laser radiating light of the second harmonic (wavelength 244 nm). FIG. 15B shows the characteristics of transmission loss of the FBG obtained by this process. FIG. 15A shows the transmission spectral after exposure to the same light without irradiation with an excimer lamp being performed. As is apparent from FIG. 15B, it was confirmed that radiation with the excimer lamp enables formation of an FBG having a broad band, and even where a uniform mask is used a substantially chirped FBG can be formed. This kind of chirped FBG can be applied for a chromatic dispersion compensator or the like. The refractive index of the FBG formed in this way was 0.3×10−4 when one side of the optical fiber was exposed to the radiation using the excimer lamp. Further, it was confirmed that double refraction can be below 0.1×10−4 where radiation from the excimer lamp is directed to multiple surfaces of the optical fiber. Accordingly, PDL and PMD arising due to double refraction can be reduced. The FBG produced for this experiment using a uniform type phase mask having single periodicity has the reflection properties illustrated in FIG. 16B. In portions of the FBG of substantial refractive index change through exposure to UV light irradiation was largest, reflection central wavelength λn was longest, as the refractive index becomes successively, gradually lower, the reflection central wavelength also becomes shorter in proportion thereto, such that in the FBG portions at the lowest point of the sloping line indicating refractive index the lowest value for reflection central wavelength is λ1. As shown in FIG. 16A, this is the same result as a chirped type FBG having successively altered FBG pitch using methods of the prior art. As can be seen from the description of the above embodiments, it is irrelevant whether the step for changing refractive index using UV light irradiated from a non-interfering light source or the step for creating the FBG using exposure to interfering light is performed first. Control Systems Control systems for the present invention are used for controlling operations as the refractive index of an optical fiber is altered. These control systems can be classified as refractive index adjustment methods as shown in FIGS. 5A and 6B. That is to say, a method that does not use the amplitude mask or a method in which the amplitude mask is not moved by using a fixed type amplitude mask having a plurality of slits of different widths, or an adjustment method as shown in FIG. 6C that involves moving the amplitude mask. First Control System FIG. 23A is a main flow chart showing operations when an amplitude mask is not used or when the amplitude mask is not moved. Referring to FIG. 23A, after the control processes commence (S251) firstly the excimer lamp comes on (S252) and control process A for adjusting refractive index is performed (S253). When that step is completed the excimer lamp goes off (S254) and the series of processes is complete (S255). As the details of the process A differs in accordance with the structure of the control system, these are described following. FIG. 20 provides an example of a control system in which an amplitude mask is not used or in which an amplitude mask is not moved for the adjusting of refractive index. This control system comprises an optical fiber 17 or 27, a lamp driver part 31 for radiating non-interfering UV lamp light 131 from an excimer lamp 30, a control part 32 for controlling the on/off conditions of the excimer lamp 30 and a timer 33 that operates as a determining means for determining the degree of refractive index change. When this control system is utilized, the required duration of irradiation time in order to obtain the desired refractive index is first estimated, and once the time elapsed from commencement of irradiation of the light is detected, by the timer 33, as having exceeded the set duration of irradiation time, radiation of the light is stopped by the control part 32. FIG. 23B is a flow chart depicting process A of the above control system. As shown in FIG. 23B, as lighting of the excimer lamp 30 is confirmed, operation of a clock commences from the timer 33 (S2531a) and once the duration of time elapsed exceeds the set duration of radiation time (S2532a: No), the process is completed (S 2533a) and the excimer lamp 30 stops lighting (S254). Further, the structure of this kind of control system may be a structure having an optical power meter as shown in FIG. 21. When this kind of control system is used the required power of irradiation (the integrated power of irradiated light) in order to obtain the desired refractive index is first estimated and once the estimated power of light from commencement of radiation of the light is detected, by the optical power meter 40, as having reached the power of light set, radiation of the light is stopped by the control part 32. FIG. 23C is a flow chart depicting process A of the above control system. As shown in that FIG. 23C, as lighting of the excimer lamp 30 is confirmed, measurement of light by an optical power meter 40 commences (S2531b) and once the power of light irradiated exceeds the set estimated power (S2532b: No), the process is completed (S2533b) and the excimer lamp 30 stops lighting (S254). A control system may be of a structure having a measuring part for central wavelength measurement of reflected light from in optical fiber grating or the like. This kind of control system is shown in FIG. 22. An optical fiber grating 38 for a central wavelength detector is formed on an optical fiber 39. This optical fiber 39 can be an optical fiber which has the same photosensitivity as the optical fiber 17, 27 or an optical fiber the degree of change of the refractive index is known. The central wavelength measurement part 34 measures the change of central wavelength of reflected light from the optical fiber grating due to the radiated UV lamp light 131. With this structure of control system, radiation of the W light can be stopped at the point in time at which the desired refractive index change is achieved because the change of refractive index in the optical fiber 17 or the optical fiber 27 can be estimated in real-time from the change of central wavelength arising as the light is radiated to the optical fiber grating 38. Further, a structure can also be configured in which the degree of change of central wavelength indicating the desired change in refractive index is set in advance and radiation of the light is stopped when this degree of change in central wavelength is reached. A process flow chart for this kind of system is shown in FIG. 23D. As shown in FIG. 23D, as lighting of the excimer lamp 30 is confirmed, central wavelength measurement commences from a central wavelength measurement part 34 (S2531c), and once the degree of measured central wavelength change, exceeds the set degree of wavelength change (S2532c: No), the process is completed (S2533c) and the excimer lamp 30 stops lighting (S254). Second Control System FIG. 24 shows an example of a control system for adjusting/tailoring refractive index profiles when a amplitude mask is moved (178) or when the amplitude mask is not moved. This control system has, added to the system of FIG. 20, an amplitude mask driver part 36 for driving the amplitude mask, a control part 37 for controlling the amplitude mask driver part 36 and a personal computer (PC) 35, while the functions of the other components of the structure are the same as those of the control system described with respect to FIG. 20. The PC 35 receives signals from the timer 33 and operates the control parts 32 and 37 based on those signals. FIG. 28A is a main flow chart showing the operations of the control system when the amplitude mask is moved. Referring to FIG. 28A, after the control processes commence (S301) firstly the amplitude mask transitions to the starting point (the initial position) as necessary (S302) and once this is confirmed the excimer lamp comes on (S303) and control process B is implemented (S304) for adjusting refractive index. When that step is completed the excimer lamp goes off (S305) and the series of processes is complete (S306). The details of the process B differs in accordance with the structure of the control system. FIG. 28B is a flow chart depicting process B of the above control system. As shown in FIG. 28B, as lighting of the excimer lamp 30 is confirmed, processes commence (S3041a), operation of a clock commencing from the timer 33 (S3042a) while movement 178 of the amplitude mask 175 commences (S3043a). Where necessary, speed v(t) is changed in accordance with time elapsed, and once the duration of time elapsed exceeds the set duration of irradiation time (S3044a: No), the process is completed (S3045a) and the excimer lamp 30 stops lighting (S305). FIG. 25 shows a control system in which an optical power meter 40 is installed instead of the timer 33 shown in FIG. 24. A PC 35 receives signals from the optical power meter 40 and operates the control parts 32 and 37 based on these signals; this being the only point of difference between the control system shown in FIG. 25 and the control system shown in FIG. 24 and in all other respects the functions of the components comprising the structure of this control system are the same as those of the control system shown in FIG. 24. FIG. 28C is a flow chart depicting process B of the control system shown in FIG. 25, As shown in FIG. 28C, as lighting of the excimer lamp 30 is confirmed, processes commence (S3041b), measurement of light from the optical power meter 40 commences (S3042b) while movement of the amplitude mask 175 begins (S3043b). Where necessary, speed v(t) is changed in accordance with an integrated irradiated power of light, and once the integrated power of light irradiated exceeds the set integrated irradiated power of light (S3044a: No), the process is completed (S3045b) and the excimer lamp 30 stops lighting (S305). FIG. 26 shows a control system in which instead of the optical power meter 40 shown in FIG. 25, an optical fiber 39, optical fiber grating 38 and central wavelength measuring part 34 are installed. A PC 35 receives signals from the central wavelength measuring part 34 and operates the control parts 32 and 37 based on these signals; these being the only points of difference between the control system shown in FIG. 26 and the control system shown in FIG. 25 and in all other respects the functions of the components comprising the structure of this control system are the same as those of the control system shown in FIG. 25. FIG. 28D is a flow chart depicting process B of the control system shown in FIG. 26. As shown in FIG. 28D, as lighting of the excimer lamp 30 is confirmed, processes commence (S3041c), central wavelength measurement commencing from the central wavelength measurement part 34 (S3042c), while movement of the amplitude mask 175 commences (S3043c). Where necessary, speed v(t) is changed in accordance with central wavelength and once the measured degree of change of central wavelength exceeds the set degree of change of central wavelength (S3044c: No), the process is completed (S3045c) and the excimer lamp 30 stops lighting (S305). FIG. 27 shows a control system in which an amplitude mask position measuring part 42 for measuring the position of an amplitude mask 175 is installed instead of the central wavelength measuring part 34 shown in FIG. 26. A PC 35 receives signals from the amplitude mask position measuring part 42 and operates the control parts 32 and 37 based on these signals; these being the only points of difference between the control system shown in FIG. 27 and the control system shown in FIG. 26 and in all other respects the functions of the components comprising the structure of this control system are the same as those of the control system shown in FIG. 26. FIG. 28E is a flow chart depicting the process B of the control system shown in FIG. 27. As shown in FIG. 28E, as lighting of the excimer lamp 30 is confirmed, processes commence (S3041d), measurement of the position of the amplitude mask commencing from the amplitude mask position measuring part 42 (S3042d), while movement of the amplitude mask 175 commences (S3043d). Where necessary, speed v(t) is changed in accordance with the position of the mask and once the mask 175 exceeds the prescribed position for termination of the process (S3044d: No), the process is completed (S3045d) and the excimer lamp 30 stops lighting (S305). According to the present invention an non-interfering light can be readily altered by changing the refractive index of a silica-based waveguide, enabling a substantial number of various FBG to be economically created using a single phase mask. Further, as non-interfering light of an excimer lamp is used to provide a monochromatic light source, the required light source can be easily obtained. According to the present invention the desired FBG can be formed by controlling and altering the method of irradiation of the monochromatic light. This application claims benefit of priority under 35 USC §119 to Japanese Patent Application No. 2003-206061, filed on Aug. 5, 2003, the entire contents of which are incorporated by reference herein. Although the invention has been described above by reference to certain embodiments of the invention, the invention is not limited to the embodiments described above. Modifications and variations of the embodiments described above will occur to those skilled in the art, in light of the teachings. The scope of the invention is defined with reference to the following claims.
047598948
description
DISCLOSURE OF THE INVENTION It has been discovered that when the current of an electrical discharge through a capillary having condensed phase (liquid or solid) walls is limited by the discharge itself rather than by the system delivering current to the capillary, the temperature of the plasma formed by the discharge may be increased remarkably by reducing the capillary diameter and by increasing the capillary aspect ratio (length divided by diameter). When the capillary diameter is sufficiently small and the capillary aspect ratio is sufficiently large, a high power electrical discharge through the capillary will produce transient plasma temperatures heretofore considered unattainable with a capillary discharge. Using this technique, a plasma temperature of 10 million degrees Kelvin may be easily obtained and plasma temperatures as high as a few hundred million degrees Kelvin appear to be feasible. At an extremely high temperature, nucleii of atoms can have a sufficient energy that collisions (or near collisions) between nucleii can occur which result in a rearrangement of nuclear consitutents and a release of energy. Such a reaction is called a thermonuclear reaction because extremely high temperature is required to overcome the Coulomb (charge repulsion) barrier. Since the temperature required for such reactions increases rapidly with nuclear charge, the thermonuclear reactions of particular interest involve isotopes of the lighter elements, mainly hydrogen, helium and lithium. In these reactions, a heavier nucleus is formed by the union of nuclear parts from two lighter nucleii. Accordingly, such reactions are called fusion reactions. Of the many possible thermonuclear fusion reactions which may occur with or between isotopes of lighter elements, only a few can be made to occur at a sufficiently high rate (known as the cross section) to permit a practical gain of energy at a temperature considered to be reasonably attainable. Among these are two deuterium-deuterium reactions (one liberating a proton and producing tritium, and the other one liberating a neutron and producing helium-3 or .sup.3 He), the deuterium-tritium reaction (liberating a neutron and producing helium-4 or .sup.4 He), the tritium-tritium reaction (liberating two neutrons and producing .sup.4 He), and the deuterium-.sup.3 He reaction (liberating a proton and producing .sup.4 He). In a typical fusion process fueled by deuterium all of these reactions occur to some extent. A more detailed description of fusion reactions may be found, for example, in CONTROLLED THERMONUCLEAR REACTIONS BY S. Glasstone and R. H. Lovberg (Van Nostrand, 1960), and in F. L. Ribe, "Fusion Reactor Systems," Rev. Mod. Phys., Vol. 47, 7 (1975), both of which are hereby fully incorporated by reference. A transient thermonuclear fusion reaction may be produced within a capillary having condensed phase walls by making the diameter of the capillary sufficiently small and the capillary aspect ratio sufficiently large. The capillary walls are formed partially or totally of suitable thermonuclear fusible material such as deuterium and/or tritium and a suitably intense and suitably fast rising electrical pulse is discharged along the axis of the capillary. If the electrical discharge has a sufficiently fast current rise and a sufficient amplitude and the capillary has a sufficiently small diameter and a sufficiently large aspect ratio, a plasma containing thermonuclear fusible material is produced within the capillary at a temperature and with a density-time product sufficient to produce a useful transient thermonuclear fusion reaction. The thermonuclear reaction is inherently self-extinguishing because of the expansive nature of the burn. Heretofore, the possibility of using a condensed phase material to contain a plasma heated to a thermonuclear reaction temperature (60 million degrees Kelvin or higher) was not considered. One possible reason for not even considering a condensed phase material as a possible containment vessel for such a plasma is that no condensed phase material can withstand a temperature even several orders of magnitude lower than this. All condensed phase materials vaporize at a temperature far below 60 million degrees Kelvin. Another reason for not even considering a condensed phase material as a containment vessel for a thermonuclear reaction is that condensed phase materials all conduct heat rapidly compared with a gaseous or vacuum phase. Since the rate at which heat flows increases proportionally with the temperature gradient, it would appear that a temperature of 60 million degrees Kelvin or more on one side of a condensed phase wall would produce a heat flow through the condensed phase wall which is so great that such a temperature could not be attained within a condensed phase containment vessel, even if the condensed phase material were to somehow avoid becoming vaporized. Presumably as a result of these two considerations, the use of a solid phase containment vessel for a thermonuclear reaction was heretofore generally considered impossible. The events which occur during a high power electrical discharge through a capillary also heretofore have been greatly misunderstood. Since an enormous heat flow through the condensed phase walls does not occur, it has been assumed by others (e.g., S. Zakharov et al. cited previously) that the self-magnetic field of the discharge must be detaching the plasma from the capillary walls and radially compressing it along the axis of the capillary. A reduction in the diameter of the capillary presumably would have no beneficial effect if the plasma actually detaches from the capillary walls. To the contrary, a reduction in the diameter of the capillary might instead be expected to decrease the thermal isolation between a detaching and radially compressing plasma and tend to increase thermal conduction through the capillary walls. A very small diameter for the capillary might be expected even to result in no plasma detachment from the capillary wall at all. It has been discovered, however, that a very small diameter capillary produces a higher (not a lower) transient temperature for the plasma. The effects which take place during a high power electrical discharge through a capillary are now much better understood and do not involve any detachment of a plasma from the capillary walls. It has been found that a plasma temperature as high as 100 million degrees Kelvin or higher can be formed by establishing an intense electrical discharge through a capillary having condensed phase walls by making the capillary diameter sufficiently small, the capillary aspect ratio sufficiently large and the electrical discharge sufficiently intense and sufficiently quickly rising. It has been discovered that when an intensely hot plasma is formed quickly enough by a capillary discharge a shock wave is formed which overtakes the heat conduction wavefront. Three phases are formed. Ahead of the shock front, the condensed phase material is undisturbed and, except for radiation effects, unheated by the discharge. Along and just behind the shock front, a strongly coupled plasma is formed having a density several times the density of the undisturbed condensed phase material. Behind the strongly coupled plasma at the wall is a much hotter and considerably less dense plasma, which fills the core of the capillary. As the dense strongly coupled wall plasma moves radially outward, material is ablated from the capillary walls by the wall plasma, which in turn contributes plasma material to the hotter core plasma behind the wall plasma. The strongly coupled wall plasma actually protects or insulates the capillary walls from the much higher temperature of the core plasma. At the same time, the strongly coupled wall plasma inherently resists expansion so that it physically assists the capillary walls in containing the very hot core plasma. Containment of the hot core plasma is further aided by a very intense self-magnetic field generated by the intense electrical discharge. The self-magnetic field of the discharge not only resists flow of the plasma radially outward but also resists convective heat flow outward. These physical processes are described in much greater detail in a report by R. A. McCorkle entitled "Outline For A Capillary Discharge Thermonuclear Burner," IBM Research Report RC 9209, which is publicly available from the IBM Thomas J. Watson Research Center, Distribution Services 38-066, Post Office Box 218, Yorktown Heights, N.Y. 10598, and which is hereby fully incorporated by reference. This report will also be available shortly as an article published in the journal, Nuovo Cimento. In accordance with the present invention, the condensed phase capillary walls are preferably composed of material which contains (and preferably is principally composed of) thermonuclearly fusible material. Fusible material is ablated from the capillary walls by the wall plasma and deposited into the core plasma. Since the deuterium-tritium nuclear fusion reaction proceeds at a lower temperature than any other known fusion reaction, it is advantageous for the core plasma to contain both dueterium and tritium. If both deuterium and tritium are present in the plasma, a fusion reaction can be established more easily, more quickly and presumably more efficiently. Once established, the fusion reaction helps to heat the plasma and produces nuclear particles and by-products for use in other fusion reactions. If the capillary is formed before the discharge is established, it may contain a gas (or liquid in theory). The capillary could be filled with a gas containing tritium, for example, while the capillary walls contain deuterium. The two then would combine in the core plasma to form the desired deuterium-tritium mixture. When the core plasma reaches about 60 million degrees Kelvin, a useful thermonuclear reaction occurs. A short time after an intense capillary discharge is initiated, the resulting shock wave expands the capillary sufficiently that thermonuclear reaction conditions cannot be maintained and the thermonuclear reaction automatically extinguishes. In order to maximize the period of time during which thermonuclear reaction conditions exist, the discharge should preferably be initiated in a capillary which has a diameter as small as possible. To reduce the adverse effect of plasma leaking out from the ends of the capillary, the capillary also preferably should be as long as possible, the maximum length of the capillary (as well as the maximum diameter of the capillary) being limited ultimately by the voltage and current characteristics of the discharge pulse which can be conveniently delivered to the capillary. The maximum temperature T.sub.max in electron volts which can be reached by the plasma is related to the diameter and the aspect ratio of the capillary as follows: EQU T.sub.max .perspectiveto.(9/8D.sup.1/2)(A.sup.1/2 Z.LAMBDA.L/D).sup.1/2 where D is the diameter of the capillary at the time maximum plasma temperature is reached, L is the length of the capillary, L/D is the aspect ratio of the capillary, A is the atomic weight (weighted average) of the core plasma, Z is the average ionic charge of the core plasma and .LAMBDA. is the Coulomb logarithm (which is usually about 10). In practice, the diameter and length of the initial capillary are selected such that the desired maximum plasma temperature can be achieved in accordance with this formula. A slightly smaller actual diameter is selected for the capillary than the diameter required by this formula because the capillary diameter will expand slightly before maximum plasma temperature is achieved. Although it would appear from the above formula that any maximum temperature could be reached simply by making the capillary diameter arbitrarily small, this is not true in practice. As will be apparent from a later equation, as the diameter becomes smaller, the minimum density for the capillary walls increases. The density of the capillary walls (and incidentally the discharge voltage) determines the minimum capillary diameter at the time maximum temperature is reached. If a much smaller diameter initial capillary is used, presumably the maximum plasma temperature will not be reached until the capillary has expanded to about this minimum diameter. For a 10 million .degree. K. plasma the diameter of the capillary can be as large as about 250 .mu.m, though a smaller capillary diameter would be preferred, such as about 50 .mu.m. For a 60 million .degree. K. plasma, the diameter of the capillary can be as large as about 50 .mu.m, though a smaller diameter would be preferred, such as about 10 .mu.m. Once the maximum plasma temperature and the diameter are selected, the aspect ratio may be found from the above equation. Alternatively, the maximum plasma temperature and aspect ratio may be selected and the required diameter determined from this formula. In accordance with this invention, the aspect ratio should be sufficiently high that the plasma escaping from the ends of the capillary does not have an unacceptable detrimental effect. It is expected that a minimum aspect ratio of about 100 should be used with a higher aspect ratio of about 1000 or more being preferred. Once the diameter and aspect ratio of the capillary are selected, the requirements for the electrical pulse supplying the discharge can be determined. The aim is to make the discharge itself limit the current being supplied to the discharge. The desired density-time product is first selected. For a useful fusion reaction, the density-time product must satisfy the Lawson criteria, which requires a density-time product of about 10.sup.14 particle seconds per cubic centimeter or higher. For other applications the density-time product may be smaller, though a high density-time product will improve the energy output of the discharge. Once the required density-time product is selected, the minimum voltage to be maintained during the discharge can be determined from the following expression: ##EQU1## where .rho..UPSILON. is the density-time product in particle seconds per cubic centimeter, V is the minimum voltage to be maintained during the discharge in volts, T.sub.max is the maximum plasma temperature, Z is the average ionic charge of the core plasma, and .LAMBDA. is the coulomb logarithm. Typically the voltage will be at least 10 kilovolts and preferably at least 40 kilovolts. A voltage as high as several million volts is available from commercially sold high power pulse discharge equipment and can be used. Once the voltage has been selected, the minimum current which should be supplied to the discharge can be determined from the following expression: EQU I=(.pi./4) (V/L)D.sup.2 .sigma. where .sigma. is the conductivity of the plasma, D is the diameter of the capillary at maximum plasma temperature, L is the length of the capillary, and V is the voltage maintained across the discharge. .sigma. is given by the following expression: ##EQU2## where T.sub.max is the maximum plasma temperature, Z is the ionic charge (weighted average) of the core plasma, and .LAMBDA. is the Coulomb logarithm. Typically the current will be more than 50 kiloamps. The rate at which current required by the discharge should rise is determined by the following expression: ##EQU3## Where dI/dt is the time rate of change of the current supplied to the discharge in amperes per second, V is the voltage maintained across the discharge in volts, and L is the length of the capillary in centimeters. The required current will rise at this rate to the value I previously determined. This corresponds to a rise time in the range of about 10 nanoseconds or less for less intense pulses to about 200 nanoseconds or more for more intense pulses. The previous expressions were all derived on the assumption that a sufficient power loading on the capillary walls has been achieved so that the three phase behavior previously described will occur. In order to assure that a sufficient power loading occurs the following expression also should be satisfied: ##EQU4## where all the variables have been previously defined. In addition, the density .rho. of the capillary walls must satisfy the following condition: ##EQU5## where V is in volts, D is in centimeters, T.sub.max is in electron volts, and .rho. is in grams per cubic centimeter. As stated earlier, this expression effectively limits the minimum diameter of the capillary at the maximum plasma temperature. The plasma in the capillary rises to a maximum temperature and then falls again as the expansion of the capillary diameter and leakage of plasma from the capillary ends overtake the rising electrical powre input. In order to assure that the electrical pulse lasts long enough to supply the discharge while the ultra-high temperature conditions exist, the pulse should last in nanoseconds at least 300(A/T.sub.max).sup.1/2 times the length of the capillary in centimeters, with T.sub.max in electron volts. The maximum time during which thermonuclear reaction conditions can be maintained is probably no more than about 1000 nanoseconds. The following set of parameters, for example, provides a 60 million degree Kelvin plasma temperature with a density-time product of 10.sup.14 particle-seconds/cm.sup.3, which is suitable for a useful thermonuclear reaction to occur with a dueterium-tritium plasma: V.perspectiveto.40,000 volts PA0 I.perspectiveto.88,000 amperes PA0 L.perspectiveto.1.54 cm PA0 D.perspectiveto.11 .mu.m PA0 Risetime.perspectiveto.10.sup.-8 seconds PA0 Pulse Duration.perspectiveto.10.sup.-8 seconds PA0 V.perspectiveto.12,500 volts PA0 I.perspectiveto.74,000 amperes PA0 L.perspectiveto.1.5 cm PA0 D.perspectiveto.67 .mu.m PA0 Risetime.perspectiveto.2.7.times.10.sup.-8 seconds PA0 Pulse Duration.perspectiveto.2.2.times.10.sup.-8 seconds PA0 V.perspectiveto.130,000 volts PA0 I.perspectiveto.280,000 amperes PA0 D.perspectiveto.35 .mu.m PA0 L.perspectiveto.15.4 cm PA0 Risetime.perspectiveto.10.sup.-7 seconds PA0 Pulse Duration.perspectiveto.10.sup.-7 seconds. In order to get at least 40,000 volts during a discharge from a parallel plate storage capacitor discharged at the center and supplying this current requires an initial charge of about 290,000 volts. The discharge will require about 260 Joules of stored energy and consume 2.6.times.10.sup.10 watts of power. Apparatus which can supply such a high power pulse is readily available, for example, from Maxwell Laboratories, Inc., 8835 Balboa Ave., San Diego, Calif. 92123. Much more powerful pulse sources are also available from Maxwell Laboratories and others. The following set of parameters, for example, provides a 10 million degree Kelvin hydrogen plasma temperature with a density-time product of 10.sup.13 particle-seconds/cm.sup.3, which is suitable for use as a hard x-ray source: A more efficient thermonuclear burn is achieved at the density-time product corresponding to ignition which for deuteriumtritium is 10.sup.15 particle-seconds/cm.sup.3. The following set of parameters, for example, provides a density-time product of 10.sup.15 particle-seconds/cm.sup.3 at 60 million degrees Kelvin: The initial voltage charge required on a storage capacitor to supply such a pulse upon discharge is about 900,00 volts, Equipment which can provide such a pulse is available from Maxwell Laboratories, for example, and others. BEST MODE FOR CARRYING OUT THE INVENTION Referring now to FIG. 1, a condensed phase material 10 is generally shown sandwiched between two electrodes 12, 14. Electrodes 12, 14 function to briefly store electrical charge received from high voltage generator 16 so that it can be delivered quickly to a discharge along capillary 18. The discharge along capillary 18 is initiated with a laser pulse 20. A trigger input along line 22 causes high voltage pulse generator 16 to deliver an intense electrical pulse to plates 12, 14 along lines 24, 26. A sync pulse is delivered at the same time along line 28 to a time delay element 30. The delayed sync pulse in turn triggers a pulsed laser 32 to deliver a light pulse via lens 34 and mirror 36 to the capillary 18. The amount of time delay introduced by element 30 is selected such that the high voltage pulse from generator 16 has had time to substantially charge plates 12, 14 before the light pulse triggers an electrical discharge through the capillary 18. FIG. 2 illustrates in more detail a capillary discharge apparatus such as is generally shown in FIG. 1 but which is adapted for creating a thermonuclear reaction by forming the capillary walls partly or completely of fusible material. The condensed phase material 10 comprises an outer permanent portion 40 and an inner replaceable sleeve portion 42. It is contemplated that the inner sleeve 42 will be replaced after each discharge. The outer portion 40 is needed to hold the sleeve portion 42 and to give additional mechanical support during the discharge. The material for the outer portion 40 is selected such that it not only has a suitable mechanical elasticity but also a suitable dielectric constant. Plates 12, 14 act as a capacitor. Since maximum charge storage is desired, a high dielectric constant for the material of spacer 40 is also desirable. The diameter of the plates 12, 14 and the dielectric constant of spacer 40 are selected such that a sufficient charge can be stored. With a solid condensed phase material, the diameter of the plates probably would be on the order of a meter or more. Sleeve 42 contains thermonuclear fusible material such as deuterium. A suitable material for sleeve 42, for example, is deuterated polyethylene. A suitable material for spacer 40 is mylar, for example. Sleeve 42 may be replaced by removing threaded cap 44. A tight fit between sleeve 42 and outer portion 40 may be assured by slightly oversizing the sleeve 42, freezing the sleeve 42 in liquid nitrogen, for example, and then inserting the freeze shrunk sleeve into the outer part 40. Standard high voltage and high energy pulse practices are observed. The outer walls 46 of the spacer 40 are curved in serpentine fashion to avoid a discharge along that surface. The ends of plates 14, 16 are curled away from the spacer also to prevent an inadvertent discharge. The outer surface of sleeve 42 is stepped to reduce the chance of an inadvertent discharge along the interface between the spacer 40 and the sleeve 42. Top cap 44 carries a replaceable window 48 for admitting laser pulse 20. Bottom cap 50 is connected to a gas source 52 via a gas line 54 and gas valve 56. Top cap 44 is similarly connected to a gas outlet 58 via a gas line 60 and valve 62. The gas lines and valves and caps 44, 50 all function to control the composition of the gas maintained within capillary 18. It is advantageous to fill the capillary with a gas containing thermonuclear fusion material such as tritium (.sup.3 H) and/or deuterium (.sup.2 H). However, it is possible to avoid the use of a special gas (air might be suitable) if a sufficiently intense discharge is delivered to the capillary. It should be understood that any impurities in the plasma will tend to reduce the thermonuclear reaction and will tend to increase the pulse requirements. Around the capillary discharge zone are positioned optional shields 60. These shields act to absorb emitted nuclear radiation in all directions except in the desired direction 62. Shields are not required everywhere because the spacer 40 itself will act as a shield. An electrical pulse may be supplied to the apparatus of FIG. 2 in the same fashion as shown in FIG. 1 and the discharge may be similarly initiated by a laser pulse. The apparatus shown in FIG. 2 acts as a convenient and compact source of pulsed nuclear radiation, which may be used for medical treatment or for materials analysis, such as by neutron diffraction or inelastic neutron scattering. Another embodiment is illustrated in FIG. 3. In this embodiment, the condensed phase material is a liquid, such as water. Outer walls 64 cooperate with discharge plates 66, 68 to contain liquid 70, which contains thermonuclear fusible material. A suitable liquid 70 is heavy water, which is a mixture of .sup.2 H.sub.2 O, .sup.3 H.sub.2 O and .sup.2 H.sup.3 HO. A transient capillary is formed in the liquid 70 by the discharge itself. Various methods may be used to induce the discharge to form along a desired path 72. A preferred method is to form gaseous bubbles. If a train of bubbles are established within the liquid 70 leading from plate 68 to plate 66, a discharge will follow the train of bubbles. It is also possible to merely form a small bubble at both discharge plates. The discharge will then begin at the bubble at one plate and extend to the bubble at the other plate. Nozzles 74, 76 are used to form such discharge initiating bubbles. A gas tube 78 supplies gas to both nozzles from a regulated gas supply 80 via a turn-off valve 82 and a flapper valve 84. Valve 82 is normally open when a discharge is to be produced. Plunger control system 85 receives a trigger input via line 86 and initiates a sequence with the correct time delays such that the bubbles and an electrical pulse are produced at the correct times. Upon receiving a trigger input, plunger control system 85 withdraws plunger 88 so that gas is brought into chamber 90 through flapper valve 84. Plunger 88 is then extended forcing flapper valve 84 closed and pushing the gas along line 78 toward nozzles 74, 76. Pressure sensor 91 monitors the gas pressure within gas line 78 and controls through system 85 the gas pressure produced by plunger 88. At a predetermined gas pressure, a gas bubble of a desired size will be formed at nozzles 74, 76. Plunger 88 is caused to stop extending when this predetermined pressure is reached and a trigger pulse is sent simultaneously to generator 16 via line 22. Upon receiving a trigger input on line 22, pulse generator 16 delivers a high power pulse to plates 66 and 68. The high power pulse is delivered to the plates at preferably several locations along the outer periphery. The high power pulse propagates inward toward the capillary charging the plates substantially completely by the time the voltage rises at the center where the bubbles are located. When the voltage across the plates at the bubbles is sufficiently high, a sudden electrical discharge occurs between the plates along path 72. The discharge forms a capillary and creates a plasma. If the discharge is sufficiently intense, and rises sufficiently quickly, the temperature within the core plasma will reach at least 60 million degrees and support a fusion reaction. The fusion reaction and the electrical discharge both heat the liquid 70. Liquid 70 is circulated from the capillary discharge region to a heat utilization device 92 and then returned to the capillary discharge region. Along the flow path is a reservoir 94, which supplies liquid 70 as needed and collects gaseous products of the discharge. The gaseous products removed by pump 96, which also controls the static (and if desired the dynamic) pressure of the liquid. A baffle 97 keeps liquid 70 from entering the pump 96. A liquid inlet valve 98 supplies liquid 70 to the system. What is illustrated in FIG. 3 is a pulsed fusion reactor for producing heat. Shields 99 absorb neutrons escaping from the liquid 70 and may be composed of lithium, for example, Lithium shields would absorb neutrons and produce tritium, thereby acting as a breeder reactor. Production of tritium could be the primary function of this fusion reactor. FIG. 4 shows in magnification the gas bubble forming nozzle 76. The gas carrying conduit 100 (or a fitting connected thereto) is attached to plate 68 via threads 103. Nozzle member 102 is formed of graphite and is in good electrical contact with plate 68. A bubble 104 forms as shown at a predetermined pressure. Many changes and modifications to these embodiments will be immediately apparent to those skilled in nuclear physics and in high power pulse technology and may be made without departing from the spirit and scope of this invention, which is defined by the following claims. For example, there are many ways of initiating a discharge other than by a laser beam pulse or bubbles, and bublbes can be formed in many different ways. Bubbles may be formed along an ionization path of a high energy particle passing through a superheated liquid followed by a pressure release on the liquid, much as occurs in a hydrogen bubble chamber. Bubbles also may be formed by cavitation brought about by, for instance, flow of gassified liquid through a constricted region or, for instance, by a sudden release of pressure on the liquid in a very localized region, such as at two openings on each plate. A laser could generate an ionization path not only in a gas but also in a liquid or solid and it might alternatively initiate a discharge through a liquid or solid by forming a thermal rarefraction channel. Vortex flow in a liquid might form a capillary. In addition to laser radiation, other radiation could be used to initiate a discharge, such as for example an electron beam, ion beam, x-ray beam or gamma radiation. Breakdown through a solid could be guided by small cavities at each plate, similar to the bubble effect in a liquid. A small diameter fiber might be positioned within a liquid to guide the discharge. The fiber might be composed of fusible material or it might be a specially fabricated low density structure, for instance containing pores or gas pockets. The fiber might also be hollow thereby forming an open capillary by itself. Bubbles might be caused to form on the surface of a fiber. An acoustic wave might be used to create a rarefraction channel in a liquid or solid. Instead of providing fusible material in the form of a sleeve, fusible material might instead be evaporated within a capillary by cryogenic techniques either externally supplied or self generated by expansion of a fusible gas material while flowing through the capillary. A rarefaction channel can be produced by detonation of a chemically reactive species along a desired axis. Triggering of the detonation may be by photochemical, initiation with a laser, for example.
description
This application is a continuation of U.S. Non-Provisional application Ser. No. 10/962,049 filed Oct. 7, 2004 now U.S. Pat. No. 7,462,848, which claims the benefit of U.S. Provisional Application Ser. No. 60/509,582 filed Oct. 7, 2003 and U.S. Provisional Application Ser. No. 60/582,014 filed Jun. 21, 2004, both all incorporated by reference herein. 1. Field of the Invention This invention relates to the field of charged particle optics, and in particular to methods and systems for generation of high current density shaped electron beams. 2. Description of the Related Art The use of electron beams to lithographically pattern semiconductor masks, reticles and wafers is an established technique. The different writing strategies used may be characterized by a few key parameters: Beam Positioning Strategy There are two main approaches to the positioning of electron beams for the exposure of resist during the lithographic process: (a) Raster Scanning, where the beam is moved on a regular two-dimensional lattice pattern. This method has the advantage that the scan electronics is typically simpler, but the disadvantage is that the beam may spend large amounts of time moving across areas not needing to be exposed. In addition, in order to accomplish very precise pattern edge placement, sophisticated gray-scale and/or multiple-pass scanning may be required. (b) Vector Scanning where the beam is moved two-dimensionally directly to areas to be written. This method has the advantage of reduced time over areas not needing to be exposed, but the disadvantage of more complicated and expensive deflection electronics. Precise pattern edge placement is also easier, utilizing the beam placement capability on a 2D address grid much smaller than the beam size.Each approach is advantageous in certain circumstances, the optimum choice depending on the pattern critical dimensions, pattern density (% of area to be written), and also on the profile of the beam current distribution (see below).Beam Shape Control There are two well-known approaches to the shaping of the electron beam used to expose the resist on the substrate: (a) Gaussian beams are characterized by the highest current densities (typically >2000 A/cm2) since in these systems, an image of the electron source is focused onto the substrate surface, thereby taking full advantage of the high brightness of the source. A key disadvantage of Gaussian beams is their long tails of current, stretching far outside the central beam diameter—only 50% of the beam current at the substrate falls within the FWHM of a two-dimensional Gaussian distribution. (b) Shaped Beams are formed by electron optical columns typically having several intermediate shaping apertures, combined with additional deflectors and lenses to form a focused image of the aperture(s) on the substrate surface. These systems typically have beam current densities orders-of-magnitude lower (e.g. 20-50 A/cm2) than for the Gaussian beams. An advantage of these systems is the reduced current tails outside the desired beam shape, making patterning less susceptible to process fluctuations. Another advantage is that effectively a large number of pixels may be written simultaneously since the area of the variable shaped beam may be large in comparison to a single pixel. There is a need in the semiconductor industry to achieve the highest patterning throughputs, both for mask and reticle writing as well as potentially for the direct writing of wafers. Either of the two approaches to beam positioning can be combined with either of the two approaches to beam shaping, but none of these four combinations is capable of fully meeting the semiconductor industry's needs. Clearly there is a need for an electron lithography system having high throughput (at least several wafers/hour or less than an hour to write a reticle), combined with the ability to pattern very small CDs with edge placement accuracies <CD/8, as well as the simplest possible electron optical design to ensure adequate system reliability, long mean-time-between-failures (MTBF) and short mean-time-to-repair (MTTR). A charged particle optical apparatus for generating a high current density shaped beam is disclosed herein. This apparatus utilizes a charged particle optical column design, typical of those used to generate high current density Gaussian beams, with the addition of a patterned beam-defining aperture which can be customized for insertion at various positions in the column. One example of a charged particle optical column design would employ two lenses, wherein a charged particle source emits a diverging beam of charged particles which are then formed into a roughly parallel charged particle beam by the first lens. The second lens then focuses the roughly parallel charged particle beam onto the surface of a substrate with a generally Gaussian current distribution, having a high current density at the center and long tails extending out in all directions from the center of the beam. In this example, the patterned beam-defining aperture could be positioned between the two lenses. Based on the design requirements of the pattern to be written, a beam shape at the substrate is determined. The shape of the patterned beam-defining aperture (PBDA) is then developed in a multi-step method disclosed herein. The PBDA shape must meet two requirements: (1) it should transmit a large portion of charged particles in the beam which would fall within the predetermined beam shape, and (2) it should block transmission of a large portion of charged particles in the beam which would fall outside the predetermined beam shape. In a charged particle optical system employing the present invention, a number of additional components may be included, such as: A Beam blanker—used to turn the beam on and off by deflecting the beam onto a blanking aperture. In the embodiment of the present invention illustrated herein, the PBDA also functions as the blanking aperture. Deflectors—used to move the beam across the surface of the substrate in order to pattern an area. In this embodiment, a double-deflection main deflector moves the beam to the centers of 2 μm square subfields. Within each subfield, a subfield deflector consisting of a single octupole deflects the beam. Moving Lenses—in order to minimize off-axis aberrations in the shaped beam, the effective optical axis of the second lens is displaced off-axis to match the beam deflection due to the mainfield deflector. Stigmator—used to correct for imperfections in the optical column arising from mechanical defects or positioning errors in various elements. The design method for the patterned beam-defining aperture starts with data about the pattern to be written (such as the IC dimensions and layout on the wafer, the critical dimensions of the IC, alignment mark designs, etc.) and combines this data with the optical characteristics of the charged particle beam column to determine the optimal shaped beam sizes to enable the patterns to be written with maximum efficiency (i.e., highest throughput). The PBDA design is then developed, first as an ideal shape, and then with modifications to enable it to be manufactured. After a proposed PBDA design is found, it is tested using the same procedure used to originally develop the design, typically employing charged particle design software using ray-tracing to simulate actual charged particles under the influence of electric and magnetic fields shaped by the electrodes and pole-pieces of the optical column. The shaped beam generated by this column is characterized by improved current profile edge sharpness relative to a Gaussian beam, as well as a nearly square current distribution at the resist exposure dose (the latter being very desirable for lithography applications). Advantages of this apparatus include the ability to generate a shaped beam without the added complexity of shaping apertures, deflectors and lenses typically found in variable-shaped beam columns. In addition, current densities approaching those of Gaussian beam systems are achieved, greatly reducing resist exposure times and enhancing writing throughputs in lithography applications. A method is described for designing the patterned beam-defining aperture (PBDA). The core of this method involves ray tracing to determine which rays in the charged particle beam contribute to a desired beam profile at the substrate at a number of positions across the substrate surface, followed by a design process for a patterned beam-defining aperture which transmits rays contributing to the desired beam profile and blocks rays falling outside the desired profile. Further innovative aspects of the invention are described in the following paragraphs. Blanking System—the apparatus disclosed herein employs a unique blanking system which does not require the use of an intermediate crossover between the electron source and the wafer. A double-deflection blanker is used to project the effective blanking plane back to the position of the virtual source. This is advantageous since the absence of an intermediate crossover substantially reduces space charge beam spreading arising from electron-electron interactions. A further advantage of the double-deflection blanker geometry is the ability to blank beams over a much wider range of beam sizes—in prior art designs, since the (single) blanker had to be positioned at the cross-over for conjugate blanking, it was not possible to achieve the wide range of beam sizes (<30 nm to >120 nm) possible with the present invention since such a wide size range necessitates moving the cross-over to various (widely-spaced) positions along the optical axis in order to vary the column magnification. Another novel aspect of the blanking system is the use of a square beam-trimming aperture above the blankers to reduce the beam size and shape the beam into a square cross-section. This has the advantage that the beam is shaped to be only slightly larger than the PBDA (which also serves as the blanking aperture) thereby maximizing the attainable blanking speed. In addition, a square beam, when swept across the PBDA, will uniformly illuminate every part of the PBDA openings, thereby making the deposited current on the wafer more uniform within the shaped beam. Main Deflectors—the present invention employs a unique main deflector design, optimized for the requirement to deflect the patterned beam a much larger distance off-axis in one direction (typically >25 μm) than in the other direction (˜1 μm). The deflector design employs a large number of separate electrodes (22 in the embodiment herein), but requires only four drive signals. The arrangement of the 22 deflector electrodes simulates the electric field generated by a set of parallel plates, which is more uniform than is possible with prior art octupole designs. A more uniform electric field reduces the deflection aberrations induced in the beam, enabling sharper edge profiles in the patterned beam of the invention described herein. Prior art deflectors employ symmetrical octupole designs which would have increased aberrations for the large deflections required here. Main Lens Design—to form a shaped high current-density beam on the wafer surface across a wide range of positions off-axis (at least 25 μm), the present invention employs a main lens structure in which the effective axis of the lens can be moved in synchrony with the deflection of the beam so that the beam always appears to be on the optical axis of the main lens. The lens structure of the present invention employs two sets of octupole electrodes integrated within the lens structure to add small transverse dipole fields to the generally axial electric fields of the lens. These dipole fields can offset the axial field by >25 μm in order to center the lens on the beam. Thus, the beam always undergoes a focusing effect nearly identical to that found on axis. This is advantageous since all off-axis aberrations, both geometrical (coma, astigmatism, curvature of field, distortion) and chromatic (variation in magnification) are essentially eliminated, thereby improving the edge sharpness of the patterned beam. Prior art systems employing “moving lenses” required much more complicated electrode designs than those employed herein. Control System—the control system for the multicolumn optics accommodates a number of optical elements that are in common for all columns, and thus may be controlled by single controls, while other optical elements require individual controls, one for each column. Pattern Data Path—the data path for the present invention employs a number of features required by the need to coordinate patterning of a number of columns simultaneously. It is necessary to stitch the written patterns of all columns together in order to preserve pattern quality on the wafer. In addition, for maximum writing efficiency, various patterned high current-density beams may be generated (different sizes in each column, if necessary). Thus one column might be writing 30 nm features while another column simultaneously is employing a 120 nm shaped beam to write a bonding pad containing a number of 2 μm square subfields. Proximity Effect Correction Method—for correction of proximity effects, the present invention employs a method of subfield-by-subfield beam dose variation to minimize the beam writing dose in order to maximize process latitude during resist development. An iterative process is employed, wherein the fraction of area to be written in each subfield is determined, and then used to modify the doses in neighboring subfields to correct for backscattered electron (BSE) contributions to the total resist exposure. This invention will be discussed in detail using its implementation in the field of electron beam lithography as an illustrative example. However, many other fields of use are envisaged, as outlined immediately below. Scanning electron microscopy typically utilizes a roughly Gaussian beam in order to maximize the beam current density, thereby minimizing imaging time and/or maximizing the image signal-to-noise ratio. The disadvantage of using a Gaussian beam for microscopy is the long current tails extending away from the center of the beam which tend to reduce the achievable image contrast. The present invention has potential uses in scanning electron microscopy to reduce the extent of these current tails, thus improving image contrast. These same considerations would apply to many types of scanned electron beam imaging and analysis tools, such as Scanning Auger Microscopes, Scanning Electron Microscopes, Scanning Transmission Electron Microscopes, etc. The present invention also has potential applications in the fields of semiconductor metrology and inspection. For these applications, roughly Gaussian beams are used to maximize metrology and inspection throughputs by minimizing the times required to measure or inspect features on semiconductor wafers or masks and reticles. Elimination of the long current tails of the Gaussian distribution will improve the imaging contrast in these systems. Conversely, if the contrast were kept constant, the present invention would allow faster pixel data acquisition and thus improved throughputs. The patterned beam-defining aperture of the present invention may also be used in other types of particle beam systems, utilizing ions, for example. One example is focused ion beam systems for maskless ion implantation. In these systems, the ion beam is composed of the desired implant ions (e.g., boron, arsenic, phosphorus, etc.) and the reduction of extraneous current tails would reduce the implantation of ions outside the region where doping is needed. Another example is focused ion beam direct-write lithography tools, where the ion beam is used to expose resist similarly to the case for electron beam direct-write systems. Reduction of extraneous current will improve the contrast in the lithography process, thereby increasing the process latitude for resist development. Still another example would be scanning secondary ion mass spectrometry (SIMS) systems, where the focused ion beam bombards a specimen surface, thereby inducing the emission of secondary ions characteristic of the chemical composition of the material. Reduction of extraneous ions would improve the contrast and resolution of SIMS images and mass spectra, since the secondary ions would be produced almost entirely from the region of interest with little production outside this region since the ion tails of the primary ion beam are greatly reduced. FIG. 1 illustrates a multi-step method for designing an electron optical column employing a patterned beam-defining aperture, for use in generating a high current-density shaped electron beam. In this example, a square beam is desired at the wafer, although a wide range of beam shapes may be realized with proper selection of the beam-defining aperture pattern. In Block 102, the initial data concerning the integrated circuit (IC) patterns to be written is defined, including the critical dimension (CD) for the pattern, the IC X-Y dimensions, the X-Y layout of ICs on the wafer, and other data as required. In Block 103, the initial data concerning the system operating parameters is defined, including the desired writing throughput (typically in wafers/hour), the resist sensitivity to the writing beam (typically in μC/cm2), the desired writing beam energy at the wafer, the writing overheads (such as the wafer transfer time, alignment time, etc.), and other parameters as required. In block 104, from the pattern and writing specifications in blocks 102 and 103, the optimum patterned beam shape and size are determined, along with the required beam current density. For example, if a pattern CD of 45 nm was specified in block 102, a 40 nm square beam profile might be appropriate. If the resist sensitivity is 5 μC/cm2, a beam current density of 3000 A/cm2 might be necessary to achieve the desired writing throughput. Next, in block 106, electron optical design calculations would typically be performed to develop the design of the column, including lens electrode bores, thicknesses, positions and voltages, and the diameter of a circular beam at the wafer which is larger than the size of the final patterned beam determined in block 104. For example, if a 40 nm square beam were desired, a circular beam of diameter ≧√2×40 nm≈56 nm would be required—this beam diameter will then allow a square beam 40 nm×40 nm to be obtained in block 110 without rounding of the corners. FIGS. 2A-3M illustrate a typical electron optical column design developed with the aid of such a process. Alternatively, the parameters of an existing column may be input and then a patterned beam-defining aperture may be designed as described starting in block 108. Block 108 involves a series of electron optical design calculations utilizing the column design developed in block 106, wherein the ray (X, Y) locations at the beam-defining aperture 212 (see FIG. 2A) are recorded along with their end points (X, Y) on the wafer surface 221 (see FIG. 2A). Five sets of rays with different (X, Y) locations on the wafer are typically used: 1) on-axis (i.e., at the center of the scan), 2) ± a quarter-width of the scan, and 3) ± a half-width of the scan (i.e., at the two ends of the scan farthest off-axis). This data is then used to determine which rays for each set fall within the desired patterned high current-density beam profile and which rays for each set fall outside the desired pattern for each individual (X, Y) location on the wafer. FIGS. 4A-4C illustrate the segregation of the trajectory data at the wafer surface 221 into two groups: those within the desired pattern and those outside. Note that these sets of rays do not necessarily correspond to exactly the same rays for each of the five locations on the wafer, i.e., a ray passing through a particular position at the beam-defining aperture might fall inside the desired beam profile when the beam is positioned on-axis but might fall outside the desired beam profile when the beam has been deflected by ± a half-width of the scan. In general, FIGS. 4A-4C show that the beam at the wafer is circular in all three cases shown, with small variations in the positions of individual rays—this is the result of careful column design in block 106, in particular, the design of mainfield deflectors which introduce minimal beam aberration and the use of a moving main lens to nearly eliminate off-axis aberrations over the entire mainfield scan (±25 μm in this example). Block 110 performs the next step: to find the intersection of the five sets of rays from block 108—this intersection corresponds to those rays falling within the desired patterned high current-density beam for all five wafer positions. Typically this set of rays is about 10-15% smaller than any of the original five sets of rays corresponding to each of the five individual wafer locations in block 108. This process is necessary since the electron beam 222 strikes the patterned beam-defining aperture before it is deflected by the mainfield deflectors 213 and 214, thus exactly the same set of rays is transmitted by the patterned beam-defining aperture 212 to the wafer surface 221 for all positions of the beam 222 on the wafer surface 221. Block 112 uses the trajectory data from block 110, to develop an ideal (i.e., possibly not physically realizable) aperture design with the goal of transmitting all rays contributing to the desired beam profile and blocking all rays falling outside the desired profile for all five positions on the wafer simultaneously (i.e., across the entire scan). FIG. 6A shows the resulting rays at the beam-defining aperture 212 which should be transmitted. FIG. 6B shows the resulting rays at the beam-defining aperture 212 which should be blocked. In block 114, final changes are made to the patterned beam-defining aperture design to allow for a practical aperture 212 design, as illustrated in FIGS. 7A-8A. Block 116 then tests the accuracy of the optics and aperture designs by tracing a large number of electron rays through the electron column from FIG. 2A, using the aperture from FIG. 8A. Block 118 combines the large number of rays (typically >30000) generated in block 116 to obtain beam current density profiles such as those shown in FIGS. 10A-12. Finally, in block 120, graphs of the beam current profile are generated, and can be compared with the corresponding current profiles for Gaussian beams. The improved edge sharpness of the patterned beam generated by an electron column employing the present invention can be seen in FIGS. 13-15, compared with the Gaussian profile in FIG. 16. FIG. 2A shows a cross-sectional view of a typical electron optical column capable of employing the present invention to generate a high current-density patterned electron beam. The view has been expanded along the Y-axis to allow the beam 222 and various electrodes to be seen more clearly. This column design is typical of those that would be developed in block 106 of FIG. 1. Components illustrated include: an electron source tip 201, extraction electrode 202, first source lens electrode 203, beam-limiting aperture (BLA) 204, second source lens electrode 205, gun mounting plate 206, upper alignment deflector/stigmator 207, accelerating assembly 209, electron beam 222, lower alignment deflector 208, beam-trimming aperture (BTA) 276, upper blanker 277, lower blanker 278, optics mounting plate 210, beam-defining aperture mount 211, patterned beam-defining aperture (PBDA) 212, upper mainfield deflector 213, lower mainfield deflector 214, subfield deflector/stigmator 215, focus-1 electrode assembly 216, focus-2 electrode assembly 217, field-free tube 218, detector assembly 219, voltage contrast plate 220, and substrate 221 being lithographically patterned by the electron beam 222. Note that the combination of the field-free tube 218, detector assembly 219 and voltage contrast plate 220 is referred to as the detector optics and, in the case of electron beam lithography, is used to image alignment marks on the substrate. The combination of the focus-1 electrode assembly 216, focus-2 electrode assembly 217 and the field-free tube 218 is referred to as the main lens. Electrons are emitted from the source tip 201 under the influence of a high electric field induced by a voltage difference (typically 2500-3500 V) between the source tip 201 and the extraction electrode 202. The portion of these electrons near the symmetry axis of the optics system passes through a hole in electrode 202, moving towards first source lens electrode 203. A beam-limiting aperture 204 is mounted within the bore of electrode 203, which allows only those electrons within a small angle (typically ˜2.0° half-angle) to pass down into the optical column. A voltage typically from 430 to 640 V (relative to the source tip 201 at 0 V) is applied to both electrode 203 and the beam-limiting aperture 204—this potential, in combination with roughly 510 V applied to the second focusing electrode 205, forms a decelerating lens which focuses the beam 222 into a parallel beam which passes through the gun mounting plate 206. Upper alignment deflector/stigmator 207 and lower alignment deflector 208 are used to steer the electron beam 222 through the beam-trimming aperture 276 parallel to the optical (Z-) axis. The accelerating region 209 between the upper alignment deflector/stigmator 207 and the lower alignment deflector 208 raises the beam energy from 510 eV up to 5000 eV. The beam 222 then passes through the upper blanker 277 and lower blanker 278. Some rays within electron beam 222 are stopped by the patterned beam-defining aperture 212, supported in a beam-defining aperture mount 211, while others pass through to the mainfield deflectors 213 and 214, subfield deflector/stigmator 215, and then enter the main lens. The main lens focuses beam 222 onto the substrate surface 221. (Further description of a similar electron optical column design is provided in U.S. Pat. No. 6,734,428 B2, incorporated herein by reference.) The column design shown is for illustrative purposes only—the patterned beam-defining apertures generated by the method of the present invention may be employed in a large number of column designs familiar to those skilled in the art. FIG. 2B shows a cross-sectional view of the bottom of a typical electron optical column capable of employing the present invention to generate a high current-density patterned electron beam. Components illustrated include: the beam-defining aperture mount 211, patterned beam-defining aperture 212, upper mainfield deflector 213, lower mainfield deflector 214, subfield deflector/stigmator 215, focus-1 electrode assembly 216, focus-1 support electrode 230, focus-1 octupole electrodes 231-238, focus-2 electrode assembly 217, focus-2 support electrode 240, focus-2 octupole electrodes 241-248, field-free tube 218, detector assembly 219, voltage contrast plate 220, and substrate 221 being lithographically patterned by the electron beam 222 which strikes the substrate surface 221 at location 250. The electron rays shown in all figures are calculated using SIMION 3D, ver. 6.0 (a charged particle ray tracing program developed by David Dahl at the Idaho National Engineering and Environmental Laboratory). FIG. 3A is a pair of views of the rays leaving the source tip 201, showing how the initial distribution of rays is “laminar”, i.e., the rays have a uniform distribution spreading out from the tip 201 without crossing over each other. View (a) is a side cross-sectional view of the source tip 201, extraction electrode 202, first source lens electrode 203, beam-limiting aperture 204 and beam 222, showing beam half-angles out to 30° emerging from the source tip 201. An axial cross-section of the beam 222 is taken at location 301. The optical axis is parallel to the Z-axis 310 and perpendicular to the Y-axis 320. An axial cross-section of the beam 222 at location 301 is shown in (b), including ray intercepts 302. The X-axis 319 and Y-axis 320 are both perpendicular to the optical axis 310. Each of the ray intercepts 302 can be seen to be evenly separated on a grid corresponding to the X-axis 319 and Y-axis 320. The rays in beam 222 preserve this laminar flow behavior almost all the way to the substrate surface 221. The design method described herein for the patterned beam-defining aperture 212 relies on the assumption that each ray represents a well-defined amount of current. The calculation for this current is as follows: Is =source angular intensity over the emission solid angle used toilluminate the patterned beam-defining aperture 212 (typically0.4° to 1.5° half-angle, in this example 0.8° - usually Isranges from 100 μA/sr up to more than 500 μA/sr).δ =angular increment between rays 302 along the X-axis 319 andY-axis 320 (typically 0.04° to 0.15°, in this example, δ = 0.08°).ω =solid angle subtended by each ray 302, for δ = 0.08°, =[δ (π/180°)]2 = 1.95 ×10−6 srIray 302 = Is ω = (500 μA/sr) (1.95 × 10−6 sr) = 0.98 nA for each ray 302.Implicit in this calculation is the assumption that the angular intensity is uniform over the angular range of emission used to generate the square beam (comprising rays 306 in FIG. 3G) which illuminates the patterned beam-defining aperture 212. In the example of a Schottky thermal field emitter, this assumption is valid, since typically the angular intensity is very uniform over the central (i.e., on-axis) part of the angular emission distribution. With electron sources for which this assumption is invalid, the method described herein for design of the patterned beam-defining aperture could be modified to take into account different values for Iray 302 depending on the initial angle of each ray 302 at the source tip 201. FIG. 3B is a pair of views of the rays at the upper alignment deflector/stigmator 207. View (a) is a side cross-sectional view of the gun mounting plate 206, upper alignment deflector/stigmator 207 and beam 222. An axial cross-section of the beam 222 at location 303 is shown in (b). The beam 222 is centered within the upper alignment deflector/stigmator 207. The eight octupole electrodes 260-267 of the upper alignment deflector/stigmator 207 are shown. Voltages may be applied to the eight electrodes 260-267 to generate a rotatable dipole electric field to deflect the beam 222. Additionally, voltages may be applied to the eight electrodes 260-267 to generate a rotatable quadrupole field to stigmate the beam 222 in the upper column. Ray intercepts 304 correspond to electrons leaving the source tip 201 at the mean energy. Each of the ray intercepts 304 can be seen to be evenly separated on a grid approximately corresponding to the X-axis 319 and Y-axis 320, with almost the same relative positions to each other that the corresponding ray intercepts 302 in FIG. 3A maintained. FIG. 3C is a pair of views of the rays at the lower alignment deflector 208. View (a) is a side cross-sectional view of the lower alignment deflector 208, beam-trimming aperture 276, upper blanker 277 and beam 222. An axial cross-section of the beam 222 at location 398 is shown in (b). The beam 222 is centered within the lower alignment deflector 208. The eight octupole electrodes 268-275 of the lower alignment deflector 208 are shown. Voltages may be applied to the eight electrodes 268-275 to generate a rotatable dipole electric field to deflect the beam 222. Ray intercepts 399 correspond to electrons leaving the source tip 201 at the mean energy. Each of the ray intercepts 399 can be seen to be evenly separated on a grid approximately corresponding to the X-axis 319 and Y-axis 320, with almost the same relative positions to each other that the corresponding ray intercepts 302 in FIG. 3A maintained. FIG. 3D is a pair of views of the rays just above the beam-trimming aperture 276, showing how the initial laminar distribution of angles shown in FIGS. 3A-3B has been approximately preserved farther down the column. View (a) shows the beam 222 just above the beam-trimming aperture 276 for the case of a 30 nm beam at the wafer 221, where the voltage applied to the first source-lens electrode 203 and beam-limiting aperture 204 would typically be around 640V. In this case, the outer rays in beam 222 correspond to the maximum 2.0° half-angle transmitted by the beam-limiting aperture 204, and only rays within the center square (corresponding to angles within ±0.45° half-angle at the source tip 201 along the X-axis 319 and Y-axis 320) are transmitted farther down the column. Rays outside the center square are blocked by the beam-trimming aperture 276. The beam trimming aperture is square to create a square beam cross-section at the patterned beam-defining aperture 212—this is necessary for the proper control of dose within the patterned beam at the wafer surface 221, as described in more detail below. View (b) in FIG. 3D shows the beam 222 just above the beam-trimming aperture 276 for the case of a 120 nm beam at the wafer 221, where the voltage applied to the first source-lens electrode 203 and beam-limiting aperture 204 would typically be around 430 V. The outer rays in beam 222 correspond to the maximum 2.0° half-angle transmitted by the beam-limiting aperture 204, and only rays within the center square (corresponding to angles within ±1.5° half-angle at the source tip 201 along the X-axis 319 and Y-axis 320) are transmitted farther down the column. FIG. 3E is a pair of views of the column near and within the beam blanker. View (a) is a side cross-sectional view of the lower alignment deflector 208, beam-trimming aperture 276, upper blanker 277, lower blanker 278, optics mounting plate 210, beam-defining aperture mount 211, patterned beam-defining aperture 212 and beam 222. An axial cross-section of the beam 222 at location 395, at the center of the upper blanker 277, is shown in (b). Ray intercepts 394 correspond to electrons leaving the source tip 201 at the mean energy. Each of the ray intercepts 394 can be seen to be evenly separated on a grid approximately corresponding to the X-axis 319 and Y-axis 320, with roughly the same relative positions to each other that the corresponding ray intercepts 302 in FIG. 3A maintained. To blank the beam 222, voltages typically in the range ±1.7 V+5000 V are applied to blanker plates 280 and 282. The 3.4 V difference between plates 280 and 282 generates a transverse electric field parallel to the Y-axis 320 which bends the electron beam 222 away from the optical (Z-) axis 310 as shown in FIG. 3E (a). Plates 281 are kept at the common mode voltage of 5000 V at all times in order to ensure a uniform electric field in the gap between plates 280 and 282. FIG. 3F shows an axial cross-section of the beam 222 at location 393, at the center of the lower blanker 278. Ray intercepts 392 correspond to electrons leaving the source tip 201 at the mean energy. Each of the ray intercepts 392 can be seen to be evenly separated on a grid approximately corresponding to the X-axis 319 and Y-axis 320, with roughly the same relative positions to each other that the corresponding ray intercepts 302 in FIG. 3A maintained. To blank the beam 222, voltages typically in the range ±1.54 V+5000 V are applied to blanker plates 283 and 285. The 3.08 V difference between plates 283 and 285 generates a transverse electric field parallel to the Y-axis 320 and opposite in direction from the field in the upper blanker 277, which bends the electron beam 222 back towards the optical (Z-) axis 310 as shown in FIG. 3E (a). Plates 284 are kept at the common mode voltage of 5000 V at all times in order to ensure a uniform electric field in the gap between plates 283 and 285. The combined deflection effect of the upper 277 and lower 278 blankers is to deflect the beam 222 off-axis and towards the patterned beam-defining aperture 212 in such a way that the beam still appears to come from the virtual source location on the optical (Z-) axis—this ensures conjugate blanking even though there is no actual cross-over in the column between the source tip 201 and the wafer surface 221. The benefit of avoiding an intermediate cross-over is that the larger diameter of beam 222 down the column greatly reduces coulomb (space-charge) beam spreading, thus improving the beam edge sharpness at the wafer surface 221. FIG. 3G (a), shows a pair of beams 222 just above the beam-defining aperture 212, illustrating how the initial laminar distribution of angles shown in FIGS. 3A-3B has been approximately preserved farther down the column. The upper square beam 305 corresponds to the blanked beam shown in FIG. 3E (a)—it has been deflected completely away from the opening in the patterned beam defining aperture 212, thus no rays are transmitted to the wafer surface 221. Rays 306 are shown in the lower (unblanked) square beam. Those rays passing through the openings in the patterned beam-defining aperture 212 are then transmitted to the wafer surface 221 to form a high current-density square beam at location 250 of FIG. 2B. The purpose for the square beam-trimming aperture 276 can be seen in FIG. 3G: in order to minimize the blanking time, it is necessary to keep the beam size at the patterned beam-defining aperture 212 as small as possible. It is also necessary that the beam have a square cross-section, so that as the beam is swept across the patterned beam-defining aperture (PBDA) 212 (see FIG. 22), each location on the openings in the PBDA 212 will be illuminated for the same amount of time. This is only possible if the beam cross-section is square. For all possible beam sizes at the wafer surface 221, the square illuminating beam at the PBDA 212 will be roughly the size shown in FIG. 3G, views (a)-(b), even though the outer beam diameters (corresponding to 2° half-angle at the tip 201) shown in FIG. 3D, views (a)-(b), vary widely in size. Without the beam-trimming aperture 276, the beam diameters illuminating the PBDA 212 would exhibit a similar wide range in size, causing blanking times to be much longer for smaller beam sizes at the wafer surface 221. View (b) is a close-up of the beam 222 cross-section at the patterned beam-defining aperture (PBDA) 212 the case of a 30 nm square beam at the wafer surface 221. For larger beam sizes of 40 nm to 120 nm at the wafer 221, the square beam at the PBDA 212 will be slightly smaller than in view (b)—thus for square beams from 30 nm to 120 nm, the beam-trimming aperture 276 maintains the square beam at the PBDA 212 at approximately the optimal (minimum) size for maximum bandwidth blanking. FIG. 3H (a) is a view of the rays 330 at the center of the upper mainfield deflector 213. The initial laminar distribution of angles shown in FIGS. 3A-3B has been approximately preserved farther down the column. Note that now the beam cross-section preserves an image of the openings in the patterned beam-defining aperture 212. The purpose of the mainfield deflector, comprising 213 and 214, is the following: (1) to compensate for small (˜±2 μm) wafer stage positional errors in both the X-axis 319 and the Y-axis 320. (2) to correct for small (˜±2 μm) mechanical errors in the column positions in both the X-axis 319 and the Y-axis 320. (3) to position the beam at the center of the particular subfield to be written along the X-axis 319 only (deflections up to at least ±25 μm).All of these requirements are relatively low-bandwidth, but require that the mainfield deflector induce almost no aberration in the beam, even with large (e.g., ±25 μm) off-axis deflections along the X-axis 319. Since the Y-axis 320 deflections are smaller, a deflector design optimized for large X-deflections and smaller Y-deflections is used for both the upper 213 and lower 214 mainfield deflectors as shown. Typical upper mainfield deflector 213 voltages for the case of maximum X-axis 319 deflection would be (with a 5000 V common mode voltage): ElectrodeVoltage40015000 + 2.9240025000 + 2.9240035000 + 2.9240045000 + 2.9240055000 + 2.9240065000 + 2.9240075000 + 2.9240085000 + 2.9240095000 + 2.9240105000 + 0.9740115000 − 0.9740125000 − 2.9240135000 − 2.9240145000 − 2.9240155000 − 2.9240165000 − 2.9240175000 − 2.9240185000 − 2.9240195000 − 2.9240205000 − 2.9240215000 − 0.9740225000 + 0.97For this example, electrodes 4001-4009 and electrodes 4012-4020 act as two parallel plates with electrodes 4010, 4011, 4021 and 4022 acting to preserve the uniform X-direction electric field needed for minimal deflection aberrations. A set of electrostatic equipotential lines 4025 is shown, in steps of 0.5 V between 4997.5 V and 5002.5 V—note the high degree of uniformity in the electric field, which minimizes deflection aberrations. In the case of pure Y-axis 320 deflection, electrodes 4009-4012 and 4001, 4020-4022 act as two parallel plates with electrodes 4002-4008 and 4013-4019 acting to preserve the uniform Y-direction electric field. Because the mainfield deflectors 213 and 214 have been optimized for a much larger deflection parallel to the X-axis 319, the design of the deflectors 213 and 214 is asymmetrical, having a larger width along the Y-axis 320 in order to preserve field uniformity for the higher X-axis 319 field strengths required for ±25 μm X-deflections. For the required ±1 μm Y-deflections, the field strength required is much lower, so a reduced degree of E-field uniformity is allowable. Aspects of the design of parallel plate deflectors are well known to those skilled in the art. View (b) shows a possible method for connecting voltages to each of the electrodes 4001-4022. Electrodes 4004-4006 and 4015-4017 are omitted to simplify the schematic. Each pair of neighboring electrodes is connected by a resistor 4035 of value R. These resistors form four linear voltage dividers between drive signals V+X+Y 4031, V−X+Y 4032, V−X−Y 4033 and V+X−Y 4034 as shown. Thus, only four drive voltages are required to control the twenty-two electrodes 4001-4022. The value R of resistors 4035 must be kept low enough so that there is minimal RC time delay between the four drive signals 4031-4034 and the inner electrodes such as 4004-4006 and 4015-4017, however, the value of R must not be so low that there is excessive power dissipation (which goes as V2/R where V=the deflection voltage). To minimize the capacitance C on the connections to electrodes 4001-4022, it is desirable for the resistors 4035 to be mounted as close to the column assembly as possible, probably within the vacuum enclosure; however, in the vacuum enclosure heat dissipation is more difficult due to the lack of convective cooling, consequently the power dissipation in the resistors R 4035 must be minimized by making R as large as possible while avoiding excessive RC delays. FIG. 3I is a view of the rays 331 at the center of the lower mainfield deflector 214. The initial laminar distribution of angles shown in FIGS. 3A-3B has been approximately preserved farther down the column along with an image of the openings in the PBDA 212. The differential voltages (ignoring the common mode voltage of 5000 V) on the lower mainfield deflector 214 electrodes 4101-4122 are always equal in magnitude and opposite in polarity from the voltages on the corresponding electrodes 4001-4022 in the upper mainfield deflector 213. A set of electrostatic equipotential lines 4125 is shown, in steps of 0.5 V between 4997.5 V and 5002.5 V. The X-axis 319 corresponds to the large deflection direction for the beam 222, typically at least ±25 μm from the optical axis on the wafer surface 221. The direction of stage travel is parallel to the Y-axis 320 (alternatively in the +Y- and −Y-directions with each subsequent wafer scan—see FIG. 27B). Typically, the lengths of electrodes 4101-4122 along the Z-axis 310 will be the same, and equal to the lengths of electrodes 4001-4022 in the upper mainfield deflector 213. Since the lengths of the upper and lower mainfield deflectors 213 and 214 are equal and the applied electrode voltages are equal in magnitude and opposite in polarity, the combined result is to deflect the beam 222 off the optical axis 310 and restore it to being parallel to the optical (Z-) axis 310. FIG. 3J is an axial cross-sectional view of the rays 332 at the center of the subfield deflector/stigmator 215, showing how the initial laminar distribution of angles shown in FIGS. 3A-3B has been preserved farther down the column, along with an image of the openings in the PBDA 212. The subfield deflector/stigmator 215 combines two functions: (1) as a deflector (i.e., with a rotatable dipole excitation), the subfield deflector/stigmator 215 is used to scan the beam 222 by up to ±1 μm in both the X-319 and Y-320 directions to cover a 2 μm square subfield, (2) as a stigmator (i.e., with a rotatable quadrupole excitation), the subfield deflector/stigmator 215 corrects for astigmatism induced by other elements in the optical column.The beam 222 is off-center within the subfield deflector/stigmator 215 due to the combined beam deflection in the upper and lower mainfield deflectors 213 and 214. The subfield deflector/stigmator 215 electrodes are arranged in a conventional octupole configuration as shown. Typically, the lengths of octupole electrodes 223-230 along the Z-axis 310 will be the same and deflection and stigmation voltages would be (common mode voltages of 5000 V not shown): ElectrodeElectrodeSubfieldvoltagesvoltagesTypicaldeflector/stigmatorat +1 μmat +1 μmstigmation215 electrodesX-deflectionY-deflectionvoltagesElectrode 223−0.52 V+0.22 V+0.003 VElectrode 224−0.22 V+0.52 V−0.003 VElectrode 225+0.22 V+0.52 V−0.003 VElectrode 226+0.52 V+0.22 V+0.003 VElectrode 227+0.52 V−0.22 V+0.003 VElectrode 228+0.22 V−0.52 V−0.003 VElectrode 229−0.22 V−0.52 V−0.003 VElectrode 230−0.52 V−0.22 V+0.003 VFor beam deflections smaller than ±1 μm, the voltages in the table would scale linearly. For combined X-Y deflections, the voltages in the middle two columns would be added linearly with appropriate scaling factors to account for the desired X- and Y-deflections as is familiar to those skilled in the art. The stigmator voltages tend to vary as the square of the off-axis deflection and would be added to the X-Y deflection voltages at each respective electrode 223-230. FIG. 3K is an axial cross-sectional view of the rays 333 inside the focus-1 electrode assembly 216. The initial laminar distribution of angles shown in FIGS. 3A-3B has been approximately preserved farther down the column along with an image of the openings in the PBDA 212. The focus-1 electrode assembly 216 is part of the main lens assembly comprising electrode assemblies 216, 217 and field-free tube 218. The main lens assembly is used to focus the beam 222 onto the wafer surface 221. The beam 222 is shown off-center (in the +X-direction) within the focus-1 electrode assembly 216 due to the combined beam deflection in the upper and lower mainfield deflectors 213 and 214. The focus-1 electrode assembly 216 comprises: (1) a support electrode 230 (see FIG. 2C) which has a large cylindrical ID, and (2) electrodes 231-238 forming a conventional electrostatic octupole with very short electrode lengths parallel to the optical (Z-) axis and a smaller ID than the support electrode 230. The dipole electrostatic excitation of the octupole 231-238 is varied in proportion to the excitation of the upper 213 and lower 214 mainfield deflectors to maintain the effective axis of the focus-1 electrode assembly 216 concentric with the beam 222. Typical electrode excitation voltages for a +25 μm X-direction deflection would be (5000 V common mode voltage is shown): ElectrodeElectrodeElectrodeFocus-1voltagesvoltagesvoltageselectrodewith +25 μmwith nowith −25 μmassembly 216X-deflectiondeflectionX-deflectionElectrode 2305000 V5000 V5000 VElectrode 2315000 + 2.22 V5000 V5000 − 2.22 VElectrode 2325000 + 0.92 V5000 V5000 − 0.92 VElectrode 2335000 − 0.92 V5000 V5000 + 0.92 VElectrode 2345000 − 2.22 V5000 V5000 + 2.22 VElectrode 2355000 − 2.22 V5000 V5000 + 2.22 VElectrode 2365000 − 0.92 V5000 V5000 + 0.92 VElectrode 2375000 + 0.92 V5000 V5000 − 0.92 VElectrode 2385000 + 2.22 V5000 V5000 − 2.22 VThe particular values shown in the table above were determined theoretically in a procedure described in FIG. 23. FIG. 3L is an axial cross-sectional view of the rays 334 inside the focus-2 electrode assembly 217 (the scale is larger than in FIG. 3K). The initial laminar distribution of angles shown in FIGS. 3A-3B has been preserved farther down the column along with an image of the openings in the PBDA 212. The beam 222 is shown off-center (in the +X-direction) within the focus-2 electrode assembly 217 due to the combined beam deflection in the upper and lower mainfield deflectors 213 and 214. With proper setting of the dipole excitation voltages on electrodes 241-248 in the focus-2 electrode assembly 217, the X-Y beam position leaving the focus-2 electrode assembly 217 will be the same as the X-Y beam position entering the focus-1 electrode assembly 216. The focus-2 electrode assembly 217 comprises: (1) a support electrode 240 (see FIG. 2C) which has a large cylindrical ID, and (2) electrodes 241-248 forming a conventional electrostatic octupole with very short electrode lengths parallel to the optical (Z-) axis and a smaller ID than the support electrode 240. The dipole electrostatic excitation of the octupole 241-248 is varied in proportion to the excitation of the upper and lower mainfield deflectors 213 and 214 to maintain the effective axis of the focus-2 electrode assembly 217 concentric with the beam 222. The common mode voltage on electrodes 240-248 is determined by the focusing requirement on-axis for the particular square beam size desired. Typical focusing voltages are as follows: Focus-2Source LensElectrodesBeam 222Square BeamElectrodes240-248Half-AngleSize203 and 204Common Modeat Tip 201 30 nm638.24906.50.4° 40 nm482.05007.40.8°~80 nm445.35122.01.2°120 nm432.05217.21.5° Typical electrode excitation voltages for a ±25 μm X-direction deflection would be (5007.4 V common mode voltages shown for a 40 nm beam): ElectrodeElectrodeElectrodeFocus-2voltagesvoltagesvoltageselectrodewith +25 μmwith nowith −25 μmassembly 217X-deflectiondeflectionX-deflectionElectrode 2405007.4 V5007.4 V5007.4 VElectrode 2415007.4 + 100.00 V5007.4 V5007.4 − 100.00 VElectrode 2425007.4 + 41.42 V5007.4 V5007.4 − 41.42 VElectrode 2435007.4 − 41.42 V5007.4 V5007.4 + 41.42 VElectrode 2445007.4 − 100.00 V5007.4 V5007.4 + 100.00 VElectrode 2455007.4 − 100.00 V5007.4 V5007.4 + 100.00 VElectrode 2465007.4 − 41.42 V5007.4 V5007.4 + 41.42 VElectrode 2475007.4 + 41.42 V5007.4 V5007.4 − 41.42 VElectrode 2485007.4 + 120.00 V5007.4 V5007.4 − 120.00 VThe particular values shown in the table above were determined theoretically in a procedure described below in FIG. 23. Each of the ray intercepts 334 in beam 222 is evenly separated on a grid approximately corresponding to the X-axis 319 and Y-axis 320, with roughly the same relative positions to each other that the corresponding ray intercepts 302 in FIG. 3A maintained. FIG. 3M is an axial cross-sectional view of the rays 335 inside the field-free tube 218. The initial laminar distribution of angles shown in FIGS. 3A-3B has been approximately preserved along with an image of the openings in the PBDA 212. The beam 222 is shown off-center (in the +X-direction) within the field-free tube 218 due to the combined beam deflection in the upper and lower mainfield deflectors 213 and 214. With proper setting of the voltages on electrodes 230-238 in the focus-1 electrode assembly 216 and on electrodes 240-248 in the focus-2 electrode assembly 217, the X-Y beam position entering the field-free tube 218 will be roughly the same as the X-Y beam position entering the focus-1 electrode assembly 216. At the entrance to the field-free tube 218, there is a diverging accelerating lens arising from penetration of the electric field between the focus-2 assembly 217 and the field-free tube 218—this diverging lens increases the beam deflection at the wafer by typically 25% over that due to the main deflectors 213 and 214. Note that now the beam 222 is much smaller in diameter than at the entrance to the focus-1 electrode assembly 216 due to the focusing effect of the main lens. The use of a “moving lens” as described above has two important advantages: 1) since the beam is always on the symmetry axis of the lens fields, all off-axis aberrations, both geometrical (coma, astigmatism, curvature of field and distortion) and chromatic (variation in magnification) are essentially eliminated 2) the beam striking the wafer surface will always be scanned telecentrically, i.e., perpendicular to the wafer surface, thereby improving the depth-of-focus.Considerations on the Location of the Beam-Defining Aperture The location of the patterned beam-defining aperture 212 in the present invention is a key consideration in determining the effectiveness of the beam patterning process at the substrate surface 221. The following locations down the column might be considered: 1. Near the Source Tip 201 FIG. 3A is an illustration of the profile of beam 222 near the source tip 201. The evenly-spaced distribution of ray intercepts 302 within beam 222 can be seen clearly. At location 301, any effects due to spherical aberration or chromatic aberration are minimal since the beam 222 is so near the tip it has had almost no time to diverge from the ideal evenly-spaced angular distribution. Spherical aberration will tend to make the outer rays bend back towards the optical (symmetry) axis, which would show up as the outer rays being more closely spaced than the inner rays. Chromatic aberration would tend to radially separate the lower energy electrons from the higher energy electrons. A disadvantage of positioning the beam-defining aperture 212 near the source tip 201 is that electrons striking the aperture can backscatter and strike the source tip 201, generating heating and outgassing there. 2. At the Top of the Accelerating Column 209 FIG. 3B shows the beam profile at the top of the accelerating column 209. At this location (position 303), the ray intercepts 304 within beam 222 are still evenly separated, with spherical and chromatic aberration due to the source lens (comprised of electrodes 202, 203, 204 and 205) still minimal. 3. Just Above the Main Deflectors FIG. 2B shows a third possible location for the patterned beam-defining aperture 212—this is the location chosen for the embodiment described herein. A key advantage of placing the beam-defining aperture 212 at location 3 relates to the optical alignment of the column. By placing the beam trimming aperture 276 at the bottom of the accelerating column 209, it can be used to help set up the excitations of the alignment deflectors 207 and 208 in order to steer the beam properly through the patterned beam-defining aperture 212 and then into the lower parts of the column, including the mainfield deflectors 213 and 214, subfield deflector/stigmator 215, and the main lens. Proper alignment of the beam through the main lens is key to obtaining the proper patterned beam at the substrate surface 221. Note: the electron beam energy at the point in the column where the beam defining aperture is placed will be a factor in determining the amount of heating of the aperture that may occur. Aperture heating should be considered when determining the location for the beam-defining aperture.Further Considerations Regarding Optimization of the Placement of the Beam-Defining Aperture An optimization process may be used in which the process shown in FIG. 1 is repeated for different positions of the beam-defining aperture in the column. This will generate beam profiles and current density distributions at the substrate and beam-defining aperture designs for each position. The best position may then be determined by also considering: a) the beam profile at the substrate. b) the beam current density at the substrate. c) the manufacturability of the beam-defining aperture. FIG. 4A shows a calculated circular beam profile at the surface of substrate 221 centered on the optical axis, typical of those generated in block 108 of FIG. 1, prior to insertion of a patterned beam-defining aperture 212 into the column from FIG. 2A. The beam 222 cross-section is graphed relative to the two axes, X 401 and Y 402. The desired patterned beam shape 403, shown as a square here, but which can have any practicable shape, is then superimposed on the beam cross-section. Rays 404 fall outside the desired shape 403, while rays 405 fall inside the desired shape 403. For each of the rays 404 and 405, the X-Y coordinates at the beam-defining aperture 212 are recorded, along with the corresponding X-Y coordinates at the wafer surface 221 shown here. Note that the calculations in block 108 of FIG. 1 have adjusted the diameter of the circular beam to just enclose the desired beam pattern 403 with very few rays lost at the corners of the (square) pattern 403 shown. This ensures the highest efficiency in the use of the emission current from the source tip 201, thus maximizing the current density in the beam 222 at the substrate 221. In the example shown, the desired beam pattern 403 is a 40 nm square and the circular beam diameter has been adjusted to be a little larger than √2×40 nm≈56 nm, corresponding to the distance between the diagonal corners of the square beam pattern 403. FIG. 4B shows a calculated circular beam profile at the surface of substrate 221 centered +12.5 μm off the optical (Z-) axis, typical of those generated in block 108 of FIG. 1, prior to insertion of a patterned beam-defining aperture 212 into the column from FIG. 2A. The beam 222 cross-section is graphed relative to the two axes, X 406 and Y 402—note that the same Y-axis 402 is used as in FIG. 4A but due to the +12.5 μm X-deflection, a different X-axis 406 is defined with X=0 on X-axis 406 corresponding to X=+12.5 μm on X-axis 401. The desired patterned beam shape 403, shown as a square here is the same as in FIG. 4A. Rays 407 fall outside the desired shape 403, while rays 408 fall inside the desired shape 403. For each of the rays 407 and 408, the X-Y coordinates at the beam-defining aperture 212 are recorded, along with the corresponding X-Y coordinates at the wafer surface 221 shown here. Note that the design of the optical column must ensure that the beam remains circular with approximately the same diameter, even when deflected +12.5 μm off-axis as shown. This is important for achieving the same beam size and current density when deflected off-axis as when the beam is near the optical axis. It is also important to note that the set of rays 408 falling inside the desired pattern 403 shown here do not necessarily correspond to the set of rays 405 falling inside the desired pattern 403 in FIG. 4A. This is due to off-axis geometrical aberrations in the optical column. FIG. 4C shows a calculated circular beam profile at the surface of substrate 221 centered +25 μm off the optical (Z-) axis, typical of those generated in block 108 of FIG. 1, prior to insertion of a patterned beam-defining aperture 212 into the column from FIG. 2A. The beam 222 cross-section is graphed relative to the two axes, X 409 and Y 402—note that the same Y-axis 402 is used as in FIGS. 4A and 4B, but due to the +25 μm X-deflection, a different X-axis 409 is defined with X=0 on X-axis 409 corresponding to X=+25 μm on X-axis 401. The desired patterned beam shape 403, shown as a square here, is the same as in FIGS. 4A and 4B. Rays 410 fall outside the desired shape 403, while rays 411 fall inside the desired shape 403. For each of the rays 410 and 411, the X-Y coordinates at the beam-defining aperture 212 are recorded, along with the corresponding X-Y coordinates at the wafer surface 221 shown here. Note that the design of the optical column must ensure that the beam remains circular with approximately the same diameter, even when deflected +25 μm off-axis as shown. This is important for achieving the same beam size and current density when deflecting the maximum distance off-axis as when the beam is near the optical (Z-) axis. It is also important to note that the set of rays 411 falling inside the desired pattern 403 shown in FIG. 4A do not necessarily correspond to the set of rays 405 falling inside the desired pattern 403 in FIG. 4A or to the set of rays 408 falling inside the desired pattern 403 in FIG. 4B. This is due to off-axis geometrical aberrations in the optical column. FIG. 5 shows a calculated square beam profile at the surface of substrate 221 centered on the optical axis, typical of those generated in blocks 108-110 after insertion of a patterned beam-defining aperture 212 into the column from FIG. 2A. The beam 222 cross-section is graphed relative to the two axes, X 401 and Y 402, the same as in FIG. 4A. All the rays 505 fall within the desired patterned beam shape 403 shown in FIG. 4A. Note that the calculations in block 110 have determined the intersection of the five sets of rays: 1) the set of rays 405 in FIG. 4A (corresponding to no deflection) 2) the set of rays 408 in FIG. 4B (corresponding to a +12.5 μm X-deflection) 3) the set of rays 411 in FIG. 4C (corresponding to a +25 μm X-deflection) 4) the set of rays 408 in FIG. 4B mirror-imaged around the Y-axis (X-coordinates inverted) at the wafer surface 221 (this generates data corresponding to a −12.5 μm X-deflection—note that this also mirror-images the rays at the beam-defining aperture 212) 5) the set of rays 411 in FIG. 4C mirror-imaged around the Y-axis (X-coordinates inverted) at the wafer surface 221 (this generates data corresponding to a −25 μm X-deflection).The intersection of these five sets is typically 5-10% smaller than any of the five individual sets. This is due to off-axis geometrical aberrations distorting the original circular beam profiles. The goal of the optical design process in block 108 of FIG. 1 is to minimize off-axis geometrical aberrations and thus maximize the size of the intersection of the above five sets of rays. The set of rays 505 shown in FIG. 5 is a subset of the set of rays 405 in FIG. 4A as a result of this effect. In this particular example, the set of rays 405 has 237 members while the set of rays 505 has 223 members, for a reduction of 5.9%. FIG. 6A is a graph of the idealized set of rays 605, 606 and 607 at the patterned beam-defining aperture 212 which should be transmitted to the wafer, corresponding to rays 505 in FIG. 5. This is the result of the ideal aperture design developed in block 112 of FIG. 1. The center set of rays 605 within the area having X-Y coordinates satisfying −40 μm<X<+40 μm and −40 μm<Y<+40 μm corresponds to those rays which undergo minimal spherical aberrations since they correspond to small angles relative to the optical axis at the source tip 201. The outer sets of rays 606 for which X<−40 μm, X>+40 μm, Y<−40 μm and/or Y>+40 μm correspond to rays undergoing larger spherical aberration which causes them to “fold” over into the desired beam profile 403 even though in first-order optics they would fall outside the desired beam profile 403. Note that parallel to the Y-axis 602 there are two holes 603 in the distribution of rays. Parallel to the X-axis 601 there are another two holes 604 in the ray distributions. These four holes 603 and 604 correspond to rays (such as 404, 407, or 410) which would fall outside the desired beam profile 403. Along the diagonals to the Y-axis 602 and X-axis 601, all rays are transmitted—this is a result of the careful matching of the original (circular) beam profile to the desired size of the beam shape 403 along its diagonal dimension. Holes 603 and 604 correspond to areas of the patterned beam-defining aperture 212 which are opaque to the beam 222, i.e., solid patterns. As can be seen in FIG. 6A, however, these solid patterns are separated from the outside of the beam-defining aperture 212 and are thus not physically realizable. Rays 607 correspond to those rays which must be sacrificed in order to achieve a practical aperture design as shown in FIG. 8A. FIG. 6B is a graph of the idealized set of rays 610 and 611 which should be blocked by the beam-defining aperture 212 so that they will not reach the wafer surface 221. This set of rays is complementary to the set shown in FIG. 6A and is the result of the ideal aperture design developed in block 112 of FIG. 1. The set of rays 610 corresponds to those rays which must be blocked to generate the desired square beam profile 403—these rays 610 correspond to holes 603 and 604 in FIG. 6A. Along the diagonals to the X- and Y-axes, no rays are blocked—this is a result of the careful matching of the original (circular) beam profile to the desired size of the beam shape 403 along its diagonal dimension. Rays 611 around the perimeter result from the fact that the initial (circular) beam profile was slightly larger that the diagonal dimension of the desired beam shape 403—this is desirable since otherwise clipping of the corners of the actual beam profile might occur. FIG. 7A is a graph of the actual set of rays which are transmitted to the wafer by the practical aperture design shown in FIG. 8A which was developed in block 114 of FIG. 1. The only change to the transmitted rays between FIG. 6A and FIG. 7A is at locations 701, where now there are no transmitted rays. This corresponds to the loss of the six rays 607 in FIG. 6A. FIG. 7B is a graph of the actual set of rays which are blocked by the aperture design shown in FIG. 8A. This set of rays is complementary to the set shown in FIG. 7A. The only change to the blocked rays between FIG. 6B and FIG. 7B is at locations 702, where now there are six additional blocked rays, corresponding to rays 701 missing from FIG. 7A. FIG. 8A shows the results of the final changes to the aperture design made in block 114 of FIG. 1 to obtain a practical patterned beam-defining aperture 212 design. Ray intercepts 605 and 606 correspond to FIGS. 6A and 7A, while struts 812 correspond to the added aperture material needed to support the four center structures 805 which block rays corresponding to ray intercepts 610. Various radii 803 are added to the aperture design for added strength and ease of fabrication—the size of these radii should be kept to the minimum required, however, to avoid blocking too much beam current. The design is not four-fold symmetric because of the fact that the mainfield deflection is always parallel to the X-axis 601, with only a small (±1 μm) deflection parallel to the Y-axis 602. This non four-fold symmetry is principally apparent with the addition of two small protrusions 804 within the center square opening. Because the beam deflection around both the X-axis 601 and the Y-axis 602 is symmetric, the resulting patterned beam-defining aperture design is mirror-symmetric around both the X-axis 601 and Y-axis 602. The patterned beam-defining aperture (PBDA) 212 is a conductive structure, held at a fixed potential. It may be manufactured by machining, laser ablating, micro-machining, etc. a metal foil, a conductive membrane, a conductively coated membrane, or an equivalent thin material. For applications utilizing the PBDA 212 in a column at a position where high energy electrons are incident on it, there is the option to utilize a patterned thick film supported by a continuous membrane, the continuous membrane being ‘electron transparent’. This design allows PBDAs to be fabricated without the need for mechanical support struts 812 and radii 803. Another important consideration is the effects of the energy spread of the electron beam 222, which, for example with Schottky emitters, may exceed 1.0 eV FWHM. The effect of the chromatic aberration in the upper column, i.e., all optical elements above the beam-defining aperture 212, is to blur the beam 222 at the beam-defining aperture 212. In optical designs without an intermediate crossover above the PBDA 212, this blurring is generally manifested as higher energy electrons being farther from the optical axis and lower energy electrons being nearer to the optical axis. If the chromatic beam blurring is too large, the rays intersecting the patterned beam-defining aperture will not be properly apertured. FIG. 8A shows the ray intersections with the plane of the beam-defining aperture 212 corresponding to electrons at the nominal energy, in this example, 5000 eV. FIG. 8B illustrates part of the mapping of rays passing through the PBDA 212 to the ray intersections at the wafer surface 221. The square beam 840 at the wafer surface 221 is shown for rays passing through the center (roughly square) opening 860 of PBDA 212. Ray 841 passes through the upper right corner of opening 860, landing on the wafer surface 221 at the upper right corner of square beam 840. Similarly, ray 845 passes through the lower left corner of opening 860, landing on the wafer surface 221 at the lower left corner of square beam 840. The mapping of rays passing through the center opening in PBDA 212 to the square beam 840 follows the same pattern for rays 842-844—this is essentially identical to the way a patterned beam is formed in prior art shaped beam systems, giving a relatively low current density at the wafer surface 221. The mapping of rays between the PBDA and the wafer shown in FIG. 8B is essentially an image of the center square opening 860 onto the wafer surface 221. In prior art shaped beam systems, the beam shape at the wafer surface 221 is an image of one or more apertures typically demagnified onto the wafer surface 221 with a 1:1 mapping of (X, Y) coordinates at the beam-shaping aperture(s) onto the (X, Y) coordinates at the wafer surface 221. A 1:1 mapping means that for every (X, Y) coordinate at the plane of the PBDA 212, there is exactly one (X, Y) coordinate at the plane of the wafer 221. In order to achieve a 1:1 mapping, it is necessary that all aberrations in the optical system be minimized so that imaging is dominated by the first-order optics of the system. This limitation affects the total amount of current which can be focused into the beam at the wafer surface 221 since the aberrations must be minimized by restricting the range of beam angles at the source tip 201 which are transmitted to the wafer surface 221. However, to form a shaped beam at the wafer surface it is not necessary to employ such a 1:1 mapping. It is possible to employ an N:1 mapping (where N is an integer, N≧2; in the embodiment of the invention herein, N=3) from the PBDA plane to the wafer plane. In this case, with N=3, there are exactly three different (X, Y) coordinates in the plane of the PBDA 212 which map to essentially every (X, Y) coordinate in the plane of the wafer surface 221. The only exception to this N:1 mapping is at (0, 0)=the center of the beam, but this has no effect on the beam shape since it is away from the beam edge. Since the illumination of the PBDA 212 is uniform, with a 3:1 mapping, a larger current can be focused into the shaped beam at the wafer surface 221 than is possible with a 1:1 mapping. The reason that the mapping in the present invention is 3:1 (as opposed to 2:1, 4:1, . . . ) is that spherical aberration is the dominant aberration on-axis. To preserve the square beam shape off-axis, it is further necessary that the off-axis aberrations be minimized, since otherwise the 3:1 mapping will be degraded, resulting in loss of the desired patterned beam shape. In the present invention, a “moving lens” (see FIGS. 3K, 3L) is used to maintain the effective axis of the main lens concentric with the beam for all off-axis beam deflections (see FIG. 23). Since the beam is always on the effective axis of the main lens, all off-axis aberrations (geometrical and chromatic) are nearly eliminated, preserving the dominance of spherical aberration (which is unchanged off-axis). An N:1 mapping is possible because the individual rays (each ray representing the trajectory of a single electron) can overlap with almost no interaction between them. Any residual interactions are called “space-charge beam spreading”. For beam currents in the nA range, these effects are minimal at the beam energies (50 keV) employed in the present invention. To increase the current density in the shaped beam 840, a set of rays in addition to rays 841-845 are shown in FIG. 8C, passing through the outer openings 861 and 862 in PBDA 212. Ray 846 passes through opening 861 just outside of the center square opening 860 and lands on the wafer surface 221 at the lower left corner of shaped beam 840. Ray 851 passes through opening 861 roughly halfway between the inner and outer edges of opening 861 and lands on the wafer at the center of shaped beam 840. Ray 849, passing near the outer edge of opening 861 lands at the upper right corner of shaped beam 840. The mappings of rays 847, 850, and 848, passing through opening 862 are similar, as shown. Note that, unlike the case for opening 860, rays passing through openings 861 and 862 are “folded over”, with rays passing through openings 861 and 862 farthest from the center of PBDA 212 landing the wafer surface 221 at the opposite corners of shaped beam 840. Since the current density illuminating PBDA 212 is uniform, the total beam current reaching shaped beam 840 is proportional to the area of openings 860-862. Because the focusing of rays 841-845 is similar to that used to generate prior art shaped beams, the area of opening 860, compared with the total area of openings 860-862 determines the increase in beam current density at the wafer surface 221 obtained through use of the present invention. In the example of FIGS. 8B-8C, the total area of openings 861 and 862 is about 6-7 times the area of opening 860, thus the increase in current density is about 7-8 times that which would be possible using the center opening 860 only. FIG. 9 shows a diagram of the various beam positions A-D at the wafer surface used for calculation of beam profiles. Using only the subfield deflector/stigmator 215 (see FIG. 2A), the maximum deflection is ±1 μm in the X-direction 910 and ±1 μm in the Y-direction 911, moving the beam 222 from the center position A 901 to position B 902. Using only the mainfield deflectors 213 and 214, the maximum deflection shown is ±25 μm in the X-direction 910, moving the beam 222 to position C 903. Using both the mainfield deflectors 213 and 214 and the subfield deflector/stigmator 215 moves the beam to position D 904. A typical 2 μm-square subfield 912 is shown, defining the 2 μm 914 width of the scan stripe. While the mainfield deflectors 213 and 214 are moving the beam generally along the X-axis 910, the wafer stage is moving in direction 915 parallel to the Y-axis 911 in a serpentine pattern illustrated in FIG. 27B. FIG. 10A shows a calculated exposure dose at position A in FIG. 9 due to a single flash of the square electron beam. Coordinate axes on the substrate surface 221 are X 1001 and Y 1002. Area 1004 corresponds to beam current densities ≧3000 A/cm2. In this illustrative example, if the resist sensitivity is assumed to be 5 μC/cm2, and the dwell time to be 1.67 ns, then:Dose into substrate=(current density)(dwell time)=(3000 A/cm2)(1.67 ns)=5 μC/cm2=resist sensitivity.Thus the resist will be fully exposed within area 1004. In region 1003, the exposure dose is <5 μC/cm2 and the resist is therefore not fully exposed. FIG. 10A shows that area 1004 is roughly a 40 nm square. The combined effects of the virtual source size, chromatic aberration (to all orders), and spherical aberration (to all orders) are fully taken into account in this calculation. The beam profile plot in FIG. 13 corresponds to the current between the two lines 1005 and 1006, i.e., the current density across the side of the square beam shape. FIG. 10B shows a calculated exposure dose at position B in FIG. 9 due to a single flash of the square electron beam. Coordinate axes on the substrate surface 221 are X 1011 and Y 1012. Area 1014 corresponds to beam current densities ≧3000 A/cm2, as in FIG. 10A. With a 1.67 ns dwell time and 5 μC/cm2 resist sensitivity, the resist will be fully exposed within area 1014. In region 1013, the exposure dose is <5 μC/cm2 and the resist is therefore not fully exposed. FIG. 10B shows that area 1014 is again roughly a 40 nm square, very similar to area 1004. The similarity between areas 1004 and 1014 shows that the full +1 μm X and Y subfield deflections have a minimal effect on the beam shape. The combined effects of the virtual source size, chromatic aberration (to all orders), spherical aberration (to all orders) and off-axis aberrations (both geometric and chromatic to all orders) are fully taken into account in this calculation. FIG. 10C shows a calculated exposure dose at position C in FIG. 9 due to a single flash of the square electron beam. Coordinate axes on the substrate surface are X 1021 and Y 1022. Area 1024 corresponds to beam current densities ≧3000 A/cm2, as in FIGS. 10A and 10B. With a 1.67 ns dwell time and 5 μC/cm2 resist sensitivity, the resist will be fully exposed within area 1024. In region 1023, the exposure dose is <5 μC/cm2 and the resist is therefore not fully exposed. FIG. 10C shows that area 1024 is again roughly a 40 nm square, very similar to areas 1004 and 1014. Comparison of areas 1004 and 1024 shows that the full +25 μm mainfield deflection has a minimal effect on the beam shape. The combined effects of the virtual source size, chromatic aberration (to all orders), spherical aberration (to all orders) and off-axis aberrations (both geometric and chromatic to all orders) are fully taken into account in this calculation. FIG. 10D shows a calculated exposure dose at position D in FIG. 9 due to a single flash of the square electron beam. Coordinate axes on the substrate surface are X 1031 and Y 1032. Area 1034 corresponds to beam current densities ≧3000 A/cm2, as in FIGS. 10A-10C. With a 1.67 ns dwell time and 5 μC/cm2 resist sensitivity, the resist will be fully exposed within area 1034. In region 1033, the exposure dose is <5 μC/cm2 and the resist is therefore not fully exposed. FIG. 10D shows that area 1034 is again roughly a 40 nm square, very similar to areas 1004, 1014 and 1024. Comparison of areas 1004 and 1034 shows that the full +25 μm mainfield deflection combined with the full +1 μm X and Y subfield deflections has a minimal effect on the beam shape. The combined effects of the virtual source size, chromatic aberration (to all orders), spherical aberration (to all orders) and off-axis aberrations (both geometric and chromatic to all orders) are fully taken into account in this calculation. FIG. 11 shows a calculated exposure dose at position A in FIG. 9 due to three flashes of the square electron beam (as in FIG. 10A) abutting in an “L” pattern with beam center-to-center spacings of 40 nm. Coordinate axes on the substrate surface are X 1101 and Y 1102. Area 1104 corresponds to beam current densities ≧3000 A/cm2. With a 1.67 ns dwell time and 5 μC/cm2 resist sensitivity, the resist will be fully exposed within area 1104. In region 1103, the exposure dose is <5 μC/cm2 and the resist is therefore not fully exposed. Exposed area 1104 is roughly an “L” shape with some radiusing 1105 at the bend of the “L”. The width across the arms of the “L” is roughly 40 nm, corresponding to the 40 nm square area 1004. FIG. 11 demonstrates that complex patterns may be exposed by abutting the square beams on the substrate surface 221. The combined effects of the virtual source size, chromatic aberration (to all orders), and spherical aberration (to all orders) are fully taken into account in this calculation. FIG. 12 shows a calculated exposure dose at position A in FIG. 9 due to two overlapping flashes of the square electron beam and a separate single flash (all flashes as in FIG. 10A). Coordinate axes on the substrate surface are X 1201 and Y 1202. Areas 1204 and 1205 correspond to beam current densities ≧3000 A/cm2. With a 1.67 ns dwell time and 5 μC/cm2 resist sensitivity, the resist will be fully exposed within areas 1204 and 1205. In region 1203, the exposure dose is <5 μC/cm2 and the resist is therefore not fully exposed. Exposed region 1204 is the same as exposed region 1004. Region 1205 corresponds to two flashes of the 40 nm square beams from FIG. 10A spaced center-to-center only 30 nm, giving a 10 nm overlap. This overlap causes the central region of area 1205 to be overexposed, resulting in a 2-3 nm bulge 1206 in the pattern. FIG. 12 demonstrates that patterns not corresponding to integral multiples of the size of the square beam (40 nm in this case) may be exposed on the substrate surface 221 by overlapping the square beams. The combined effects of the virtual source size, chromatic aberration (to all orders), and spherical aberration (to all orders) are fully taken into account in this calculation. FIG. 13 shows a graph of calculated beam current density 1302 at position A in FIG. 9 across a single square beam (as in FIG. 10A) and across a single Gaussian beam along the X-axis 1301. The height of the Gaussian beam 1304 has been adjusted to give ˜40 nm FWHM at the beam current density 3000 A/cm2 (dose=5 μC/cm2, assuming 1.67 ns dwell time) as indicated by intersections 1305 with the square beam current distribution curve 1303 which is calculated by averaging the current density along the Y-axis between the lines 1005 and 1006 in FIG. 10A. The square beam current density drops from 3000 A/cm2 (at intersections 1305, ±20 nm from the beam center), to <1000 A/cm2 only 5 nm farther out (at ±25 nm) from the beam center. FIG. 14 shows a graph of the calculated beam current density 1402 at position A in FIG. 9 across three square beams, abutted with 40 nm center-to-center spacings along the X-axis 1401, compared with the current density resulting from three abutted Gaussian beams (all with 40 nm FWHM at 3000 A/cm2 and 40 nm center-to-center spacings). The Gaussian beams are adjusted as in FIG. 13 to give intersections 1405 at the beam current density 3000 A/cm2 (dose=5 μC/cm2, assuming 1.67 ns dwell time). The tails of the three square beam curve 1403 drop off much faster away from the beam edges at −20 nm and +100 nm (=120 nm width=3×40 nm, where 40 nm=width of each square beam) than do the tails of the three Gaussian beam curve 1404. FIG. 14 shows that the steep drop-offs in current density at the edges of the square beams enable these beams to be abutted to produce larger-size features with essentially the same maximum current density as is found along the edges of single beams. This explains why it is possible to combine beam flashes to create larger patterns (such as pattern 1104 in FIG. 11), with minimal bulging due to the current tails of the individual beam flashes. FIG. 15 shows a graph of the calculated beam current density 1502 at position A in FIG. 9 across three square beams, abutted with 40 nm center-to-center spacings along the X-axis 1501, showing both the individual square beam profiles 1503, 1504 and 1505 and the combined three-beam profile 1403 from FIG. 14. The relatively flat top of curve 1403 (ranging from 6045 A/cm2 to 6667 A/cm2) shows how the steep sides of each square beam profile 1503, 1504 and 1505 add (when offset by 40 nm center-to-center spacings) to give a net current density fluctuation less than ±5%. FIG. 16 shows a graph of calculated beam current density 1602 across three combined Gaussian beams 1404 (from FIG. 14) and three separate Gaussian beams 1603, 1604 and 1605, with the beams spaced 40 nm center-to-center along the X-axis 1601 and with each separate Gaussian beam having a 40 nm FWHM at the exposure dose 3000 A/cm2 (assuming 1.67 ns dwell time and 5 μC/cm2 resist sensitivity). The long tails outside of the desired edges of the exposure area from −20 nm to +100 nm can be seen clearly. These long tails reduce the process latitude required in order to preserve the desired pattern critical dimension (CD). Comparison of curve 1403 in FIG. 15, with curve 1404 in FIG. 16 shows that the combinations of three abutted square beams in FIGS. 15A-D generally demonstrate a more uniform current density across the exposed regions and also much sharper edges (faster drop-offs in current density) leading to greater process latitudes. FIG. 17A shows a diagram of a possible beam-scanning method for use in setting up the optics to generate an optimized square beam profile. The desired square beam profile at location 1701 is over the center surface 1723 of a special mask structure which may be included on the wafer stage of the system or on a special set-up wafer installed into the system. Surface 1713 is configured to give a larger imaging signal when illuminated by the beam 222 compared with the imaging signal arising from the center surface 1723. This imaging contrast could be accomplished by connecting surface 1713 to an imaging system, and not connecting surface 1723 to the imaging system. While the beam 222 is at location 1701, the beam current flows into surface 1723 which is not connected to the imaging system. As the beam 222 is scanned in direction 1702 across edge 1710, an increasing portion of the beam current strikes the surface 1713 which is connected to the imaging system. To avoid charging effects, current flowing into both surfaces 1713 and 1723 must eventually flow to ground. At location 1703, half the beam current would be collected since the beam is half over the collector area 1713. Note that as the beam 222 is scanned in direction 1702, the square edges of the beam profile are parallel to the edge 1710, thus the signal goes from 0% to 100% over a distance equal to the dimension D 1720 of the beam parallel to the scan direction 1702. As the beam 222 is scanned in direction 1704 across edge 1711, an increasing portion of the beam current strikes the surface 1713 and is therefore collected to give an imaging signal. At location 1705, half the beam current would be collected since the beam 222 is half over the collector area 1713. Note that as the beam 222 is scanned in direction 1704, the square edges of the beam profile are at 45° angles to the edge 1711, thus the signal goes from 0% to 100% over a distance equal to the diagonal dimension √2 D 1722 of the beam parallel to the scan direction 1704. As the beam 222 is scanned in direction 1706, the result will be similar to that described above for scan direction 1702, assuming the beam profile is square with dimension D 1721 parallel to the scan direction 1706. At location 1707, half the beam current would be collected since the beam is half over the edge 1712 of area 1713. An alternative method of generating image contrast would be to fabricate areas 1713 and 1723 from materials having differing secondary electron emission coefficients, thus enabling the use of the detector optics of FIG. 2A. Methods of imaging in electron beam systems are well known to those skilled in the art. FIG. 17B shows calculated line scans for scan directions 1702 and 1704 of FIG. 17A, illustrating a potential method for setting up an optimized square beam. The axis 1740 shown corresponds to position along any of the scan directions 1702, 1704 or 1706. The intensity axis 1741 is relative, from 0.0, corresponding to no imaging signal detected, up to 1.0, corresponding to the maximum imaging signal detected. Curve 1742 corresponds to either scan direction 1702 or 1706. The rise in intensity from 0.0 to 1.0 for curve 1742 is from −27.5 nm to +27.5 nm. The 45° curve 1743 corresponds to scan direction 1704. The rise from 0.0 to 1.0 for curve 1743 is from −42.5 nm to +42.5 nm, a much slower rise than for curve 1742. This difference in width of the intensity rise in the two curves 1742 and 1743 can be used to tune the optical column to generate the squarest beam profile possible. Center point 1745 corresponds to beams at locations 1703, 1705 or 1707. For comparison, an intensity curve 1744 has been plotted for a single Gaussian beam with a 40 nm FWHM. Far from the beam center at 0 nm, this curve overlaps curve 1743. Near the beam center at 0 nm, this curve has an even slower rise than either of curves 1742 or 1743. The key difference is that the Gaussian curve will have the same shape for any scan direction 1702, 1704 or 1706, distinguishing a Gaussian beam from a square beam when the detector surface 1713 is used for imaging. FIG. 18 shows a calculated exposure dose at position A of FIG. 9 due to a single flash of a 30 nm square electron beam, using the patterned beam-defining aperture 212 of FIG. 8A. Coordinate axes on the substrate surface 221 are X 1801 and Y 1802. When lithographically patterning a variety of shapes on a substrate, it is useful to be able to generate a range of shaped beam sizes without the need for mechanical exchange of the patterned beam-defining aperture 212. By adjustment of the electron optics in the upper part of the column (typically by changing the common voltage on the first source lens electrode 203 and the beam-limiting aperture 204), the diameter of the circular beam 222 illuminating the beam-trimming aperture (BTA) 276 can be adjusted to vary the shaped beam size at the wafer surface 221 (see FIG. 3D). In the example shown in FIG. 18, the upper column optics has been adjusted to generate a 30 nm square beam 1804 (instead of the 40 nm square beam shown in FIG. 10A). Because in this configuration the overall optics cannot be fully optimized to give the highest current density beam (which would require a different BTA 276 and PBDA 212), the exposure beam current density has been reduced to 2000 A/cm2, requiring a 2.50 ns dwell time for a 5 μC/cm2 resist sensitivity. Area 1804, corresponding to current densities ≧2000 A/cm2, is approximately a 30 nm square. In region 1803 the exposure dose is <5 μC/cm2 thus the resist will not be fully exposed. The combined effects of the virtual source size, chromatic aberration (to all orders), and spherical aberration (to all orders) are fully taken into account in this calculation. FIG. 18 demonstrates that the optical system of FIG. 2A, which was optimized for generation of a 40 nm square beam, can also be used to generate a 30 nm square beam. The performance shown in FIG. 18 is adequate to enable the patterning of features down to 30 nm resolution with dwell times only slightly longer than for the optimized 40 nm case illustrated in FIGS. 10A-15. FIG. 19 shows a calculated exposure dose at position A of FIG. 9 due to a single flash of an ˜80 nm square electron beam, using the patterned beam-defining aperture 212 of FIG. 8A. Coordinate axes on the substrate surface 221 are X 1901 and Y 1902. In this example, the electron optics in the upper part of the column have been adjusted in the opposite direction from that shown in FIG. 18 to give a square beam 1904 approximately twice as large (˜80 nm) as in FIG. 10A. Because in this configuration the overall optics cannot be fully optimized to give the highest current density beam (which would require a different BTA 276 and PBDA 212), the exposure beam current density has been reduced to 2500 A/cm2, requiring a 2.00 ns dwell time for a 5 μC/cm2 resist sensitivity. Area 1904, corresponding to current densities ≧2500 A/cm2, is ˜80 nm square. In region 1903 the exposure dose is <5 μC/cm2 thus the resist will not be fully exposed. The combined effects of the virtual source size, chromatic aberration (to all orders), and spherical aberration (to all orders) are fully taken into account in this calculation. FIG. 19 demonstrates that the optical system of FIG. 2A, which was optimized for generation of a 40 nm square beam, can also be used to generate an ˜80 nm square beam. The performance shown in FIG. 19 is adequate to enable the patterning of features at 80 nm resolution with dwell times only slightly longer than for the optimized 40 nm case shown in FIGS. 10A-15. FIG. 20 shows a calculated exposure dose at position A of FIG. 9 due to a single flash of a 120 nm square electron beam, using the patterned beam-defining aperture 212 of FIG. 8A. Coordinate axes on the substrate surface 221 are X 2001 and Y 2002. In this example, the electron optics in the upper part of the column have been adjusted farther in the same direction as that shown in FIG. 19 to give a beam 2004 three times as large (120 nm) as in FIG. 10A. Because in this configuration the overall optics cannot be fully optimized to give the highest current density beam (which would require a different BTA 276 and PBDA 212), the exposure beam current density has been reduced to 2000 A/cm2, requiring a 2.50 ns dwell time for a 5 μC/cm2 resist sensitivity. Area 2004, corresponding to current densities ≧2000 A/cm2, is a 120 nm square. In region 2003 the exposure dose is <5 μC/cm2 thus the resist will not be fully exposed. The combined effects of the virtual source size, chromatic aberration (to all orders), and spherical aberration (to all orders) are fully taken into account in this calculation. FIG. 20 demonstrates that the optical system of FIG. 2A, which was optimized for generation of a 40 nm square beam, can also be used to generate a 120 nm square beam. The performance shown in FIG. 20 is adequate to enable the patterning of features at 120 nm resolution with dwell times only slightly longer than for the optimized 40 nm case shown in FIGS. 10A-15. With a 120 nm square beam, it is possible to completely write a 2 μm square subfield with 256 flashes (on 125 nm center-to-center spacings)—this is necessary to fill in large areas to be written such as bonding pads. FIG. 21A is a graph of the source lens focusing voltage 2105 (left axis 2101) and main lens focusing voltage 2104 (right axis 2102) in the column of FIG. 2A against the square beam size 2103. The source lens voltage 2105 is applied to both the first lens electrode 203 and beam-limiting aperture 204. The main lens voltage 2104 is applied to focus-2 support electrode 240 and is also the common mode voltage for the octupole electrodes 241-248. FIG. 21A shows a number of values for the source lens voltage 2105 and the main lens voltage 2104 for various desired square beam sizes 2103, ranging from 30 nm to 120 nm. Curve 2105 is much lower than the energy of the incoming electrons from the source tip 201, which are typically at energies >2800 eV—this shows that the source lens is a decelerating electrostatic lens. Curve 2104 ranges from below to above the energy of the electrons entering the main lens which are at 5000 eV. The main focusing effect occurs between the focus-2 assembly 217, ranging from 4906.5 V to 5217.2 V and the field-free tube 218 which is at 49986 V for writing on the wafer 221 at 50000 eV. FIG. 21B is a graph of the half-angle 2114 (left axis 2111) at the source tip 201 and the beam current 2115 (right axis 2112) at the wafer surface 221 against the square beam size 2113. The half-angle 2114 at the source tip 201 has the following relationship to the beam current 2115 at the wafer surface 221: Is =source angular intensity over the emission solid angle used toilluminate the patterned beam-defining aperture 212 (typicallythis angular intensity ranges from 100 μA/sr to >500 μA/sr).We assume Is = 500 μA/sr in the table below. The angularintensity is generally fairly constant within a few degreeshalf-angle of the optical axis.α =the half-angle of the beam 222 at the source tip 201 (in degrees)Ibeam =the beam current at the wafer surface 221 =Is [π (α π/180°)2] Nominal SquareTipBeam CurrentBeam SizeHalf-Angleat WaferCurrent Density30 nm0.4° 49.2 nA2000 A/cm240 nm0.8°196.9 nA3000 A/cm280 nm1.2°443.1 nA2500 A/cm2120 nm 1.5°758.1 nA2000 A/cm2 The optics design in block 106 of FIG. 1 was optimized to generate a 40 nm square beam with the highest current density possible. This means that the optics are not optimized for the other beam sizes (30 nm, 80 nm, and 120 nm) in the table and shown in FIGS. 21A-21D. This is the reason for the drop-off in current density seen in the table for beams both smaller and larger than 40 nm (see curve 2125 in FIG. 21C). It is possible to optimize the optics design in block 106 of FIG. 1 for beams either larger or smaller than 40 nm—in particular, for tool extendibility to future device generations, the optimization could be done for 30 nm or even smaller. In this case, the performance for larger beams would likely be reduced, but probably not by a large amount. FIG. 21C is a graph of the flash time 2124 (left axis 2121) and the current density 2125 (right axis 2122) at the wafer surface 221 (assuming a 5 μC/cm2 resist sensitivity) against the square beam size 2123. The flash time and the current density have an inverse relationship:(current density)=(5 μC/cm2)/(flash time)Thus as the current density 2125 increases, the flash time 2124 decreases inversely. Again, since the optics design was optimized for a 40 nm beam, the performance at all other beam sizes, both smaller and larger than 40 nm, is not as good in terms of flash time 2124 (shortest flash times are best). The deterioration from 40 nm down to 30 nm is most striking, indicating that an optics design optimized for 30 nm might perform fairly well at 40 nm and above (although not as well as shown in FIG. 21C). As would be expected, the closer the beam size is to the optimal size, the better the performance in terms of flash time. FIG. 21D is a graph of the magnification 2134 (left axis 2131) of the virtual source at the wafer surface 221 against the square beam size 2133. The magnification 2134 determines how much rounding of the corners of the square beam occurs due to the image of the virtual source—the lower the magnification 2134, the sharper the corners. For a Schottky electron source, the virtual source radius is 10 nm, so a magnification of 0.17× corresponds to (0.17)(10 nm)=1.7 nm radius of the square beam corners at the wafer surface 221 due to the virtual source. Chromatic and geometric aberrations add additional rounding. FIG. 22 is a diagram of a beam-blanking strategy which can be used to vary the exposure dose on a subfield-by-subfield basis in order to implement proximity effect correction. For simplicity, in FIG. 22 the upper blanker is shown as two planar electrodes 2202 and 2203, one on each side of the beam 222. Similarly, the lower blanker is shown as two planar electrodes 2204 and 2205, one on each side of the beam 222. Electrons emitted from the source tip 201 are focused by the source lens 2201 to an approximately parallel beam 222 which illuminates the beam-defining aperture (BDA) 212, supported by BDA mount 211. View (a) shows an unblanked beam 222 passing through the beam-defining aperture 212 and into the lower part of the optical column, where it is focused onto the wafer surface 221 by the main lens assembly. In this case, the blanker plates 2202, 2203, 2204 and 2205 are at the same voltage (typically 5000 V) and thus do not induce transverse electric fields. With no transverse electric fields, no deflection of the beam 222 occurs at the blanker. View (b) shows the beam being blanked. The voltage on electrode 2202 has been changed by +1.7 V and the voltage on electrode 2203 has been changed by −1.7 V—this creates a transverse electric field 2240 which deflects beam 222 upward as it passes through the upper blanker. Similarly, the voltage on electrode 2204 is changed by −1.54 V and the voltage on electrode 2205 has been changed by +1.54 V, creating a transverse electric field 2241 in the opposite direction from that in the upper blanker, which deflects the beam downwards as it passes through the lower blanker. The net result of the two deflections is that the beam 222 reaches the plane of the patterned beam-defining aperture (PBDA) 212 off-axis and does not pass through the opening. With proper adjustment of the voltages on the upper and lower blankers, the virtual source position remains on-axis, giving conjugate blanking. View (c) is a timing diagram showing a possible method for controlling the exposure dose at the wafer surface 221 as part of a method for proximity effect correction. The center of beam 222 has three possible positions at the PBDA 212: +d, 0 (unblanked), and −d. The beam may dynamically have intermediate positions between −d and +d as it sweeps across the PBDA 212. Five intervals 2221-2225 are shown, each a period T long, for a total of 5 T as shown along the time axis 2245. Displacement at the PBDA 212 is plotted on the axis 2210. According to the requirements of proximity effect correction (PEC), it may be necessary to vary the dose for different subfields, as illustrated in intervals 2222, 2224 and 2225. (1) In the first interval 2221, the beam is blanked by being held at a distance +d 2231 off-axis as shown in view (b). (2) The second interval 2222 illustrates a high dose blanking signal for which the beam 222 is linearly ramped 2232 across the PBDA 212. Because ramp 2232 takes the full interval period T, it represents the maximum exposure dose possible, corresponding to writing in sparsely-patterned areas with essentially no proximity effect correction needed. (3) The third interval 2223, shows the alternative blanking position to interval 2221. In interval 2223, the beam is held at a distance −d 2233, corresponding to the mirror-image (around the optical axis) of view (b). (4) The fourth interval 2224 shows a very low dose blanking signal, where the beam 222 is quickly ramped 2234 across the beam-defining aperture 212 from −d to +d then kept at the +d 2235 position (blanked) during the remainder of the interval period T. This corresponds to writing in densely-patterned areas with a large proximity effect correction. (5) The last interval 2225 shows an intermediate situation between intervals 2222 and 2224, where the beam is ramped 2236 for most of the interval 2225 from +d to −d, and then held at −d 2237 for the remainder of the interval period T. This corresponds to writing in an area with a lower pattern density than in interval 2224, but higher than in interval 2222.A possible advantage of this blanking approach may be ease of implementation electronically, since it can be very difficult to generate ns blanking pulses with sub-ns precision in the pulse length. In this proposed approach, only the ramp rate need be controlled, with lower blanking bandwidth required since there are no short rise and fall requirements on the voltages applied to the blanker plates 2202, 2203, 2204 and 2205. An alternative blanking scheme would be to employ the more conventional approach in which the beam is rapidly deflected from a first blanked position (for example, +d) onto the optical axis (thereby unblanking the beam 222). After the beam has remained centered on the PBDA 212 for the required exposure time, the beam would then be rapidly deflected to its second blanked position (for example, −d). The disadvantage of this approach is the need for higher-bandwidth blankers, since now the slew rate represents a possible error in the pixel exposure time. The advantage of going from the first blanked position and ending at the second blanked position is that every point of the PBDA 212 has the same total beam dwell time, thereby equalizing the dose across the shaped beam. For the next pixel to be exposed, the first blanked position would be −d and the second blanked position would be +d. Successive pixels would be exposed using alternating blanking positions, with the beam toggling back-and-forth across the PBDA 212 as shown in FIG. 22. FIG. 23 is a cross-sectional close-up side view of the main lens illustrating the calculated set-up for the focus-1 and focus-2 octupole voltages. The beam 222 enters the main lens after exiting the subfield deflector/stigmator 215. The beam 222 at this point may have been already deflected off-axis by up to ±20 μm—to avoid off-axis geometrical aberrations (coma, astigmatism, curvature of field, distortion) and off-axis chromatic aberration (variation in magnification), it is necessary to move the electrostatic fields generated by the focus-1 assembly 216 and the focus-2 assembly 217 off-axis also by ±20 μm. In the prior art, various complex schemes are utilized to achieve a “moving objective lens” or a “variable axis lens”, employing the higher-order derivatives of the on-axis electrostatic and/or magnetic lens fields to control the application of dipole, quadrupole, hexapole, octupole and higher-order fields to the on-axis lens fields to offset the effective lens axis to match the beam deflection. If larger off-axis deflections of the shaped beam are required, it might be necessary to incorporate a more complex moving lens scheme utilizing some or all of these additional optical elements. In the present invention, a much simpler approach is proposed, wherein pure dipole fields are added to the focus-1 216 and focus-2 217 fields. The focus-1 assembly 216 comprises the support electrode 230 and the octupole electrodes 231-238 (in the cross-sectional view in FIG. 23, only electrodes 232 and 237 are visible). In the discussion of FIGS. 3K-3L, the various voltages employed to offset the electrostatic field to match the beam deflection are discussed. FIG. 23 shows the resulting electrostatic equipotential lines. Lines 2301 bulge into the area between the subfield deflector/stigmator 215 and focus-1 assembly 216, while lines 2310 bulge out into the area between the focus-1 assembly 216 and focus-2 assembly 217. The shape of lines 2301 and 2310 is determined by the voltages on the subfield deflector/stigmator 215, support electrode 230, and the eight focus-1 octupole electrodes 231-238. The voltages on the focus-2 assembly 217 have a minor effect on lines 2301 but an important effect on lines 2310. The inner diameter (ID) of the eight octupole electrodes 231-238 is smaller than the ID of the support electrode 230 so that electrodes 231-238 will have the dominant effect on the position and shape of equipotential lines 2301 and 2310. By adding a small (<3 V) electrostatic dipole component to the octupole electrodes 231-238, on top of their 5000 V common-mode voltage (see table in FIG. 3K description), it is possible to move the lines 2301 and 2310 off-axis by ±20 μm to match the beam deflection arising from the mainfield deflectors 213 and 214. The beam position radially off-axis is determined theoretically at location 2305 and the voltages on electrodes 231-238 are then adjusted to eliminate any deflection of the beam 222 when passing through the focus-1 assembly 216. Lack of beam deflection is taken as an indication that the lines 2301 have been properly offset, matching the beam 222 offset. The beam 222 enters the focus-2 assembly 217 after exiting the focus-1 assembly 216. The beam 222 at this point should be undeflected by the focus-1 assembly 216 (if the setup procedure above has been done properly), so beam 222 may be deflected off-axis by up to ±20 μm. The focus-2 assembly 217 comprises the support electrode 240 and eight focus-2 octupole electrodes 241-248 (in the cross-sectional view in FIG. 23, only electrodes 242 and 247 are visible). In FIG. 3L, the various voltages employed to offset the electrostatic field to match the beam deflection are discussed. FIG. 23 shows the resulting electrostatic equipotential lines. Lines 2303 and 2310 bulge into the area between the focus-1 assembly 216 and focus-2 assembly 217. The shape of lines 2303 and 2310 is determined by the voltages on the eight focus-1 octupole electrodes 231-238, support electrode 240, and the eight focus-2 octupole electrodes 241-248. The voltage on the field-free tube 218 has a minor effect on the spacing of lines 2303 and line 2302. The ID of the eight focus-2 octupole electrodes 241-248 is much smaller than the ID of support electrode 240 so that electrodes 241-248 will have the dominant effect on the position and shape of lines 2303 and 2310. By adding an electrostatic dipole component (˜100 V) to electrodes 241-238, on top of their ˜4900-5200 V common-mode voltage (see table in FIG. 3L description), it is possible to move the lines 2303 and 2310 off-axis to match the beam deflection arising from the mainfield deflectors 213 and 214. The beam position radially off-axis is determined theoretically at location 2306 and the voltages on electrodes 241-248 are then adjusted to eliminate almost all deflection of the beam 222 when passing through the focus-2 assembly 217. Lack of beam deflection is taken as an indication that the lines 2303 and 2310 have been properly offset, matching the beam 222 offset. The above procedure for setting the voltages on the focus-1 octupole 231-238 and the focus-2 octupole 241-248 have been theoretically determined. In practice, at least two iterations between the focus-1 216 setup and the focus-2 217 setup are usually required before the deflections at locations 2305 and 2306 are both eliminated. In the electron optical modeling, the dipole voltages on octupoles 231-238 and 241-248 vary linearly with the beam offset, and the sensitivity of the final result on the beam shape at the wafer 221 is not excessive. Because of this, the voltages obtained through the theoretical modeling (tables in FIGS. 3K and 3L descriptions), combined with the line-scan procedures described in FIGS. 17A-17B should be adequate to implement this proposed lens offsetting scheme. FIG. 24 is a diagrammatic illustration of one embodiment of the wafer stage and position sensors. In a lithography system, the substrate, shown as a 300 mm wafer 2401 here, is typically mounted on a precision stage 2402, capable of X-Y motion, and sometimes additional axes of motion such as yaw (rotation around the Z-axis, which is perpendicular to the wafer), Z-motion, and roll and pitch (rotation around two perpendicular axes X and Y in the plane of the wafer). Here we are concerned with just motion in the first three axes: X, Y and Yaw. Y-interferometer #1 2406 and Y-interferometer #2 2407 direct their respective laser beams 2416 and 2417 at stage mirror 2404. It is important that the wafer 2401 be tightly clamped to the stage 2402 and also that the mirror 2404 be extremely flat and rigidly mounted to the stage 2402, because any relative motion between the wafer 2401 and the mirror 2404 will adversely impact the positioning accuracy of the beam 222 in the Y-direction and around the Yaw axis at the wafer surface 221. The X-interferometer 2405 directs its laser beam 2415 at stage mirror 2403, which must be extremely flat and rigidly mounted to the stage 2402 to avoid beam positioning errors in the X-direction. The X- and Y-axes of stage 2402 travel are defined by the relative positioning of mirrors 2403 and 2404—if these mirrors are not perpendicular to each other, then the X and Y axes will not be, either. The following formulas are used to calculate the X, Y and Yaw positions of the wafer 2401 relative to the center 2422 of the stage 2402:Y=[(Y-interferometer #1 2406 data)+(Y-interferometer #2 2407 data)]/2*K1 X=(X-interferometer 2405 data)*K2 Yaw=[(Y-interferometer #1 2406 data)−(Y-interferometer #2 2407 data)]2*K3 Where K1, K2, and K3 are scale factors. Each column in the column array has a unique X-Y displacement vector, such as 2420 and 2421, from the stage center 2422. The position of each die on the wafer relative to a particular column is then calculated by combining the following data: 1) the (X, Y, Yaw) position of the stage from its (0, 0, 0) position 2) the (X, Y) displacement vector of the particular column from the stage (0, 0, 0) position 3) the (X, Y, Yaw) location of the wafer on the stage (measured by imaging several alignment marks on the wafer)This scheme for wafer position measurement is familiar to those skilled in the art, with the only additional considerations stemming from the use of multiple columns. An example of one wafer stage which is appropriate for use with the multiple beam column assembly is described in U.S. Pat. No. 6,355,994, incorporated herein by reference. An example of a control system incorporating a scheme for wafer position measurement is described in U.S. patent application Ser. No. 10/059,048, incorporated herein by reference. FIG. 25 is a schematic diagram of an embodiment of the optical column and its control electronics (compare with FIG. 2A). The source and lens control 2510 applies voltages to the electron source tip 201, source heater filament (not shown), suppressor electrode 2501, extraction electrode 202, first source lens electrode 203, beam-limiting aperture 204, and second source lens electrode 205. The alignment deflectors control 2512 applies voltages to the eight electrodes 260-267 in the upper alignment deflector/stigmator 207 and to the eight electrodes 268-275 in the lower alignment deflector 208. The accel column control 2513 supplies voltages to all of the electrodes in the accelerating assembly 209 and to the optics mounting plate 210. The beam blanker driver 2511 applies voltages to the electrodes 280-282 in the upper blanker 277 and to the electrodes 283-285 in the lower blanker 278. The mainfield deflectors control 2514 applies four voltages to the electrodes 4001, 4009, 4012, and 4020 in the upper mainfield deflector 213 and the same four voltages (connected with the opposite polarity—see FIGS. 3H and 3I) to the electrodes 4101, 4109, 4112, and 4120 in the lower mainfield deflector 214. The subfield deflector and stigmator control 2515 supplies voltages to the eight electrodes 223-230 of the subfield deflector/stigmator 215. The main lens and wafer bias control 2516 supplies voltages to the nine electrodes 230-238 of the focus-1 electrode assembly 216, to the nine electrodes 240-248 of the focus-2 electrode assembly 217, to the field-free tube 218, to the voltage contrast plate 220, and to the wafer 221. The main lens and wafer bias control 2516 also supplies the common-mode voltage to the detector control 2517. The detector control 2517 supplies bias voltages to the detector assembly 219. For a multi-column assembly, only one Accel Column Control 2513 may be required, if all of the multiple beams pass through the same accelerating column. In some embodiments, it might be possible to utilize a single Mainfield Deflectors Control 2514. The other column controls 2510-2512 and 2515-2517 would generally apply to one column only. FIG. 26 is a schematic diagram of one embodiment of the data path and system control electronics. Datablock 2601 supplies X, Y1 and Y2 data from the three interferometers 2405, 2406, and 2407, respectively (see FIG. 24), to the data path and system control electronics along three high-speed data links, X 2602, (Y1+Y2)/2 2603, and (Y1−Y2)/2 2604. Datalinks X 2602 and (Y1+Y2)/2 2603 connect to block 2605, which determines the (X, Y) position of the center 2422 of the wafer stage 2402 relative to the (X, Y) position of the column array center. Datalink (Y1−Y2)/2 2604 connects to block 2606 which determines the yaw angle of the wafer stage 2402 relative to the column array 2640. Block 2607 contains the (X, Y) coordinates of each of the columns (i, j) in the column array 2640, which have been previously determined empirically—this (X, Y) coordinate data is supplied by datalink 2609 to block 2608, which combines data supplied by datalinks 2609, 2610, and 2641 to determine the (X, Y) position of each column (i, j) relative to the wafer 2401. Block 2614 uses the data from block 2608 to determine the (X, Y) coordinates of the subfields to be written by each column (i, j). Block 2618 receives the (X, Y) subfield coordinates generated by block 2614 through datalink 2619. Given the (X, Y) subfield coordinates, then block 2618 receives the subfield pattern data through datalink 2616 from the pattern library storage 2615 where (50 μm stripe width)/(2 μm subfield width)=25 subfield pattern datasets are required for each column. In the embodiment shown, there are 6×6=36 columns, so the total number of datasets downloaded through datalink 2616 to block 2618 is 25×36=900 subfield datasets. Block 2618 is connected to the system control computer 2650 through datalink 2617. Subfield pattern data from block 2618 is sent to the Data Processor 2621 through datalink 2620. From the Data Processor 2621, subfield pattern data is fed in 36 parallel datalinks 2622 to the Stripe Data Buffers 2623 (one per column), which buffer this data in preparation for writing. Column controllers 2625 (one per column) receive this data through 36 datalinks 2624. The 36 column controllers 2625 supply the subfield data through datalinks 2626 to the various column power supplies as shown in FIG. 26: the Source Lens Control 2510, the Alignment Deflectors Control 2512, the Beam Blanker Driver 2511, the Mainfield Deflectors Control 2514, the Subfield Deflector and Stigmator Control 2515, the Main Lens and Wafer Bias Control 2516, and the Detector Control 2517. FIG. 27A (a) shows how (for the example of a 300 mm wafer and a 6×6 column array) the 50 mm×50 mm column writing area 2701 can be broken down into 50 μm-wide stripes 2702. The number of writing stripes 2702 in area 2701 is: Number ⁢ ⁢ of ⁢ ⁢ stripes = ⁢ ( column ⁢ ⁢ spacing ) / ( stripe ⁢ ⁢ width ) = ⁢ ( 50 ⁢ ⁢ mm ) ⁢ / ⁢ ( 50 ⁢ ⁢ μ ⁢ ⁢ m ) = ⁢ 1000 ⁢ ⁢ writing ⁢ ⁢ stripes View (b) shows the end of a typical writing stripe 2702, where the individual 2 μm square subfields 2703 are shown. The total number of subfields 2703 per scan is then: # ⁢ ⁢ Subfields ⁢ / ⁢ scan = ⁢ ( stripe ⁢ ⁢ width ) / ( subfield ⁢ ⁢ dimension ) = ⁢ ( 50 ⁢ ⁢ μ ⁢ ⁢ m ) / ( 2 ⁢ ⁢ μ ⁢ ⁢ m ) = ⁢ 25 ⁢ ⁢ subfields A close-up of one subfield 2703 is shown in view (c), where the 1 nm X-Y address grid is expanded at the lower right corner. View (d) shows the 1 nm address grid 2704 and 2705. The number of steps in the address grid per subfield is then:# Address Steps=(subfield dimension)/(address grid)=(2 μm)/(1 nm)=2000≅211 To address ˜211 address grid steps in one axis requires the following number of address bits:# Address Bits=log2(# address steps)≅11 bitsSince addressing is two-dimensional, a total of 22 address bits is sufficient to define the beam position anywhere within a given 2 μm square subfield 2703. FIG. 27B is an illustration of an embodiment of the method for writing 50 μm-wide stripes simultaneously with a large number of columns positioned in an X-Y array. View (a) is a perspective view of an array of beams 2706 (each equivalent to beam 222 in FIG. 2A), writing on a 300 mm wafer 2401 in parallel. Each beam 2706 writes an area 2701. View (b) is a close-up of one area 2701, showing a beam 2706 writing the area 2710. Note that scan deflection 2740 maintains beam 2706 always perpendicular to the wafer surface 221, thereby giving telecentric scanning. In FIG. 27A, each scan writes a total of 25 subfields 2703, each 2 μm square. The wafer stage 2402 travels in a serpentine pattern 2711, alternately in the +Y, −Y, +Y, . . . directions, while beam scanning is in the X-direction 2740. Between scans 2707, the wafer steps 2708 along the X-direction over to the beginning of the next stripe 2702 to be written. View (c) is a detail view of the scan 2712, showing the 25 subfields 2703 and the 50 μm scan width 2715. During the writing of a scan 2715, the stage moves a distance 2714, in a “write-on-the-fly” process familiar to those skilled in the art. FIG. 27C is a diagram showing an example of the correspondence between the die arrangement on a typical 300 mm wafer 2401 and the column X-Y array with X-axis 2730 and Y-axis 2731. Column writing areas 2701 have X-Y dimensions determined by the calculations for FIG. 27A, in this example (a 6×6 column array), 50 mm×50 mm. As shown, the corner columns [such as (0, 0), (0, 5), (5, 0) and (5, 5)] write very little area on the wafer. For larger column arrays (such as 7×7, 8×8, . . . ) it is possible to delete one or more columns at each of the four corners of the column array. The columns have X-labels 2721 and Y-labels 2720, where for a 6×6 column array, the labels range from 0 to 5. The column array center will be between columns for even-numbered arrays (such as 6×6, 8×8, . . . ) and will be at the center of a column for odd-numbered arrays (such as 7×7, 9×9, . . . ). In this example, die dimensions have been assumed to be: X-dimension=22 mm, Y-dimension=19.5 mm. With no edge exclusion at the edge of wafer 2401, this gives 143 dies total. There is no requirement for the X-Y spacings of the column array to match the X-Y spacings of the die array on the wafer 2401. FIG. 28A is a diagram of integrated circuit (IC) pattern data 2801 broken down into subfields 2804, each with a 2 μm X-dimension 2805 and with a 2 μm Y-dimension 2806. Subfields 2804 each have a 1 nm X-Y address grid 2807 and 2808. The exact same subfield and address-grid values are used for both the column beam addressing and for the IC pattern data—the requirement on the data path electronics is then to adjust the position of the writing beams (a maximum offset of ±1 μm in X-Y) to overlay the pattern data on the writing grid. The IC pattern data is aligned with the X-axis 2809 and Y-axis 2810, corresponding to the X-axis 2730 and Y-axis 2731 for the column array in FIG. 27C. FIG. 28B shows an example of a subfield header data format. The subfield addressing requirements for the IC pattern data are as follows: 64 mm×64 mm maximum IC size (4096 mm2) 2 μm×2 μm subfield address grid within the IC 16-bit X-address of the subfield within the IC 16-bit Y-address of the subfield within the IC Within each 2 μm square subfield, the pattern addressing requirements are: 1 nm×1 nm pattern address grid within the subfield 16-bit X-coordinate of the pattern within the subfield 16-bit Y-coordinate of the pattern within the subfield For each subfield 2804 in the IC pattern data 2801, a subfield header 2811 is defined, consisting of 9 bytes 2817, and containing the following data fields: Bytes #0-1 2812 =total number of patterns to be exposed within the2 μm square subfield - the maximum number is216 − 1 = 65535.Bytes #2-3 2813 =X-address of the subfield (−32768 μmto +32767 μm in 2 μm units).Bytes #4-5 2814 =Y-address of the subfield (−32768 μmto +32767 μm in 2 μm units).Byte #6 2815 =PEC dose level (from no correction = 255 tomaximum correction = 0 - see FIG. 29C).Byte #7 2816 =fraction of subfield area written (no writing = 0 tocompletely written = 255 - see FIG. 29A).Byte #8 2818 =square beam size for writing this subfield (beamsize = byte #8 value in nm: from 0 nm to 255 nm).If it were more efficient to write a subfield with more than one beam size, then multiple subfield data definitions (each with its own subfield header) would be required. FIG. 28C is a diagrammatic representation of examples of pattern data formats for writing a single flash and multiple flashes. The data format 2820 for a single flash requires five bytes 2817: TL4 per NB Byte #0 2821=pattern type (=1). Bytes #1-2 2822=X-address of flash (−1000 nm to 1000 nm) Bytes #3-4 2823=Y-address of flash (−1000 nm to 1000 nm) The data format 2838 for multiple (number=N) flashes requires 4N+2 bytes 2817: Byte #0 2821=pattern type (=2). Byte #1 2825=number of flashes (2 to 255) Bytes #2-3 2826=X-address of flash #1 (−1000 nm to 1000 nm) Bytes #4-5 2827=Y-address of flash #1 (−1000 nm to 1000 nm) Bytes #2-3 2829=X-address of flash #2 (−1000 nm to 1000 nm) Bytes #4-5 2830=Y-address of flash #2 (−1000 nm to 1000 nm) Bytes #2-3 2832=X-address of flash #3 (−1000 nm to 1000 nm) Bytes #4-5 2833=Y-address of flash #3 (−1000 nm to 1000 nm) . . . Bytes #2-3 2835=X-address of flash #N (−1000 nm to 1000 nm) Bytes #4-5 2836=Y-address of flash #N (−1000 nm to 1000 nm) FIG. 28D is a diagrammatic representation of examples of pattern data formats for writing a single line and a polyline. The data format 2840 for a single line requires nine bytes 2817: Byte #0 2821=pattern type (=3). Bytes #1-2 2841=X-address of line start (−1000 nm to 1000 nm) Bytes #3-4 2842=Y-address of line start (−1000 nm to 1000 nm) Bytes #5-6 2844=X-address of line end (−1000 nm to 1000 nm) Bytes #7-8 2845=Y-address of line end (−1000 nm to 1000 nm) The data format 2847 for a polyline (number=N) requires 4N+6 bytes 2817: Byte #0 2821=pattern type (=4). Byte #1 2848=number of lines in the polyline (2 to 255) Bytes #2-3 2849=X-address of line #1 start (−1000 nm to 1000 nm) Bytes #4-5 2850=Y-address of line #1 start (−1000 nm to 1000 nm) Bytes #6-7 2852=X-address of line #1 end (−1000 nm to 1000 nm) =X-address of line #2 start (−1000 nm to 1000 nm) Bytes #8-9 2853=Y-address of line #1 end (−1000 nm to 1000 nm) =Y-address of line #2 start (−1000 nm to 1000 nm) Bytes #10-11 2855=X-address of line #2 end (−1000 nm to 1000 nm) =X-address of line #3 start (−1000 nm to 1000 nm) Bytes #12-13 2856=Y-address of line #2 end (−1000 nm to 1000 nm) =Y-address of line #3 start (−1000 nm to 1000 nm) . . . Bytes #4N+2−4N+3 2858 =X-address of line #N end (−1000 nm to 1000 nm) Bytes #4N+4−4N+5 2859 =Y-address of line #N end (−1000 nm to 1000 nm) FIG. 28E is a diagrammatic representation of examples of pattern data formats for writing an entire subfield, for writing a rectangle, or for writing a triangle in the upper right quadrant. The data format 2861 to fill an entire subfield requires only one byte 2817: Byte #0 2821=pattern type (=5). The data format 2862 for a rectangle requires nine bytes 2817: Byte #0 2821=pattern type (=6). Bytes #1-2 2863 =X-address of upper left corner (−1000 nm to 1000 nm) Bytes #3-4 2864 =Y-address of upper left corner (−1000 nm to 1000 nm) Bytes #5-6 2866 =X-address of lower right corner (−1000 nm to 1000 nm) Bytes #7-8 2867 =Y-address of lower right corner (−1000 nm to 1000 nm)The data format 2869 for a triangle in the upper right quadrant requires nine bytes 2817: Byte #0 2821=pattern type (=7). Bytes #1-2 2870 =X-address of upper left corner (−1000 nm to 1000 nm) Bytes #3-4 2871 =Y-address of upper left corner (−1000 nm to 1000 nm) Bytes #5-6 2873 =X-address of lower right corner (−1000 nm to 1000 nm) Bytes #7-8 2874 =Y-address of lower right corner (−1000 nm to 1000 nm)For writing triangles in the upper left, lower left and lower right quadrants, the pattern types are 8, 9 and 10, respectively. The data formats for pattern types 8-10 are identical to that for pattern type 7, above. FIG. 28F shows an illustrative example of a typical subfield 2804 containing multiple written pattern types: Type #1—single flash at location (Xsf, Ysf) 2901, where the subscript “sf” denotes a single flash. Type #2—multiple flashes 2902 at locations (Xmf1, Ymf1) 2903, (Xmf2, Ymf2) 2904, (Xmf3, Ymf3) 2905, and (Xmf4, Ymf4) 2906. The subscripts “mfX” denote multiple flashes, and X is the flash number (1-4 in this example). Type #3—a single line 2907 starting at location (Xsl0, Ysl0) 2908 and ending at location (Xsl1, Ysl1) 2909. The “sl” subscripts denote a single line. Type #4—a polyline 2910 starting at location (Xpl0, Ypl0) 2911, going to point (Xpl1, Ypl1) 2912, then point (Xpl2, Ypl2) 2913 and ending at point (Xpl3, Ypl3) 2914. The “pl” subscripts denote a polyline. Type #6—a rectangle 2918 with upper left corner at (Xr0, Yr0) 2919 and lower right corner at (Xr1, Yr1) 2920. The “r” subscripts denote rectangle. Type #9—a triangle 2915 in the lower left quadrant with upper left corner at (Xtc0, Ytc0) 2916 and lower right corner at (Xtc1, Ytc1) 2917. The “tc” subscripts denote triangle type c (lower left quadrant). Other triangle types are: type a (upper right quadrant)—“ta”, type b (upper left quadrant)—“tb”, and type d (lower right quadrant)—“td”. FIG. 29A shows a diagrammatic representation of the first step in the proximity effect correction (PEC) scheme, where the area fractions written in each subfield 2804 are calculated. Given the IC pattern data 2801, defined relative to the X-axis 2809 and Y-axis 2810, the numbers of subfields MX 2923 and My 2922 along each axis are:MX=(IC X-dimension in μm)/(2 μm)(rounded down)MY=(IC Y-dimension in μm)/(2 μm)(rounded down)Note that the subfield numbering along the X-axis 2809 goes from 0 to MX 2923 and along the Y-axis 2810 from 0 to MY 2922, so the total number of subfields in the IC pattern data=M=(MX+1) (MY+1). If all subfields are to be written with a single beam size, then Mdatasets=M, where Mdatasets is the number of subfield datasets required. If some subfields are to be written with multiple beam sizes, then Mdatasets>M. For example, if Nj=the number of subfields to be written with j different beam sizes Where j=1, 2, . . . . Then ∑ j = 1 ∞ ⁢ N j = M ⁢ ⁢ and ⁢ ⁢ ∑ j = 1 ∞ ⁢ ( j ⁢ ⁢ N j ) = M datasets It is unlikely that more than 2-3 different beam sizes will be optimal for any one subfield due to the time overheads required for setting up each beam size. Now for each subfield 2804, the total fraction of the subfield area to be written is calculated. Examples are: subfield (2,1) 2925 which is fully written [could be part of a bonding pad] so its fraction=1.0 and the value of byte #7 2816 in the subfield header 2811 would be=255. subfield (5,4) 2926 which is heavily written at around 70% of the subfield area, so its fraction=˜0.7 and the value of byte #7 2816 in the subfield header 2811 would be=179≈0.7×255. subfield (11,7) 2927 which is sparsely written at around 15%, giving a fraction of ˜0.15 and the value of byte #7 2816 in the subfield header 2811 would be=38≈0.15×255.Once the total fraction to be written is calculated for each subfield 2804, the data is stored in byte #7 2816 of the subfield data header. If multiple beam sizes are to be used for any particular subfield, the value for the total area to be written is stored in byte #7 2816 of each subfield dataset—the total area to be written in this case would be the sum of the values of byte #7 2816 for all of the datasets for that particular subfield 2804. Note that the calculation of total area to be written for each subfield 2804 is completely independent of the areas to be written in other subfields 2804. FIG. 29B shows a diagrammatic representation of the second step in the PEC scheme, where the backscattered electron (BSE) dose at subfield 2937 is being calculated, assuming no PEC corrections to the primary beam doses in any subfields 2804. The IC pattern data 2801 is the same as in FIG. 29A. For each subfield (i, j), [where i=0 to MX and j=0 to MY], a weighted sum of the backscattered electron dose from all neighboring subfields is calculated using the relative intensity graph shown. With increasing radius 2931 away from subfield (i, j) 2937, the contributions drop off as shown by curve 2934, plotted against the relative intensity scale 2932. The BSE distribution is assumed to be isotropic, so that the BSE contributions to the total dose at subfield 2937 will be the same around the circle 2938. The maximum possible backscattered contribution is η 2936, the backscattered electron coefficient—this is relevant for the eight subfields directly around subfield (i, j) and for subfield (l, j), itself. The contribution from each subfield is proportional to the fraction of that subfield being written, as calculated in step 1. (FIG. 29A). The formula for the total backscattered dose d(i, j) at subfield (i, j) is then:R(m,n,i,j)≡√[(m−i)2+(n−j)2](2 μm)=radius from (m,n) to (i,j) s[R(m, n; i, j)]=relative intensity at subfield (i, j) from BSE scattering at subfield (m, n) f(m, n)=fraction of area written in subfield (m, n) p(m, n)≡1 for now (will be varied in the next step) K=scale factor d ⁡ ( i , j ) = K ⁢ ∑ m = 0 M X ⁢ ∑ n = 0 M Y ⁢ s ⁡ [ R ⁡ ( m , n ; i , j ) ] ⁢ f ⁡ ( m , n ) ⁢ p ⁡ ( m , n ) ( eq . ⁢ 1 ) Note that the summation over m and n includes subfield (i, j) since backscattered electrons from within the subfield (i, j) being calculated also contribute to the BSE background dose. FIG. 29C shows a diagrammatic representation of the third step in the PEC scheme, where the total dose at each subfield is calculated by combining the primary beam dose plus the BSE dose. The physical process occurring is that within each pattern being written in subfield (i, j), there are three contributions to the resist dose: (1) primary electrons in the writing beam for the pattern being written (2) backscattered electrons from other patterns within subfield (i, j) (3) backscattered electrons from neighboring subfields (m, n). FIG. 29C shows how the writing dose can be reduced to compensate for contributions (2) and (3), a process familiar to those skilled in the art called proximity effect correction (PEC). At the left, the dose profile 2942 (plotted against the relative axis 2941) corresponds to the case of a minimal BSE background dose, thus no PEC is needed. For maximum process latitude, it is beneficial to adjust the writing dose so that the resist exposure dose occurs at the points of maximum slope in the dose profile, in this case at points 2945. Variations in resist processing or writing beam currents will then have a minimal effect on line width variation. The writing dose 2951 is twice the level of the required exposure dose 2950 with no PEG. The middle example shows the case for a medium level of BSE background 2948 at about 30% of the exposure dose. To maintain process latitude, the writing dose 2943 has been reduced by the same percentage, or twice the amount of BSE background 2948 as shown, thus maintaining points 2946 at the exposure dose indicated by the dashed line. The exposure dose is reduced by twice as much because the writing dose 2951 is twice the exposure dose 2950. The right example represents dense lines and spaces, where the largest BSE background occurs. In this example, the BSE background 2949 is about 60% of the exposure dose 2950, requiring a 60% reduction in the writing dose 2944. After the BSE background d(i, j) for all i=0, . . . , MX and j=0, . . . , MY has been determined, the first-pass dose correction can be done. For all subfields (i, j), calculate the correction factor p(i, j):p(i,j)=1−2d(i,j)Now recalculate all the values of d(i, j) using these new values for p(i, j)—the result will give smaller values for d(i, j), which, in turn will give larger values for p(i, j). Thus, the process of finding a self-consistent solution for p(i, j) is oscillatory, but typically converges within a few cycles. Once the values for p(i, j) are no longer changing by some preset limit, the process is terminated and the calculated values for p(i, j) are multiplied by 255 and stored in byte #6 2815.Typical Electrode Voltages for the Column in FIG. 2A Tip Voltage 2010 VExtraction Electrode 2022800-3400 V typicalFirst Source Lens Electrode 203432-638 V in this example(FIG. 16D)Beam-limiting Aperture 204 =First Source Lens Electrode 203Second Source Lens Electrode 205512 VSource Mounting Plate 206512 VUpper Alignment Deflector/Stigmator818 V ± Deflection and207Stigmation VoltagesLower Alignment Deflector 2085000 V ± DeflectionVoltagesBeam-Trimming Aperture5000 VUpper Blanker 2775000 V ± BlankingVoltages (~1.7 V)Lower Blanker 2785000 V ± BlankingVoltages (~1.54 V)Beam-Defining Aperture Mount 2205000 VPatterned Beam-Defining Aperture 2125000 VUpper Mainfield Deflector 2135000 V ± Deflection Voltages(<3 V)Lower Mainfield Deflector 2145000 V ± Deflection Voltages(<3 V)Subfield Deflector/Stigmator 2155000 V ± Deflection &Stigmation Voltages (<1 V)Focus-1 Electrode Assembly 2165000 V ± Lens OffsetVoltages (<3 V)Focus-2 Electrode Assembly 217~4906 V to ~5220 V ±Lens Offset Voltages (<100 V)Field-Free Tube 21849986 VDetector Assembly 21954000 VVoltage Contrast Plate 22049986 VSubstrate 22150000 V One possible writing strategy to utilize one or more electron optical columns to write a series of stripes on a resist-covered wafer is shown in FIG. 27B. As an example, assume a 6×6 array of identical columns is positioned above a 300 mm wafer. The column spacing can then be determined: Column ⁢ ⁢ spacing = ⁢ ( wafer ⁢ ⁢ diameter ) / √ ( number ⁢ ⁢ of ⁢ ⁢ columns ) = ⁢ ( 300 ⁢ ⁢ mm ) / √ ( 6 × 6 ) = ( 300 ⁢ ⁢ mm ) / 6 = 50 ⁢ ⁢ mm Each column will only need to write a 50 mm×50 mm square area of the wafer. When a particular column has completed writing its area, each of the other 35 columns will have simultaneously completed writing their respective areas and the wafer is complete. The area to be written by each column is broken down into a series of 50 μm wide parallel stripes as shown in FIG. 27A, where the total number of stripes was determined to be 1000. Within each stripe, a column writes on the resist in a series of “flashes”, each exposing a square area using the high current density beam on a resist with a pre-determined sensitivity (assumed to be 5 μC/cm2). The time per flash is then determined by the beam current density at the outer edges of the shaped beams. As shown in FIG. 21C, the beam current densities range from 2000 A/cm2 (30 nm and 120 nm square beams), to 2500 A/cm2 (−80 nm square beam) up to 3000 A/cm2 (40 nm square beam): Time ⁢ ⁢ per ⁢ ⁢ flash = ⁢ ( resist ⁢ ⁢ sensitivity ) / ( beam ⁢ ⁢ current ⁢ ⁢ density ) = ⁢ ( 5 ⁢ ⁢ μ ⁢ ⁢ C ⁢ / ⁢ cm 2 ) / ( 3000 ⁢ ⁢ A ⁢ / ⁢ cm 2 ) = 1.67 ⁢ ⁢ ns = ⁢ ( 5 ⁢ ⁢ μ ⁢ ⁢ C ⁢ / ⁢ cm 2 ) / ( 2500 ⁢ ⁢ A ⁢ / ⁢ cm 2 ) = 2.00 ⁢ ⁢ ns = ⁢ ( 5 ⁢ ⁢ μ ⁢ ⁢ C ⁢ / ⁢ cm 2 ) / ( 2000 ⁢ ⁢ A ⁢ / ⁢ cm 2 ) = 2.50 ⁢ ⁢ ns These calculated flash times are graphed in FIG. 21C. Each flash requires that the beam be deflected to a new position on the wafer surface, thus a 1 ns set-up time has been assumed. The total pixel writing time is then determined by:Total pixel writing time=(# flashes/subfield)[(time/flash)+(set-up time)]where a subfield is assumed to be 2.0 μm×2.0 μm The overall pattern density determines the average # flashes/subfield—this is left as a variable in FIG. 30. As would be expected, higher pattern densities require more flashes/subfield 3001 on average, with a resultant lower patterning throughput 3002. As the stage moves along the length of the stripe (alternately in the +Y and −Y directions 2707—see FIG. 27B), the electron beam is electrostatically scanned perpendicularly 2740 (along the X-axis) across the width 2715 of the stripe. With the 50 μm stripe assumed here, the total number of subfields/scan was determined in FIG. 27A to be 25. Including a 10 ns scan retrace time, the total time per scan will then be:Time/scan=(# subfields/scan)(time/subfield)+(scan retrace time)In this writing strategy, scanning is accomplished using electrostatic beam deflection=±(scan width)/2 relative to the optical axis. The total number of scans required to write the length of an entire stripe can now be found (where the stripe length=column spacing): # ⁢ ⁢ scans = ⁢ ( column ⁢ ⁢ spacing ) / ( subfield ⁢ ⁢ dimension ) = ⁢ ( 50 ⁢ ⁢ mm ) / ( 2.0 ⁢ ⁢ μ ⁢ ⁢ m ) = 25000 One strategy for the stage motion during writing is to move continuously under the writing beams (one per column). In this case, the stage speed will be:Stage speed=(subfield dimension)/(time/scan) With the number of flashes/subfield as a variable, the stage speed ranges from ˜550 mm/s (limited by the 1 g=9800 mm/s2 stage acceleration and the 50 mm length of stripes 2702) down to <50 mm/s, decreasing as either the number of flashes/subfield increases or as the beam current density decreases. Finally, a 45 s overhead has been assumed for each wafer to allow for wafer transfer, global alignment, local alignment, and all other functions required between the end of writing one wafer and beginning writing on the next. The total time per wafer then consists of the combination of the writing times for all stripes+stage turn-around times for all stripes+wafer overhead. Throughput is inversely proportional to the total time per wafer. FIG. 30 is a graph of calculated throughput 3002 against the average number of flashes per subfield 3001 for one lithographic module having various numbers of columns from 6×6 up to 10×10, assuming a required exposure current 3003 of 3000 A/cm2—this corresponds to an optimized 40 nm square beam. Subfields are assumed to be 2 μm square with average numbers of flashes/subfield ranging from 40 to 360. Curve 3005 corresponds to a 6×6 column array, with each column writing an area of 50 mm×50 mm. Curve 3006 corresponds to a 7×7 column array, where each column writes no more than 42.9 mm×42.9 mm. Curve 3007 corresponds to an 8×8 column array, where each column writes no more than 37.5 mm×37.5 mm. Curve 3008 corresponds to a 9×9 column array, where each column writes no more than 33.4 mm×33.4 mm. Finally, curve 3009 corresponds to a 10×10 column array, where each column writes no more than 30 mm×30 mm. For large numbers of flashes (>160/subfield), throughput is roughly inversely proportional to the average number of flashes, while, for smaller numbers of flashes in region 3004, throughput is limited by the maximum stage acceleration (assumed to be 9800 mm/s2=1 g). Note that if the entire 2 μm square subfield were to be written with a 40 nm beam, this would require a prohibitively large number of flashes: [(2 μm)/(40 nm)]2=2500 flashes—this underlines the need for variable-sized beams. Similar graphs for 30 nm, ˜80 nm and 120 nm beams can be plotted, wherein the current densities shown in FIG. 21B are used. For all beam sizes other than the (optimized) 40 nm square beam, the throughputs are slightly decreased from those shown in FIG. 30. With a 120 nm square beam spaced on 125 nm centers, an entire 2 μm square subfield could be written with: [(2 μm)/(125 nm)]2=256 flashes—this is an acceptable number, even allowing for the required 2.50 ns dwell time. It is important to be able to completely fill entire subfields in a reasonable time because with the large numbers of columns possible using the column design described here, it is likely that at least one column at any time will be writing a bonding pad, while the other columns are writing areas with finer features. To maintain throughput, it is necessary that the system is able to maintain the writing speed of all columns since the writing strategy requires that all columns stay in synchronism while writing. The invention described above can be extended to include the case of two beam-defining apertures in a single electron beam column. The two apertures could be used together to define the beam at the substrate. The two apertures are both centered on the optic axis and are axially separated from each other. Further patterned beam-defining apertures may be added, as described above, to give a multiplicity of apertures in a single column. Multiple beam-defining apertures may be incorporated in an electron beam column to allow a choice of different beam shapes at the substrate. The apertures may be attached to a single aperture blade and then moved on axis as needed; alternatively, they may be attached to separate retractable aperture blades in different parts of the column; in a further alternative they may be positioned close to the optic axis between upper and lower deflection optics, allowing the desired aperture to be selected by deflection of the beam (using the upper deflection optics) with the beam then redeflected onto the optic axis (after passing through the selected aperture) using the lower deflection optics; etc. The electron optics may be configured to allow the electron beam to be expanded, reduced, or distorted, such that the beam at the substrate becomes an expanded, reduced or distorted version of the shape determined by the beam-defining aperture. An example of a useful distortion of a square beam would be a reduction along one axis so as to form a rectangle. Other distortions might include conversion of a square beam into a beam shaped as a parallelogram. Electron optical elements that might be used to achieve such effects include quadrupole and octupole lenses. Although the design procedure for the patterned beam-defining aperture 212 described herein employed numerical methods, an alternative analytical method is also possible. Referring to FIG. 1, the functions of blocks 102-106 remain the same, however, in block 108, instead of numerical ray-tracing, an analytical model of the operation of the optics is generated and then used to determine which rays of the circular beam pass within the desired patterned beam profile, and which rays pass outside the desired patterned beam profile. The analytical model of the optics is generated using ray-tracing, however, this analytical approach offers the opportunity to potentially improve the PBDA 212 design by reducing the effects of numerical errors in the ray-tracing calculations. This improvement is accomplished by smoothing the analytical model derived from the ray-tracing calculations to even out small (nm-scale) fluctuations in ray intercept values at the wafer surface 221. Once this analytical procedure has been used to generate the PBDA design in block 112, the remainder of the PBDA design procedure described in FIG. 1 is the same. For the design illustrated in the example herein, the practical range of beam sizes is approximately 30 nm up to 120 nm. For other electron optical designs embodying the present invention, the range in beam sizes could be from 15-20 nm up to 400-500 nm.
summary
claims
1. A fissile neutron detection system for detecting incident fissile neutrons, said fissile neutron detection system, comprising:an ionizing thermal neutron detector arrangement including an inner peripheral shape that at least substantially surrounds a moderator region for detecting thermal neutrons that exit the moderator region but is at least generally transparent to the incident fissile neutrons;a moderator arrangement disposed within the moderator region for converting the incident fissile neutrons in the moderator region to thermal neutrons which exit the moderator region to then enter the thermal neutron detector arrangement for detection of at least some of the thermal neutrons to produce an electrical current as a detector output with the moderator arrangement having an outer peripheral shape that is at least generally complementary to said inner peripheral shape and the moderator arrangement includes lateral extents such that any given dimension that bisects the lateral extents includes a length that is greater than any thickness of the moderator arrangement transverse to the lateral extents wherein the thermal neutron detector arrangement and the moderator arrangement are configured to define a pair of opposing major receiving directions for bidirectionally receiving the incident fissile neutrons from the opposing major receiving directions with a fundamental sensitivity that is at least generally equal from each of the major receiving directions; andan additional moderator arrangement at least interposed in each of the opposing major receiving directions outward of the thermal neutron detector arrangement and having a supplemental moderator thickness along each of the opposing major receiving directions that is less than said thickness of the moderator arrangement such that a modified sensitivity is presented from each of the major receiving directions with the modified sensitivity remaining equal from the each of the major receiving directions but different from the fundamental sensitivity. 2. The fissile neutron detection system of claim 1 wherein the additional moderator arrangement at least substantially surrounds the thermal neutron detector arrangement. 3. The fissile neutron detection system of claim 1 wherein the modified sensitivity is greater than said fundamental sensitivity. 4. The fissile neutron detection system of claim 1 wherein the supplemental moderator thickness is in a range from 0.1 cm to 1 cm, inclusively. 5. A fissile neutron detection system for detecting incident fissile neutrons, said fissile neutron detection system, comprising:an ionizing thermal neutron detector arrangement including an inner peripheral shape that at least substantially surrounds a moderator region for detecting thermal neutrons that exit the moderator region but is at least generally transparent to the incident fissile neutrons;a moderator arrangement disposed within the moderator region for converting the incident fissile neutrons in the moderator region to thermal neutrons which exit the moderator region to then enter the thermal neutron detector arrangement for detection of at least some of the thermal neutrons to produce an electrical current as a detector output with the moderator arrangement having an outer peripheral shape that is at least generally complementary to said inner peripheral shape and the moderator arrangement includes lateral extents such that any given dimension that bisects the lateral extents includes a length that is greater than any thickness of the moderator arrangement transverse to the lateral extents wherein the thermal neutron detector arrangement and the moderator arrangement are configured to define a pair of opposing major receiving directions for bidirectionally receiving the incident fissile neutrons from the opposing major receiving directions with a fundamental sensitivity that is at least generally equal from each of the major receiving directions; andan additional moderator arrangement at least interposed in each of the opposing major receiving directions outward of the thermal neutron detector arrangement and having a first supplemental moderator thickness along a first one of the opposing major receiving directions that is less than the thickness of the moderator arrangement and a second supplemental thickness along a second one of the opposing major directions that is greater than said thickness of the moderator arrangement such that a modified sensitivity is presented from each of the major receiving directions with the modified sensitivity being different in each of the major receiving directions as well as different from the fundamental sensitivity. 6. The fissile neutron detection system of claim 5 wherein the first supplemental moderator thickness is in a range from 0.1 cm to 1 cm, inclusively, and the second supplemental moderator thickness is in a range from 1.1 cm to 10 cm, inclusively. 7. The fissile neutron detection system of claim 5 wherein the modified sensitivity is greater than the fundamental sensitivity. 8. A fissile neutron detection system for detecting incident fissile neutrons, said fissile neutron detection system, comprising:an ionizing thermal neutron detector arrangement including an inner peripheral shape that at least substantially surrounds a moderator region for detecting thermal neutrons that exit the moderator region but is at least generally transparent to the incident fissile neutrons;a moderator arrangement disposed within the moderator region for converting the incident fissile neutrons in the moderator region to thermal neutrons which exit the moderator region to then enter the thermal neutron detector arrangement for detection of at least some of the thermal neutrons to produce an electrical current as a detector output with the moderator arrangement having an outer peripheral shape that is at least generally complementary to said inner peripheral shape and the moderator arrangement includes lateral extents such that any given dimension that bisects the lateral extents includes a length that is greater than any thickness of the moderator arrangement transverse to the lateral extents wherein the thermal neutron detector arrangement and the moderator arrangement are configured to define a pair of opposing major receiving directions for bidirectionally receiving the incident fissile neutrons at least from the opposing major receiving directions with a fundamental sensitivity that is at least generally equal from each of the major receiving directions; andan additional moderator arrangement interposed in at least in a first one of the opposing major receiving directions outward of the thermal neutron detector arrangement and having a first supplemental moderator thickness along the first one of the opposing major receiving directions sufficient to produce a first modified sensitivity in the first major receiving direction that is less than the fundamental sensitivity and a second modified sensitivity in the second major receiving direction that is greater than the fundamental sensitivity to form a unidirectional fissile neutron detection system. 9. The fissile neutron detection system of claim 8 wherein the first supplemental moderator thickness is configured to backscatter and further thermalize at least some fissile neutrons, that initially pass through the thermal neutron detector arrangement and the moderator arrangement, to return the backscattered further thermalized neutrons for detection by the thermal neutron detector arrangement to provide an additional electric current that contributes to the detector output. 10. The fissile neutron detection system of claim 8 wherein said first supplemental moderator thickness is greater than said thickness of the moderator arrangement. 11. The fissile neutron detection system of claim 8 wherein the additional moderator arrangement further includes a second supplemental moderator thickness imposed in a second, opposite one of the opposing major receiving directions having a second supplemental moderator thickness that is less than the first supplemental moderator thickness to further increase the second modified sensitivity. 12. The fissile neutron detection system of claim 11 wherein the second supplemental moderator thickness is less than the thickness of the moderator arrangement. 13. The fissile neutron detection system of claim 11 wherein the second supplemental moderator thickness further moderates at least some undetected ones of the thermal neutrons, that exit the moderator arrangement and initially pass undetected through the thermal neutron detector arrangement, to backscatter at least a portion of the undetected ones of the thermal neutrons to return to the thermal neutron detector arrangement for detection to contribute an additional electrical current to the detector output. 14. The fissile neutron detection system of claim 11 wherein the first supplemental moderator thickness is in one range from 0.1 cm to 1 cm and the second supplemental moderator thickness is in another range from 1.1 cm to 10 cm. 15. The fissile neutron detection system of claim 8 wherein the lateral extents are at least generally rectangular with a widthwise dimension and a lengthwise dimension and the thickness of the moderator arrangement is less than the widthwise dimension and the lengthwise dimension. 16. The fissile neutron detection system of claim 8 wherein the lateral extents define a symmetrical edge configuration. 17. The fissile neutron detection system of claim 8 wherein the moderator arrangement includes a pair of opposing major sides that cooperatively define the lateral extents. 18. The fissile neutron detection system of claim 8 wherein the thermal neutron detector arrangement sealingly contains a readout gas and supports an active sheet layer arrangement that is in gaseous communication with the readout gas and the active sheet layer arrangement spans at least a majority of said lateral extents such that (i) a majority of the incident fissile neutrons pass through the active sheet layer arrangement prior to impinging on said moderator arrangement, and (ii) a majority of thermal neutrons impinge on the active sheet layer arrangement after exiting the moderator arrangement, wherein the active sheet layer arrangement emits ionizing particles responsive to said thermal neutrons, and the ionizing particles initiate an avalanche of ions, within said readout gas, to produce said electrical current. 19. The fissile neutron detection system of claim 8 wherein the moderator arrangement includes at least one moderator sheet material having a pair of opposing major sides that are spaced apart by said thickness. 20. The fissile neutron detection system of claim 19 wherein said thermal neutron detector arrangement includes at least first and second thermal neutron detectors in a spaced apart confronting relationship with said moderator arrangement disposed therebetween. 21. The fissile neutron detection system of claim 20 wherein each thermal neutron detector supports an active sheet layer that spans at least said majority of the lateral extents, and each of said thermal neutron detectors includes first and second arrangements of electrodes with the electrodes of the first arrangement of electrodes laterally spaced apart proximate to one of a pair of opposing major surfaces of each active sheet layer and the electrodes of the second arrangement of electrodes laterally spaced apart proximate to the other, opposite one of the opposing pair of major surfaces such that a projection of each of the first and second arrangements of electrodes onto the active sheet layer defines an area that substantially covers one of the major surfaces of the active sheet material layer such that each electrode is in operative communication with said readout gas. 22. The fissile neutron detection system of claim 21 wherein one major surface of the first thermal neutron detector confronts one of said major sides of said moderator arrangement, and another major surface of said second thermal neutron detector confronts the other one of said major sides of said moderator arrangement, and said first and second thermal neutron detectors along with said moderator arrangement forming an at least generally planar layered structure such that each one of a majority of the incident fissile neutrons passes through one of said first and second thermal neutron detectors before impinging on said moderator arrangement. 23. The fissile neutron detection system of claim 22 wherein said major surfaces of said thermal neutron detectors cooperatively define said moderator region therebetween having a volume and the moderator arrangement substantially fills said volume. 24. The fissile neutron detection system of claim 23 wherein the moderator arrangement fills at least 60 percent of the volume of the moderator region. 25. The fissile neutron detection system of claim 22 wherein said major surfaces of said thermal neutron detectors cooperatively define said moderator region therebetween having a volume and the moderator arrangement substantially fills said volume. 26. The fissile neutron detection system of claim 8 wherein said thickness is an at least approximately uniform thickness. 27. The fissile neutron detection system of claim 8 wherein said thermal neutron detector arrangement includes at least one group of thermal neutron detectors with the thermal neutron detectors of each group in a side-by-side relationship. 28. The fissile neutron detection system of claim 27 wherein each thermal neutron detector sealingly contains a readout gas and each thermal neutron detector supports an active sheet material layer in gaseous communication with the readout gas for detecting thermal neutrons that are incident on the active sheet material layer and the active sheet material layers of the group of thermal neutron detectors cooperate to form an arrangement of active sheet material layers that spans at least a majority of said lateral extents of the moderator arrangement such that a majority of the thermal neutrons that exit the moderator arrangement thereafter impinge on the arrangement of active sheet material layers to cause the active sheet material layer arrangement to emit ionizing particles responsive to the thermal neutrons that initiates an avalanche of ions in the readout gas to produce said electrical current. 29. The fissile neutron detection system of claim 28 wherein each of said thermal neutron detectors of said group includes a first set of electrodes and a second set of electrodes in a spaced apart confronting relationship, with said active sheet material layer supported therebetween, with the electrodes of the first set of electrodes laterally spaced apart proximate to one of a pair of opposing major surfaces of the active sheet material layer and the electrodes of the second set of electrodes laterally spaced apart proximate to the other, opposite one of the opposing pair of major surfaces such that a projection of each of the first and second sets of electrodes onto the active sheet material layer defines an area that substantially covers one of the major surfaces of the active sheet material. 30. The fissile neutron detection system of claim 27 wherein said thermal neutron detector arrangement includes at least two groups of thermal neutron detectors. 31. The fissile neutron detection system of claim 30 wherein the moderator arrangement defines first and second opposing major surfaces with a first one of the two groups of thermal neutron detectors adjacent to one of the major surfaces and a second one of the two groups of thermal neutron detectors adjacent to the other one of the major surfaces. 32. The fissile neutron detection system of claim 27 wherein said major surfaces of said thermal neutron detectors cooperatively define said moderator region therebetween having a volume and the moderator arrangement substantially fills said volume. 33. The fissile neutron detection system of claim 32 wherein the moderator arrangement fills at least 60 percent of the volume of the moderator region. 34. The fissile neutron detection system of claim 27 wherein said moderator arrangement further comprises a side moderator disposed between adjacent ones of the thermal neutron detectors in the side-by-side relationship outside of the moderator region. 35. The fissile neutron detection system of claim 34 wherein the side moderator includes a thickness dimension between the side-by-side adjacent ones of the thermal neutron detectors that is no more than 5 cm. 36. A fissile neutron detection system for detecting incident fissile neutrons, said fissile neutron detection system, comprising:an ionizing thermal neutron detector arrangement including an inner peripheral shape that at least substantially surrounds a moderator region for detecting thermal neutrons that exit the moderator region but is at least generally transparent to the incident fissile neutrons;a moderator arrangement disposed within the moderator region for converting the incident fissile neutrons in the moderator region to thermal neutrons which exit the moderator region to then enter the thermal neutron detector arrangement for detection of at least some of the thermal neutrons to produce an electrical current as a detector output with the moderator arrangement having an outer peripheral shape that is at least generally complementary to said inner peripheral shape and the moderator arrangement includes lateral extents such that any given dimension that bisects the lateral extents includes a length that is greater than any thickness of the moderator arrangement transverse to the lateral extents wherein the thermal neutron detector arrangement and the moderator arrangement are configured to define a pair of opposing major receiving directions for bidirectionally receiving the incident fissile neutrons from the opposing major receiving directions with a fundamental sensitivity that is at least generally equal from each of the major receiving directions; andan additional moderator arrangement interposed at least in a first one of the opposing major receiving directions outward of the thermal neutron detector arrangement and having a supplemental moderator thickness that further moderates at least some undetected ones of the thermal neutrons, that exit the moderator arrangement and initially pass undetected through the thermal neutron detector arrangement, to backscatter at least a portion of the undetected ones of the thermal neutrons to return to the thermal neutron detector arrangement for detection to contribute an additional electrical current to the detector output. 37. A fissile neutron detection system for detecting incident fissile neutrons, said fissile neutron detection system, comprising:an ionizing thermal neutron detector arrangement including an inner peripheral shape that at least substantially surrounds a moderator region for detecting thermal neutrons that exit the moderator region but is at least generally transparent to the incident fissile neutrons; anda moderator arrangement disposed within the moderator region for converting the incident fissile neutrons in the moderator region to thermal neutrons which exit the moderator region to then enter the thermal neutron detector arrangement for detection to produce an electrical current as a detector output with the moderator arrangement having an outer peripheral shape that is at least generally complementary to said inner peripheral shape and the moderator arrangement includes widthwise and lengthwise lateral extents such that any given dimension across the lengthwise and widthwise lateral extents includes a length that is greater than any thickness of the moderator arrangement transverse to the lateral extents. 38. A fissile neutron detection system for detecting incident fissile neutrons, said fissile neutron detection system, comprising:an ionizing thermal neutron detector arrangement including an inner peripheral shape that at least substantially surrounds a moderator region for detecting thermal neutrons that exit the moderator region but is at least generally transparent to the incident fissile neutrons; anda moderator arrangement disposed within the moderator region for converting the incident fissile neutrons in the moderator region to thermal neutrons which exit the moderator region to then enter the thermal neutron detector arrangement for detection of at least some of the thermal neutrons to produce an electrical current as a detector output and the moderator arrangement cooperates with the thermal neutron detector arrangement in a layered structural relationship to define major lateral extents defining a first major receiving direction and a second, opposing major receiving direction transverse to the major lateral extents for bidirectionally receiving the incident fissile neutrons at least from the opposing major receiving directions with a fundamental sensitivity that is at least generally equal from each of the major receiving directions; andan additional moderator arrangement interposed in a first one of the opposing major receiving directions outward of the thermal neutron detector arrangement and having a first supplemental moderator thickness along the first one of the opposing major receiving directions sufficient to produce a first modified sensitivity in the first major receiving direction that is less than the fundamental sensitivity and a second modified sensitivity in the second major receiving direction that is greater than the fundamental sensitivity to form a unidirectional fissile neutron detection system. 39. The fissile neutron detection system of claim 38 wherein the additional moderator arrangement further includes a second supplemental moderator thickness imposed in a second, opposite one of the opposing major receiving directions, said second supplemental moderator thickness configured to further moderate at least some undetected ones of the thermal neutrons, that exit the moderator arrangement and initially pass undetected through the thermal neutron detector arrangement, to backscatter at least a portion of the undetected ones of the thermal neutrons to return to the thermal neutron detector arrangement for detection to contribute an additional electrical current to the detector output.
description
1. Field of the Invention The present invention relates to a charged particle lithography apparatus, and in particular to an aperture array for a lithography system with a cooling system. 2. Description of the Related Art Currently, most commercial lithography systems use a light beam and mask as a means to reproduce pattern data for exposing a target, such as a wafer with a coating of resist. In a maskless lithography system, beams of charged particles are used to write the pattern data onto the target. The beamlets are individually controlled, for example by individually switching them on and off, to generate the required pattern. For high resolution lithography systems designed to operate at a commercially acceptable throughput, the size, complexity, and cost of such systems becomes an obstacle. Furthermore, existing charged particle beam technology is suitable for lithography systems for relatively course patterning of images, for example to achieve critical dimensions of 90 nm and higher. However, a growing need exists for improved performance. It is desired to achieve considerably smaller critical dimensions, for example 22 nm, while maintaining sufficient wafer throughput, e.g. between 10 and 100 wafers per hour. In order to achieve such a large throughput at ever decreasing feature sizes it is necessary to increase the number of beams generated by the system, increase the current in the charged particle beams, and reduce the distance between the beams. The charged particle beams may be produced by collimating a single beam and generating multiple beams from the collimated beam using an aperture array having a plurality of apertures. The charged particle beams impinging on the aperture array will cause a significant heat load in the aperture array, and cause deformation of the aperture array. This deformation results in changing the dimensions of the aperture array due to expansion of the material from which the aperture array is constructed. This alters the spacing and alignment of the apertures which produce the separate charged particle beams, and unless corrected, will result in errors when writing the pattern onto the target. The present invention seeks to address this problem by providing a charged particle lithography system for transferring a pattern onto the surface of a target. The system comprises a beam generator for generating a plurality of charged particle beamlets, the plurality of beamlets defining a column, and a plurality of aperture array elements comprising a first aperture array, a blanker array, a beam stop array, and a projection lens array. Each aperture array element comprises a plurality of apertures arranged in a plurality of groups, the apertures for letting the beamlets pass through the aperture array element, wherein the groups of apertures of each aperture array element form beam areas distinct and separate from a plurality of non-beam areas formed between the beam areas and containing no apertures for passage of the beamlets, and wherein the beam areas of the aperture array elements are aligned to form beam shafts, each comprising a plurality of beamlets, and the non-beam areas of the aperture array elements are aligned to form non-beam shafts not having beamlets present therein. The first aperture array element is provided with cooling channels adapted for transmission of a cooling medium for cooling the first aperture array element, the cooling channels being provided in the non-beam areas of the first aperture array element. The first aperture array element may comprise a plate having a thickness in a direction of the axis of the column and a width in a direction perpendicular to the axis of the column, and the apertures may be formed through the thickness of the plate in the non-beam areas of the plate, and the cooling channels formed internally in the non-beam areas of the plate and extending in a direction of the width of the plate. The first aperture array element may comprise a plate having a thickness in a direction of the axis of the column and a width in a direction perpendicular to the axis of the column, wherein the apertures are formed through the thickness of the plate in the non-beam areas of the plate, and the cooling channels are formed in external elements attached to the plate in the non-beam areas and extending in a direction of the width of the plate, the cooling channels adapted for providing structural support for the first aperture array element. The cooling medium may comprise water. The system may further comprise a coolant system for flowing the cooling medium through the cooling channels, the coolant system being adapted to produce turbulent flow of the cooling medium through the cooling channels. The first aperture array may be made from a monolithic plate of material in which the apertures and cooling channels are formed. The first aperture array is made from a plate of Tungsten, or a plate of Copper or Molybdenum. The plurality of aperture array elements further comprise a current limiting aperture array and a condenser lens array, each comprising a plurality of apertures arranged in a plurality of groups, the apertures for letting the beamlets pass through the aperture array elements, and wherein the groups of apertures of each aperture array element form beam areas distinct and separate from a plurality of non-beam areas formed between the beam areas and containing no apertures for passage of the beamlets, and wherein the beam areas of the aperture array elements are aligned to form beam shafts, each comprising a plurality of beamlets, and the non-beam areas of the aperture array elements are aligned to form non-beam shafts not having beamlets present therein. The first aperture array element may comprise an integral current limiting aperture array, the apertures of the first aperture array element having a narrowest portion recessed below the upper surface of the first aperture array element. The first aperture array element may be provided with a curved upper surface facing towards the beam generator. The first aperture array element may be subdivided into alternating aperture-free areas and aperture areas, each aperture area comprising a plurality of apertures, and wherein the curved upper surface encompasses a plurality of the aperture-free areas and aperture areas. The curved upper surface of the first aperture array element may form a raised dome-shaped area protruding above the upper surface towards the beam generator, or may form a dome-shaped depression in the upper surface area facing the beam generator. The system may have an optical axis and the curved surface may be shaped according to a cosine function centred around the optical axis. The circumference of the curved surface may be substantially larger than the height of the curved surface. In another aspect, the invention comprises an aperture array element adapted for use in a charged particle lithography system for generating a plurality of beamlets for transferring a pattern onto the surface of a target, the aperture array comprising a plurality of apertures arranged in a plurality of groups, the apertures for letting the beamlets pass through the aperture array element. The groups of apertures form beam areas distinct and separate from a plurality of non-beam areas formed between the beam areas and containing no apertures for passage of the beamlets, and the first aperture array element is provided with cooling channels adapted for transmission of a cooling medium for cooling the first aperture array element, the cooling channels being provided in the non-beam areas of the first aperture array element. The first aperture array element may comprise any of the features described above for the aperture array element of the lithography system. In yet another aspect, the invention comprises a charged particle beam generator, comprising a charged particle source adapted for generating a diverging charged particle beam, a collimating system for refracting the diverging charged particle beam, the collimating system comprising a first electrode, and an aperture array element forming a second electrode. The system is adapted for creating an accelerating electric field between the first electrode and the second electrode. The first aperture array element of the charged particle beam generator may comprise any of the features described above for the aperture array element of the lithography system. The following is a description of various embodiments of the invention, given by way of example only and with reference to the figures. The figures are not drawn to scale and merely intended for illustrative purposes. FIG. 1 shows a simplified schematic drawing of an embodiment of a charged particle multi-beamlet lithography system 1. Such lithography system is for example described in U.S. Pat. Nos. 6,897,458 and 6,958,804 and 7,084,414 and 7,129,502, which are assigned to the applicant of the present application and which are hereby incorporated by reference in their entirety. Such lithography system 1 suitably comprises a beamlet generator generating a plurality of beamlets, a beamlet modulator patterning the beamlets to form modulated beamlets, and a beamlet projector for projecting the modulated beamlets onto a surface of a target. The beamlet generator typically comprises a source and at least one beam splitter. The source in FIG. 1 is an electron source 3 arranged to produce a substantially homogeneous, expanding electron beam 4. The beam energy of the electron beam 4 is preferably maintained relatively low in the range of about 1 to 10 keV. To achieve this, the acceleration voltage is preferably low, and the electron source 3 may be kept at a voltage between about −1 to −10 kV with respect to the target at ground potential, although other settings may also be used. In FIG. 1, the electron beam 4 from the electron source 3 passes a collimator lens 5 for collimating the electron beam 4. The collimator lens 5 may be any type of collimating optical system. Before collimation, the electron beam 4 may pass a double octopole (not shown). Subsequently, the electron beam 4 impinges on a beam splitter, in the embodiment of FIG. 1, a first aperture array 6 (although it is possible there are other aperture arrays before array 6). The first aperture array 6 preferably comprises a plate having through-holes. The aperture array 6 is arranged to block part of the beam 4. Additionally, the array 6 allows a plurality of beamlets 7 to pass through so as to produce a plurality of parallel electron beamlets 7. The lithography system 1 of FIG. 1 generates a large number of beamlets 7, preferably about 10,000 to 1,000,000 beamlets, although it is of course possible that more or less beamlets are generated. Note that other known methods may also be used to generate collimated beamlets. A second aperture array may be added in the system, so as to create subbeams from the electron beam 4 and to create electron beamlets 7 from the subbeam. This allows for manipulation of the subbeams further downstream, which turns out beneficial for the system operation, particularly when the number of beamlets in the system is 5,000 or more. The beamlet modulator, denoted in FIG. 1 as modulation system 8, typically comprises a beamlet blanker array 9 comprising an arrangement of a plurality of blankers, and a beamlet stop array 10. The blankers are capable of deflecting one or more of the electron beamlets 7. In embodiments of the invention, the blankers are more specifically electrostatic deflectors provided with a first electrode, a second electrode and an aperture. The electrodes are then located on opposing sides of the aperture for generating an electric field across the aperture. Generally, the second electrode is a ground electrode, i.e. an electrode connected to ground potential. To focus the electron beamlets 7 within the plane of the blanker array 9 the lithography system may further comprise a condenser lens array (not shown). In the embodiment of FIG. 1, the beamlet stop array 10 comprises an array of apertures for allowing beamlets to pass through. The beamlet stop array 10, in its basic form, comprises a substrate provided with through-holes, typically round holes although other shapes may also be used. In some embodiments, the substrate of the beamlet stop array 10 is formed from a silicon wafer with a regularly spaced array of through-holes, and may be coated with a surface layer of a metal to prevent surface charging. In some further embodiments, the metal is of a type that does not form a native-oxide skin, such as CrMo. The beamlet blanker array 9 and the beamlet stop array 10 operate together to block or let pass the beamlets 7. In some embodiments, the apertures of the beamlet stop array 10 are aligned with the apertures of the electrostatic deflectors in the beamlet blanker array 9. If beamlet blanker array 9 deflects a beamlet, it will not pass through the corresponding aperture in the beamlet stop array 10. Instead the beamlet will be blocked by the substrate of beamlet block array 10. If beamlet blanker array 9 does not deflect a beamlet, the beamlet will pass through the corresponding aperture in the beamlet stop array 10. In some alternative embodiments, cooperation between the beamlet blanker array 9 and the beamlet stop array 10 is such that deflection of a beamlet by a deflector in the blanker array 9 results in passage of the beamlet through the corresponding aperture in the beamlet stop array 10, while non-deflection results in blockage by the substrate of the beamlet stop array 10. The modulation system 8 is arranged to add a pattern to the beamlets 7 on the basis of input provided by a control unit 60. The control unit 60 may comprise a data storage unit 61, a read out unit 62 and data converter 63. The control unit 60 may be located remote from the rest of the system, for instance outside the inner part of a clean room. Using optical fibers 64, modulated light beams 14 holding pattern data may be transmitted to a projector 65 which projects light from the ends of fibers within a fiber array (schematically depicted as plate 15) into the electron optical portion of the lithography system 1, schematically denoted by the dashed box and reference number 18. In the embodiment of FIG. 1, the modulated light beams are projected on to the beamlet blanker array 9. More particularly, the modulated light beams 14 from optical fiber ends are projected on corresponding light sensitive elements located on the beamlet blanker array 9. The light sensitive elements may be arranged to convert the light signal into a different type of signal, for example an electric signal. A modulated light beam 14 carries a portion of the pattern data for controlling one or more blankers that are coupled to a corresponding light sensitive element. Suitably, in order to project the light beams 14 onto corresponding light sensitive elements optical elements such as a projector 65 may be used. Additionally, to allow projection of the light beams 14 at a suitable incident angle, a mirror may be included, for example suitably placed between a projector 65 and the beamlet blanker array 9. The projector 65 may be appropriately aligned with the plate 15 by a projector positioning device 17 under control of the control unit 60. As a result, the distance between the projector 65 and the light sensitive elements within the beamlet blanker array 9 may vary as well. In some embodiments, the light beams may, at least partially, be transferred from the plate towards the light sensitive elements by means of an optical waveguide. The optical waveguide may guide the light to a position very close to the light sensitive elements, suitably less than a centimeter, preferably in the order of a millimeter away. A short distance between an optical waveguide and a corresponding light sensitive elements reduces light loss. On the other hand, the use of plate 15 and a projector 65 located away from the space that may be occupied by the charged particle beamlets has the advantage that the beamlet disturbance is minimized, and the construction of the beamlet blanker array 9 is less complex. The modulated beamlets coming out of the beamlet modulator are projected as a spot onto a target surface 13 of a target 24 by the beamlet projector. The beamlet projector typically comprises a scanning deflector for scanning the modulated beamlets over the target surface 13 and a projection lens system for focusing the modulated beamlets onto the target surface 13. These components may be present within a single end module. Such end module is preferably constructed as an insertable, replaceable unit. The end module may thus comprise a deflector array 11, and a projection lens arrangement 12. The insertable, replaceable unit may also include the beamlet stop array 10 as discussed above with reference to the beamlet modulator. After leaving the end module, the beamlets 7 impinge on a target surface 13 positioned at a target plane. For lithography applications, the target usually comprises a wafer provided with a charged-particle sensitive layer or resist layer. The deflector array 11 may take the form of a scanning deflector array arranged to deflect each beamlet 7 that passed the beamlet stop array 10. The deflector array 11 may comprise a plurality of electrostatic deflectors enabling the application of relatively small driving voltages. Although the deflector array 11 is drawn upstream of the projection lens arrangement 12, the deflector array 11 may also be positioned between the projection lens arrangement 12 and the target surface 13. The projection lens arrangement 12 is arranged to focus the beamlets 7, before or after deflection by the deflector array 11. Preferably, the focusing results a geometric spot size of about 10 to 30 nanometers in diameter. In such preferred embodiment, the projection lens arrangement 12 is preferably arranged to provide a demagnification of about 100 to 500 times, most preferably as large as possible, e.g. in the range 300 to 500 times. In this preferred embodiment, the projection lens arrangement 12 may be advantageously located close to the target surface 13. In some embodiments, a beam projector may be located between the target surface 13 and the projection lens arrangement 12. The beam protector may be a foil or a plate provided with a plurality of suitably positioned apertures. The beam protector is arranged to absorb the released resist particles before they can reach any of the sensitive elements in the lithography system 1. The projection lens arrangement 12 may thus ensure that the spot size of a single pixel on the target surface 13 is correct, while the deflector array 11 may ensure by appropriate scanning operations that the position of a pixel on the target surface 13 is correct on a microscale. Particularly, the operation of the deflector array 11 is such that a pixel fits into a grid of pixels which ultimately constitutes the pattern on the target surface 13. It will be understood that the macroscale positioning of the pixel on the target surface 13 is suitably enabled by a wafer positioning system present below the target 24. Commonly, the target surface 13 comprises a resist film on top of a substrate. Portions of the resist film will be chemically modified by application of the beamlets of charged particles, i.e. electrons. As a result thereof, the irradiated portion of the film will be more or less soluble in a developer, resulting in a resist pattern on a wafer. The resist pattern on the wafer can subsequently be transferred to an underlying layer, i.e. by implementation, etching and/or deposition steps as known in the art of semiconductor manufacturing. Evidently, if the irradiation is not uniform, the resist may not be developed in a uniform manner, leading to mistakes in the pattern. High-quality projection is therefore relevant to obtain a lithography system that provides a reproducible result. No difference in irradiation ought to result from deflection steps. FIG. 2 schematically shows the operation of an embodiment of the beamlet blanker array 9 in the lithography system of FIG. 1. In particular, FIG. 2 schematically shows a cross-sectional view of a portion of a beamlet modulator comprising a beamlet blanker array 9 and beamlet stop array 10. The beamlet blanker array 9 is provided with a plurality of apertures 35. For sake of reference the target 24 has also been indicated. The figure is not drawn to scale. The shown portion of the beamlet modulator is arranged to modulate three beamlets 7a, 7b, and 7c. The beamlets 7a, 7b, 7c may form part of a single group of beamlets that may be generated from a beam originating from a single source or from a single subbeam. The beamlet modulator of FIG. 2 is arranged for converging groups of beamlets towards a common point of convergence P for each group. This common point of convergence P is preferably located on an optical axis O for the group of beamlets. FIG. 3A schematically shows a top view of an arrangement of electrodes within a beamlet blanker array wherein the beamlet blanker array is arranged to converge groups of beamlets towards a common point of convergence. In this embodiment the beamlet blankers take the form of electrostatic modulators 30, each modulator 30 comprising a first electrode 32, a second electrode 34, and an aperture 35 extending through the body of the beamlet blanker array. The electrodes 32, 34 are located on opposing sides of the aperture 35 for generating an electric field across the aperture 35. The individual modulators 30 form a radial arrangement around a centrally located optical axis O. In the embodiment shown in FIG. 3A, both electrodes 32, 34 have a concave shape, which makes the shape of the electrodes 32, 34 conform to the cylindrical apertures 35. This cylindrical aperture shape is in itself suitable for preventing the introduction of certain optical aberrations, such as astigmatism. FIG. 3B shows an alternative arrangement of electrodes within a beamlet blanker array wherein the beamlet blanker array is arranged to converge groups of beamlets towards a common point of convergence. In this arrangement the individual modulators 30 do again form a radial arrangement around a centrally located optical axis O. However, the individual modulators 30 are not placed in concentric circles around the optical axis, but in an array formed by columns and rows with orientations substantially perpendicular to each other. Simultaneously, the electrodes 32, 34 of the individual modulators 30 do have an orientation such that they can deflect beamlets along radial lines extending from the optical axis O. FIG. 4 schematically shows a top view of yet another embodiment of electrodes within a beamlet blanker array. In this embodiment, the electrodes 32, 34 are again situated around apertures 35, but the second electrodes 34 of several modulators 30 are integrated into a single strip. The modulators 30 are arranged in rows. An isolation zone 39 is suitably present between a first row 37 of modulators 30 and a second row 38 of modulators 30. The isolation zone 39 is designed to prevent undesired discharge. FIG. 5 schematically shows a top view of a topographic arrangement of components that may be used in a beamlet blanker array 9 according to embodiments of the invention. The beamlet blanker array is divided into beam areas 51 and non-beam areas 52. The beam areas 51 represent areas arranged to receive and modulate beamlets. The non-beam areas 52 are areas arranged to provide an area for components needed to support the components within the beam areas 51. Components being present within the beam areas 51 include the modulators 30. The modulators 30 may take the form of electrostatic deflectors as discussed with reference to FIGS. 2-4. Components within the non-beam areas 52 may include light sensitive elements 40 arranged to receive modulated light signals, for example in a way as discussed with reference to FIG. 1. Suitable examples of light sensitive elements 40 include but are not limited to photodiodes and phototransistors. The non-beam areas in the embodiment shown in FIG. 5 further include demultiplexers 41. The light signals received by the light sensitive elements 40 may be multiplexed signals to include information for more than one modulator 30. Therefore, after reception of the light signal by the light sensitive element 40, the light signal is transferred to a demultiplexer 41 where the signal is demultiplexed. After demultiplexing, the demultiplexed signals are forwarded to the correct modulators 30 via dedicated electrical connections 42. As a result of the use of multiplexed light signals and an arrangement of light sensitive elements 40 and demultiplexers 41, the number of light sensitive elements 40 is lower than the number of modulators 30. Having a limited number of light sensitive elements 40 enables reduction of the dimensions of the non-beam areas 52. The beam areas 51 may then be placed more closely together to increase the number of modulators 30 per unit area in the blanker array. In comparison to the non-multiplexed embodiment, the lay-out of the beamlet blanker array would then be more compact if the same number of modulators would be used. If the dimensions of the blanker array would remain substantially the same, more modulators could be used. Alternatively, instead of decreasing the size of the non-beam areas 52 the use of the multiplexed embodiment could enable the use of light sensitive elements 40 with a greater light receiving area. The use of a greater light receiving area per light sensitive element 40 reduces the complexity of the optics needed to direct the light signals towards the correct light sensitive element 40 and makes the light receiving structure more robust. The modulators 30 may be suitably arranged in columns and rows to allow addressing via wordlines 56 and bitlines 57 and storage elements 58, as shown in FIG. 6. Such arraywise addressing reduces the number of connections extending from the demultiplexer 41 to the modulators 30. For example, in FIG. 6 only 10 connection lines are present, while individual addressing would result in 25 connection lines to address the 25 modulators 30. Such reduction of connection lines improves the reliability of the beamlet blanker array 9 as it becomes less susceptible to failure due to a malfunctioning connection between a demultiplexer 41 and a modulator 30. Furthermore, the connections may occupy less space if placed in such arraywise addressing arrangement. FIGS. 7A and 7B are schematic diagrams of a lithography machine with the column divided into beam and non-beam areas, showing more detail of some of the elements of the machine. FIG. 7A shows a charged particle source comprising an electron gun 70 with cathode 70a and gun mounting plate 70b. A collimator electrode 72 and a series of array elements including aperture array 6, condenser lens arrays 74, multi-aperture array 75, beamlet blanker array 9, beam stop array 10, and projection lens arrays 12. FIG. 7B is an expanded view showing a cross-section through these aperture array elements. In the embodiment shown the first aperture array 6 includes a collimator aperture array 6a and current limiting array 6b. The system also includes three condenser lens arrays 74, a multi-aperture array 75, beamlet blanker array 9, beam stop array 10, and three projection lens arrays 12. Each aperture array element includes beam areas which comprise a large number of apertures through which a corresponding group of beamlets pass on their path from source to target, and non-beam areas in which have no apertures for beamlets. The beam areas comprise distinct and separate areas for conveying and manipulating the beamlets, and the non-beam areas comprise distinct and separate areas dedicated to accommodating components and circuitry for support of the functions of the various aperture array elements. In the embodiment illustrated in FIG. 7B, the beam areas are located in corresponding vertical locations on the various aperture array elements, so that a vertical column of beamlets pass through the apertures of a single corresponding beam area of each aperture array element along the beamlets' trajectories. In the embodiment shown, there are multiple columns of beamlets, each column comprising substantially parallel beamlets and the different columns of beamlets substantially parallel to each other, and each group of beamlets passing through a single beam area of each aperture array element. In other embodiments, the beamlets within a column may be non-parallel, e.g. converging or diverging, and/or the columns of beamlets may be non-parallel. The non-beam areas are similarly located in corresponding vertical locations on the various aperture array elements. The resulting structure creates vertical shafts within the substantial part of the vertical height of the projection column of the lithography machine, alternating shafts occupied by charged particle beamlets and shafts in which no beamlets are present. The aperture array elements and projection column are usually located in a vacuum chamber, as shown for example schematically in FIGS. 8A and 8B. A number of the aperture array elements are depicted in an intermediate chamber within a main vacuum chamber, or alternatively, the first aperture array element may be located in the intermediate chamber with the remaining aperture array elements below and outside the intermediate vacuum chamber. In the embodiment of FIG. 7B, the alternating beamlet shafts and non-beamlet shafts begin from the first aperture array element 6a in the projection column. The first aperture array element 6a initially creates the shafts, due to the arrangement of apertures therein. The first aperture array element 6a may be made part of a collimator electrode, or located in close proximity to the collimator electrode, as shown in FIG. 7A. The collimator aperture array element 6a may be integral with a current limiting aperture array 6b having apertures aligned with or part of the apertures of aperture array 6a. These two aperture array elements may be provided with a common set of cooling ducts 77, located in the non-beams areas of the aperture array elements, for passage of a cooling medium such as water as indicated in FIG. 7B. Each aperture array element or integral set of aperture array elements may have their own set of cooling ducts, and the cooling setpoint set at a different temperature level, e.g. being set by flow rate of the cooling medium. In the projection column of FIGS. 7A and 7B, the column subsequently comprises a condenser lens array 74, comprising in this embodiment a set of three condenser lens electrodes. Downstream from the condenser lens electrodes 74 is a multi-aperture array element 75 and a beamlet modulator or blanker element 9. Further downstream of these elements, with sufficient intervening space 79 to accommodate a light optics system and sensor, a beam stop array 10 is included, and yet further downstream a projection lens assembly 12. Beamlet deflector arrays are omitted from FIGS. 7A and 7B but may be located above or below the beam stop array. The projection column and hence the system is now improved by having it partially sub-divided in beam and non-beam shafts. A simplified diagram of the projection column from source to target in shown in FIG. 9, showing a lithography machine forming multiple sub-beams 7a (by aperture array 6) and multiple beamlets 7b (by multi-aperture array 75) from each sub-beam, and the sub-beams and beamlets being arranged within the projection column in shafts alternating with non-beam shafts. Such a division into beam and non-beam shafts at the location of the beamlet blanker element 9 allows for efficient spatial incorporation of light optics, light optics sensors and associated and further required electrical circuitry in the non-beam areas thereof. The light optics may comprise free space light optics, as well as optical fibers, guiding light signals from a pattern streaming system onto the beamlet blanker element, and in particular light sensitive elements located on the blanker element. The beam and non-beam shafts may extend from the first aperture array element 6 up to the projection lens array element 12. This extent regards both the columns space as well as the elements included therein upstream and downstream. In all cases, especially at the aperture array elements 6a and 6b, multi-aperture array 75 and blanker array 9, and beam stop array 10, the non-beam areas are preferably provided with structural support elements, enhancing rigidity and hence the functional quality of the aperture array elements. As can be seen in FIG. 7B, the support elements may be common to two subsequent aperture array elements of the projection column. The structural element may also be adapted to function as a structural cooling element, e.g. in the form of a cooling duct for a cooling medium. In this respect, at least the first aperture array element 6 of the column, is provided with cooling ducts 77 in the non-beam areas. FIG. 10 is a diagram illustrating an example of the current and heating effect of a beamlet of a charged particle lithography machine as it passes though various aperture array elements of the projection column. The current levels of the beamlet in milli-Amperes (mA) and power levels in Watts (W) are indicated. The largest amount of power, occurring in the form of heat, will build up at the first aperture array element 6a of the column. Hence, at least the first aperture array element 6a is provided with cooling elements located in the non-beam areas of the element. Downstream of the aperture array element 6a or integrated with it, the column is preferably provided with a second, functionally current limiting aperture array 6b, an aligned aperture array element having apertures smaller in diameter than the aperture array element 6a. The condenser lens elements 5, 74 are provided with apertures of a diameter larger than that of a preceding current limiting aperture array element, and thus are hardly loaded with heat from the beamlets and are not provided with any cooling provision. It may also become evident that the multi aperture array element 75 and blanker array 9 (integrated into a single unit in the embodiment illustrated in FIG. 10) are relatively hardly loaded with heat. However, to improve the quality of the beamlets created by the multi-aperture array element 75, this element and the blanker element 9 may also each be provided with cooling, here in the form of a set of cooling medium ducts, likewise integrated with a stiffness support structure. Preferably the multi aperture array element 75 and the blanker element 9 are provided with a common cooling system. The beam stop array 10 may also be provided with a possibly integrated cooling provision, preferably at the upstream side thereof. FIG. 11 is a top view of the beamlet blanker element 9 showing beam areas 81 (also referred to as aperture areas) and non-beam areas 82 (also referred to as non-aperture areas). Preferably the beam and non-beam areas are composed as rectangular shaft cross sections, composed of a non-beam area 82 of half the width of that of a beam area 81, typically of 4 mm and 2 mm width. Such sets are included in the system with the non-beam areas or shafts included back-to-back. FIG. 12 shows a cross-section through an aperture array element showing beam/aperture areas 84 and non-beam/non-aperture areas 85. A cooling duct 77 embodied as a support member comprising multiple internal sub-channels 77a through which a cooling medium flows. Each cooling duct/support member 77 is located in a non-aperture area 85 located between adjacent aperture areas 84. FIGS. 13, 14 and 15 show an embodiment of the aperture array element 6 comprising a monolithic plate 90 with a curved upper surface. This embodiment of the aperture array element 6 may be used in any of the embodiments of the lithography system described herein and with the other array elements described herein, may include a collimator aperture array 6a and current limiting aperture array 6b formed as an integral unit, and may include alternating beam and non-beam areas aligned with and forming shafts are described herein. The upper surface of the aperture array is curved upwards (in the direction of the charged particle source) in a simple convex shape to form a raised dome-shaped portion 91. The center of the curve may be about 3 mm higher than the edge of the curved section, with a circumference of for example 50 mm. The aperture areas 84 represent areas arranged to receive the charged particle beam and each aperture area 84 comprises a plurality of apertures in the form of through holes. In this embodiment, the aperture areas 84 are rectangular in shape (5 rectangular aperture areas are shown but a different number may be used) to match the rectangular shaped beam areas of the other elements such as the blanker array 9 shown in FIG. 11. The non-aperture areas 85 are areas free of apertures and form rectangular areas between and alternating with the apertures areas 84. The domed portion of the upper surface of the aperture array may be curved upwards according to a cosine function centred around the optical axis of the system. It was found that this cosine shape provides better beam aberration reduction. The radius of the domed portion 91 is preferably larger than the diameter of the charged particle beam where the beam intersects the surface of the aperture array. In another embodiment, the domed portion 91 may also be formed as a dome-shaped depression in the upper surface of the aperture array. The plate 90 of the aperture array includes cooling channels 77a formed internally in the plate, through which a cooling medium may flow. The cooling channels extend through the non-aperture areas 85, extending along the length of each non-aperture area. The cooling medium is preferably water, although other suitable fluids may also be used. The cooling medium is preferably flowed through the channels 77a to provide turbulent flow within the channels. Turbulent flows typically have much higher Nusselt numbers. This is due the fact that turbulent mixing occurs in the boundary layer of the flow, providing enhanced heat transfer from the channel walls in to the interior of the flow. In laminar flow, there is no flow component perpendicular to the channels walls, so any heat transfer in that direction occurs solely via much less efficient conduction in the cooling fluid. Several relations exist to determine the Nusselt number for turbulent flow, but generally the Nusselt number is mainly dependent on the Reynolds number of the flow. For a 3 mm channel and 10 m/s flow speed, Re=30000 for a 20 degrees C. water flow, the associated Nusselt number is 190 and the heat transfer coefficient is fluid-wall temperature difference is an acceptable 40K. This estimate shows that turbulent convective heat transfer can be an adequate heat transfer mechanism for the aperture array. Considering the limitations of the geometries involved, the only practical method of efficient heat transfer away from the aperture array is via convection. Conductive heat transfer will always occur to some extent in moving heat from the aperture array surface to a heat sink (e.g. coolant channels), but convective heat transfer should take over at some point. Laminar convective heat transfer may be insufficient, but a turbulent flow can absorb the heat input at a reasonable fluid-channel delta T of several tens of degrees. A two-phase (boiling) convective flow may also be used, but is much more complex and does not provide an advantage over turbulent convection. Regular water is preferred as the coolant. Water is one of the best performing “conventional” fluid. It is easier to use that ammonia, which requires a high pressure system and more extensive safety measures. In the comparison with liquid metals, only Gallium performs better, but water at elevated temperatures can meet the performance of Gallium, if required, water has a much larger allowable flow speed range than Gallium, and the use of gallium introduces other complications such as solidification, cost, corrosivity, and diffusion. The aperture array may be constructed as a monolithic cooling block, so that the aperture array is integrated with the cooling block as a single unit. The cooling channels, apertures and support structure may all be fabricated out of the same monolithic block of material. A big advantage of this design is that it allows for a curved aperture array upper surface (facing the charged particle source). This curvature provides a significant improvement in the collimator spherical aberration. The first aperture array 6 may be constructed with a curved upper surface (e.g. a 3 mm bulge or domed portion 91) and with straight coolant channels 77a, resulting in varying distances between the coolant channels and the curved upper surface of the aperture array element. This geometry takes advantage of the spherical aberration improvement but results in larger and varying thermal path lengths from the beam areas to the coolant channels. In another embodiment, the cooling channels are curved similarly to the upper surface in the domed portion, to reduce variation in the thermal path lengths. The aperture array is preferably made form a metallic material, in particular Copper, Molybdenum or Tungsten. Copper is preferred for its high thermal conductivity, and Molybdenum and Tungsten for their good thermal conductivity/expansion ratio. The disc 90 and coolant channels 77a and can be fabricated using conventional methods. The curved upper surface can be fabricated using conventional techniques, depending on the required surface and shape tolerances. The apertures of the aperture array may be laser drilled or laser micromachining may be used. Oxford Lasers, for example supplies laser drilling systems and sub-contracting. In flat plates of silicon, copper, molybdenum, tungsten and other materials, the production of 100 micron diameter holes is feasible. Etching a curved surface is not preferred, due to the difficulties in resist spinning, lithographic exposure and etch depths. However, the very large number of holes to be drilled (e.g. around 100,000 apertures including blind holes in the non-aperture area) and drilling depths of 500 micron are additional considerations, requiring a long time to fabricate each array, and favouring the use of Tungsten. Manufacturing the cooling channels using a drilling technique results in straight channels, and varying distances between the cooling channel and the curved upper surface of the aperture array element. The monolithic element may be fabricated using the so called 3D printing technique, enabling construction with the curved coolant channels. This permits the coolant channels to follow the curvature of the upper surface of the aperture array element, so that the thermal path length between the upper surface of the aperture array and the coolant channels to be made constant, resulting in more constant heat transfer to the coolant channels across the extent of the beam area of the aperture array element. In operation when the aperture array becomes heated, large-scale z-direction deformation (i.e. in a direction parallel to the axis of the lithography column) is dominated by an axisymmetric bowing effect. The asymmetric nature of the deformation may be due to the radially varying temperature gradient in the curved array surface. A typical variation in z-deformation (over the center 40 mm diameter) is around 30 um for copper, 20 um for Molybdenum and 15 um for Tungsten. Although this z-deformation is relatively high, a spherical shape of the aperture array upper surface is desirable in some configurations of the lithography column, and the spherical component of the surface shape can be subtracted from the deformation. Calculations for the aperture array of FIGS. 13-15 shows it can dissipate a 3400 W heat load while maintaining 3 micron flatness and an average temperature below 200C. Due to X-Y direction (i.e. perpendicular to the axis of the lithography column) deformation, some degree of pre-compensation of the aperture locations is desirable, i.e. locating the apertures in the aperture array so that during operation when the aperture array is heated, the deformation of the aperture array due to its temperature rise results in the apertures assuming their desired X-Y direction positions. Two major advantages of the aperture array of FIGS. 13-15 is the monolithic design of the array and cooling structure. This is advantageous for the thermal behaviour and ensures a more consistent part quality, in terms of geometry and internal stresses. Other designs including a bond between materials of varying thermo-mechanical properties may give rise to unpredictable internal stresses during fabrication. The invention has been described by reference to certain embodiments discussed above. It will be recognized that these embodiments are susceptible to various modifications and alternative forms well known to those of skill in the art without departing from the spirit and scope of the invention. Accordingly, although specific embodiments have been described, these are examples only and are not limiting upon the scope of the invention, which is defined in the accompanying claims.
abstract
The invention relates to the recording of an object (4) by imaging with a radiation source (2) on a recording medium (3) using aperture (6), the size of which may be adjusted using adjusting means (7), depending on the size of said object. Sensors (8) are provided for determination of object size. The quality of the images, which are in particular X-ray images, can thus be improved.
051065738
summary
TECHNICAL FIELD The present invention relates generally to boiling water reactors, and, more specifically, to a boiling water reactor (BWR) having natural, gravity affected steam separation from boiling water. BACKGROUND ART A conventional, nuclear boiling water reactor (BWR) typically includes a steam separator and a steam dryer for removing water moisture in the form of liquid from steam vapor generated by the boiling of water in the reactor core. Conventional steam separators are relatively complex structures requiring space inside a reactor pressure vessel and must be removed and inspected during every refueling outage. There presently exists an increased interest in developing advanced boiling water reactors which are fundamentally simpler than conventional boiling water reactors through the elimination of equipment, or the use of simpler, passive means for accomplishing certain functions of the reactor. One option being considered is the use of natural separation by gravity of water, in its liquid phase, from the water/steam mixture resulting from the boiling of water by the reactor core within the reactor pressure vessel, without the need for an internal steam separator assembly which is conventionally used in boiling water reactors. Natural steam separation has been obtained in past designs of boiling water reactors, but, however, at relatively low power ratings. For example, in one prior boiling water reactor having low power output of about 60 megawatt electrical (MWe), natural water and steam separation is accomplished by providing a large cross section open plenum above the water level in the pressure vessel wherein liquid may separate from steam due to the natural effect of gravity acting thereon. As water is boiled by the reactor core, steam voids, or bubbles, are formed in the water and rise by their buoyancy to the water level. At the water level, the steam voids continue to rise due to their relatively low density, but, however, some water liquid also rises with the steam. If the velocity of the rising steam and water is relatively low, gravity is effective for causing much of the liquid to drop and separate from the steam. The required low exiting velocity of the steam and water mixture may be accomplished for low power density designs with low volume of steam and large upper plenum cross sectional area. For high power density designs with small diameter reactor pressure vessels, however, the steam leaving velocity is relatively high and gravity is no longer effective for acceptably separating the liquid from the steam. The liquid carried with the steam upwardly above the water level in the reactor pressure vessel is called carryover and is undesirable. In one advanced BWR being presently considered, a 600 MWe power output is being considered in a reactor pressure vessel which is not proportionally larger than that for the 60 MWe design mentioned above, hence, with a correspondingly high leaving velocity of the steam from the water level interface. In order to effectively reduce the amount of carryover of liquid in the steam in such a relatively high power output reactor, steam separators are conventionally required. Furthermore, conventional steam dryers are also required to further remove any remaining liquid from the separated steam before being channeled to a conventional steam turbine for the extraction of energy therefrom for driving an electrical generator, for example. Furthermore, since a conventional BWR reactor is an annular structure, the water is heated by the core more quickly adjacent to the center of the core than around its perimeter. As a result, the steam leaving velocity from the water level, or water-steam interface, has a nonuniform distribution from the center of the pressure vessel and radially outwardly therefrom, with higher leaving velocities at the former and lower leaving velocities at the latter. Accordingly, the high center steam leaving velocities further decrease the ability for obtaining natural separation of the liquid from the steam, which, therefore, requires the use of conventional mechanical steam separators. Natural circulation, or recirculation, of the water coolant contained in the reactor vessel of a BWR is also being considered for the simplified designs. Natural recirculation of reactor coolant is accomplished by density differences between the relatively cool water in the downcomer channel disposed between the pressure vessel wall and both the reactor core and a tall riser, or chimney, extending upwardly therefrom, and the relatively hot water being boiled in the core, which has steam voids rising therein. The low density water/steam mixture rises naturally from the core and upwardly through the chimney, with the steam being dispelled upwardly from the water level/interface above the top of the chimney, and the remaining water being recirculated radially outwardly from the vessel centerline and downwardly through the downcomer. Conventional cool feedwater is returned from the steam turbine and condensors and reintroduced into the pressure vessel by conventional spargers for mixing with the coolant flowing downwardly in the downcomer. The sparger feedwater mixes with the recirculating coolant in the vessel at the top of the downcomer for reducing its temperature, and therefore increasing its density, which assists in causing the coolant to fall naturally by gravity in the downcomer to the bottom of the pressure vessel wherein it turns upwardly to repeat the cycle through the reactor core. This natural recirculation of the water up through the core and chimney and down through the downcomer provides a crossflow of the water radially outwardly from the vessel centerline toward the downcomer just below the water level. This crossflow conventionally effects carryunder of some of the steam voids with the water as it turns to begin its journey back downwardly through the downcomer. Carryunder of steam is generally undesirable because it increases the average temperature of the recirculating water carried downwardly in the downcomer, and therefore, typically requires lower temperature feedwater from the sparger to quench steam bubbles, or cool, the recirculating water which necessarily results in reduced thermal efficiency. Furthermore, the higher temperature of the water due to carryunder of steam also reduces the average density thereof, especially if the steam voids are not totally quenched, and decreases the natural recirculation flow. OBJECTS OF THE INVENTION Accordingly, one object of the present invention is to provide natural separation of steam and water in a high power density (and high total power output) boiling water reactor. Another object of the present invention is to provide a simpler steam separator having low steam carryunder. Another object of the present invention is to provide a simpler steam separator having low water carryover. Another object of the present invention is to provide a steam separator having improved distribution of steam leaving velocities from the water-steam interface. Another object of the present invention is to provide a steam separator effective for separating steam naturally by using gravity, and without the need for a conventional mechanical steam separator above the water level. Another object of the present invention is to provide a steam separator effective for obtaining improved thermal efficiency in a high power, natural circulation boiling water reactor. Another object of the present invention is to provide load following capability (modulation of reactor power output) for a natural circulation BWR by varying the effectiveness of the steam separation process. DISCLOSURE OF INVENTION A steam separator for a boiling water reactor includes a pressure vessel and a chimney spaced radially inwardly therefrom to define a downcomer therebetween for recirculating water flow, the chimney being disposed above a reactor core for channeling upwardly therefrom steam voids and water flow. An annular partition wall is spaced radially between the vessel and the chimney to define an annular collection chamber having an inlet for receiving a portion of the steam voids and water flow from the chimney, a steam outlet for discharging the steam voids from the chamber, and a flow outlet for discharging the water flow from the chamber into the downcomer.
summary
abstract
Among other things, an anti-scatter collimator (200) includes a first anti-scatter structure (302) defining a retaining member (432). The retaining member includes a first protruding member having a top surface defining a first plane, and a second protruding member having a second top surface defining a second plane. The second protruding member is spaced apart from the first protruding member to define a groove (434). The retaining member includes a support member extending between the first protruding member and the second protruding member. The support member defines a bottom surface of the groove. The bottom surface of the support member is spaced a distance apart from the first plane and the second plane. A second anti-scatter structure (303) includes a septum disposed within the groove. The first protruding member, the second protruding member, and the support member maintain a position of the septum relative to the first anti-scatter structure.
abstract
An X-ray exposure apparatus includes an X-ray source for generating pulsed X-rays, which are emitted radially, and first to nth exposure devices, disposed in a position facing the X-ray source and receiving the X-rays in an approximately perpendicular direction, and which use the received X-rays. The exposure devices project patterns of first to nth masks onto respective ones of first to nth substrates that are to be exposed.
047073241
claims
1. Apparatus for controlling the response to a load signal of a pressurized water reactor having a plurality of controlled process variables, said apparatus comprising: a control system for effecting control actions to regulate a selected process variable to a value called for by a setpoint reference signal; and means responsive only to rapid fluctuations in said load signal above a predetermined frequency for adjusting the value of said setpoint reference signal by an amount which substantially matches the variation in the value of the selected process variable expected as a result of said rapid fluctuations in the load signal without control action, and taking into account any time delay in the affect of the rapid fluctuations on the selected process variable, whereby control actions effected by said control system are substantially reduced. generating setpoint signals for said control systems; operating said control system to effect control actions which regulate the associated process variables to the value called for by the associated setpoint signal; generating a load signal representative of the load imposed on the reactor; generating from said load signal a rapid fluctuation signal representative of the magnitude of fluctuations in the load signal above a predetermined frequency; and adjusting the magnitude of at least one of said setpoint signals in response to said rapid fluctuation signal by an amount which substantially matches the change in the value of the associated process variable expected as a result of said rapid fluctuations in the load signal without control action, taking into account any time delay in the affect of the load change on the value of the associated process variable, whereby the control actions required by the associated control system are significantly reduced. generating a transfer function representative of the change required in at least one setpoint signal to effect a change in the value of the associated process variable which substantially matches the expected change in the process variable resulting from the changes in load above said predetermined frequency; applying said transfer function to said rapid fluctuation signal to generate an adjustment signal; and summing said adjustment signal with said at least one setpoint signal. rod control means responsive to the load signal for positioning the control rods to regulate the power level of the reactor to the power level called for by the load signal, and including means for generating a deadband in the response of the control rods to changes in the magnitude of the load control signal by inhibiting repositioning of the control rods when the change in magnitude of the load signal is smaller than a selected change in magnitude such that the reactor adjusts to such small changes in load imposed thereon through changes in operating temperature; and deadband adjusting means for varying the value of said selected change in magnitude of the load signal defining said deadband as a function of the magnitude of fluctuations in the load signal above a predetermined frequency, whereby the width of the deadband in the rod control means is varied with the magnitude of the rapid power changes resulting in a significant reduction in the control actions required by the rod control means. deadband signal generating means responsive to said load signal for generating a deadband control signal as a function of the magnitude of fluctuations in the load signal above a predetermined frequency; reference means for generating a temperature reference signal from said load signal; and rod control means response to the magnitude of the temperature reference signal (T.sub.REF) for positioning said control rods and including deadband control means responsive to said deadband control signal for inhibiting the response of the control rods to the temperature reference signal (T.sub.REF) when the difference between actual temperature and the temperature called for by the temperature reference signal is less than said deadband control signal, whereby the deadband in the response of the control rods to changes in the load signal varies as a function of the magnitude of rapid load changes resulting in a significant reduction in the control actions required by the rod control means. positioning the control rods as a function of the change in magnitude of the load signal when the magnitude of such change exceeds a selected value, such than there is a deadband in the response of the control rods to changes in magnitude of the load signal which causes the reactor to respond to changes in magnitude smaller than the selected value through a change in reactor operating temperature; and varying said selected value as a function of the magnitude of fluctuations in the magnitude of the load signal above a predetermined frequency whereby positioning of the control rods is substantially reduced. 2. The apparatus of claim 1 wherein said setpoint reference signal adjusting means includes means for limiting the amount by which said setpoint signal is adjusted to a predetermined value whereby control actions are effected by said control system in response to rapid fluctuations in said load signal which are of large magnitude. 3. The apparatus of claim 2 wherein said pressurized water reactor supplies steam to a turbine-generator set having an impulse chamber, wherein said apparatus includes means for generating said load signal as a function of the steam pressure in said impulse chamber and wherein the setpoint reference signal adjusting means adjusts the amount by which the setpoint signal is adjusted as a function of time taking into account the lag in time in the response of the selected variable to a change in impulse chamber steam pressure. 4. The apparatus of claim 1 wherein said pressurized water reactor includes control rods, wherein said control system positions the control rods to regulate the reactor power level in response to a temperature reference setpoint signal and includes means for generating said temperature reference setpoint signal as a function of said load signal, and wherein said setpoint signal adjusting means adjusts said temperature reference setpoint signal by an amount which results in a change in reactor power level through a change in reactor temperature rather through repositioning of the control rods. 5. The apparatus of claim 4 wherein said rod control system includes means for generating a deadband in the response of the control rods to said temperature reference setpoint signal by inhibiting repositioning of the control rods in response to changes in the temperature reference setpoint signal below a predetermined magnitude. 6. The apparatus of claim 5 wherein said deadband generating means comprises means for varying the width of said deadband as a function of the magnitude of the changes in the temperature reference setpoint signal above said predetermined frequency. 7. The apparatus of claim 1 wherein said pressurized water reactor includes a primary coolant loop and a pressurizer for maintaining a programmed pressure in the primary coolant loop, and wherein said control system includes means responsive to a pressure reference setpoint signal for regulating pressurizer pressure and said adjusting means is responsive to fluctuations in said load signal above said predetermined frequency to adjust the pressure reference setpoint signal by an amount which corresponds to the expected variation in pressurizer pressure as a result of the rapid fluctuations in the load signal. 8. The apparatus of claim 7 wherein said adjusting means includes means for adjustment of the pressure reference setpoint signal in response to rapid fluctuations in the load signal when the pressurizer pressure is above a predetermined magnitude. 9. The apparatus of claim 8 wherein said adjusting means includes means for limiting the amount by which the pressure setpoint signal is adjusted to a predetermined value. 10. A method of operating a pressurized water reactor having a plurality of control systems controlling a plurality of process variables, said method comprising the steps of: 11. The method of claim 10 wherein said adjusting step comprises the steps of: 12. The method of claim 11 including the step of limiting the magnitude of the correction signal to a preselected value. 13. The method of claim 12 including the steps of measuring the selected process variable and applying said correction signal to the setpoint signal only when said selected process variable is below a preselected value. 14. The method of claim 12 including the steps of operating said control system so that control action is only effected when the change in magnitude of the setpoint signal exceeds a preset value so that there is a deadband in the response of the control system. 15. The method of claim 14 including the step of adjusting the width of said deadband as a function of the magnitude of the fluctuations in said load signal above said predetermined frequency. 16. The method of claim 15 wherein said pressurized water reactor includes a reactor coolant loop, wherein said selected process variable is coolant temperature, wherein said control system effects changes in coolant temperature by repositioning control rods in the reactor and wherein said setpoint signal is a temperature reference signal generated as a function of said load signal. 17. The method of claim 13 wherein said pressurized water reactor includes a reactor coolant loop and a pressurizer controlled by said at least one control system to regulate the pressure in the reactor coolant loop, and wherein the setpoint signal represents a programmed value for the pressurizer pressure. 18. Apparatus for controlling the response of a pressurized water nuclear reactor, having control rods for controlling the reactor power level, to rapid fluctuations in a load signal representative of the load imposed on the reactor, said apparatus comprising: 19. The apparatus of claim 18 wherein said means for varying the value of said selected change in magnitude of the load signal defining the deadband includes means for increasing the value of the selected change as the magnitude of the fluctuations in the load signal above the predetermined frequency increases. 20. The apparatus of claim 19 including means for generating a temperature reference signal (T.sub.REF) from said load signal and wherein said rod control means positions the control rods in response to said temperature reference signal, said apparatus also including protection means for generating temperature limits for operation of the reactor and limit means responsive to the deadband adjusting means and the protection means for maintaining the temperature reference signal (T.sub.REF) at a value which prevents the reactor operating temperature from exceeding said temperature limits despite the variations in the width of said deadband. 21. Apparatus for controlling the response to a load signal of a pressurized water nuclear reactor having control rods for regulating the power level of the reactor said apparatus comprising: 22. The apparatus of claim 21 including protection means responsive to the operating conditions of the reactor for generating a temperature limit signal representative of the maximum operating temperature of the reactor for existing conditions and limit means for generating a maximum temperature reference signal by substracting the deadband control signal from the temperature limit signal and wherein said reference means includes means responsive to said load signal for generating a load temperature reference signal and means for selecting the smaller of said load temperature reference signal and the maximum temperature reference signal as said temperature reference signal. 23. The apparatus of claim 22 wherein said deadband signal generating means includes high pass filter means for extracting from the load signal a signal representative of the magnitude of fluctuations in the load signal above said predetermined frequency, means for generating a signal representative of the average squared value of said extracted signal and means for generating therefrom a temperature related deadband control signal which varies in magnitude in terms of degrees of operating temperature as a function of the magnitude in said fluctuations in said load signal. 24. The apparatus of claim 23 including means for generating a deadband control signal of fixed magnitude, and selection means responsive to the magnitude of the fluctuation of said load signal above a preset level for selecting said signal of fixed magnitude for said deadband control signal in place of said variable deadband control signal. 25. The apparatus of claim 24 wherein said selection means includes switch means response to said average squared value signal for selecting said signal of fixed magnitude as said deadband control signal when said average squared value signal exceeds a preset level. 26. A method of operating a pressurized water nuclear reactor having control rods for regulating reactor power in response to a load signal representative of the load imposed on the reactor including the steps of: 27. The method of claim 26 wherein the step of positioning the control rods comprises the steps of: generating a temperature reference signal from the load signal, measuring the reactor temperature, comparing the temperature reference signal to the measured signal and positioning the control rods as a function of the difference therebetween when said difference exceeds said selected value. 28. The method of claim 27 wherein the step of varying the selected value of said difference between the temperature reference signal and the measured temperature signal which defines said deadband comprises: extracting the fluctuations in the load signal above said predetermined frequency from said load signal, generating a signal representative of an average squared value of the magnitude of said fluctuations and varying the selected value defining said deadband as a temperature function of said average squared value signal. 29. The method of claim 28 including monitoring the operation of the reactor and generating a signal representative of a limiting value for the reactor temperature as a function of existing conditions, and wherein the step of generating said temperature reference signal includes limiting the magnitude thereof to a value which will maintain the reactor temperature below said reactor temperature limit taking into account the width of said deadband as represented by said selected value of the difference between the temperature reference signal and the reactor measured temperature. 30. The method of claim 29 wherein the step of generating the temperature reference signal includes generating a load derived temperature reference signal from the load signal and wherein said step of limiting the temperature reference signal includes the steps of: subtracting the temperature function of the average squared value signal from the reactor temperature limit signal to generate a maximum temperature reference signal and selecting the smaller of the load derived temperature reference signal and the maximum temperature reference signal as the temperature reference signal. 31. The method of claim 30 including the step of monitoring the magnitude of the average squared value signal and substituting a deadband signal of fixed magnitude for said temperature function of the average squared value signal as the selected value defining said deadband when the magnitude of the average squared value signal exceeds a prechosen level.
052689441
claims
1. In a nuclear reactor having a primary shield and a generally cylindrical reactor vessel having a generally hemispherical lower end, said reactor vessel being disposed within an opening in said primary shield, said opening being defined by an inner surface, said reactor vessel being supported within said opening in said primary shield by a plurality of angularly spaced reactor vessel supports connected to said primary shield and on which said reactor vessel is supported, and reactor vessel thermal insulation disposed between said reactor vessel and said primary shield and surrounding a lower portion of said reactor vessel, wherein the improvement comprises: said inner surface of said opening in said primary shield includes a plurality of generally planar side walls which form a polyhedral-shaped opening into which said reactor vessel is disposed; and said thermal insulation includes a plurality of slidably insertable and removable generally planar panels forming an insulation polyhedron surrounding at least said lower portion of said reactor vessel. said insulation polyhedron has a shape which substantially corresponds to the polyhedral shape of said opening in said primary shield. said polyhedral-shaped opening in said primary shield has a plurality of corners between said generally planar side walls; and excore detectors are mounted in at least some of said corners such that said insulation is not disposed between said excore detectors and said reactor vessel. each said side wall has a central portion adjacent to said reactor vessel; said vessel supports are in communication with at least some of said side walls; and each said reactor vessel support has a central portion which is aligned with and at least partially supported by said central portion of one said side wall and said reactor vessel engages and is supported by at least said central portion of each said reactor vessel support. said generally planar panels include a plurality of generally rectangular side panels oriented generally longitudinally with and surrounding said generally cylindrical portion of said reactor vessel, said side panels being disposed generally parallel to said side walls of said primary shield, a generally planar central panel disposed below a central portion of said hemispherical portion of said reactor vessel and oriented generally perpendicular to said side panels, said central panel having a plurality of generally linear edges oriented generally parallel to said side walls, and a plurality of generally planar, generally trapezoidal-shaped connecting panels connecting lower edges of said side panels to said edges of said central panel to form said insulation polyhedron. said opening in said primary shield includes eight said side walls which form a polyhedral-shaped opening having generally octagonal cross-sectional shape; and said insulation polyhedral includes eight said side panels forming a polyhedron having a generally octagonal cross-sectional shape. providing said primary shield having a generally polyhedral-shaped opening therein defined by a plurality of generally planar side walls; supporting said nuclear vessel said opening in said primary shield with the reactor vessel supports; surrounding at least a lower portion of said reactor vessel with a plurality of generally planar insulation panels such that said panels form an insulation polyhedron substantially enclosing said lower portion of said reactor vessel; and mounting said panels for sliding into and out of position around said vessel. surrounding said generally cylindrical portion of said reactor vessel with a plurality of generally rectangular side panels of insulation, providing a generally planar central insulation panel below said hemispherical end of said reactor vessel and oriented generally perpendicular to said side panels, and connecting said side panels to said central panel with a plurality of generally trapezoidal-shaped connecting panels of insulation to form said insulation polyhedron. providing eight said generally planar side walls to define a generally polyhedral-shaped opening having a generally octagonal cross-section; and providing at least eight said side panels of insulation to form an insulation polyhedron having a generally octagonal cross-section. 2. The nuclear reactor of claim 1, wherein 3. The nuclear reactor of claim 2, wherein 4. The nuclear reactor of claim 3, wherein 5. The nuclear reactor of claim 4, wherein 6. The nuclear reactor of claim 5, wherein at least one of said generally planar panels is mounted on at least one of said excore detectors. 7. The nuclear reactor of claim 5, wherein 8. A method of thermally insulating a lower portion of a generally cylindrical reactor vessel of a nuclear reactor, said nuclear reactor further having a primary shield and reactor vessel supports, said reactor vessel further having a generally hemispherical lower end and being disposed within an opening in said primary shield, so as to provide space between said primary shield and said reactor vessel, comprising the steps of: 9. The method of claim 8, further including 10. The method of claim 9, further including
description
FIG. 1 is a cross section view of a boiling water nuclear reactor 10 including a reactor core 12 positioned within a reactor pressure vessel 14. Reactor pressure vessel 14 is supported by a reactor pressure vessel support structure 16. A plurality of control rod drive housings 18 containing control rod drives (CRDs) 20 extend from reactor pressure vessel 14. Each CRD 20 includes a CRD flange tail section 22. A control rod drive equipment platform 26 is located below reactor pressure vessel 14 an under vessel area 24. CRD equipment platform 26 includes two rails 30 and 32. A winch cart 34 is located on uppermost rail 32. A CRD handling assembly 40 is shown in a vertical handling mode and includes a trunnion cart 42 configured to ride on lower rail 30. Another CRD handling assembly 40 is shown in a shown in a horizontal transport mode. FIG. 2 is a side view of CRD handling assembly 40. In addition to trunnion cart 42, each CRD handling assembly 40 includes a tower 50 coupled to trunnion cart 42, a drive screw 52 coupled to tower 50, an elevator 54 movably engaged with drive screw 52, and a pair of linear slide rails 56 and 58 mounted to tower 50. Trunnion cart 42 includes a plurality of cart wheels 60, a support frame 62 extending between cart wheels 60, and a pair of trunnion axles 64 coupled to support frame 62. In the exemplary embodiment, trunnion cart 42 includes four cart wheels 60. Trunnion cart 42 supports tower 50 on trunnion axles 64 and facilitates pivoting tower 50 between the horizontal transport mode and the vertical handling mode. Trunnion cart 42 also facilitates movement of CRD handling assembly 40 when in the horizontal transport mode. Tower 50 includes an open cavity 66, a first end 68, a second end 70, a first side 72, a second side 74, and a tower back 76. A pair of pillow blocks 78 are mounted to first side 72 and second side 74. Pillow blocks 78 receive trunnion axles 64 and pivotally coupled tower 50 to trunnion cart 42. More specifically, tower 50 is pivotable on pillow blocks 78 about trunnion cart axles 64 between the horizontal transport mode and the vertical handling mode. Tower 50 includes a wheel assembly 80, a first tie plate 82 and a second tie plate 84. Wheel assembly 80 is spaced from pillow blocks 78, located generally adjacent tower second end 70. Wheel assembly 80 includes at least one cam-out wheel 86, which is retractable to facilitate operation of CRD handling assembly 40. In the exemplary embodiment, two cam-out wheels 86 are provided for stability and are shown in an extended position. Cam-out wheels 86 are generally aligned with trunnion cart wheels 60 to facilitate operation on rail 30 (shown in FIG. 1). Wheel assembly 80 is secured to second tie plate 84. FIG. 3 is an enlarged, schematic, end view of first tie plate 82 and FIG. 4 is an enlarged, schematic, end view of second tie plate 84. Referring to FIGS. 2, 3, and 4, first tie plate 82 is located generally adjacent first end 68 while second tie plate 84 is located generally adjacent second end 70. Second tie plate 84 includes a drive screw bearing 88 and a CRD bore 92. Drive screw bearing 88 facilitates rotation of drive screw 52, while supporting drive screw 52. CRD bore 92 allows CRD flange tail section to extend through second tie plate 84. First tie plate 82 includes a drive screw bearing 94, a thrust bearing 96, and at least one CRD support roller 98. Drive screw bearing 94 and thrust bearing 96 drive screw 52 adjacent tower first end 68. Thrust bearing 96 limits linear motion of drive screw 52 relative to first tie plate 82. Each CRD support roller 98 extends from first tie plate 82 to support CRD 20 when CRD handling assembly 40 is in the horizontal transport mode. In the exemplary embodiment two retractable CRD support rollers 98 extend from first tie plate 82. First tie plate 82 also includes a load transfer device 100. Drive screw 52 is threaded and extends from second tie plate 84 to first tie plate 82. In the exemplary embodiment, drive screw 52 includes a drive operator 106 that extends from drive screw 52 through first tie plate 82. Drive operator 106 facilitates the rotation of drive screw 52 using a hand held wrench or portable powered drive tool 108 (shown in FIGS. 8 and 9). FIG. 5 is a cross section view and FIG. 6 is an edge view of elevator 54. Referring to FIGS. 2, 5 and 6, elevator 54 includes an elevator plate 110, an elevator nut recess 112, an elevator nut 114, a drive screw bore 116 extending through elevator plate 110, and linear bearing 120 and 122. In another embodiment, one linear bearing 120 extends from elevator 54. Elevator 54 extends substantially across tower open cavity 66 from linear slide rail 56 to linear slide rail 58. Elevator plate 110 includes a CRD tail bore 124, sized to receive and support CRD flange tail section 22 (shown in FIG. 8). More specifically, elevator 54 is configured to have CRD 20, including a CRD flange tail section 22, partially inserted through CRD tail bore 124. Elevator nut recess 112 is sized to receive elevator nut 114. In the exemplary embodiment, elevator nut recess 112 is machined into elevator plate 110 and presents a cylindrical cross-section. Drive screw bore 116 intersects elevator nut recess 112 and is sized to allow drive screw 52 to extend through elevator 54. Elevator nut 114 includes a threaded nut bore 126 sized to threadedly engage drive screw 52. When elevator nut 114 is positioned in elevator nut recess 112 and engaged with drive screw 52, elevator nut 114 is restrained from rotating by contact with elevator plate 110. Additionally, elevator nut 114 is retained in elevator nut recess 112. Rotation of drive screw 52 while threadedly engaged elevator nut 114 is in elevator nut recess 112 results in linear movement of elevator nut 114. Because elevator nut 114 is in contact with elevator plate 110, linear movement of elevator nut 114 results in linear movement of elevator 54. More specifically, as drive screw 52 rotates, elevator 54 moves up or down, depending on the direction of rotation of drive screw 52. Drive screw 52 rotation is translated by elevator nut 114, elevator plate 110, and elevator nut recess 112 into linear motion of elevator 54. Linear bearings 120 and 122 extend from elevator plate 110 to slidably engage linear rails 56 and 58 respectively. Linear bearings 120 and 122 align elevator plate 110, maintaining elevator 54 substantially perpendicular relative to linear rails 56 and 58. Linear rails 56 and 58 are substantially cylindrical with support struts 130 coupling linear rails to tower 50. More specifically, support struts 130 secure linear rails 56 and 58 to tower first side 72 and tower second side 74. In one embodiment, support struts 130 present a V-shaped cross-section in securing linear rails 56 and 58 to tower sides 72 and 74. In one embodiment, linear rails 56 and 58 are rectangular in cross-section, engaging rectangular linear slide bearings 120 and 122. In another embodiment, linear rails 56 and 58 present a dovetail cross-section, received in a dovetail slot in linear slide bearings 120 and 122. FIG. 7 is a side view of a CRD positioning apparatus 200 in accordance with another embodiment of the present invention. CRD positioning apparatus 200 also facilitates handling of CRD 20 in nuclear reactor 10. CRD positioning apparatus 200 includes at least one linear slide rail 202, a drive screw 204 coupled to slide rail 202, an elevator 206 movably coupled to drive screw 204, and at least one linear bearing 210 fixed to elevator 206 and slidably engaged with slide rail 202. Linear slide rail 202 and drive screw 204 are substantially parallel. Linear side rail 202 includes a first end 212 and a second end 214. In one embodiment, linear side rail 202 presents a generally circular cross-section. In another embodiment linear slide rail 202 presents a dovetail cross-section, a rectangular cross-section or other similar cross-section. Drive screw 204 is threaded and includes a first end 220 and a second end 222. First end 220 includes a drive operator 224 to rotate drive screw 204. A first tie plate 230 is coupled to linear side rail first end 212 and drive screw first end 220, and a second tie plate 232 is coupled to linear side rail second end 214 and drive screw second end 222. Second tie plate 232 includes a drive screw bearing 234, a drive screw thrust bearing 236, a CRD bore 240 and a pair of wheels 242. Second tie plate drive screw bearing 234 and thrust bearing 236 facilitate rotation of drive screw 204 while coupling drive screw 204 to second tie plate 232. Drive screw 204 and linear side rail 202 are thus coupled at their respective second ends 222 and 214. CRD bore 240 allows CRD flange tail section 22 to extend through second tie plate 232. CRD positioning apparatus first tie plate 230 includes a drive screw bearing 250, a thrust bearing 252, at least one CRD support roller 254 and a pair of wheels 256. Drive screw bearing 250 and thrust bearing 252 support drive screw 204 at first end 220. Thrust bearing 252 limits linear motion of drive screw 204 relative to first tie plate 230. CRD support rollers 254 extend from first tie plate 230 to support CRD 20 when CRD positioning apparatus 200 is in the horizontal transport mode. Wheels 256 facilitate the transportation of CRD positioning apparatus 200. First tie plate 230 couples drive screw 204 and linear slide rail 202 at their respective first ends 220 and 212 to provide a structurally stable positioning apparatus 200. Elevator 206 includes an elevator plate 260, an elevator nut recess 262, an elevator nut 264, and a drive screw bore 266 extending through elevator plate 260. Elevator plate 260 includes a CRD tail bore 270 sized to receive and support CRD flange tail section 22. Elevator nut recess 262 is sized to receive elevator nut 264. In one embodiment, elevator nut recess 262 is machined into elevator plate 260 and presents a cylindrical cross-section. Drive screw bore 266 intersects elevator nut recess 262 and is sized to allow drive screw 204 to extend through elevator 206. Elevator nut 264 threadedly engages drive screw 204. When elevator nut 264 is positioned in elevator nut recess 262 and engaged with drive screw 204, elevator nut 264 is restrained from rotating by contact with elevator plate 260. Additionally, elevator nut 264 is retained in elevator nut recess 262. Rotation of drive screw 204 while threadedly engaged elevator nut 264 is in elevator nut recess 262 results in linear movement of elevator nut 264. Because elevator nut 264 is in contact with elevator plate 260, linear movement of elevator nut 264 results in linear movement of elevator 206. More specifically, as drive screw 204 rotates, elevator 206 moves up or down, depending on the direction of rotation of drive screw 204. Linear bearing 210 is secured to elevator 206 and extends to engage linear slide rail 202. More specifically, linear slide rail 202 is slidably retained within linear bearing 210. Linear bearing 210 aligns elevator 206 substantially perpendicular to linear bearing 210, and maintains that alignment as elevator 206 is repositioned by rotation of drive screw 204. In use, CRD handling assembly 40 and CRD positioning apparatus 200 perform in similar fashion. FIGS. 8 and 9 are side views of CRD handling assembly 40 shown receiving CRD 20. Referring to FIG. 8, CRD handling assembly 40 is aligned with CRD 20 in a vertical handling mode. An extension tube 300 is seated in elevator CRD bore 92. Portable powered drive tool 108 is coupled to drive operator 106 and operated to rotate drive screw 52, moving elevator 54 to a position adjacent tower first end 68 so extension tube 300 engages CRD flange tail section 22. Particularly, an extension tube receiver cup 302 receives CRD tail section 22. CRD 20 is then disconnected from CRD housing 18. CRD 20 is supported by elevator 54 through extension tube 300. Linear bearings 120 and 122 extend from elevator plate 110 to engage linear rails 56 and 58 and align elevator 54 substantially perpendicular to linear rails 56 and 58, supporting CRD 20. Drive screw 52 is operated to lower elevator 54 until CRD flange tail section 22 is adjacent first tie plate 82. Referring to FIG. 9, load transfer device 100 engages CRD flange 304 to support CRD 20. Elevator 54 is lowered to disengage extension tube 300 (shown in FIG. 8) from CRD flange tail section 22 and then raised to receive CRD flange tail section 22 in CRD tail bore 124 and support CRD 20. Load transfer device 100 is disengaged and drive screw 52 rotated to lower elevator 54 and CRD 20. When CRD 20 has been lowered sufficiently CRD handling assembly 40 is pivoted to the horizontal transport mode and CRD 20 and CRD handling assembly 40 are transported from under vessel area 24 as required. Handling assembly 40 and positioning apparatus 200 facilitate removal and installation of CRD 20 from CRD housing 18 under reactor pressure vessel 14. Handling assembly 40 and positioning apparatus 200 include a reduced number of components, facilitating a reduction in contaminated material. Furthermore, handling assembly 40 can improve reliability and reduce maintenance time, as compared to conventional CRD handling equipment, with an overall reduction in maintenance cost and reduced outage time. While the invention has been described in terms of various specific embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the claims.
claims
1. A product irradiation device comprising: an electron accelerator that supplies accelerated electrons; a multi-layered target upon which the accelerated electrons generated by the accelerator impinge and lose kinetic energy, some of the kinetic energy being converted into x-rays; a radiation shield that protects areas surrounding an x-ray treatment region from stray radiation; a product conveyer upon which a product is propagated through the treatment region at a selected speed; an operator accessible control system that coordinates the operation of the electron accelerator, the product conveyer, and the coolant system. 2. The product irradiation device as set forth in claim 1 , wherein the x-ray source further includes a thermally conductive substrate divided into multiple layers and interleaved between the multi-layered target. claim 1 3. The product irradiation device as set forth in claim 2 , wherein the target layers are coatings of target material upon the substrate. claim 2 4. The product irradiation device as set forth in claim 1 , wherein the target includes layers of tantalum or tungsten foil. claim 1 5. The product irradiation device as set forth in claim 1 , wherein the source of x-rays further includes: claim 1 an evacuated chamber through which the electrons travel after leaving the source of electrons, before impinging upon the target. 6. The product irradiation device as set forth in claim 5 , wherein the source of x-rays further includes: claim 5 deflective elements on the periphery of the evacuated chamber for manipulating a direction of propagation of the electrons, thereby temporally varying a spot upon the target upon which the electrons are incident. 7. The product irradiation device as set forth in claim 1 , wherein the multi-layered target comprises: claim 1 a first target layer which produce s a first x-ray spectrum as a result of interactions with electrons from the electron source; a second target layer which produces a second x-ray spectrum as a result of interactions with electrons from the electron source; and, a third target layer which produces a third x-ray spectrum as a result of interactions with electrons from the electron source. 8. The product irradiation device as set forth in claim 1 , further including: claim 1 an optical sensing device that senses when a product is and is not in the sterilization region and directs the electron accelerator to only emit electrons when there is product in the sterilization region. 9. A product irradiation device comprising: a source of radiation that emits x-rays into a treatment region, the source of radiation including: a plurality of target layers which convert accelerated electrons into x-rays; a plurality of thermally conductive layers interleaved between the target layers, cavities being defined through the conductive layers through which the coolant fluid flows to draw heat away from the target layers; an electron accelerator that supplies the accelerated electrons and electron acceleration potentials to the source of x-rays; a coolant system which pumps a coolant fluid from a remote location through the conductive layer cavities to cool the source of radiation; a radiation shield that protects surrounding areas from stray radiation; a product conveyer upon which a product is propagated through the treatment region at a selected speed; an operator control that coordinates the operation of the electron accelerator and the product conveyer. 10. The product irradiation device as set forth in claim 9 , wherein the coolant fluid is water. claim 9 11. A product irradiation system comprising: a conveyor which conveys products past a scan horn; an electron accelerator which accelerates electrons to at least 1 MeV; an evacuated path which conveys the accelerated electrons to the scan horn; an electron sweeping system which sweeps the accelerated electrons across the scan horn; a face plate on the scan horn of thermally conductive, lower Z material, coolant fluid channels being defined in the face plate; and, an anode target of a higher Z material than the face plate mounted to the face plate to convert the accelerated electrons into x-rays for irradiation of the products and into heat, coolant in the face plate coolant channels removing the heat. 12. The product irradiation system as set forth in claim 11 , wherein the electron sweeping system sweeps the electrons transversely and longitudinally across the target. claim 11 13. A product irradiation system comprising: an electron accelerator which accelerates electrons to at least 1 MeV; a target on the scan horn including a plurality of layers of high Z metal interleaved with layers of thermally conductive low Z metal, the high Z metal converting the accelerated electrons into x-rays and heat and the thermally conductive low Z metal conducting the heat from the high Z metal; an electron sweeping system which sweeps the accelerated electrons across the target; a conveyor which conveys products through the x-rays. 14. A method of x-ray production comprising: generating and accelerating an electron beam; striking a first layer of a target with the electron beam converting a first portion of the electrons into x-rays of a first energy spectrum, a second portion of the electrons passing through the first target layer; striking with the second portion of electrons a second layer of target, converting a third portion of the electrons into x-rays of a second energy spectrum, a fourth portion of the electrons passing through the second target layer; and, conducting heat through thermally conductive layers sandwiched between the target layers. 15. The method as set forth in claim 14 , further including: claim 14 striking at least one additional target layer with electrons that passed through the second target layer producing x-rays of a third energy spectrum. 16. A method of x-ray production comprising: generating and accelerating an electron beam; striking a first layer of a target with the electron beam converting a first portion of the electrons into x-rays of a first energy spectrum, a second portion of the electrons passing through the first target layer; striking with the second portion of electrons a second layer of target, converting at least part of the second portion of the electrons into x-rays of a second energy spectrum; and, dissipating heat generated in the target by: conducting heat through thermally conductive layers sandwiched between the target layers; running a cooling fluid through thermally conductive material connected to the thermally conductive layers. 17. An x-ray target for closing an evacuated chamber through which high energy electrons travel, the target comprising: multiple layers of high Z target material; and, multiple layers of thermally conductive low Z substrate interleaved between the target layers. 18. The x-ray target as set forth in claim 17 , further including cavities remote from the target layers through which a coolant fluid flows to draw heat from the low Z substrate layers, without physically contacting the target. claim 17 19. The x-ray target as set forth in claim 17 further including: claim 17 deflecting plates located adjacent the periphery of the evacuated chamber for manipulating the path of the electron beam in two dimensions.
abstract
The disclosure provides systems and methods for adjusting a multi-leaf collimator (MLC). The MLC includes a plurality of cross-layer leaf pairs, each cross-layer leaf pair of the plurality of cross-layer leaf pairs includes a first leaf located in a first layer of leaves and a second leaf opposingly located in a second layer of leaves. For at least one cross-layer leaf pair, an effective cross-layer leaf gap to be formed between the first leaf and the second leaf may be determined; at least one of the first leaf or the second leaf may be caused to move to form the effective cross-layer leaf gap; and an in-layer leaf gap may be caused, based on the effective cross-layer leaf gap, to be formed between the first leaf and an opposing first leaf in the first layer. A size of the in-layer leaf gap may be no less than a threshold.
description
The present invention relates to a method of recovering enriched radioactive technetium (99mTc) as a radioactive medicine and a raw material for its labeled-compound raw material, and a system therefor. Tc (technetium) is the transition metal of the atomic number 43 which is situated on the 7th family, the 5th period. Out of the isotopes, 99mTc radiates only γ-ray with the short half-life (6 hours) which suited a diagnostic imaging and the weak energy (140 keV) which suited an external counting. Moreover, 99mTc can be generated by the generator (the 99Mo-99mTc generator) which utilizes a radiation equilibrium with 99Mo and it is used for the nuclear medicine diagnostic imaging. 99mTc is generally used in the way of obtaining it from 99Mo (with the half-life of 66 hours) which is the parent nuclide of 99mTc with a short half-life. Methods for obtaining 99Mo include the Fission method (the nuclear fission method) in which 99Mo with very high specific radioactivity is first generated by the method of uranium nuclear fission, and then separated. In this case, a method of obtaining 99mTc by a milking operation in which the 99mTc is eluted with a physiological saline using alumina as a 99Mo adsorbent, is used as the actual manufacturing technology. On the other hand, there is another method of generating 99Mo using the (n,γ) reaction of the 98Mo isotope which is contained in 99Mo, instead of using uranium as the raw material for obtaining 99Mo. In comparison with the Fission method, this (n,γ) method enables a specific radioactivity of 99Mo to be lowered to about 1/10,000. Therefore, for the practical application of the (n,γ) method, a small amount of 99Tc must be separated, purified and recovered as the daughter nuclide generated from a small amount of 99Mo which is contained in a large amount of Mo. So far, a sol-gel method, MEK method, or a sublimation method are known as the investigated and practically useful (n,γ) method. The inventors of this application have separately proposed PZC method as the (n,γ) method. JP 2008-102078 A discloses the method and the equipment, in which radioactive molybdenum 99Mo as the parent nuclide of technetium is generated by the 98Mo(n,γ) reaction in a nuclear reactor. JP 08-309182 A discloses Mo adsorbent for the 99Mo-99mTc generator. And, it is described that this Mo adsorbent is formed by the zirconium-based inorganic polymer which has a high Mo adsorption ability and a high Tc elution performance. This Mo adsorbent is insoluble in water, adsorbs only Mo (including 99Mo) from a water solution which contains Mo (including 99Mo), and elutes 99mTc generated from the radioisotope of 99Mo. Furthermore, this patent literature discloses that a gel of ZrO99MoO4.xH2O is synthesized by reacting Na2Mo(99Mo)O4 obtained by dissolution of Mo(99MoO3) in the (n, γ) method with ZrOCl2 or ZrO(NO3)2, dried, pulverized and packed into a column, and that 99mTc can be eluted from the packed column by a milking operation. JP 02-54732 A discloses that technetium is eluted from activated carbon which adsorbs and separates technetium from a solution which contains technetium, such as a high radioactive-effluent, or a spent nuclear fuel solution which is generated by reprocessing of a spent nuclear fuel. Technetium in this case is not directed to 99mTc (technetium-99m) with the short half-life for the nuclear medicine diagnostic imaging, but to 99Tc with a very long half-life (technetium 99: the half-life of 21 ten thousand years), which causes a problem of remaining in the nuclear waste. {Patent Literature 1} Japanese Patent Application Laid-Open No. 2008-102027 {Patent Literature 2} Japanese Patent Application Laid-Open Hei No. 08-309182 {Patent Literature 3} Japanese Patent Application Laid-Open Hei No. 02-54732 The conventional method has several problems such as a low performance stability, an operational complexity, an occurrence of radiation damage or a difficulty peculiar to the (n, γ) method in operation of a large amount of Mo, which does not reach the establishment of the practical technique which is equal to the Fission method. To apply 99mTc Mo generated as the daughter nuclide of 99Mo by the (n, γ) method to the raw material for the radioactive medicine, the purification and recovery of a very small amount of 99mTc in high concentration Mo which contains the radioactive molybdenum (99Mo) must be made with high yield without contamination of 99Mo. Therefore, the (n,γ) method demands a use of the adsorbent which adsorbs a very small amount of 99mTc in high concentration Mo which contains a very small amount of 99Mo, and further a recovery processing for elution and recovery with high yield of 99mTc which is adsorbed to the adsorbent. As for the conventional technology, 99Tc is eluted from a solid-like or gelatinous adsorbent of Mo(99Mo) to which Mo (including 99Mo) is adsorbed. Aforementioned JP 02-54732 A discloses that technetium is separated by adsorption to activated carbon, which indicates that the activated carbon has a performance of adsorbing and separating technetium. However, the invention of JP 02-54732 A is directed to 99Tc (Technetium) of the long half-life which is contained in the high radioactive-effluent of the strong nitric acid acidity which is generated by the reprocessing of the spent nuclear fuel, and there is no disclosure about the method of purifying and recovering a very small amount of 99mTc when producing a raw material for a radioactive medicine. The present invention is devised to use Tc as a raw material for a radioactive medicine in view of the above point, and has the purpose of providing a method and a system of purifying and recovering a very small amount of 99mTc with high yield (95% and more) without contamination of Mo (99Mo) from a large amount of Mo which contains a small amount of 99Mo, together with reduction of waste fluid and wastes, using radioactive Mo generated by the (n, γ) method. According to the study of the present invention, it is found that 99mTc enriched to the required concentration as the radioactive medicine and the raw material for its labeled compound can be obtained by forming the high concentration Na2Mo(99Mo)O4 solution of neutral pH in which a large amount of Mo(99Mo)O3 is dissolved with alkaline (NaOH), getting a small amount of 99mTc generated in the high concentration Mo solution adsorbed to activated carbon selectively, making 99mTc stay behind the activated carbon by desorption of the Mo (including 99Mo) remaining in its holes from it, and recovering a trace of the remained 99mTc. The present invention provides a method of highly enriching, and eluting, purifying and recovering 99mTc as a raw material for a radioactive medicine, characterized by the steps of: forming a high concentration Mo solution which contains radioactive molybdenum (99Mo) as the parent nuclide of 99mTc as a radioactive medicine and a raw material for its labeled compound; feeding the Mo(99Mo) solution to an adsorption column which has an activated carbon from the high concentration Mo solution which contains radionuclides 99Mo and 99mTc which is generated as the daughter nuclide of 99Mo, getting 99mTc in the high concentration Mo(99Mo) adsorbed to the activated carbon selectively, then getting Mo(99Mo) remaining in holes of the activated carbon desorbed with a desorbent, undergoing desorption treatment of a small amount of 99mTc which adsorbs to and stays behind the activated carbon with a desorbent of 99mTc from the activated carbon, and recovering 99mTc; making secondary purification to remove Mo(99Mo) remaining a little in the recovered 99mTc by a alumina column method; and recirculating and recovering the high concentration Na2Mo(99Mo)O4 solution after desorption treatment of 99mTc to recover 99mTc again after 24 hours when 99mTc is re-formed. The present invention also provides a method of highly enriching, and eluting, purifying and recovering 99mTc directly from the high concentration Na2Mo(99Mo)O4 solution of neutral pH which is formed by dissolving Mo(99Mo)O3 containing radionuclide 99Mo with an alkaline solution. The present invention also provides a method of highly enriching, and eluting, purifying and recovering 99mTc, characterized by the steps of: removing Mo(99Mo) remaining in activated carbon by washing the activated carbon adsorbing 99mTc with dilute alkaline solution; and then further undergoing desorption treatment of 99mTc with a high concentration alkaline solution, when recovering 99mTc by the desorption treatment from the activated carbon adsorbing 99mTc. The present invention also provides a method of highly enriching, and eluting, purifying and recovering 99mTc, characterized by the steps of eluting and recovering 99mTc by treating the activated carbon with the NaOH solution of 0.05 mole (M) and more at 100° C. and more and at 5 atm and more. The present invention also provides a method of highly enriching, and eluting, purifying and recovering 99mTc, characterized by the step of undergoing electrochemical treatment of the activated carbon adsorbing 99mTc by using the activated carbon as a cathode, when recovering 99mTc by the desorption treatment of 99mTc. The present invention also provides a method of highly enriching, and eluting, purifying and recovering 99mTc, characterized by the step of undergoing reduction treatment of the activated carbon adsorbing 99mTc by a reductant, when recovering 99mTc by the desorption treatment of 99mTc. The present invention also provides a method of highly enriching, and eluting, purifying and recovering 99mTc, characterized by the step of recovering 99mTc in combination of the treatment with the high concentration alkaline, the electrochemical treatment and the reduction treatment with the reductant. And, in this case, the step can combine the secondary purification to remove Mo (99Mo) remaining a little in the recovered 99mTc by the alumina column method, The present invention provides a system of highly enriching, and eluting, purifying and recovering 99mTc as a raw material for a radioactive medicine, comprising the steps of: forming a high-concentration Mo solution of neutral pH which contains 99Mo as the parent nuclide of 99mTc used for a radioactive medicine and its raw material; preparing a high concentration Mo(99Mo) solution which contains 99mTc by generating 99mTc to a radiation-equilibrium state in this high concentration Mo(99Mo) solution; getting a small amount of 99mTc in the high concentration Mo(99Mo) solution adsorbed to the activated carbon selectively by feeding the formed high-concentration Mo(99Mo) solution to an adsorption column which has activated carbon, and washing and removing Mo(99Mo) remaining in said activated carbon to which 99mTc is adsorbed, with a Mo desorbent; adsorbing, desorbing, and recovering and purifying 99mTc by undergoing desorption treatment of 99mTc with a 99mTc desorbent from the activated carbon to which 99mTc is adsorbed, after washing and removing Mo(99Mo) remaining in the activated carbon to which 99mTc is adsorbed, with a Mo adsorbent; making secondary purification to remove Mo(99Mo) remaining a little in the recovered 99mTc by the alumina column method; and recirculating and recovering the high-concentration Mo(99Mo) solution by circulating and recovering to reuse the high concentration Mo(99Mo) solution after the desorption treatment of 99mTc, generating 99mTc to a radiation-equilibrium condition, and re-forming high concentration Mo solution containing radionuclides 99Mo and 99mTc. The present invention provides a system of highly enriching, and eluting, purifying and recovering 99mTc as a raw material for a radioactive medicine, comprising the steps of: forming a high concentration Mo solution of neutral pH by dissolving MoO3 which contains 99Mo irradiated with neutron in a nuclear reactor, with an alkaline solution, as a method for forming a high-concentration Mo solution which contains 99Mo as the parent nuclide of 99mTc used as a radioactive medicine and its raw material; forming the high concentration Mo solution which contains radionuclides 99Mo and 99mTc which is genarated to a radiation-equilibrium state so as to be the daughter nuclide of 99Mo, in the high concentration Mo(99Mo) solution; getting 99mTc in the high-concentration Mo(99Mo) solution adsorbed to the activated carbon selectively by feeding the high-concentration Mo(99Mo) solution which contains 99mTc generated to a radiation-equilibrium, to an adsorption column which has said activated carbon, and desorbing the Mo(99Mo) by washing the Mo(99Mo) remaining at the activated carbon with a Mo desorbent; desorbing, and purifying and recovering 99mTc to recover 99mTc by undergoing desorption treatment of 99mTc with a 99mTc desorbent from the activated carbon to which 99mTc is adsorbed after the desorption treatment of Mo(99Mo); circulating and recovering the high-concentration Mo(99Mo) by circulating and recovering to reuse the high concentration Mo(99Mo) solution after the desorption and recovery treatment of 99mTc, generating 99mTc to a radiation-equilibrium condition and re-forming the high-concentration Mo solution containing radionuclides 99Mo and 99mTc; and making secondary purification to remove Mo(99Mo) remaining a little in the recovered 99mTc by the alumina column method. The present invention employs the method of preparing Na2Mo(99Mo)O4 solution condition in which 99mTc of the radiation-equilibrium state is generated from 99Mo and is mixed by leaving the high concentration Mo (including radioactive 99Mo) solution formed in the above mentioned method for about 24 hours, and feeding the Na2Mo(99Mo)O4 solution containing 99mTc to the activated carbon. According to the present invention, only a small amount of 99mTc in the high concentration Mo(99Mo) solution is selectively adsorbed to activated carbon and 99mTc is desorbed after removing Mo(99Mo) which remains in the activated carbon, which results in a sufficient performance of the activated carbon for recovery of 99mTc, and a high yield (of 95% and more) in the purification and recovery of a small amount of 99mTc in the high concentration Mo solution. The present invention can also purify and recover 99mTc with high purity without contamination of 99Mo by feeding the recovered solution of 99mTc to the alumina column, although Mo(99Mo) remaining a little in activated carbon is eluviated simultaneously with 99mTc in the adsorption treatment of 99mTc. The following will explain preferred modes of implementing the present invention referring to attached drawings. Hereinafter, 99mTc and radionuclide 99Mo are sometimes described as technetium or merely Tc and merely 99Mo, respectively. FIG. 1 illustrates the system concept for highly enriching, and eluting and recovering 99mTC (hereinafter, it is called a main system, and the method implemented by this system is called a main system way) which is one embodiment of the present invention. The system for highly enriching, and eluting and recovering 99mTC can be equipped with the purification and separation procedure. In FIG. 1, main system 100 is installed in a hot-cell 1 which shields radiation emitted from 99Mo and 99mTc. The main system 100 is equipped with Mo container (1) 2, Mo container (2) 3 and a control tank 4. A plurality of Mo containers may be equipped. The Na299MoO4 solution, which is formed by dissolving MoO3 which contains 99Mo generated by neutron irradiation in a nuclear reactor with an alkaline (NaOH) solution, is supplied to Mo container (1) 2 and Mo container (2) 3. That is, the Mo solution which contains radionuclide 99Mo as the raw material for the radioactive-medicine is supplied to Mo container (1) 2 and Mo container (2) 3. When 99MoO3 is dissolved with an alkaline solution, the Na299MoO4 solution of neutral pH is formed as shown in the figure. This example corresponds to the FIG. 3 shown later. On the occasion of generation, the Mo solution containing radioactive 99Mo means a high concentration Mo solution which contains 500 g of Mo in 2 L of the Mo solution, for example. Hereinafter, this solution is called the high concentration Mo solution. Here, the high concentration means the concentration for the high concentration Mo solution containing 500 g of Mo in above-mentioned 2 L to become necessary to obtain the requirement of 99mTc, for example, to an extent of 500 i per once. Plumbing pipes land 8 equipped with three-way valves 5 and 6 is provided for the bottom of Mo container (1) 2 and Mo container (2) 3, respectively. Moreover, Mo container (1) 2 and Mo container (2) 3 are connected to the bottom of the control tank 4 through three-way valves 5 and 6 and plumbing pipes 7 and 8, and moreover the other plumbing pipes 9 and 10. The termination of plumbing pipes 7 and 8 is equipped with a three-way valve 13. The control tank 4 has a function of the level adjustment mechanism. The bottom of control tank 4 is connected to the one end (the top face in FIG. 1) of the Tc enrichment, purification and recovery-system 16 through a three-way valve 15 which is set up in a plumbing pipe 14. This Tc enrichment, purification and recovery-system is equipped with the absorption column which has the activated carbon. A plumbing pipe 17 and a three-way valve 18 set up therein, are prepared for the other edge (the lower edge in FIG. 1) of the Tc enrichment, purification and recovery-system 16, and connected to the three way valve 13 which is set up in the termination of plumbing pipes 7 and 8. 99mTc is generated as the daughter nuclide of 99Mo in the high concentration Mo solution of Mo container (1) 2 and Mo container (2) 3, and the high concentration Mo solution which contains radionuclides 99Mo and 99mTc is formed. The new high concentration Mo solution which contains 99Mo is alternately replaced and supplied to either Mo container (1) 2 or Mo container (2) 3, for example every two weeks. Although technetium-99 (99mTc) emitting γ-ray (radiation) with weak energy is used for a medical diagnosis like SPECT, the amount of radioactivity of technetium-99 (99mTc) decreases to 1/16 in one day due to its half-life of 6 hours. To compensate for this, 99Mo which is the parent radionuclide of 99mTc is kept, and 99mTc generated by occurrence of beta-minus decay of 99Mo is separated and utilized. Thus, the way of obtaining the daughter nuclide using the radiation-equilibrium relation between the parent-nuclide and the daughter nuclide is called the milking method. Here, the method of obtaining the daughter nuclide using the radioactive equilibrium relationship between the mother-nuclide and the daughter nuclide is designated the milking. Also, to perform the milking is named the milking treatment, and the solution which contains the daughter nuclide is named the milking solution. Accordingly, the high concentration Mo solution which contains 99Mo here means the solution which contains 99Mo used to obtain the requirement of 99mTc by utilizing the radioactive equilibrium relationship, as mentioned above. The high concentration Mo(99Mo) solution is introduced into the Tc purification and recovery system 16 by adsorption, which has an activated carbon column from the lower part of the Tc purification and recovery system 16 through plumbing pipe 7 and plumbing pipe 8, three-way valve 13, and plumbing pipe 17. Because Tc purification and recovery system 16 is equipped with the adsorption column which has the activated carbon, 99mTc can be adsorbed selectively by feeding the high concentration Mo(99Mo) solution which contains the requirement of 99mTc in this activated carbon. The purification and enrichment of 99mTc are accomplished in this process. Here, relations of 99Mo amount and 99mTc amount to Mo amount (being 500 g here) in the high concentration Mo solution are indicated as follows: The half-life of 99Mo.65.94 h, The half-life of 99mTc: 6.01 h The 99Mo quantity in 500 Ci=1.04 mg (1/500,000 to 500 g of Mo). The 99mTc quantity in 500 Ci=0.095 mg (1/5,000,000 to 500 g of Mo). 99Mo in case of 5×104 Bq or less, the 99Mo amount is 6×1015 or less to 500 g of Mo. 99mTc in case of 6×104 Bq or less, the 99mMc amount is 6×10−16 or less to 500 g of Mo. Thus, 99mTc can be adsorbed by the activated carbon, even when there exists only a little amount of 99mTc in the high concentration Mo solution. A large amount of Mo containing 99Mo which is not adsorbed in the Tc enrichment, purification and recovery-system 16, are returned to the control tank 4 through the three-way valve 15 and the plumbing pipe 14, and further to either Mo container (1) 2 or Mo container (2) 3. In this way, using the Mo solution which is contained in either of two Mo containers, 99mTc adsorption treatment is undergone every 24 hours everyday for total about 2 weeks and the Mo solution that 99mTc is recovered is returned to the original Mo container (either of Mo container (1) 2 and (2) 3). After this process, 99mTc which is enriched, purified and recovered in Tc enrichment, purification and the recovery-system 16 is transferred to the desorption process. Thus, the high concentration Mo solution which contains 99Mo, is formed as the high solution Mo solution which contains radionuclide 99Mo by directly dissolving the Mo compound (MoO3) irradiated with neutron in a nuclear reactor with an alkaline solution, and supplied to a plurality of Mo containers. Tc is adsorbed and enriched by alternately feeding the high concentration Mo(99Mo) solution stored in these plural Mo containers to the adsorption column which has the above-mentioned activated carbon, and then the Tc elution, purification and recovery is accomplished. Feed system 22 is connected to a three-way valve 18 through a plumbing pipe 20 and a three-way valve 21. The washing solution, the Tc eluent and the others for desorption of Mo(99Mo), which remains in Tc enrichment purification and recovery-system 16 (the activated carbon column), are distributed from this feed system 22, and introduced to the Tc enrichment purification and recovery-system 16. First, in the desorption process, Mo(99Mo) is desorbed by introducing the 99Mo desorbent from the feed system 22, and this solution is thrown as 99Mo washing waste fluid to a liquid waste tank 32. Then, Mo(99Mo) desorption process is stopped and transferred to Tc desorption process in which the Tc desorbent is introduced from the feed system 22 and Tc adsorbed to the activated carbon is desorbed. Tc enrichment, purification and recovery-system 16 is connected to humoral regulation system 25 through the three-way valve 15, and further through a plumbing pipe 23 and a three-way valve 24 set up therein. Desorbed Tc is introduced into the humoral regulation system 25 together with the desorbent. The humoral regulation is carried out by adding a reagent for humoral regulation 34 in this humoral regulation system, and is connected to second purification system 27 through a plumbing pipe 26 and further with Tc recovery equipment 29 through a plumbing pipe 28. This system 100 is equipped with waste fluid systems after using 99Mo 30 and 31 and washing waste fluid system 32, and each system is properly regulated by control system 33, as shown in FIG. 1. FIG. 2 illustrates the method and the process for purifying and recovering Tc by the treatments using this modal system which is illustrated in FIG. 1. In FIG. 2, the system for highly enriching, purifying and separating, and eluting and recovering 99mTc of this embodiment, comprises forming procedure of (n,γ)99Mo 41, forming procedure of high concentration Mo(99Mo) solution 42, Tc generation processing procedures 43 and 44, procedure of adsorption, elution, purification and recovery of 99mTc 49, secondary purification procedure for humoral regulation of 99mTC 50, procedure for obtaining 99mTc 51 and recirculating procedure of high concentration Mo solution 52. 99Mo is necessary to utilize 99mTc. To produce 99Mo in large quantity, (n,γ)99Mo is formed using the forming procedure of Mo pellet by the (n,γ) method in which natural Mo is irradiated in the nuclear reactor. In this embodiment, for example, a pellet formed by the (n,γ) method is used. Mo may be a powder. The Mo pellet which generates 99Mo in this way, is directly dissolved with an alkaline solution by introducing the alkaline solution using the forming procedure of the high concentration Mo solution. The alkaline can use NaOH. Tc is generated using Tc generation processing procedures 43 and 44. In generation of this Tc, system 1 (43) and system 2 (44) are used. These systems (1) and system (2), respectively, correspond to Mo container (1) 2 and Mo container (2) 3 in FIG. 1. The high concentration Na2Mo(99Mo)O4 solution is formed when dissolving the Mo pellet irradiated with neutron in the nuclear reactor with a NaOH solution. The high concentration Mo(99Mo) solution which contains radionuclides 99Mo and 99mTc, is formed by generating 99mTc as the daughter nuclide of 99Mo using the high concentration 99Mo solution. Thus, according to this method, the Na2Mo(99Mo)O4 solution obtained by dissolving MoO3 target irradiated in the nuclear reactor with the alkaline (NaOH) solution is alternately put into either of system 1 and system 2 once every week (last week and this week, respectively). Then, either of both Mo(99Mo) solutions is alternately introduced into the procedure of adsorption, elution, purification and recovery procedure of 99mTc 49 which composes Tc purification and recovery-system by adsorption 16 (FIG. 1), and fed to Tc adsorption column 53. The adsorption column 53 has activated carbon 54. 99mTc adsorption procedure is composed of the Tc adsorption column 53 which has activated carbon 54. 99mTc in high concentration Na2Mo(99Mo)O4 solution of either of systems 1 and 2 or the both is adsorbed by feeding the high concentration Na2Mo(99Mo)O4 solution to activated carbon 54 in this way. The activated carbon 54 adsorbs 99mTc selectively. The amount of adsorbed 99mTc increases along with alternate adsorptions of a small amount of 99mTc in this way. 99mTc concentration can be enriched to, for example, 40 times and more, compared with 99mTc concentration in the high concentration Na2Mo(99Mo)O4 solution by combining this activated carbon adsorption method with latter desorption method. This enables 99mTc to be enriched to the proper concentration as the raw material for the radioactive medicine. Incidentally, according to the present invention, 99mTc can be enriched in an optional enrichment factor. In this embodiment, the high concentration alkaline solution is used as a Tc desorbent used for desorption of Tc which is adsorbed to activated carbon 54. Next, 99mTC is recovered by desorption treatment of 99mTc with the desorbent by 99mTc recovery procedure from the activated carbon to which 99mTc is adsorbed. The absorption, desorption by elution and purification, and purification and recovery of 99mTc are performed by these procedures. The high concentration Na2Mo(99Mo)O4 solution in which 99mTc is adsorbed and recovered, is returned to system 1 or system 2 by the circulation means of high concentration Mo(99Mo) solution 52, and recovered and reused as the high concentration Na2Mo(99Mo)O4 solution. A part of Mo(99Mo) which is adsorbed to the activated carbon, is removed from the activated carbon by the Mo(99Mo) washing process. The secondary purification of the recovered Tc is made by removing the remained 99Mo by the alumina column method after 99mTc humoral generation by the secondary purification procedure of 99mTc humoral regulation, and 99mTc is collected and recovered by the procedure for obtaining 99mTc 51. As above-mentioned, the high concentration Mo solution is circulated to systems 1 and 2 by the circulation means of high Mo solution 52 to reuse the high concentration Mo(99Mo) solution after 99mTc adsorption. That is, high concentration Mo(99Mo) solution is returned to system 1 or system 2 and is reused, and then 99mTc is newly generated by 99mTc generation processing in these systems. Thus, the high concentration Mo(99Mo) solution is circulated and reused. In this way, the system for highly enriching, purifying and separating, and eluting and recovering 99mTc, and the recovery method are established as the 99Mo solution-type Tc master milker for using of the high concentration Mo(99Mo) solution, which enables to obtain an enriched 99mTc solution based on the physiological saline. This solution is used for medical diagnosis as the raw material of the radioactive medicine. FIG. 3 illustrates a process of highly enriching, purifying and separating, and eluting and recovering 99mTc. In FIG. 3 this process comprises formation of Mo(99Mo)O3 S1, a dissolving process of Mo(99Mo) S2, a generation process of 99mTc formation S3, a purification process by adsorption of 99mTc to activated carbon S4, a removal process of Mo by water and weak alkaline of 0.01 mole of NaOH S5, a 99mTc elution and recovery process S6, 99mTc humoral regulation process S7, purification process of 99mTc for recovering and purifying 99mTc by washing and removing the remained Mo(99Mo) using the alumina column method S8, and a process for obtaining 99mTc with high concentration and high purification S9. The whole operation is as follows: (1) dissolving of the irradiated Mo(99Mo)3 pellet→(2) formation of Na2Mo(99MO)O4 solution→(3) Tc adsorption and recovery (total recovery of the high concentration Mo solution used as an undiluted solution of 99Mo for Tc adsorption and recovery (returning to the original tank and reusing 24 hours later))→(4) washing and removal of Mo(99Mo) which adheres to and remains in activated carbon→(5) Tc elution, purification and recovery→(6) regulation of pH and NaCl concentration of Tc recovered solution→(7) Tc secondary purification by the alumina column method (final purification)→(8) collection of Tc recovered solution (Tc≧1 Ci/mL, a physiological saline base, the neutral pH, containing no 99Mo). The present invention is composed of the eight processes mentioned above. As the elution and recovery method of 99mTc adsorbed to the activated carbon after high enrichment and adsorption of 99mTc in the high concentration 99mTc solution using the activated carbon, the remained Mo(99Mo) is removed by washing the activated carbon with a dilute alkaline solution, and further 99mTc in the high concentration Mo(99Mo) solution can be highly enriched, purified and separated, and eluted and recovered by efficiently eluting, purifying and recovering 99mTc by treatment with a high concentration alkaline solution. As the elution and recovery method of 99mTc adsorbed to the activated carbon after high enrichment and adsorption of 99mTc in the high concentration 99mTc solution using the activated carbon, the remained Mo(99Mo) is removed by washing the activated carbon with a dilute alkaline solution. Furthermore, as the efficient elution and recovery method of 99mTc by treatment of 99mTc with the high concentration alkaline solution, 99mTc in the high concentration Mo(99Mo) solution can be highly enriched, purified and separated, and eluted and recovered by treating the activated carbon with NaOH solution of 0.05 mole and more at 100° C. and more and at 10 atoms and more to improve the elution and recovery rate of 99mTc. As the elution and recovery method of 99mTc adsorbed to the activated carbon after high enrichment and adsorption of 99mTc in the high concentration 99mTc solution using the activated carbon, the high enrichment, purification and separation, and elution and recovery of 99mTc in the high concentration Mo (99Mo) solution can be performed selectively by eluting and recovering 99mTc electrochemically using the activated carbon as a cathode. As the elution and recovery method of 99mTc adsorbed to the activated carbon after the high enrichment and adsorption of 99mTc in the high concentration 99mTc solution using the activated carbon, 99mTc adsorbed to the activated carbon can be eluted and recovered without heating or pressurization by using a hydrazine or thiocyanic acid solution as a reducer of 99mTc elute, which facilitates the elusion and recovery of 99mTc. Incidentally, the Tc milking time is 4 hours per batch in a whole process, and 99mTc can be recovered at Tc concentration of 1 Ci/mL or more in the physiological saline of about 100 to 150 mL. FIG. 4 to FIG. 9 shows experimental results. FIG. 4 shows Tc dynamic adsorption efficiency of AC absorption column. As indicated in the figure, Tc adsorption zone is equal even if SV (the sky pipe speed: 114 to 273 h-1) varies. The pass time of 99Mo solution in the column layer of AC (5 g) is 4.4 to 11 seconds (the current velocity: 0.5 to 1.3 cm/s) and 99mTc in high concentration Mo solution is adsorbed and recovered in the efficiency of 98% and more. FIG. 5 shows Mo washout efficiency in Tc adsorption column 16. From this figure it is found that there is no movement of Tc adsorption zone even when the operation of Mo washout is undergone. Once 99mTc is adsorbed to the activated carbon, 99mTc stays behind it without removal even in washing and removal processing of remained Mo(99Mo) therein. FIG. 6 shows the elution efficiency of 99mTc in extraction processing of the alkaline pressurized solution (alk-PLE) which is performed as the Tc recovery operation from Tc adsorption column. From this figure, the movement of the 99mTc adsorption zone is found, wherein 99mTc is adsorbed to the activated carbon column by the alk-PLE processing. The recovery rate of Tc adsorbed to AC by this alk-PLE processing is 98 to 99%. FIG. 7 shows 99Mo dynamic adsorption efficiency in the purification and recovery of 99mTc as the evaluated result of an alumina column performance. From this figure, even if SV (30 to 60 h-1) varies, 99mTc can be eluted, purified and recovered in a 100% yield in a trapped state of 99Mo inside the alumina column due to no change of 99Mo adsorption zone, which causes 99mTc to be obtained with high purity. FIG. 8 shows 99mTc yield in alumina column separation. The purification and recovery rate of Tc by the alumina column is 100%. FIG. 9 shows the material balance in 99mTc collection process with 99Mo 500 Ci scale. Since in this system used as the 99Mo solution-type Tc master milker, no use of a solid-like or gelatinous 99Mo adsorption support prevents any constituent elements of an adsorbent (Zr, for example) to be elusidated and mixed by the radiation damage of the 99Mo adsorption support and moreover 99mTc is in a dissolved state in 99Mo solution, the concerns to the 99mTc milking efficiency derived from the 99Mo absorption support are unnecessary. The activated carbon used in this system can selectively adsorb 99mTc with high efficiency without adsorption of 99Mo in the high concentration Mo solution. Furthermore, 99mTc can be applied in the best condition to the raw material for the radioactive medicine by using the 99mTc solution based on the physiological saline, in which Tc adsorbed to the activated carbon is eluted. Also, in the highly enriching, purifying and separating, and eluting and recovering process of a very small amount of 99mTc from the high concentration Mo(99Mo) solution, 99mTc maintains the form of pertechnetic acid (99mTcO4−) in all the processes, when recovering 99mTc using the high concentration alkaline from the activated carbon to which 99mTc is adsorbed. Incidentally, in 98Mo(n, γ) reaction of MoO3, 92Mo, 95Mo and 96Mo of Mo isotopes which are contained in MoO3 of the neutron irradiation target for the 99Mo production, generates 92mNb, 96Nb and 96Nb of radioactive niobiums by each (n, γ) reaction, respectively, but these radioactive niobiums are never adsorbed to the activated carbon when 99mTc in the high concentration Mo(99Mo) solution is adsorbed and recovered using the activated carbon column. Therefore, these radioactive niobiums are never mixed into the 99mTc recovery solution. Since this system used as the 99Mo solution-type Tc master milker has several advantages of not only easy pharmaceutical affairs application and low cost due to no use of 99Mo adsorption support, but also reduction of the quantity of radioactive waste fluid or solid wastes which are generated in 99mTc recovery. Furthermore, a very small amount of 99mTc in the high concentration Mo(99Mo) solution can be purified and recovered in a high yield without contamination of 99Mo. According to 99Mo solution method of this embodiment, The product of same 99mTc (99mTc concentration and fluid volume) as one which is eluted and recovered by the present Fission-99Mo alumina column method, can be steadily obtained from (n,γ)99Mo solution. Thereby, the technology which can be used as 99mTc master milker in production lines is established.
description
This application claims the benefit of Korean Patent Application No. 10-2005-0109293, filed on Nov. 15, 2005, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein by reference. 1. Field of the Invention The present invention relates to an apparatus providing minute powder particles for recycling of spent nuclear fuel, more particularly, an apparatus capable of processing a large amount of spent nuclear fuel even though its size is small, readily discharging a powder by gravity since the apparatus is vertically configured and reducing time required for oxidation. 2. Description of Related Art Nuclear fuel is a material capable of producing energy by entering a nuclear reactor and causing a chain reaction of nuclear fission. Spent nuclear fuel is the material left after the nuclear fission. Two methods are generally used for a management of spent nuclear fuel. One is a method of putting spent nuclear fuel in a rock bed in the ground to a depth of more than 500 meters and thoroughly isolating the spent nuclear fuel from the human ecosystem. This is known as permanent disposal. The other is a method of separating recyclable materials from spent nuclear fuel (this is referred to as ‘reprocessing’), reusing the separated nuclear fuel materials, and permanently disposing of high-level radioactive waste. According to a conventional method, spent nuclear fuel burnt in a nuclear power plant is stored in a water tank without being processed. However, the longer the period of nuclear power generation, the more an amount of spent nuclear fuel accumulates. Consequently, a huge storage space is needed. Also, the necessity and danger of disposing the accumulated nuclear wastes remains. Accordingly, a stable management technology for recycling of nuclear fuel in a solid state is urgently desired and some process apparatuses have been developed. However an additional apparatus is required when powder is transferred to a subsequent process after a powdering is completed since apparatuses using conventional arts have a small capacity and positioned laterally or tilted. Also, when solid spent nuclear fuel is oxidized, it takes over thirteen hours, is not able to pass through a mesh, and remains on the mesh since oxidized powder of spent nuclear fuel has a property of sticking together when reacting to oxygen in the air. Since a mixing vane used for mixing powder consists of different types of blades, when the solid spent nuclear fuel is injected, the rotating mixing vane collides with the solid spent nuclear fuel and is broken by its blade. Because of the broken vane, graphite in the spent nuclear fuel also breaks, so that a radioactive toxic gas leaks out, which brings about environmental pollution. The present invention provides a vol-oxidizer capable of improving reaction efficiency by providing minute powder particles for a post processing for recycling of spent nuclear fuel. The present invention also provides a vol-oxidizer which can process a huge amount of spent nuclear fuel even though its size is comparatively small and its structure is comparatively simple. The present invention also provides a vol-oxidizer capable of readily discharging oxidized powder of spent nuclear fuel by gravity since the vol-oxidizer is vertically configured, smoothly providing minute powder particles of spent nuclear fuel and processing a large amount of powder. The present invention also provides a vol-oxidizer of spent nuclear fuel in which powder is smoothly discharged since a mesh is able to rotate and transfer, so that time required for oxidation may be reduced, workers may be exposed to less danger while working, and environmental pollution may decrease. According to an aspect of the present invention, there is provided a vol-oxidizer of spent nuclear fuel including a reaction portion, a driving portion and a discharge portion. Spent nuclear fuel is injected to the reaction portion and the driving portion is connected to the reaction portion and rotates and back-rotates the spent nuclear fuel injected into the reaction portion. Also, the discharge portion gathers the oxidized spent nuclear fuel in the reaction portion and guides the oxidized spent nuclear fuel to a subsequent process. The reaction portion includes a reaction vessel forming a certain space inside; a heater enclosing the reaction vessel; a material injection tube connected to the reaction vessel and injecting the spent nuclear fuel to the reaction vessel; and a tube module including an air inlet connected to the reaction vessel and ventilating the reaction vessel. Also, the reaction vessel further includes a corn type metal filter mounted in a portion of the reaction vessel in which the reaction vessel's diameter becomes smaller and allowing air to ventilate by having micro holes. The reaction vessel has a plurality of fixed mixing vanes protruding inward in regular intervals, and the plurality of vanes rotating, colliding with the spent nuclear fuel, so that reaction speed is increased. The driving portion includes a rotation axle passing through the inside of the reaction vessel and moving up and down to selectively open or close a hole formed in a lower portion of the reaction vessel; a rotation driving portion rotating the rotation axle; and a transfer driving unit moving the axle up and down . A mesh mounted around the rotation axle in a cylindrical direction, the mesh includes a first mesh portion in a shape of a half circle; a second mesh portion adjacent to the first mesh portion and in a half circle; and a plurality of links connecting the first mesh portion, the second mesh portion, and the rotation axle; wherein the plurality of links are moved according to the rotation axle's transfer by an air cylinder to fold and open the first mesh portion and the second mesh portion. The discharge portion includes a powder vessel located in a lower portion of the reaction portion and gathering descending oxidized spent nuclear fuel; and a valve located in an upper portion of the powder vessel and controlling an inlet of the spent nuclear fuel, and the powder vessel is a type of a inverted funnel and is detachably mounted. Reference will now be made in detail to embodiments of the present invention, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to the like elements throughout. The embodiments are described below in order to explain the present invention by referring to the figures. According to the present invention, there is provided a vol-oxidizer of nuclear fuel capable of improving reaction efficiency by providing with minute powder for a post process for recycling of spent nuclear fuel. According to the present invention, there is provided a vol-oxidizer of nuclear fuel which can process a huge amount of spent nuclear fuel even though its size is comparatively small and its structure is comparatively simple. According to the present invention, there is provided a vol-oxidizer of nuclear fuel in which powder is smoothly discharged since a mesh is able to rotate and transfer, so that time required for oxidation may be reduced, workers may be exposed to less danger while working, and environmental pollution may be decreased. FIG. 1 is a perspective view illustrating a vol-oxidizer of spent nuclear fuel; FIG. 2 is a perspective view illustrating a part of the vol-oxidizer of spent nuclear fuel according to the present invention; FIG. 3 is an exploded perspective view illustrating a part of vol-oxidizer of spent nuclear fuel. As illustrated in the figures, the vol-oxidizer 10 of spent nuclear fuel includes a reaction portion 100, a driving portion 200 and a discharge portion 300. The reaction portion 100 is provided with a heater 110 in a shape of a doughnut having a certain space inside, a material injection tube where spent nuclear fuel and the like can be injected is mounted in an upper portion of the heater 110. Also, an air cylinder 130, having an air inlet 135, is mounted in the upper portion of the heater 110 in order to ventilate air inside the heater 110. The cylinder 130 opens and closes a mesh by moving a main axle up and down and opens and closes a discharge hole of a reaction vessel by the main axle. The upper portion and a lower portion of the heater 110 is open, and a reaction vessel 140 is inserted to an inner space of the heater 110. A material is injected to an inside of the reaction vessel 140 through a material injection tube 120, the reaction vessel 140 has a discharge hole 141 formed in a lower portion of the reaction vessel 140 and the material is discharged to the discharge portion 300 after the material is oxidized. Numeral reference 240 in FIG. 4 is spring. As illustrated in detail in FIG. 4, the reaction vessel 140 is connected to the inside of the heater 110 and is in a funnel shape, in which a cross section of an upper portion of the reaction vessel 140 has a regular circular diameter, and, from a certain upper portion to a lower portion of the funnel, the diameter of the funnel becomes smaller, and has a corn type metal filter 150 mounted in a portion of the reaction vessel 140 in which its' diameter becomes smaller. The corn type metal filter 150 has micro holes to ventilate air. The inside of the reaction vessel 140 is preferably, but not limited to, manufactured in a size in which approximately 10 to 30 Kg of spent nuclear fuel powder may be injected and contained, the heater 110 may be heated to approximately 400 to 600 degrees C. and approximately 10 to 20 L/m of air is blown in through compressor. The driving portion 200 helps oxidation by rotating and transferring the injected spent nuclear fuel and a configuration of the driving portion 200 will be illustrated in detail below. The driving portion 200 includes a rotation axle 210 passing through inside the reaction vessel 140 and moved up and down to selectively open or close a discharge hole 141 formed in the lower portion of the reaction vessel. The rotation axle 210 has an expanded open and close portion 211 to close the discharge hole 141 and a lower portion of the rotation axle 210 is connected to the driving unit, so that the rotation axle 210 rotates. The driving unit consists of a rotation motor 221 transferring a rotation force and a bevel gear 222 connected to the rotation motor 221 and the rotation axle 210. Namely, when the rotation motor 221 rotates, the rotation force is transferred to the rotation axle 210 through the bevel gear 222, so that the rotation axle 210 is able to rotate. The rotation motor 221 is stably mounted in a mounting plate 222 and is secured by a protruding guiding bar 223 formed from the motor mounting plate 222. The guiding bar 223 may rotate, so that the guiding bar is not an obstacle when the rotation motor is separated. Also, the driving unit transfers the reaction portion 100, is provided with a driving axle 251 which is connected with a plate 250 located in a lower portion of the reaction portion 100, and is connected with a transfer motor 252. Further to a mechanism of transferring, when the transfers motor 252 operates, for example, the plate 250 transfers the driving axle 251 formed in a screw type and the reaction portion 100 connected on the plate 250 moves. In this case, the plate 250 stably transfers due to a guiding column 260. When the reaction portion 100 moves, a mesh 230 may be folded and open, which will be described below. The mesh 230 is mounted around the rotation axle 230 in a cylindrical direction. The mesh 230 consists of a first mesh portion 231 and a second mesh portion 232 which is adjacent to the first mesh portion 231 and in a half circle. The first mesh portion 231 and the second mesh portion 232 are hinged together by the rotation axle 210 and a plurality of links 235, so that they are formed in umbrella ribs shape and are able to be folded downward, which is illustrated in FIG. 5. FIG. 5 is a perspective view illustrating the mesh portions folded downward. With a rotation of the rotation axle 210, a material rotates on the mesh 230. In this case, the material collides with a fixed mixing vane 145 protruding from an inside wall of the reaction vessel 140 in regular intervals. The fixed mixing vane 145 consists of a plurality of vanes, stirs the material. Accordingly, the material may be easily mixed and air may be smoothly ventilated. The discharge portion 300 consists of an open and close valve 310 mounted in the discharge hole 141 to control inflow and outflow of oxidized spent nuclear fuel, and a powder vessel 320 connected to the discharge hole 141 to store oxidized spent nuclear fuel. The open and close valve 310 may include all types of electronic and mechanical valves and be a normal two-way valve. The powder vessel 320 is connected with the discharge hole 141 of the reaction vessel 140 and has a space which may store an oxidized powder of spent nuclear fuel inside the powder vessel 320. The powder vessel 320 is in a funnel shape and is detachably mounted on the vol-oxidizer 10. Accordingly, once oxidation is complete, the powder vessel 320 is separated from the vol-oxidizer and is moved to a subsequent process. By configuring for a continuous process by opening a lower portion of the powder vessel 320, the oxidized powder of spent nuclear fuel may be transferred to a tube and the like by passing through the lower portion of the powder vessel 320 for a post process. The powder vessel 320 is attached to a bottom plate 400 by a holder 410 and consists of a plurality of columns 420 and the like, connected to the powder vessel 320. Hereinafter, a driving mode and effect of the present invention will be illustrated. Spent nuclear fuel is injected to the reaction vessel 140 through the material injection tube 120 and air is ventilated through a compressor mounted in the equipment. In this case, the spent nuclear fuel is located on the mesh 230. When the heater 110 is heated to approximately 500 degrees C. and approximately 14 L/m of air is blown in through compressor, the spent nuclear fuel is then oxidized to become a powder. In order to accelerate oxidation speed, when the rotation motor 221 is driven, the rotation axle 210 rotates at a speed of approximately 3˜5 RPM. Accordingly, the mesh 230 and the spent nuclear fuel on the mesh 230 rotates to collide with the fixed mixing vane 145 protruding from inside the reaction vessel 140. Also, since a corn type metal filter 150 allows air to ventilate, oxidation speed is increased by increasing a contact area between oxygen and the spent nuclear fuel. Once oxidation of the spent nuclear fuel is completed, the air cylinder 130 is pulled upward. In this case, when the rotation axle 210 moves up, the mesh 230 is folded downward, and when a lower portion of the rotation axle 210 moves up, the oxidized powder of the spent nuclear fuel is transferred to the powder vessel 320. The gathered oxidized powder of the spent nuclear fuel may be transferred to a subsequent process. Consequently, oxidized powder of spent nuclear fuel is readily discharged due to gravity since the vol-oxidizer 10 is vertically configured, minute powder particles of spent nuclear fuel is smoothly provided and a large amount of powder may be processed. Also, since a mesh is able to rotate and transfer, so that time required for oxidation may be reduced, workers may be exposed to less danger while working and environmental pollution may be decreased. Additionally, reaction efficiency may be improved by providing minute particles for a post process for recycling of spent nuclear fuel. Although a few embodiments of the present invention have been shown and described, the present invention is not limited to the described embodiments. Instead, it would be appreciated by those skilled in the art that changes may be made to these embodiments without departing from the principles and spirit of the invention, the scope of which is defined by the claims and their equivalents.
description
This application claims priority to French application FR 09 58128, filed Nov. 18, 2009, the entire disclosure of which is incorporated by reference herein. The present invention relates to a method for securing and confining the gasket plane of a vessel of a nuclear reactor upon an operation for closing this vessel. The invention also relates to a ring-shaped gasket for applying this method. Pressurized water nuclear reactors include inside the building of the reactor, a vessel containing the core of the nuclear reactor formed by combustible assemblies, generally of prismatic form, arranged vertically and in a juxtaposed way. Inside the vessel of the reactor, are arranged internal pieces of equipment in particular ensuring support and maintaining the combustible assemblies of the core of the nuclear reactor. The vessel of a general cylindrical shape, is formed at its lower portion with a convex bottom and includes an upper end which is closed during the operation of the nuclear reactor by a convex lid. This lid of the vessel is crossed by tubes having a vertical arrangement, called adaptors used for ensuring the passage of extenders allowing displacement of control clusters in certain assemblies of the core. When the lid is laid on the vessel, it determines a gasket plane formed by a ring-shaped recess made on a flange of the vessel and by a ring-shaped shoulder with a shape matching said recess and made on the vessel lid. The recess and the shoulder of the vessel flange and of the lid respectively, determine two substantially horizontal planar surfaces facing each other and between which two O-ring gaskets are slightly crushed when the lid is clamped on the vessel. The lid is attached on the vessel of the reactor by pins which are screwed into the flange of the vessel and which pass through in smooth holes provided in a flange ring of the lid. These pins are generally in a relatively large number and relatively close to each other, disassembling and reassembling the lid therefore requires unscrewing and screwing of all these pins. Moreover, during the screwing and unscrewing operations, by means of a tension device, traction is exerted on the pins in order to put them into a pre-stressed condition. The seal during operation of the reactor between the vessel and the lid is therefore achieved by both of the O-rings, most often in “Inconel” (registered trade mark) or in stainless steel plated with silver. These gaskets are placed in two concentric grooves provided on the planar surface of the shoulder of the lid. These gaskets bear on the planar surface facing the vessel flange and the spans of these gaskets are machined to as to obtain a perfect surface which does not accept any mark, any flaw. Tightening the lid with the pins slightly crushes the gaskets, the supporting surface of which is about 1 mm wide and generally of the order of 3 mm. The recess and the shoulder of the vessel flange and of the lid, respectively, each include a vertical wall delimiting between them a gap after the laying of the lid on the vessel flange. During operations for reloading a portion of the nuclear reactor, cold shut-down of the reactor is performed and the lid closing the upper end portion of the vessel is disassembled. After removing this lid, a temporary lid is placed on the vessel by placing a temporary inflatable gasket on the edge of the recess of the flange of the vessel in order to ensure a temporary seal. During the laying of the lid on the flange of the vessel after reloading the core of the nuclear reactor, the temporary inflatable gasket is removed after performing decontamination of the pool by means of a pressure cleaner. At the beginning of the operation for tightening the pins, the lid is slightly lifted relatively to the vessel flange due to the force produced by the springs of the combustible assemblies and the clamping ring. So there is a play from about 2 mm to 3 mm between the gaskets positioned below the lid and the gasket span over the vessel flange. It is found that after maintenance operations a leak was noticed upon closing a vessel of a nuclear reactor and this leak was justified by the probable presence of metal microchips or other particles over the span of the seal gaskets of the vessel flange when clamping pins of the lid on said vessel flange are tensioned by a machine for tightening and loosening the pins. The formation of these microchips probably results from the friction of the tops of the threads of the pins on the wall of the smooth holes of the lid during the mounting of said pins on the vessel flange with the tightening and loosening machine. Because of the withdrawal of the temporary inflatable gasket before the operations for screwing the pins, these microchips might have made their way right under the gasket span by passing through the gap made between the shoulder of the lid and the recess of the vessel and reached the span of said gaskets during the phase for screwing the pin. Upon restarting the unit at a primary pressure of the order of 25 bars and at a temperature of the order of 30° C., a leak may thus occur at the gasket span. In GB 877,277, an annular C-shaped gasket is known which is placed at the gasket plane formed by the ring-shaped recess made on the flange of the vessel and by the ring-shaped shoulder with a shape mating said recess made in the vessel lid. Each end side edge of the annular gasket is welded on a horizontal wall, of the lid and of the vessel flange respectively. The annular gasket is welded after laying the lid on the vessel so that during the welding operation, microparticles or foreign bodies may make their way right under the gasket span by passing through the gap. Further, during a new operation for loading a portion of the nuclear reactor, before removing the lid, at least one of the welding beads has to be removed in situ which considerably complicates the operations, increases the shut-down time of the reactor and generates potentially bothersome chips. An object of the invention is to provide a method for securing and confining the gasket plane of a vessel of a nuclear reactor during an operation for closing this vessel with which it is possible by simple means to apply to avoid these drawbacks and prevent migration of solid material particles towards the inside of the vessel of the nuclear reactor. An object of the invention is therefore to provide a method for securing and confining a gasket plane of a vessel of a nuclear reactor during an operation for closing this vessel in order to prevent migration of solid material particles towards the inside of said vessel, said gasket plane being formed by a ring-shaped recess made on a flange of the vessel and by a ring-shaped shoulder made on a vessel lid and said recess and said shoulder each including a vertical wall delimiting between them a gap after laying the lid on the vessel flange, the shoulder including a horizontal wall provided with two O-ring seal gaskets, characterized in that after having disassembled the lid and having laid this lid on a support, the method consists of: placing around the shoulder of the lid a ring-shaped gasket formed by a metal strip maintained on the vertical wall of the shoulder by self-maintaining members of said strip, placing the lid bearing the ring-shaped gasket above the vessel by positioning it at a determined height and performing a cleanliness inspection of the gasket plane, lowering and laying the lid on the vessel so that the end side edges of the strip come into contact with a horizontal wall, of the lid and of the vessel flange, respectively, attaching this lid on the vessel flange, and leaving the ring-shaped gasket in place during the whole operating cycle of the reactor. Another object of the invention is to provide a ring-shaped gasket for securing and confining the gasket plane of a vessel of a nuclear reactor during an operation for closing this vessel in order to prevent migration of solid material particles towards the inside of said vessel, said gasket plane being formed by a ring-shaped recess made on a flange of the vessel and by a ring-shaped shoulder made on a vessel lid and said recess and said shoulder each including a vertical wall delimiting between them a gap, the shoulder including a horizontal wall provided with two O-ring seal gaskets characterized in that the ring-shaped gasket is formed by a metal strip including two end side edges each capable of bearing upon a horizontal wall, of the lid and of the vessel flange, respectively, on the one hand and self-maintaining members of said ring-shaped gasket on the vertical wall of the shoulder of the lid on the other hand. According to other features of the invention: self-maintaining members comprise at least two metal tabs opposite to each other and attached on the outer face of the strip facing the vertical wall of the shoulder of the lid, the self-maintaining members comprise several metal tabs uniformly distributed over the perimeter of the strip and attached on the outer face of said strip facing the vertical wall of the shoulder of the lid, each tab is attached on the outer face of the strip by welding and with a thickness comprised between 0.2 mm and 0.5 mm and preferably of the order of 0.25 mm, the strip of the ring-shaped gasket has the shape of a flexible ring with a small thickness comprised between 0.3 mm and 1 min and preferably of the order of 0.5 mm, the strip of the ring-shaped gasket has an L-shaped cross-section including two walls forming between them an angle, respectively an upper wall, the end side edge of which bears upon the horizontal wall of the lid and a lower wall of smaller width than the upper wall and the end side edge of which bears upon the horizontal wall of the vessel flange, the tabs are attached on the outer face of the upper wall of the strip, and the tabs are attached on the outer face of the lower wall of the strip. In FIG. 1, a vessel of a pressure water nuclear reactor is illustrated, designated generally by reference 1. The vessel 1, of general cylindrical shape, is formed at its lower portion by a convex bottom and includes an upper end which is closed during the operation of the nuclear reactor, by a convex lid 1a bearing upon a vessel flange 1b. The vessel 1 contains the core 2 of the reactor formed by combustible assemblies, not shown, with a general prismatic shape arranged vertically and in a juxtaposed way inside the internal structures generally designated by the mark 3. These internal structures form the lower internal pieces of equipment of the nuclear reactor. The lower internal pieces of equipment 3 in particular include a plate for supporting the core 3a, a core shell 3b and a partition 3c for laterally maintaining the core 2 of the nuclear reactor. On the upper portion of the core 2 lies a second set called upper internal pieces of equipment of the nuclear reactor. Conventionally, the upper internal pieces of equipment 4 in particular include a lower plate 4a via which the upper internal pieces of equipment rest on the upper portion of the core 2 and which is called an upper core plate. The upper internal pieces of equipment further include an upper plate of large thickness 4b forming the plate for supporting the guide tubes 6 of the control bars of the nuclear reactor. Spacer columns 4c ensure the assembly and the spacing out of the plates 4a and 4b which are parallel with each other and placed horizontally in the nuclear reactor. The lid 1a of the vessel 1 is crossed by tubes 5 having a vertical arrangement, called adaptors, used for ensuring the passage of a displacement extender of control clusters in certain assemblies of the core. The lid 1a delimits with the vessel flange 1b a gasket plane 10. As shown in FIG. 1 and more particularly at a large scale in FIG. 2, this gasket plane 10 is formed by a ring-shaped recess 20 made on the vessel flange 20b and by a ring-shaped shoulder 30 made on the lid 1a of the vessel 1. The recess 20 and shoulder 30 are facing each other. The recess 20 includes a horizontal wall 21 and a vertical wall 22 and the shoulder 30 includes a horizontal wall 31 facing the horizontal wall 21 and a vertical wall 32 facing the vertical wall 22 of the recess 20. The vertical walls 22 and 32 of the recess 20 and of the shoulder 30, respectively, delimit between them a gap 12 after laying the lid 1a on the vessel flange 1b, as shown in FIGS. 5 and 6. Conventionally, the horizontal wall 31 of the shoulder 30 includes two O-ring gaskets 13 each attached in a groove 14 by means of suitable members like for example lugs, not shown. The O-ring gaskets 13 are slightly crushed when the lid 1a is tightened on the flange 1b of the vessel 1. The lid 1a is attached on the vessel flange 1b by pins, not shown, which are screwed into tapped holes 16 made in the flange 1b and which pass through smooth holes 15 provided in a flange ring of the lid 1a. These pins are generally in a relatively large number and relatively close to each other, disassembling and reassembling the lid therefore requires unscrewing and screwing of all these pins. During the tightening and loosening operations, a tension device of a known type, not shown, exerts traction on the pins in order to put them into a pre-stressed condition. In order to avoid that metal microchips or other solid material particles deposit on the span 21 of the seal gaskets 13 of the vessel flange 1b during screwing or unscrewing of the pins, which may cause leaks, a ring-shaped gasket designated by the general reference 40 is inserted between the vessel flange 1b and the lid 1a at the shoulder 30 of said lid and more particularly at the gap 12, as well as illustrated in FIGS. 2, 5 and 6. The ring-shaped gasket 40 is formed by a metal strip 41 which preferably has the shape of a flexible ring with a small thickness comprised between 0.3 mm and 1 mm and more particular of the order of 0.5 mm. Generally, the strip 41 forming the ring-shaped gasket 40 includes a first end side edge 41a intended to bear upon a horizontal wall 17 of the lid 1a and a second end side edge 41b intended to bear upon a horizontal wall 18 of the flange 1b of the vessel 1 when the lid 1a is mounted on the vessel flange 1b, as this will be seen subsequently. As illustrated in FIG. 4, the strip 41 of the ring-shaped gasket 40 includes self-maintaining members of said gasket 40 on the vertical wall 32 of the shoulder 30 of the lid 1a, when this lid 1a has been removed from the vessel flange 1b and laid on a support, not shown. The self-maintaining members comprise at least two opposite metal tabs 50 and preferably several metal tags 50 uniformly distributed over the perimeter of the strip 41 of the gasket 40. The metal tabs 50 are attached on the outer face of the strip 41 intended to be placed facing the vertical wall 32 of the recess 30 and these tabs 50 are attached by welding. Each tab 50 has a thickness comprised between 0.2 mm and 0.5 mm and preferably of the order of 0.25 mm. As illustrated in FIG. 4, the strip 41 preferably has an L-shaped cross-section including two walls forming between them an angle, an upper wall 44 and a lower wall 45 with a smaller width than the width of the upper wall 44, respectively. According to a first embodiment illustrated in FIG. 4, the tabs 50 are attached on the outer face 43 of the upper wall 44 of the strip 41. According to a second embodiment illustrated in FIG. 7, the tabs 50 are attached on the outer face 43 of the lower wall 45 of the strip 41. Generally, the metal strip 41 forming the gasket 40 may have any other shape such as for example a C-shaped or V-shaped cross-section. Laying the ring-shaped gasket 40 at the gasket plane 10 between the lid 1a and the vessel flange 1b is carried out in the following way. During a maintenance operation or an operation for reloading the core of the nuclear reactor, the pins are unscrewed and the lid 1a is removed from the vessel 1. This lid 1a is laid on a support, not shown, so as to allow operators to access the O-ring gasket 13 and possibly proceed with their replacement. The operators manually place the strip 41 around the vertical wall 32 of the shoulder 30 by applying the upper side edge 41a against the horizontal wall 17 of this shoulder 30. The free edges of the self-maintaining tabs 50 bear upon the vertical wall 32 of the shoulder 30 and ensure that the strip 41 is maintained by buttressing this vertical wall 32. Taking into account the asymmetrical profile of the strip 41 forming the gasket 40 and the weight of this strip, the tabs 50 form elements for blocking and maintaining the gasket 40 in place on the shoulder 30 of the lid 1a. Next, the lid 1a bearing the ring-shaped gasket 40 is conveyed above the vessel 1b and during this transport, the ring-shaped gasket 40 is only maintained by the tabs 50 without any other element. The lid 1a is positioned at a determined height of the vessel flange 1b and a cleanliness inspection of the gasket plane 10 is carried out. Next, and as shown in FIG. 5, the lid 1a is lowered onto the vessel so that the end side edges 41a and 41b of the strip 41 come into contact with a horizontal wall, the horizontal wall 17 of the shoulder 30 of the lid 1a and the horizontal wall 18 of the recess 40 of the vessel flange 1a, respectively. Thus, and before tightening the pins, the strip 41 prevents any migration of microparticles or foreign bodies of solid material towards the inside of the vessel before putting the O-ring gaskets 13 into contact on the span 21. The lid 1a is then attached on the vessel flange 1b, by tightening the pins, not shown. Upon tightening the lid 1a on the vessel 1, the strip 41 forming the ring-shaped gasket 40 is gradually crushed as shown in FIG. 5. The ring-shaped gasket 40 because of the contacts of the side edges 41a and 41b on the horizontal wall 17 and 18 of the lid 1a and of the vessel flange 1b respectively and also because of the contact of the generatrix 41c on the vertical wall 32 of the shoulder 30 by crushing of the tabs 50, therefore ensures confinement of the gasket plane 10 preventing the migration of microchips or of any other particles towards said gasket plane 10 and towards the inside of the vessel. The ring-shaped gasket 40 remains in place during the whole operating cycle of the nuclear reactor following its placement and is replaced during the next cycle. Preferably, the strip 41 of the ring-shaped gasket 40 is in stainless steel. During a new removal of the lid 1a, the ring-shaped gasket 40 may easily be withdrawn without any special operation. Indeed, during a shut-down, the gasket may be taken off by hand, with view to its replacement. The lid is then placed on its stand, removal of the gasket does not have any particular difficulties and does not generate any pollution in particular at the vessel or the pool of the reactor. The ring-shaped gasket according to the invention therefore gives the possibility of securing and confining the gasket plane of a vessel of a nuclear reactor during an operation for closing this vessel in order to avoid any intrusion of microchips or foreign bodies between the gaskets and the span of these gaskets before and during the tightening of the pins ensuring the attachment of the lid on the vessel flange of the nuclear reactor. The ring-shaped gasket has the advantage of being able to be easily laid on the shoulder of the lid of the vessel of the nuclear reactor without any particular attachment operation.
abstract
A target system for irradiation of molybdenum with charged particles from an accelerator to produce technetium and molybdenum radioisotopes. The target system comprises a molybdenum-100 material brazed with a brazing alloy to a backing material. The backing material preferably comprises a dispersion-strengthened copper composite. The brazing alloy comprises copper and phosphorus.
048271390
description
DETAILED DESCRIPTION In FIG. 1 there is shown, for purposes of illustration and to facilitate an understanding of the ensuing discussion, a typical spent nuclear fuel shipping cask 11, mounted in its shipping cradle 12. The outer shell of cask 11 may be of steel or other suitable strong material. Within cask 11 is contained a spent nuclear fuel basket 13, consisting of a number of elongated tubes or cells 14 into which the nuclear fuel rod assemblies (not shown) are inserted for transport. Any empty spaces between the basket 13 and the shell of cask 11 may be filled with filler blocks 16 of suitable material to hold the basket 13 in place within the cask 11. The cask 11 is sealed with end plates 17 and 18 for holding the basket assembly in place longitudinally. The cask itself may include an outer jacket 19, having a plurality of channels 21, 21 containing water, for example, for containing neutrons emitted from the fuel cells and also for cooling. In FIG. 2 there is shown, in perspective, a tube member 22 for use in the basket of the present invention. For illustrative purposes, a portion of a fuel rod assembly 23 is shown, consisting of a square base 24 and fuel rods 26, 26 mounted thereon. Tube 22 is preferably made by extrusion of aluminum or a boron-aluminum alloy to form a seamless tube forming a hollow, square holding cell of dimensions such that the fuel rod assembly 23 is virtually slip-fitted therein so that it is held snugly within tube 22. Instead of extrusion, the tube 22 may be formed by swaging, or by welding along one edge thereof. This last expedient is the least desirable, but, as will be apparent hereinafter, in the overall construction of the basket the single, strong weld will not be overly deleterious to the function and stress resistance of the basket. It is also possible to make the tube 22 of stainless steel or other non-corrosive material, although aluminum is preferred for a variety of reasons, among which is its better heat conductivity, and the fact that, when alloyed with boron, it functions as a neutron poisoning material, thereby restricting large amounts of neutron interaction between the fuel cells. FIG. 3 depicts a fuel basket and cask assembly 31 embodying the principles of the present invention. The cask 32 of assembly 31 comprises three spaced concentric rings or shells 33, 3, and 36 of, for example, steel. The space 37 between rings 33 and 34 may be filled, for example, with water, which functions to suppress neutron radiation, and, to some extent also functions as a coolant. Alternatively, the space 37 may be filled with a hydrogen containing material such as, for example, Bisco.RTM. NS4FR. Also, the hydrogen containing material may have ducts or passages (not shown) containing water. If water alone is used in space 37, the spacing between rings 33 and 34 may be maintained by suitable webs (not shown) of sufficient number to maintain a high degree of structural strength. The space 38 between rings 34 and 36 is preferably filled with lead, which blocks gamma radiation from the fuel rods. Inside of ring 36 is the basket assembly embodying the principles of the present invention. The basket 39 comprise a plurality of fuel containing tubes 22 arranged in the stack pattern shown to maximize the number of tubes within the inner ring or shell 36. Each tube is totally independent of every other tube, there being no physical connection between any of the tubes, although the individual tubes are adapted to maintain adjacent tubes in position along at least one axis in the pattern shown. Between adjacent tubes in the assembly are inserted spacer slabs 41, 41, of a neutron poising material such as an alloy of boron and aluminum. One such material is known as Boral.RTM.. The slabe 41, may be attached to an adjacent tube, for ease of assembly, but in no case is a slab 41 connected to two adjacent tubes. The entire assembly of tubes 22 with spacers 41 is maintained firmly in position relative to each other and the inner shell 36 of the cask by filler blocks 42, 43, and 44, which are preferably extruded from a neutron poisoning material such as an alloy of aluminum and boron, e.g., Boral.RTM., and inserted into the spacer formed by the pattern of the assembly and the circular surrounding wall 35. Because various tolerances are involved in the tube dimensions and the wall or ring 36, some slight machining of the filler blocks 42, 43, 44 may be necessary to achieve a substantially slip fit in the assembly. Blocks 42, 43, and 44 function not only as neutron poisoning members, but also as heat conductors. When the filler blocks have been inserted into the assembly, the basket 39, although made up of a number of totally independent components, is, to all intents and purposes, a rigid structure, and under normal transporting and handling conditions, is as rigid as various prior art structures. Under other than normal conditions, i.e., where various stresses are introduced, the structure of the present invention, where substantially all of the elements are independent of each other, is better able to withstand these stresses. Referring now to FIG. 3 and Tables I and II, the affect of various stresses on a typical prior art basket and the basket of the present invention is shown, based upon a stress analysis in which three different impact conditions and one condition of differential thermal expansion were considered. The analysis showed that for a side drop of the cask (as opposed to an end drop, where stresses are quite small), stress was at a maximum for a 45.degree. orientation of the basket to the point of impact, and the maximum at the point B, shown in FIG. 3, for both the prior art basket and the basket of the present invention. However, as shown in Table II, the relative stress at point B was approximately 12% less for the basket of the present invention. In the same manner, for a zero degree orientation, maximum stress occurred at point A as seen in FIG. 3, but the relative stress was approximately 9% less in the basket of the present invention. For a 90.degree. orientation, relative stresses were the same, although for the prior art basket, maximum stress occurred at point C, whereas it occurred at point D for the basket of the invention. This last indicates the efficacy of the theory underlying the structure of the present invention. Although the point of impact was at 90.degree. as shown in FIG. 3, for the prior art basket, the stress travelled through the structures, increasing to a maximum at point C, because being a solid, connected structure, the stress was transmitted through a number of tubes to the point of maximum stress, thus representing potential, and most probably actual damage to all of the intervening tubes. On the other hand, for the basket of the invention, the single tube at point D absorbed most of the impact because is was, or is, independent of the other tubes which are themselves independent, so that stresses are not readily transmitted. Because the tubes are independent of each other, under conditions of extreme stress there is presented at their boundaries, i.e., walls, a high impedance to the transmission of the stress to adjacent tubes. As can be seen in Table II, the behavior under conditions of differential thermal expansion are even more pronounced. Assuming a maximum differential thermal expansion stress at point E, the stress for a solid or welded basket structure is approximately three times as great as for the structure of the invention. This can be at least partially explained by the fact that in a solid or welded structure all of the tubes are interdependent, actually presenting a unitary structure to the stress, while in applicants' basket, all tubes are independent of each other and stress on one does not imply stress on all. Earlier it was mentioned that the tube 22 could be fabricated by welding along one edge. Welding is not desirable, since welds are susceptible to stresses and tend to crack and break under heavy stress. However, because all of the tubes 22 are independent of each other, the damage caused by a breaking weld is limited to the tube on which the weld is located and the remaining tubes are substantially unaffected. FIG. 4 depicts a tube 22 to which the aluminum-boron spacer slabs 41, 41 are affixed as by welding, brazing or other suitable means, to only two sides. It can be seen that in a pattern of tubes such as is shown in FIG. 3, this is all that is necessary to insure that there will be a spacer between any pair of adjacent tubes. In this configuration, the spacer becomes a part of the tube, which still remains independent of all other tubes and spacers. The arrangement of FIG. 4 facilitates assembly of the basket in the desired pattern, eliminating the difficulty of inserting the spacer slabs into place. FIG. 5 depicts a modified version of the tubes 22, also intended to facilitate assembly and insure proper location of the spacers 41. Each of the tubes 22 is provided with two pairs of locating tabs 51, 51, and 52, 52, extending the length of the tube. The tabs are formed during the extrusion formation of the tube 22. The depth of the tab is substantially the same as the thickness of the spacer 41, for example, 0.170 inches. The tabs, as can be seen from FIG. 5, in conjunction with the untabbed wall of the adjacent tube, form a pocket for insertion of the spacer, which is substantially a slip fit therein. As can be seen in FIG. 5, only two sides of each tube 22 need to be tabbed, thereby insuring that there will be a spacer between each pair of adjacent tubes. It is readily apparent from the foregoing that the invention comprises a new spent fuel basket assembly that is less susceptible to damage or failure arising from the application of dynamic stresses to the cask or basket. While the foregoing illustrative embodiments of the invention represent preferred forms thereof, various modifications and changes may occur to persons skilled in the art without departure from the spirit and scope of the invention.
description
This invention was made with Government support under Contract No. DE-0000583 awarded by the Department of Energy. The Government has certain rights in this invention. The following pertains to the nuclear reactor arts, nuclear power arts, nuclear reactor safety arts, and related arts. Existing nuclear power plants are typically light water thermal nuclear reactors of the boiling water reactor (BWR) or pressurized water reactor (PWR) designs. In such a reactor, a nuclear reactor core comprising fissile material (typically a uranium compound such as UO2 enriched in fissile 235U) is disposed in coolant (purified water) contained at an operational pressure and temperature in a reactor pressure vessel. A nuclear chain reaction involving fission of the fissile 235U generates heat in the nuclear reactor core which is transferred to the coolant. In a BWR design, the heat directly converts coolant to steam, and steam separator/dryer hardware contained in the reactor pressure vessel generates steam that is output via large-diameter piping to a turbine to generate electricity (in a nuclear power plant setting; more generally the output steam is used to perform other useful work). The condensed coolant from the turbine is fed back into the BWR pressure vessel via additional large-diameter piping. In a PWR design, the primary coolant remains in a liquid state (e.g. subcooled) and is piped via large-diameter piping to an external steam generator where heat from the (primary) reactor coolant converts (separate secondary) coolant to steam that in turn drives the turbine. The condensed coolant from the steam generator is fed back into the PWR pressure vessel via additional large-diameter piping. Safe operation of such reactors includes providing protection against radiological release to the environment. To this end, it is known to surround the nuclear reactor with a radiological containment structure typically constructed of steel and/or steel-reinforced concrete, and to implement safety systems, with redundancy, to remediate events in which reactor operation moves outside of a design envelope. One class of events is a loss of coolant accident (LOCA), in which reactor coolant escapes from a reactor pressure vessel break or, more commonly, from a break in a large-diameter pipe that connects with the reactor pressure vessel at a vessel penetration. A LOCA break which occurs between the vessel penetration and a closest pipe valve is particularly problematic, since reactor coolant loss from such a break continues even after the pipe valve is closed. A known solution is to provide an integral isolation valve (IIV) at the vessel penetration. An IIV comprises a valve built into a flange that connects with the pressure vessel. Since the IIV is integrated directly into the vessel penetration, closing the IIV ensures stoppage of reactor coolant loss at the LOCA break. A disadvantage of using IIV's to protect against LOCA events is that pneumatic, hydraulic, or electric control lines are needed to operate the IIV's, and these control lines are run up to the reactor pressure vessel so that they are exposed to heat and radiation flux generated by the operating nuclear reactor. It has been contemplated to employ wireless valve control, but this introduces its own set of problems. The wireless receiver must be built into the IIV and hence is exposed to high temperature and radiation fluxes, and the intangible nature of the wireless communication can make it difficult to detect problems in the valve control system. An additional disadvantage of using IIV's to protect against LOCA events is that the valve actuator control can be complex, entailing detection of a LOCA condition based on reactor pressure, coolant level, or the like, and operating the IIV's in accordance with the detected reactor condition. The operation is not straightforward, because the response may require keeping some IIV's open and other IIV's closed. In some embodiments described herein as illustrative examples, a nuclear island comprises: a nuclear reactor including a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel; an emergency core cooling (ECC) system connected to the nuclear reactor through an ECC vessel feedthrough; a reactor coolant inventory and purification system (RCIPS) connected to the nuclear reactor to inject coolant into the reactor pressure vessel through a make-up line vessel feedthrough and to extract coolant from the reactor pressure vessel through a let-down line vessel feedthrough; and an integral isolation valve (IIV) system. The IIV system includes: a passive IIV comprising a check valve built into a forged flange that is connected directly to the ECC vessel feedthrough; a passive IIV comprising a check valve built into a forged flange that is connected directly to the make up line vessel feedthrough; and an active IIV comprising an active valve built into a forged flange that is connected directly to the let-down line vessel feedthrough. The nuclear island may further include a residual heat removal (RHR) system having an RHR inlet connected to the nuclear reactor to through an RHR out vessel feedthrough and an RHR outlet connected to the nuclear reactor to through an RHR return vessel feedthrough, with the IIV system further including a passive IIV comprising a check valve built into a forged flange that is connected directly to the RHR return vessel feedthrough and an active IIV comprising an active valve built into a forged flange that is connected directly to the RHR out vessel feedthrough. The RHR system may comprise an air- or water-cooled RHR heat exchanger. The nuclear island may further include a pressure sensor disposed in the reactor pressure vessel and configured to sense reactor coolant pressure, and/or a level sensor disposed in the reactor pressure vessel and configured to sense reactor coolant level. A reactor control system is suitably configured to close the active IIV connected directly to the RHR out vessel feedthrough in response to the reactor coolant pressure sensed by the pressure sensor exceeding a threshold pressure, and/or to close the active IIV connected directly to the letdown line vessel feedthrough in response to the reactor coolant level sensed by the pressure sensor falling below a threshold reactor coolant level. In some embodiments described herein as illustrative examples, a nuclear island is disclosed. A nuclear reactor includes a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel that has a plurality of vessel penetrations that exclusively carry flow into the nuclear reactor and at least one vessel penetration that carries flow out of the nuclear reactor. An integral isolation valve (IIV) system includes a plurality of passive IIVs each comprising a check valve built into a forged flange and not including an actuator, and one or more active IIVs each comprising an active valve built into a forged flange and including an actuator. Each vessel penetration that exclusively carries flow into the nuclear reactor is protected by a passive IIV whose forged flange is directly connected to the vessel penetration. Each vessel penetration that carries flow out of the nuclear reactor is protected by an active IIV whose forged flange is directly connected to the vessel penetration. In some embodiments each vessel penetration that carries flow out of the nuclear reactor exclusively carries flow out of the nuclear reactor. In some embodiments each active IIV further includes a manual backup for the actuator. The check valve of each passive IIV suitably admits flow at above a threshold pressure into the reactor pressure vessel, while blocking flow otherwise. The active valve of each active IIV is suitably a normally closed valve. In some embodiments described herein as illustrative examples, a nuclear reactor includes a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel. A plurality of auxiliary systems is in fluid communication with the nuclear reactor via vessel penetrations. Each vessel penetration is either a fluid inlet vessel penetration carrying fluid into the nuclear reactor or a fluid outlet vessel penetration carrying fluid out of the nuclear reactor. An integral isolation valve (IIV) system includes at least one passive IIV comprising a check valve built into a forged flange and not including an actuator, and at least one active IIV comprising an active valve built into a forged flange and including an actuator. Each fluid inlet vessel penetration is protected by a passive IIV whose forged flange is directly connected to the vessel penetration. Each fluid outlet vessel penetration is protected by an active IIV whose forged flange is directly connected to the vessel penetration. The plurality of auxiliary systems may include an emergency core cooling (ECC) system and a reactor coolant inventory and purification system (RCIPS), and may further include a residual heat removal (RHR) system. In some embodiments the at least one active IIV is configured to be closed by reactor coolant pressure upon loss of actuator power. The IIV systems and operational methods disclosed herein are based on certain observations made herein regarding such systems and methods. One observation is that any vessel penetration that exclusively provides flow into the nuclear reactor can be sufficiently protected by a passive integral isolation valve (IIV) in the form of a check valve built into the forged flange that admits flow into the reactor pressure vessel but blocks flow out of the reactor pressure vessel (i.e. admits flow at above a threshold pressure into the reactor pressure vessel, while blocking flow otherwise). Such a check valve includes no actuator and operates entirely passively, and is sufficient to prevent coolant loss at a pipe break with no intervention by reactor operators. A second observation is that any vessel penetration that exclusively provides flow out of the nuclear reactor must be protected by an active valve—that is, a valve whose operation is driven by an electric (e.g. motor-operated), hydraulic, or pneumatic actuator (optionally with manual backup, e.g. a manual hand wheel backup). The active valve is closed by receiving an actuator signal cau (or by operating the manual backup if available). Optionally, the reactor control system is configured to automatically open or close the active IIV under specified reactor condition(s), such as based on a threshold reactor pressure and/or threshold reactor coolant level. A third observation is that in the case of a vessel penetration that exclusively provides flow out of the nuclear reactor and has an active IIV with manual backup, an advantageous variant is to configure the actuator-driven closure to override any attempt to open the valve using the manual backup, so that the actuator serves as a lock-out mechanism for the IIV. With reference to FIG. 1, an illustrative embodiment including an IIV system constructed based on the foregoing is described. A nuclear reactor 8 includes a reactor pressure vessel 10 that houses a reactor core 12 comprising fissile material immersed in coolant fluid. In a typical light water thermal nuclear reactor, the reactor core 12 comprises a uranium compound such as UO2 that is enriched in the fissile 235U isotope, and the coolant fluid is purified light water (H2O). The illustrative nuclear reactor 8 is of the pressurized water reactor (PWR) type, in which the coolant water is maintained in a sub-cooled liquid state. The illustrative PWR 8 includes an integral pressurizer volume 14 defined at the top of the pressure vessel 10 by a baffle plate 16 or other suitable structure. During operation of the nuclear reactor, a steam bubble is maintained in the pressurizer volume 14, and reactor pressure is adjusted by heating or cooling the steam bubble (for example using resistive heaters, and spargers for cooling). In alternative embodiments (not shown), an external pressurizer is employed which is connected with the reactor pressure vessel by suitable piping. The coolant inside the reactor vessel is circulated in order to heat sink the reactor core 12 and to extract heat generated by the reactor core 12. To this end, the illustrative PWR 8 includes reactor coolant pumps (RCPs) 18 mounted near the top of the pressure vessel 10 to assist or drive circulation of the coolant. In alternative embodiments (not shown), the RCPs may be located elsewhere, or may be internal canned pumps located inside the pressure vessel, or the RCPs may be omitted entirely and the coolant circulated by natural circulation driven by heat from the reactor core. The illustrative PWR also includes one or more internal steam generators 20 located inside the pressure vessel 10. In one embodiment, the steam generator(s) 20 suitably include tube-and-shell structures with reactor coolant flowing in one of the tubes and the surrounding shell and secondary coolant (different from the reactor coolant) flowing in the other of the tubes and surrounding shell (details not shown). The secondary coolant is distinct from the (primary) reactor coolant, and secondary coolant feed water is fed into, and steam extracted form, the steam generator(s) 20 via steam generator couplings 22. It may be noted that while these couplings 22 are vessel penetrations, they do not “see” reactor coolant pressure and a break in piping to the feed water inlet or from the steam outlet does not result in a radiological release into the radiological containment structure. Accordingly, while it is contemplated to provide IIV protection for couplings 22, this is not done in the embodiment of illustrative FIG. 1. The illustrative PWR 8 includes internal control rod drive mechanisms (CRDMs) 24 that move neutron-absorbing control rods vertically into or out of the reactor core 12 in order to control the nuclear chain reaction in the core 12. The CRDMs 24 are preferably configured to respond to certain events by performing a scram operation in which the control rods are released and fall into the nuclear reactor under force of gravity in order to extinguish the nuclear chain reaction entirely. The illustrative PWR 8 is an integral PWR in which stream generator(s) 20 are disposed inside the pressure vessel 10. In alternative embodiments (not shown) the steam generators are external units located outside of the pressure vessel, and large-diameter piping connects the external steam generators with the nuclear reactor. In such alternative embodiments, it is contemplated to include IIV protection for this piping as well since it carries reactor coolant to the external steam generators. The illustrative integral PWR 8 advantageously has no large-diameter vessel penetrations that see reactor coolant (as already noted, the steam generator couplings 22 see only secondary coolant which does not contain radiological contamination and is typically at lower pressure compared with the reactor coolant inside the pressure vessel 10). In the illustrative integral PWR 8, the vessel penetrations include: two emergency core cooling (ECC) vessel penetrations protected by ECC IIVs 30; a make-up line vessel penetration protected by a make-up line IIV 32; a let-down line vessel penetration protected by a let-down line IIV 34; a residual heat removal (RHR) outlet line protected by an RHR out IIV 36; and an RHR return line protected by an RHR return IIV 38. The ECC system is designed to deliver coolant water into the reactor pressure vessel 10 during certain events such as a loss of coolant accident (LOCA), in order to ensure that the reactor core 12 remains immersed in coolant water. A diagrammatically indicated illustrative ECC system 40 includes two water sources: an intermediate-pressure injection tank (IPIT) 42 that contains nitrogen-pressurized water; and a refueling water storage tank (RWST) 44. In a LOCA response the reactor is depressurized through the LOCA break and/or through designated depressurization valves. As the IPIT 42 is nitrogen-pressurized, water is injected as the pressure inside the nuclear reactor 8 dissipates. The water in the IPIT 42 is optionally borated (that is, contains a soluble boron compound) to assist in quenching the nuclear chain reaction in the reactor core 12 (this is a defense-in-depth measure, as scram of the control rods by the CRDMs 24 is the primary mechanism for quenching the nuclear chain reaction). After the pressure vessel 10 has depressurized to below a certain pressure threshold, the ECC valves operate to connect the RWST 44 to the ECC vessel penetration via the ECC IIV 30. The RWST is typically at an elevated position compared with the nuclear reactor 8, and thus water is gravity-fed from the RWST 44 into the pressure vessel 10. The capacity of the RWST 44 is designed to be sufficient to maintain water inflow into the pressure vessel 10 for a design-basis time interval in order to ensure the reactor core 12 remains immersed in coolant water. In the illustrative example of FIG. 1, the ECC system 40 comprises two redundant systems each connected with the pressure vessel 10 via its own designated ECC IIV 30—accordingly, there are two ECC IIV's 30 shown in FIG. 1. Such redundancy is advantageous due to the safety-critical nature of the ECC system 40. With continuing reference to FIG. 1 and with further reference to FIGS. 2, 4A and 4B, which show the lower section of the pressure vessel 10 with the upper section and internal components removed, the ECC IIV 30 exclusively provides flow into the nuclear reactor 8, and is suitably a passive IIV in the form of a check valve built into a forged flange 41 (see inset of FIG. 2) that connects (e.g. bolts) directly to the pressure vessel 10 and admits flow into the reactor pressure vessel but blocks flow out of the reactor pressure vessel. Said another way, the passive check valve IIV admits flow into the reactor pressure vessel at above a threshold pressure (which may be as low as zero in some embodiments), while blocking flow otherwise. The check valve may have any suitable check valve 41a configuration, such as a ball check valve configuration (see FIG. 4A, in which a ball 43 or other movable part is spring-biased against a valve seat 45 and is moved away from the valve seat against the spring force by pressure exceeding the threshold pressure for opening), a swing check valve 41b (see FIG. 4B, in which a door 47 or other movable part is hinged and seats against a valve seat 49 such that flow into the reactor opens the door to admit the inflow while flow out of the reactor closes the door against the valve seat to block outflow), or so forth. In some embodiments, the passive check valve IIV includes two (or more) check valves in series built into the forged flange 41, so as to provide redundancy in the event that one check valve malfunctions and fails to block the reverse flow (i.e. fails to block flow out of the reactor). Because the passive check valve IIV 30 is passive, it cannot be controlled by an actuator, for example as part of the ECC operation. The passive check valve IIV 30 serves as a safety device to prevent loss of coolant through the protected ECC vessel penetration in the event of a pipe break on the line feeding into the ECC vessel penetration. With continuing reference to FIGS. 1 and 2, a reactor coolant inventory/purification system (RCIPS) 50 connects with the nuclear reactor 8 via the make-up line vessel penetration protected by make-up line IIV 32 and the let-down line vessel penetration protected by the let-down line IIV 34. The RCIPS 50 performs various functions related to maintaining the reactor coolant quantity and purity. Coolant water is added to the pressure vessel 10 via the make-up line, and is removed from the pressure vessel 10 via the let-down line. The make-up line IIV 32 exclusively provides flow into the nuclear reactor 8, and is suitably a passive IIV in the form of a check valve built into a forged flange 41 (see inset of FIG. 2) that connects (e.g. bolts) directly to the pressure vessel 10 and admits flow into the reactor pressure vessel but blocks flow out of the reactor pressure vessel. On the other hand, the let-down line IIV 34 exclusively provides flow out from the nuclear reactor 8, and is suitably an active IIV. In the illustrative example shown in the second inset of FIG. 2, a suitable active IIV includes a valve built into a forged flange 52 that further includes two redundant actuators 54 that may be operated electrically (for example, solenoid actuators), hydraulically or pneumatically (for example, hydraulic or pneumatic piston actuators). In active valves, a movable element is moved toward or away from a valve seat by the actuator. The active valve is preferably a “fail closed” valve, by which it is meant that upon loss of electrical (or hydraulic, or pneumatic) power the valve moves to its closed position under spring bias or another passive impetus. In another contemplated design, the actuator of the active valve opens the valve by moving the movable valve part inward (that is, toward the reactor 8 or, if the movable valve part is disposed partway inside the vessel penetration, moves the movable part further into the vessel penetration) so that in the event of loss of actuator power the reactor coolant pressure drives the movable part outward against the valve seat to achieve the normally closed state. In the illustrative example, the active IIV 34 also includes manual backup in the form of two redundant hand wheels 56. The let-down line IIV 34 serves a safety function—for example, if a break occurs on the let-down line, the let-down line IIV 34 can be closed by an operator, either by operating a reactor control system 60 to send an actuation signal to the actuators 54 to close the IIV 34, or by operating a manual backup t mechanism 56. By closing the IIV 34, loss of reactor coolant via the break on the let-down line is terminated. The reactor control system 60 is suitably implemented as a computer or other electronic system with suitable user interfacing devices such as one or more computer monitors or displays, keyboards, mice or other pointing devices, various combinations thereof, and so forth. The valve actuators 54 are operatively connected with the reactor control system 60 by electrical wiring, hydraulic lines, pneumatic lines, or so forth in accord with the type or types of valve actuators employed in the IIV system. The reactor control system 60 optionally includes non-computerized backup systems, such as a status display board with LED indicators and buttons or other electromechanical user inputs providing backup non-computerized control of the actuators 54. As previously noted, the active valves of the IIV system optionally include manual backup mechanisms such as the illustrative hand wheels 56. In alternative embodiments, the active let-down line IIV 34 is used only for the aforementioned safety function, but is not used as a functional component during normal operation of the nuclear reactor 8. In this alternative approach, the active let-down line IIV 34 is kept open during normal reactor operation, and other valves (not shown) of the RCIPS 50 are opened and closed as appropriate to control the addition of make-up water to the pressure vessel 10. In this approach the let-down line IIV 34 is closed only in response to a safety-related situation such as a break on the let-down line, and the let-down line IIV 34 can be a two-state device, that is, either fully open or fully closed, but not continuously adjustable to “partially open” states in-between. The residual heat removal (RHR) lines protected by the RHR out IIV 36 and the RHR return IIV 38 connect with an RHR heat exchanger 70 that may be air-cooled, water-cooled, or otherwise cooled. In a suitable embodiment, the RHR heat exchanger 70 operates in a active closed-loop: decay heat from the nuclear reactor core 12 heats coolant water that is carried by the RHR output line to the RHR heat exchanger 70 where water is cooled (thus expelling carried heat), and the condensate is channeled back to the reactor pressure vessel 10 via the RHR return line. In such a design, an RHR inlet 72 is connected to the nuclear reactor 8 to through an RHR out vessel feedthrough that is protected by the RHR out IIV 36, and an RHR outlet 74 is connected to the nuclear reactor 8 to through an RHR return vessel feedthrough that is protected by the RHR return IIV 38. The RHR return IIV 38 protects the return line that channels condensate from the RHR heat exchanger 70 back to the reactor pressure vessel 10. The RHR return IIV 38 exclusively provides flow into the nuclear reactor 8, and is suitably a passive IIV in the form of a check valve built into a forged flange 41 (see inset of FIG. 2) that connects (e.g. bolts) directly to the pressure vessel 10 and admits flow into the reactor pressure vessel but blocks flow out of the reactor pressure vessel. On the other hand, the RHR out IIV 36 exclusively carries flow out of the nuclear reactor 8, and is suitably an active IIV comprising an actuated valve (optionally with manual backup) built into a forged flange 52. The illustrative RHR out IIV 36 includes two redundant actuators 54 that may be operated electrically (for example, solenoid actuators), hydraulically or pneumatically (for example, hydraulic or pneumatic piston actuators), and also including manual backup in the form of two redundant hand wheels 56. In some embodiments, the RHR out IIV 36 may be used initiate the residual heat removal system by opening to initiate the active closed-loop circulation. In alternative embodiments, the RHR out IIV 36 serves only a safety function. In the embodiment of FIGS. 1 and 2, each independent (i.e. redundant) ECC system 40 connects with the reactor pressure vessel 10 via a vessel penetration protected by ECC IIV 30 which initially carries high pressure flow from the IPIT 42, and then after depressurization carries low pressure flow from the RWST 44. This approach advantageously reduces the number of vessel penetrations. However, since each ECC vessel penetration exclusively carries flow into the nuclear reactor 8, it is contemplated to provide separate vessel penetrations for the IPIT 42 and the RWST 44, each protected by its own passive check valve IIV. It will be noted that of the IIV's 30, 32, 34, 36, 38 are mostly of the passive check valve type—only the let-down line IIV 34 and the RHR out line IIV 36 are active and/or manually operated valves. Advantageously, this reduces the likelihood of reactor operator error in utilizing the IIV system during a LOCA or other event. As previously mentioned, the passive check valve IIV admits flow into the reactor pressure vessel at above a threshold pressure, while blocking flow otherwise. The threshold pressure for opening the check valve may be as low as zero in some embodiments. More generally, the threshold pressure for opening the check valve should be lower than a lowest expected pressure at the inlet to the check valve during normal operation in which fluid is to be flowed through the protected line—this ensures that the check valve does not hinder the normal operation of the protected system. With continuing reference to FIGS. 1 and 2 and with further reference to FIG. 3, the IIV system is also optionally configured to automatically respond to certain potentially unsafe reactor conditions. As diagrammatically indicated in FIG. 1, the nuclear reactor 8 typically includes sensors, such as an illustrative pressure sensor 80 and an illustrative reactor coolant level sensor 82 both located in the pressurizer volume 14. FIG. 3 diagrammatically shows a coolant level-pressure diagram with pressure on the abscissa and level on the ordinate. In FIG. 3, Pset and Lset denote the setpoint pressure and coolant level, respectively, for normal operation of the nuclear reactor 8. Also shown in FIG. 3 (and also diagrammatically indicated in the reactor control system 60 of FIG. 1) are certain thresholds: PRHR, PECC, Llow, and LECC. These thresholds control automatic responses of the active IIV's 34, 36 as follows. The pressure threshold PRHR controls automatic operation of the RHR out line. If the reactor pressure as measured by the pressure sensor 80 exceeds threshold PRHR then the RHR out line IIV 36 is kept closed. The level threshold Llow controls automatic closure of the let-down line IIV 34 in order to limit further loss of reactor coolant. If the reactor coolant water level measured by the level sensor 82 decreases below threshold Llow, then the let-down line IIV 34 automatically closes (or is held closed if it was already closed) so that no coolant is lost through the let-down line. Note that the make-up line is unaffected and may continue to provide make-up coolant to remedy the low level condition over time even after the reactor coolant level falls below the threshold Llow, which may enable remediation without activating the EEC system 40. Also diagrammatically indicated in FIGS. 1 and 3 are pressure and level thresholds PECC and LECC, respectively, that trigger activation of the ECC system 40. If the pressure measured by the pressure sensor 80 exceeds threshold PECC, or the coolant level measured by the level sensor 82 falls below threshold LECC, then the ECC system 40 is activated. Since the ECC IIVs 30 are passive check valve IIV's, there is no need to operate any valve actuators of the IIV system to initiate the ECC. However, it is contemplated to automatically close the let-down line IIV 34 upon initiation of ECC operation in order to prevent coolant loss through the let-down line. The illustrative IIV's 30, 32, 34, 36, 38 are appropriate for the illustrative auxiliary systems including the ECC system 40, the RCIPS 50, and the RHR system 70. Other nuclear island designs may employ additional or other auxiliary systems, with variant or different vessel penetrations. The observations and principles disclosed herein for designing the IIV system and methods of operating same are readily adapted to such variant or different nuclear island designs. Under these observations and principles, the number of active IIV's is minimized by employing passive check valve IIV's at all vessel penetrations that exclusively provides flow into the nuclear reactor. System complexity is also advantageously reduced by avoiding the use of bi-directional vessel penetrations that carry flow both into and out of the reactor. Although this may entail adding an additional vessel penetration, the added vessel penetration is suitably protected by a passive check valve IIV. Each passive IIV comprises a check valve built into a forged flange and not including an actuator. Each active IIV comprises an active valve built into a forged flange and including an actuator. By “built into” it is meant that the valve is secured to the forged flange and is disposed in the flange or may protrude partway into the vessel penetration so as to extend partway into the wall of the reactor pressure vessel 10. By being built into the forged flange in this way, the valve is shielded from external contact by the forged flange which is solidly connected to the vessel penetration by bolt/tapped hole combinations, bolt/nut combinations, or other flange fasteners. Illustrative embodiments including the preferred embodiments have been described. While specific embodiments have been shown and described in detail to illustrate the application and principles of the invention and methods, it will be understood that it is not intended that the present invention be limited thereto and that the invention may be embodied otherwise without departing from such principles. In some embodiments of the invention, certain features of the invention may sometimes be used to advantage without a corresponding use of the other features. Accordingly, all such changes and embodiments properly fall within the scope of the following claims. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the present disclosure be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
043137946
description
DESCRIPTION OF A PREFERRED EMBODIMENT Referring now to FIG. 1, therein is depicted a hydraulically supported neutron absorber element column designated generally as 10. The column depicted incorporates the valve of the present invention in a particularly preferred application, namely, in a nuclear reactor of the type which utilizes a plurality of hydraulically supported neutron absorbing elements. The column will have an outside configuration substantially the same as the fuel elements which are placed in the core of the nuclear reactor. The reactor core generally will comprise a plurality of fuel elements, absorber columns, and control rods, which also are made up of neutron absorbing material. Column 10 comprises a housing 12 containing therein lower and upper grates or aperture plates 14 and 16, respectively, which define a retention zone 18 which contains a body or stacked bed of neutron absorbing elements 20, which are depicted in their position during normal reactor operation. Specifically, as described more fully in U.S. Pat. No. 4,076,583, the stacked bed of neutron absorbing elements is supported by hydraulic fluid above and out of the reactor core zone. Also located in housing 10 and retention zone 18 is a fluid bypass tube 22 provided with a plurality of apertures or openings 24 to permit a portion of the fluid passing into the stacked bed of neutron absorbing elements 20 to bypass the bed thus ensuring that the elements are reliably maintained out of the core zone and minimizing the pressure drop through the stacked bed. Located above the neutron absorbing elements is the valve of the present invention which is circled as detail 2 and which will be described with more particularity with reference to FIG. 2 which shows the valve in an enlarged view and in greater detail. The fluid passing through the valve, shown in its open normal operating position, passes upwardly through housing 12 and out the upper end thereof. Also included in housing 12 is a weighted number 26 for mechanically moving the valve of the present invention from an open to a closed position or vice versa. Weighted member 26 is retained in position by an electromagnet 28 and a curie point alloy magnet 29 which are affixed to an elongated rod 30, the two acting cooperatively together with rod 30 during normal operation to move weighted member 26 and open or close the valve of the present invention. In the event that the fluid passing therethrough exceeds a certain maximum desired temperature, such as in the event of a transient overpower, curie point alloy magnet 29 will automatically release weighted member 26 which will in turn close the valve of the present invention causing the neutron absorbing elements to rapidly drop into the reactor core shutting down the reactor. Alternatively, electromagnet 28 can be deenergized to release weighted member 26. Located adjacent a lower end of bypass tube 22 and below aperture plate 14 there advantageously also is provided a self-lifting flow cutoff valve 32 provided with a drag plate 34. During normal operation, the fluid flowing past valve 32 acts upon drag plate 34 to lift the valve from an open position to a closed position whereby substantially all the fluid flows through aperture plate 12. In the event the fluid flow drops below a predetermined point, the valve drops back to an open position permitting fluid flow through apertures (not shown) in the lower end of bypass tube 22. This in turn permits fluid displaced by the descending column of neutron absorbing elements to flow in through the bypass tube and out through the bottom apertures (not shown) whereby neutron absorbing elements fall into the core zone more rapidly than they would without the addition of flow valve 32. The required area of the drag plate is, of course, a function of the desired actuation flow rate and weight of the valve. The area may be determined mathematically or through experimentation. In a preferred embodiment, the sealing arrangement in flow valve 32 is substantially an inverted image of the sealing means of the valve of the present invention which will be described more fully in the following paragraphs. Referring now to FIG. 2, therein is depicted the valve of the present invention in an enlarged sectional view. For convenience in understanding the invention, in this view the valve is shown in a closed position, for example, as if having been moved to the closed position by weighted member 26 acting along the dotted lines. In the embodiment depicted, housing 12 forms a part of the valve of the present invention. Located within housing 12 is a substantially vertical elongated nozzle assembly 36 comprising an inlet member 38 affixed to the housing 12 by, for example, a plurality of threaded fasteners 40. Nozzle assembly 36 further includes a substantially vertical nozzle housing 42 provided with a plurality of apertures 44 adjacent its top end. Nozzle assembly 36 includes sealing means located above and below the apertures 44 such as the downwardly outwardly extending sealing surfaces 46 on nozzle housings 42 and 48 on adjustment ring 50, respectively, the latter of which is threadedly attached to nozzle housing 42 to provide for vertical adjustment. Also located in housing 12 is flow cutoff sleeve assembly 52 having walls 54 surrounding nozzle housing 42. The upper portion of walls 54 are provided with at least one fluid flow opening 56. Flow cutoff sleeve assembly 52 further includes two sealing means 58 and 60, one located below the flow opening 56 and the other located adjacent the lower end of wall 54. The two sealing means comprise radially inwardly and upwardly extending surfaces. It will be seen from the drawing that in accordance with the present invention when the valve is in a closed position, sealing means 46 and 58 and sealing means 48 and 60 act cooperatively to provide for the exposure of a greater area for fluid pressure to exert force in a downward direction than is exposed for fluid pressure to exert force in an upward direction, whereby once the valve is in a closed position, an increase in fluid pressure will act to maintain said valve in a closed position. Cutoff sleeve assembly 52 also includes a balance member 62 which, when said sleeve is in the open position, contacts an apertured plate 64 having a plurality of apertures 66 to provide a flow area for the flow of fluid therethrough. When in contact, balance member 62 obstructs or covers a sufficient flow area such that when fluid is flowing through the housing there is provided a pressure drop across the balance member, said pressure drop being just sufficient to maintain the cutoff sleeve in an open position at a predetermined minimum flow rate. The flow area which should be obstructed by balance member 62 is readily determinable in accordance with the following equation: ##EQU1## where W is the weight of the sleeve assembly, a is the unobstructed flow area through the apertures, Q is desired minimum flow rate at which the valve should close and C.sub.f is the nozzle coefficient of the apertures. The nozzle coefficient will be a function of, among other things, the shape of the apertures and the thickness of the plate. Its value is readily determinable by one skilled in the art through routine experimentation. Advantageously, the valve of the present invention further includes some mechanical means for moving the flow cutoff sleeve between an open and closed position. An exemplary type of mechanical means illustrated in FIG. 2 is depicted as link member 68, which is slidingly connected to weighted member 26 to provide mechanical movement between an open and closed position. The weighted member has sufficient weight to overcome the retention force provided by the pressure drop across balance member 62. In a preferred embodiment of the invention, there also is provided a piston member 70 which is located above and attached to nozzle housing 42. In operation as flow cutoff sleeve assembly 52 moves from an upward open position to a downward closed position, the fluid contained therein is readily displaced out through flow openings 56 until such time as the uppermost surface of piston member 70 is past the uppermost opening, at which time the flow area for displaced fluid is reduced to a desired minimum value determined by the clearance between piston member 70 and inside diameter of sleeve walls 54 of flow cutoff assembly 52. A valve in accordance with the present invention was constructed and installed in a test neutron absorber column subassembly, substantially as depicted in FIGS. 1 and 2. The invention was tested by reducing the flow of fluid through the subassembly at various rates and measuring the time required for substantially all of the neutron absorbing elements to drop into the core zone, both with and without the valve of the present invention. It was determined that the time for all of the elements to fall into the core zone was substantially constant (from about 4 to 6 seconds) using the valve of the present invention. Without the valve, the time varied considerably depending upon the rate at which flow was reduced. Indeed, in some instances, where the flow was slowly reduced to zero, the time required for all of the elements to drop into the core zone was as long as 20 seconds or more. Thus, this example clearly demonstrates the utility of the present invention. In addition, it is difficult to design a neutron absorber column subassembly in such a manner that the elements will consistently drop into the core zone until the flow is reduced below about 50% of the design operating flow rate. With the present invention, it is readily possible to design the balance member such that the valve will close at any desired minimum flow rate. Further, the closing point is highly repeatable, thus further demonstrating that the present invention provides a reliable self-actuating valve. While the invention has been described with reference to a particular preferred embodiment, it will be readily apparent that it would have utility in other areas wherein a self-actuating, self-locking valve is desired. In addition, in some instances it may be desirable to provide some resilient material on the sealing surfaces to ensure substantially zero leakage around those surfaces. However, in the particularly preferred embodiment, some leakage is not only acceptable but actually is desired. Specifically, when the invention is used in conjunction with a reactor, there may be a considerable amount of decay heat by the absorber elements and it is desirable to maintain some fluid flow through the column even though the valve is in a closed position. In such instance it is readily feasible to design the sealing surfaces such that there is a desired amount of leakage therethrough. Numerous other advantages and variations of the invention will be readily apparent to those skilled in the art. Accordingly, the scope of the invention should be determined not by the illustrative embodiments depicted, but rather by the appended claims.
048636789
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to pressurized water reactors and, more particularly, to a spider, for mounting and adjustable positioning of rod clusters, having an improved vane configuration which mitigates flow-induced vibration responses while increasing the load carrying capability of the spider vanes and decreasing the manufacturing cost thereof. 2. State of the Relevant Art As is well known in the art, conventional pressurized water reactors employ a number of control rods which are mounted within the reactor vessel, generally in parallel axial relationship, for axial translational movement in telescoping relationship with the fuel rod assemblies. The control rods contain materials known as poisons, which absorb neutrons and thereby lower the neutron flux level within the core. Adjusting the positions of the control rods relative to the respectively associated fuel rod assemblies thereby controls and regulates the reactivity and correspondingly the power output level of the reactor. Typically, the control rods, or rodlets, are arranged in clusters, and the rods cf each cluster are mounted to a common, respectively associated spider. Each spider, in turn, is connected to a respectively associated adjustment mechanism for raising or lowering the associated rod cluster. In certain advanced designs of such pressurized water reactors, there are employed both control rod clusters (RCC) and water displacer rod clusters (WDRC), and also so-called gray rod clusters which, to the extent here relevant, are structurally identical to the RCC's and therefore both are referred to collectively hereinafter as RCC's. In one such reactor design, a total of over 2800 reactor control rods and water displacer rods are arranged in 185 clusters, each of the rod clusters having a respectively corresponding spider to which the rods of the cluster are individually mounted. In the exemplary such advanced design pressurized water reactor, there are provided, at successively higher, axially aligned elevations within the reactor vessel, a lower barrel assembly, an inner barrel assembly, and a calandria, each of generally cylindrical configuration, and an upper closure dome. The lower barrel assembly has mounted therein, in parallel axial relationship, a plurality of fuel rod assemblies which are supported at the lower and upper ends thereof, respectively, by corresponding lower and upper core plates, the latter being welded to the bottom edges of the cylindrical sidewall of an inner barrel assembly. Within the inner barrel assembly there are mounted a large number cf rod guides disposed in closely spaced relationship, in an array extending substantially throughout the cross-sectional area of the inner barrel assembly. The rod guides are of first and second types, respectively housing therewithin reactor control rod clusters (RCC) and water displacer rod clusters (WDRC); these clusters, as received in telescoping relationship within their respectively associated guides, generally are aligned with respectively associated fuel rod assemblies. One of the main objectives of the advanced design, pressurized water reactors to which the present invention is directed, is to achieve a significant improvement in the fuel utilization efficiency, resulting in lower, overall fuel costs. Consistent with this objective, the water displacement rodlet clusters (WDRC's) function as a mechanical moderator control, all of the WDRC's being fully inserted into association with the fuel rod assemblies, and thus into the reactor core, when initiating a new fuel cycle. Typically, a fuel cycle is of approximately 18 months, following which the fuel must be replaced. As the excess reactivity level diminishes over the cycle, the WDRC's are progressively, in groups, withdrawn from the core so as to enable the reactor to maintain the same reactivity level, even though the reactivity level of the fuel rod assemblies is reducing due to dissipation over time. Conversely, the control rod clusters are moved, again in axial translation and thus telescoping relationship relatively to the respectively associated fuel rod assemblies, for control of the reactivity and correspondingly the power output level of the reactor on a continuing basis, for example in response to load demands, in a manner analogous to conventional reactor control operations. The calandria includes a lower calandria plate and an upper calandria plate. The rod guides are secured in position at the lower and upper ends thereof, respectively, to the upper core plate and the lower calandria plate. Within the calandria and extending between aligned apertures in the lower and upper plates thereof is mounted a plurality of calandria tubes in parallel axial relationship, respectively aligned with the rod guides. A number of flow holes are provided in remaining portions of the calandria plates, at positions displaced from the apertures associated with the calandria tubes, through which the reactor core outlet flow passes as it exists from its upward passage through the inner barrel assembly. The core outlet flow, or a major portion thereof, turns from the axial flow direction to a radial direction for passage through radially outwardly oriented outlet nozzles which are in fluid communication with the calandria. In similar parallel axial and aligned relationship, the calandria tubes are joined to corresponding flow shrouds which extend to a predetermined elevation within the dome, and which in turn are in alignment with and in close proximity to corresponding head extensions which pass through the structural wall of the dome and carry, on their free ends at &.he exterior of and vertically above the dome, corresponding adjustment mechanisms, as above noted. The adjustment mechanisms have corresponding drive rods which extend through the respective head extensions, flow shrouds, and calandria tubes and are connected to the respectively associated spiders to which the clusters of RCC rods and WDRC rods are mounted, and serve to adjust their elevational positions within the inner barrel assembly and, correspondingly, the level to which the rods are lowered into the lower barrel assembly and thus into association with the fuel rod assemblies therein, thereby to control the reactivity within the core. A critical design criterion of such reactors is to mitigate vibration of the reactor internals structures, as may be induced by the core outlet flow as it passes through the reactor internal structures. A significant factor for achieving that criterion is to maintain the core outlet flow or an axial direction throughout the inner barrel assembly and thus in parallel axial relationship relatively to the rod clusters and associated rod guides. This is achieved, in part, by the location of the water inlet and outlet nozzles at an elevation corresponding approximately to that of the calandria assembly, and thus above the inner barrel assembly which houses the rod guides and associated rod clusters, as above noted. Additionally, structural elements known as formers are included within the vessel to assist in maintaining the desired axial flow condition within the inner barrel assembly, in accordance with the invention disclosed in the copending application entitled "MODULAR FORMER FOR INNER BARREL ASSEMBLY OF PRESSURIZED WATER REACTOR"--Gillett et al., Ser. No. 798,195 filed Nov. 14, 1985 and assigned to the common assignee hereof now U.S. Pat. No. 4,752,441, issued June 21, 1988. It has been determined, however, that the conventional configuration of rod cluster spiders renders them susceptible to flow-induced vibrations, even though the desired axial flow condition is maintained. Such a circumstance is of extreme concern, since vibrations accelerate the rate of wear of the internals structural elements, including particularly the rods and associated, supporting structures, leading to shortened life of these structural components and increased maintenance expense in the operation of the reactor. Conventional reactor designs do not incorporate a reactor coolant flow path that results in the spider vanes being subjected to the bulk flow field; instead, the flow paths generally direct the coolant flow radially outwardly (i.e., from an axial path) prior to the flow reaching the normal elevation, or axial operating position, of the spiders. Accordingly, conventional reactor internals have no structural analogy to the dense packing of rod guides and associated rod clusters as are employed in advanced reactor designs of the type herein contemplated nor do they have any similar flow path requirements as exist therein, and thus they do not present the critical design concerns relating to flow-induced vibration of the spider vanes, as above explained. Thus, there are no known solutions to these problems, consistent with the structural and operational requirements of, and taking into account the environmental factors which exist in, advanced design reactors as hereinabove set forth. SUMMARY OF THE INVENTION As before noted, a pressurized water nuclear reactor, of the type with which rod cluster spiders having the improved vane configuration of the present invention are intended for use, employs a large number of control rods, or rodlets, typically arranged in what are termed reactor control rod clusters (RCC) and, additionally, a large number of water displacer rods, or rodlets, similarly arranged in water displacer rod clusters (WDRC), an array of 185 such clusters containing a total of 2800 rodlets (i.e., the total of reactor control rods and water displacer rods) being mounted in parallel axial relationship within the inner barrel assembly of the reactor vessel. The rods of each cluster are mounted at their upper ends to a corresponding spider, and the spider-mounted cluster is received in telescoping relationship within a corresponding rod guide. The spider is connected through a drive rod to a corresponding adjustment mechanism, which provides for selectively raising or lowering the rod cluster relatively to an associated group of fuel rod assemblies, to control the reactivity, and thus the power output level of the reactor. While the design of such advanced reactors has addressed the achievement of a substantially axial core outlet flow, particularly through the upper internals and thus past the rod guides and associated clusters of rods, it has been determined that the configuration of the conventional spider vanes which support the rods may be susceptible to undesired vibrations; specifically, the conventional configuration of the generally radially extending vanes is not optimum, and thus does not satisfy the design criterion of mitigating vibrations. More particularly, the spider configuration typically comprises a central hub of generally cylindrical configuration, extending in parallel axial relationship relative to the vertical axis of the vessel. Vane assemblies are connected to and extend radially from the central hub, the vane assemblies being of differing configurations but generally comprising vertically oriented vanes, comprising metal sheets, having generally parallel, planar major surfaces, which interconnect two or more cylindrical rod support mounts to each other and to the hub. The rod support mounts as well extend in parallel axial relationship with the hub. The core outlet flow thus passes along the vanes in a parallel flow condition, consistent with the general design criterion of maintaining parallel axial flow. Each spider, and thus its associated vane assemblies, must be of considerable structural strength. For example, a control rod spider typically supports a total of eight control rods, disposed equiangularly about the central hub on four radially extending vane subassemblies; the total weight of the control rod cluster of eight rods is approximately 200 lbs. to 250 lbs. A typical water displacer rod cluster may comprise up to 24 water displacer rods mounted in alternating groups of two and four rods on corresponding ones of a total of eight vane assemblies, each of the four-rod assemblies including both a radially extending vane element and a pair of transversely extending vane elements, the latter carrying the cylindrical support mounts at their outer extremities. The total weight of a water displacer rod cluster, thus configured, is approximately 700 lbs. to 800 lbs. The spiders must support not only the dead weight of the respective rod clusters, but additionally must accommodate the forces imposed thereon both by the environment of the relatively fast-moving core outlet flow which passes thereover and the rod height adjustment functions. Thus, the configuration of the spiders must afford significant structural support while mitigating susceptibility to flow-induced vibrations. Particularly, in accordance with the present invention, it has been determined that the respective cross-sectional configurations of both the leading and trailing edges of the spider vanes, relative to the flow thereover, may have a pronounced effect on the susceptibility of the spider vanes to flow-induced vibrations and on oscillatory rotational torques to which the spider is subjected. More specifically, it has been determined that a vane configuration having a trailing edge of substantially square cross-section relative to the flow thereover optimizes structural strength while mitigating susceptibility thereof to flow-induced vibrations; further, this trailing edge configuration minimizes the cost of manufacture. Additionally, in accordance with the present invention, it has been recognized that within a small range of axial locations of the spider, at which the vanes pass through corresponding channels provided therefor in the support plates within the rod guides, the flow restrictions thus created result in considerable flow-induced random buffeting of the vanes, which become ordered on the vanes and result in a switching, or oscillatory loading (which may be a lateral force and/or a torque) being applied to the spider and the control rods supported thereby as well as to the associated drive mechanism. In accordance with the present invention, it has been determined that a major factor in the initiation of phenomenon of the switching, or oscillatory loading, is the typical symmetrical configuration of the vane leading edge cross-section. For example, as is typical and consistent with conventional design criteria, the vane leading edge configuration is of a semicircular or other symmetrical type cross-section. In accordance with the present invention, however, it has been determined that the proper configuration for the cross-section of the vane leading edge should be non-symmetrical, thereby to eliminate the switching or oscillatory loading and correspondingly to mitigate the vibratory load conditions. Based on various operational parameters, a number of different non-symmetrical cross-sections are available, as disclosed in more detail hereinafter.
summary
description
This is a non-provisional application claiming the benefit of U.S. Provisional Application No. 61/112,591, filed Nov. 7, 2008. The present invention relates to a lithography system in which intensities of individual beams from a multitude of beams are determined, comprising a measuring device with a sensor having a sensor area adapted for simultaneously sensing a plurality of beams and providing an aggregated signal thereof. The present invention further relates to a method for calculating such individual beam intensities dependent on the measured aggregated signal. Exposure beams of charged particles, light and/or other types of radiation are being used in the industry, inter alia, in the manufacture of highly integrated and micro patterned semiconductor devices. Such semiconductor devices are usually formed on a semiconductor wafer on which layers of suitable materials are deposited, patterned and subsequently etched away according to a predetermined pattern. The exposure beams are often used in the patterning step. Portions of sacrificial material which are exposed to such a beam will be etched away in a following step, whereas portions that have not been exposed to a beam will remain, resulting in a pattern on for example a wafer. In this process the dose of the exposure beams has to be accurately monitored and possibly adapted accordingly; if the dose is too low the sacrificial material will not react sufficiently and the sacrificial material will not be etched away in the following step, whereas if the dose is too high some part of the beam may spill over into areas which should not be exposed, resulting in a larger surface being etched away than desired. Both cases result in a pattern different from the intended pattern. Unfavorably, the dosage of the beams reaching the sacrificial material is susceptible to changes over time due to for example changes in the beam source, alterations to the exposure system, changes in the pattern to be transferred, etc. There is a need therefore to be able to determine the dose of beams used in beam exposure systems. Preferably such a dose can be determined quickly for many beams, at or near the point where the exposure beam(s) hit the target. For determining the dose of a charged particle (CP) beam or CP beams in a fast and reliable manner some solutions have already been proposed: In JP2004-200549A a multiple-beam lithography system is described in which the absolute value of the total of the currents in all m×n matrix form electron beams is measured, by using a Faraday cup provided on a plane, and the relative value of each the m×n beams is measured using a semiconductor detector, or by using a combination of a scintillator and a photomultiplier, for the determination of reflected electrons or the secondary electrons. The relative current values, standardized for all the currents, are shown in a chart, and this enables at a glance the detection of anomalous values for improved reliability in the drawing motions to follow. The Faraday cup is used to accurately yet relatively slowly determine an absolute total current of either one or all electron beams which impinge thereon. The relative current measurement provides a relatively fast yet less accurate and relative representation of the current of one or more electron beams. From the relative currents for all individual electron beams estimates of the absolute currents for all individual electron beams can be made when the combined total current of all electron beams is known. In US 2006/0,138,359 A1 ('359) a CP beam exposure apparatus is disclosed which splits a CP beam from a CP beam source into a plurality of CP beams by a plurality of apertures formed in an aperture array to execute exposure using the plurality of CP beams, the apparatus comprising: a detection unit which detects an intensity of the CP beam passing through the aperture of the aperture array, and a grid array which adjusts an intensity of the CP beam on the basis of the detection result obtained by said detection unit.In an embodiment according to '359 the intensity of the beam or beams is measured at two levels in the exposure apparatus. First, for each individual beam or group of beams passing through the aperture array the intensity is measured. This is done by blanking out all other beams passing through the aperture array and positioning a Faraday cup close to the individual CP beam to measure the beam or group of beams to be measured. The Faraday cup is placed on or near the wafer surface in order to obtain a measurement of the beam or group of beams close to the wafer surface. The measured intensity for each beam or group of beams is stored in a memory and is used later on as a reference value. Additional measurements of the beam intensity can be performed during the patterning phase of a target such as a wafer. The intensities of parts of the beam which are blocked by surfaces on the aperture array are measured, said surfaces being isolated from each other and associated with a single aperture or group of apertures. It is assumed that the intensity of a part of the CP beam being blocked by the surface surrounding the aperture is similar to that of a part of the CP beam which passes through the aperture. Thus it becomes possible to determine an approximate intensity of the plurality of CP beams which emerge from the aperture array based on the CP beam intensity measured on the associated blocking surfaces on the aperture array. The values obtained during these measurements are compared to the reference values and the system is adapted to maximize the uniformity of the CP beams emerging from the aperture array. When using the above apparatus to measure actual individual beam intensities of beams emerging from the aperture array, the measuring device, in this case a Faraday cup, has to be brought into a position close to where the beam would reach the target during the patterning phase. This positioning of the measuring device has to be repeated for each beam out of the plurality of beams emerging from the aperture array, which takes time, and becomes especially impractical for systems in which thousands of beams have to be measured. Furthermore, the detecting surfaces on the aperture array which measure the approximate CP beam intensities at a point before reaching the level of a target have to be precisely aligned and are not allowed to interfere substantially with the CP beams. Precise alignment of the detecting surfaces necessitates a complex design. Moreover, when the apertures are very small, for instance to enable thousands of beams to emerge from the aperture array or to reach a high beam resolution, the CP beams may be influenced by electrical signals emitted by the detecting surfaces, making this approach impractical for systems in which thousands of individual CP beams are used. Obviously there still is a need in the industry for a system and method for quickly determining the dose of each beam in a multitude (for example, tens of thousands) of beams which delays the manufacturing process for a minimum amount of time, preferably using only a single sensor. To this end, according to a first aspect the present invention provides a multiple beam lithography system comprising: a beam source for providing a multitude of beams, a blanker array comprising a blanker for each beam out of the multitude of beams, said array adapted for substantially allowing a plurality of beams to pass through, a control device for providing the blanker array with a temporal blanking pattern indicating for each beam when it should be blanked and when not, thereby modulating each beam with an unique temporal blanking pattern, and a measuring device arranged downstream of the blanker array, comprising a sensor having a sensor area arranged for directly and simultaneously sensing the plurality of individually modulated beams for providing an aggregated signal of the plurality of beams. This embodiment allows for direct and simultaneous measurement of a plurality of beams, resulting in an aggregated signal. Advantageously, the sensor can remain in place during the measurement avoiding the need to spend time on realigning the sensor for measurement of an individual beam. A further advantage is that the sensor can be placed at the same level as a target which is to be exposed to the beams, thus allowing for measurements of the beams as they would hit a target such as a wafer. An additional advantage of simultaneously measuring a plurality of individual beams is good scalability without adding to the complexity of construction of the sensor. Because the sensor provides an aggregate signal instead of separate signals for each beam, elaborate wiring configurations as seen in the prior art can be omitted and the system can easily be made suitable for measuring additional beams by increasing the area of the sensor. It is thus possible to obtain an aggregate signal of a multitude (for instance, at least hundreds of thousands) of beams simultaneously. As only a single sensor is required space and maintenance requirements, as well construction complexity of the system are kept to a minimum. Moreover, as an aggregated intensity of a multitude of beams is measured, the dynamic range of the sensor may be smaller. Most importantly however the time needed for accurately measuring such an aggregate signal may be significantly less than the time needed for accurately measuring a much smaller intensity of an individual beam. The present embodiment may allow determination of individual beam intensities of 500.000 beams or more in about 10 seconds. In an embodiment the system further comprises a demodulator adapted for demodulating said aggregated signal into an intensity value for each individual beam. The information about the temporal blanking patterns for all individual beams, together with the aggregated signal over time, provides enough information for the demodulator to deduce individual beam intensity values. Demodulation is possible because no two temporal blanking patterns of any two beams are equal. If the temporal blanking patterns of two beams were equal it would be impossible to derive from a series of aggregated signal measurements whether such two beams have respective intensities of 85% and 95%, or the same two beams have respective intensities of for instance 95% and 85%, or 100% and 80%. In an embodiment the demodulator comprises an electronic data processor (113) adapted for providing the control device with said temporal blanking patterns and calculating a measure of the intensity of individual beams based on their corresponding temporal blanking patterns and the aggregated signal of the plurality of beams as a function of time. Typically, the temporal blanking patterns for the individual beams are stored in the memory of an electronic data processor such as a computer. The electronic data processor provides the control device with these patterns. In turn, the control device provides the blanker array with blanking patterns at predetermined times. Measurements of an aggregated intensity signal may be made and stored in the memory of the electronic data processor each time the blanker array is provided with a different pattern. Thus, when all measurements are done, sufficient information is available for the electronic data processor to calculate individual beam intensities. A method by which this may be done is described in following part of this document. In an embodiment the sensor area is adapted for simultaneously sensing all beams of the multitude of beams of the system. It is often the case that the blankers in a blanker array are capable of only substantially blanking out a beam, for example a blanker might be capable of blanking out 99% of a beam, allowing 1% of the beam to pass through as a residual beam. A way to estimate the signal of a single residual beam is to measure the aggregate signal when none of the multitude of beams is blanked, the aggregate signal when all of the multitude of beams except one is blanked, and the signal contrast of the beam. Subtracting the second and third from the first provides the residual signal of the individual beam. In an embodiment the sensor area is a contiguous area. When the sensor area adapted for simultaneously sensing all beams of the multitude of beams is contiguous alignment of the beams onto the sensor can be kept simple. In an embodiment of the system, the multitude of beams comprises a multitude of charged particle beams, and the sensor comprises a current measuring device adapted for measuring an aggregated current generated by the plurality of beams. Advantageously, according to the present invention considerably less electrical wiring is necessary, especially in the sensor area, resulting in less undesirable deflection and/or dispersion of the charged particle beams used. The sensitivity of the charged particle beams to electrical fields can be used to an advantage at the blanker array; such a blanker array might comprise an array of apertures surrounded by photovoltaic cells. When such a cell is irradiated by light, a local current is generated around the aperture, suitable for deflecting a single beam. The entire blanking array can thus effectively be constructed without using electrical control lines, and can be controlled using light sources which do not interfere with the CP beams. In an embodiment the current measuring device comprises one or more than one Faraday cup, current clamp and/or scintillating material and photon counter. Use of a Faraday cup offers the advantage of a simple sensor while the sensor itself is to a high extent insensitive to dispersion of backscattered CP beams. Furthermore measurements made using a Faraday cup provide a direct relation between the number of charged particles collected by the cup and the measured current generated by the Faraday cup. In case a current clamp or similar device is used to measure the current, the clamp can be used during the patterning phase as it does not block beams during measurement. When besides the number of charged particles the energies of the particles are of interest, a scintillating material such as an yttrium-aluminum-garnet crystal and photon counter may be used. In an embodiment the system according to the invention further comprises a target positioning system comprising a stage for carrying and moving a target to be exposed to the beams, wherein the measuring device is mounted on the stage. When the measuring device is mounted on the stage the distance between beams and the measuring device during measurement can be made substantially equal to the distance between the beams and the target during the patterning phase, thus providing a good indication of the beam intensities as they would hit the target. In an embodiment the system further comprises a converging means for directing the plurality of beams onto the sensor area. By directing the plurality of beams a much smaller sensor area can be used than would be possible if the individual beams were not allowed to combine, for example if specific sensor areas were assigned to corresponding beams. Preferably the converging means are located at the end of the optical column of the lithography system. In an embodiment the converging means are comprised in the measuring device. In an embodiment the converging means comprise a converging element such as an optical or electrostatic lens. In an embodiment the measuring device further comprises a knife edge or knife edge array placed in front of the sensor area. Using measurements obtained with this embodiment it is possible to calculate individual beam profiles in a manner analogous to the calculation of individual beam intensities. In an embodiment the knife edge or knife edge array is placed substantially in an image plane of the system. According to a second aspect the invention provides a multiple beam lithography system comprising a multiple beam column for projecting multiple beams onto a target, wherein the column comprises a beam source for providing a multitude of beams, a blanker array arranged between the beam source and the target, comprising a blanker for each beam out of the multitude of beams, wherein said array is adapted for substantially allowing a plurality of beams to pass through, a control device for providing the blanker array with a blanking pattern indicating for each beam when it should be blanked and when not, and projection means for projecting the plurality of beams onto the target, wherein the system further comprises a sensor arranged downstream of the multiple beam column for examining the throughput of the multiple beam column, wherein the sensor comprises a sensor area which is adapted for sensing all beams of the multitude of beams simultaneously, and wherein the sensor is arranged for providing an aggregated signal of the plurality of beams. This setup allows for accurate measurements of beam intensities using the same optics as would be used during patterning of a target. During measurement there is no need to use additional optics which might influence the measurements nor is there any no need to deflect the plurality of beams differently than would be appropriate during patterning of the target. In a preferred embodiment the sensor area is placed on substantially the same level as the target. This results in highly accurate and realistic measurements of beam intensities as they would hit the target. In case the sensor comprises a Faraday cup, the entrance of the cup is preferably placed on substantially the same level as the target. According to a third aspect the invention provides a method for simultaneous measurement of beams in a system as described herein, said method comprising the steps of: i) providing a multitude of temporal blanking patterns comprising a temporal blanking pattern for each beam blanker, each temporal blanking pattern representing a modulation of an associated beam over a time interval, ii) simultaneously modulating the multitude of beams during the time interval by streaming to each blanker associated with a beam an associated temporal blanking pattern, sensing an aggregated beam intensity signal of all unblanked beams, and measuring said signal during the streaming of the temporal patterns as a function of time, iii) calculating a measure of the intensity of individual beams based on their associated temporal blanking patterns and the signal as a function of time. Using this method it becomes possible to calculate the intensities of individual beams from aggregate measurement made using only one sensor. The rate at which these individual intensities can be determined can be very high as there is no need to realign the sensor for each measurement of a beam. Additionally, the effects of residual signals on accuracy in the determination of the intensity of an individual beam is be reduced using this method when several beams are left unblanked at any time. In an embodiment step iii) of the method comprises demodulating said signal by calculating a measure of the intensity of individual beams based on their associated temporal blanking patterns and the signal as a function of time. In an embodiment the temporal blanking patterns are substantially orthogonal with respect to each other. A high degree of independence between the temporal blanking patterns makes the resulting aggregated signal easier to decode. In an embodiment substantially only half of the multitude of beams is switched on during steps i) and ii). In this embodiment the range of the measured aggregated signal may be substantially reduced, allowing the use of more sensitive sensors with a smaller range, and allowing measurements to be performed more rapidly. Moreover, the influence of noise on the aggregated signal and the individual beam intensities derived there from is much less, as a slight variation in one individual beam intensity may substantially be cancelled out by a slight variation in another individual beam intensity. In an embodiment the multitude of temporal blanking patterns is generated using pseudo random numbers. Throughout history, many methods for generating pseudo random numbers have been devised and many computer implemented random number generators have been extensively tested. One popular and well known method using linear feedback shift registers is disclosed in the publication “What is an LFSR?”, by Texas Instruments, 1996, and is suitable for generating temporal blanking patterns. The high degree of irregularity of such blanking patterns makes them less susceptible to coincide with potential interference which might, for example, be present in the power supply of the measuring device and could affect the accuracy of the results. Additionally, a random element may be added to non-randomly generated temporal blanking patterns by replacing part or parts of such patterns by pseudo randomly generated patterns. In an embodiment the temporal blanking patterns are derived from rows of an orthogonal matrix having orthogonal rows, such as a Hadamard matrix or a Walsh matrix. Using such matrices as a starting point large amounts of temporal blanking patterns can be generated. In an embodiment the temporal blanking patterns are chosen such that each temporal blanking pattern contains a large number of on-off transitions. Advantageously, the influence of cyclic variations in the intensity of a beam on the aggregated signal may thus be reduced. Such cyclic variation may for instance be dependent on the frequency of the power source which powers the beam generator. When several sets of temporal blanking patterns are available, those sets in which the temporal blanking patterns for individual beams have the highest number of transitions are preferred. In an embodiment the temporal blanking patterns are arranged such that at substantially any time the total amount of unblanked beams is substantially constant. In other words, at any time during measurement the number of beams in the plurality of beams reaching the sensor is substantially the same, allowing for a reduced dynamic range of the sensor. In an embodiment the sensor comprises a current measuring device adapted for measuring an aggregated current generated by the plurality of beams, in which the current measuring device further comprises a variable gain amplifier which can be switched between a first setting comprising a high gain and low noise setting, and a second setting comprising a low gain and high noise setting, wherein said method comprises setting the variable gain amplifier to the first setting when the aggregate current is expected to be small, or setting the variable gain amplifier to the second setting when the aggregate current is expected to be large. By adapting the gain of the amplifier based on expected aggregated current (likely to be some factor times the number of beams passing through the blanker array), higher accuracy measurements can be obtained. According to a fourth aspect the invention provides a measuring device suitable for use in a system described herein, said device comprising a sensor having a sensor area arranged for simultaneously sensing a plurality of beams for providing an aggregated signal of the plurality of beams, said measuring device further comprising a knife edge or knife edge array placed in front of the sensor area. The position of the beams on the knife edge of knife edge array can be controlled by deflecting the beams or by bringing the measuring device into a desired position. For each position of the knife edges any of the methods described herein can be used to derive a profile for each of the beams out of the multitude of beams. The various aspects and features described and shown in the specification can be applied, individually, wherever possible. These individual aspects, in particular the aspects and features described in the attached dependent claims, can be made subject of divisional patent applications. In FIG. 1 a system according to the invention, is shown. A multitude of temporal blanking patterns is provided to a control device 112, by for example a computer or electronic data processor 113. A beam source 101 provides a beam 102, which passes through a collimator 103 which is adapted to transform the diverging beam 102 into a predominantly parallel beam. The resulting predominantly parallel beam is then projected onto and partially through an aperture array 104. The aperture array splits the beam into a multitude of beams 105, which emerge from the aperture array. A condenser lens 106 is used to condense the individual beams before they reach a beam blanker array 107. The blanker array is adapted for substantially letting through only a plurality of beams corresponding to temporal patterns which are streamed to it by control device 112. The blanker array comprises a deflector array 1071 and a further aperture array 1072 at a distance downstream of the deflector array 1071. Subsequently an aggregate signal of the plurality of beams measured by measuring device 110 is stored at the computer 113 for further processing according to a method of the invention. The computer 113 may thus be used to demodulate the aggregated signal once measurements are complete, i.e. the computer may be adapted to calculate a measure of the intensity of individual beams based on their associated temporal blanking patterns and the aggregated signal as a function of time. The location 108 at which the plurality of beams is imaged at substantially the same level or height as at which the beams would impact a target during the patterning phase. FIG. 2 shows a cross sectional diagram of a multiple beam lithography system 1 including a target positioning system according to the invention. A target 3, for example a silicon wafer, is held on a target table 5 for holding the target. The target table is placed on y-translation stage 6 which in turn is placed on x-translation stage 7. The x-translation stage further includes a measuring device 2 comprising a sensor area positioned substantially at the same level as the target. An optical column 111, housed in a vacuum chamber 4, provide a plurality of beam. Whenever a new target is to be patterned, and a possible change in the dosage of individual beams out of a multitude of beams has to be monitored, the stage is brought into a position in which the measuring device can be reached by all beams for which the intensities have to be measured. After measurement and calculation of these individual beam intensities, said intensities may be adjusted accordingly, the stage is moved to position the target under the beams and the patterning of the target can start. The required sensor area for the measuring device can be reduced by using converging means to converge the plurality of beams before they reach the sensor area. Alternatively, the measuring device may comprise a knife edge array for determining a beam profile for each beam out of the multitude of beams. By calculating beam intensities of beams incident on the sensor for several different positions of the beams with respect to the knife edge array, individual beam profiles for each beam out of the multitude of beams can be obtained. In FIG. 3 an orthogonal matrix H of which the rows are used as temporal blanking patterns is shown. The matrix in question is a 4th order Hadamard matrix, but, depending on the desired accuracy of the measurements, another square matrix can be used. For ease of calculation these matrices are preferably binary matrices. By way of example, suppose there are four beams having intensities:ib=(0.9, 1.3, 1.1, 0.8)At each time interval n for which the control device streams a part of the temporal patterns to the blanker array, the aggregated signal xn measured by the measuring means will be:xn=(ib·H),or, for the example in case:xn=(4.1, 0.3, −0.1, −0.7)In practice the aggregated signals will also contain some noise. If the noise for the four measurements is modeled as:en=(0.092, 0.067, −0.104, 0.007)then the measured aggregated signal including noise will be: X n = ⁢ x n + e n = ⁢ ( 4.192 , 0.367 , - 0.204 , - 0.693 ) To retrieve the contribution of the individual beams to the aggregated signals it suffices to calculate: i ^ b = ⁢ ( X n · H ) / 4 = ⁢ ( 0.915 , 1.364 , 1.078 , 0.834 ) In this example a Hadamard matrix was used for reasons of clarity and simplicity though other kinds of square matrices having similar properties may be used, some offering additional advantages. In either case however the method advantageously makes use of the fact that, as all temporal blanking patterns have a inner product of substantially zero with the other temporal blanking patterns, the contribution of the all temporal blanking patterns to an aggregated signal except one can be filtered out by taking the inner product of that one temporal blanking pattern with the aggregated signal. In the example, the first element of the aggregated signal has a much higher value than the other elements, due to the sum of the first column of the matrix H being much greater than the sum of the subsequent column. If the first element of the aggregated signal is just ignored then the dynamic range of the measuring device can be significantly reduced at the cost of measuring one beam less. Alternatively, to reduce the dynamic range requirements for the measuring device, a matrix can be used in which all columns have a substantially equal sum. Temporal blanking patterns derived from rows of pseudo randomly generated matrices in particular offer desirable properties, such as improved rejection of interference and random noise during measurement, and reduced dynamic range of the measuring device allowing lower noise measurement devices to be used. In FIG. 4 a graph is shown of the signal over time as used in the method according to the invention. The temporal blanker patterns that were used to obtain the signal consisted of rows of a 6th order Hadamard matrix. The peaks in the signal are caused by the fact that the sum of the first column of the matrix is much greater than the sum of the other columns. Using these Hadamard matrix derived temporal blanking patterns, at the start of the measurement all beams pass through the blanker array, whereas at other times only half the beams pass through. The graph illustrates the improvement in dynamic range that can be achieved by measuring one beam less, and/or by using for instance a pseudo randomly generated matrix to derive the temporal blanking patterns from. In summary the present invention relates to a lithography system in which intensities of individually modulated beams from a multitude of beams are determined, comprising a measuring device with a sensor having a sensor area adapted for simultaneously sensing a plurality of beams and providing an aggregated signal thereof. The beams are individually modulated according to associated temporal blanking patterns. The present invention further relates to a method for calculating individual beam intensities dependent on the measured aggregated signal and the temporal blanking patterns of the beams. It is to be understood that the above description is included to illustrate the operation of the preferred embodiments and is not meant to limit the scope of the invention. From the above discussion, many variations will be apparent to one skilled in the art that would yet be encompassed by the spirit an scope of the present invention. For example, although the described embodiments all relate to charged particle beams the invention is also applicable to optical, such as extreme UV, lithography systems.
abstract
An apparatus for monitoring nuclear thermal hydraulic stability of a nuclear reactor, contains: a calculation unit configured to calculate a stability index of a nuclear thermal hydraulic phenomenon based on nuclear instrumentation signals, the signals being outputted by a plurality of nuclear instrumentation detectors placed at regular intervals in a reactor core; a simulation unit configured to simulate the nuclear thermal hydraulic phenomenon based on a physical model by using information on an operating state of the nuclear reactor as an input condition; a limit value updating unit configured to update a limit value of the nuclear thermal hydraulic phenomenon based on a result of the simulation; and a determination unit configured to determine, based on the stability index and the limit value, whether or not to activate a power oscillation suppressing device.
abstract
The complex is intended for carrying out research in the X-ray range at several analytical devices 5 simultaneously. The complex comprises a source 1 of divergent X-rays, for example an X-ray tube and x-ray lenses 2 for radiation transporting toward the analytical devices 5 and the apparatus of these devices. The X-ray lenses 2 form the x-rays into quasi-parallel beams. Usage of the X-ray lenses provides for the scientists in the analytical devices the requisite brightness, being not less than in the complexes where the radiation source is a synchrotron.
claims
1. A method for controlling the amount of metal atoms deposited into an oxide film present on a metal surface, which metal atoms increase the corrosion resistance of metal when present in the oxide film, said method comprising the steps of: submerging the metal surface in water at a selected temperature within the range of about 340 to 360xc2x0 F.; and injecting a solution of a compound containing the metal which increases the corrosion resistance of the metal surface when present in the oxide film, said compound decomposing at said selected temperature to release atoms of the metal which incorporate in the oxide film. 2. The method as defined in claim 1 , wherein said metal atoms are deposited in an amount which ranges from 0.01 xcexcg/cm 2 to about 62 xcexcg/cm 2 . claim 1 3. The method as defined in claim 1 , wherein said compound is added to said water in an amount sufficient to produce a metal concentration of 0.1 to 1000 ppb. claim 1 4. The method as defined in claim 1 , wherein said metal is present in said oxide film in an amount of 0.1-15 atomic %. claim 1 5. The method as defined in claim 1 , wherein said metal is a platinum group metal. claim 1 6. The method as defined in claim 4 , wherein said platinum group metal is palladium. claim 4 7. The method as defined in claim 4 , wherein a mixture of platinum and rhodium is used. claim 4 8. The method as defined in claim 1 , wherein the compound is selected from the group consisting of palladium acetyl acetonate, palladium nitrate, palladium acetate, platinum acetyl acetonate, hexahydroxyplatinic acid, Na 2 Pt(OH) 6 , Pt(NH 3 ) 4 (NO 3 ) 2 , K 3 Ir(NO 2 ) 6 , K 3 Rh(NO 2 ) 6 , platinum(IV) oxide, platinum(IV) oxide-hydrate, rhodium(II) acetate, Rh(III) nitrate, rhodium(III) oxide, rhodium(III) oxide-hydrate, rhodium(II) phosphate, rhodium(III) sulphate, and mixtures thereof. claim 1 9. The method as defined in claim 1 , further comprising the step of injecting hydrogen into the water of said reactor. claim 1 10. A method for reducing corrosion of alloy components in a water-cooled nuclear reactor or associated components, comprising the step of injecting a solution of a compound containing a metal into the water of said reactor, said water being at a selected temperature within the range of 340-360xc2x0 F., said compound undergoing decomposition at said selected temperature to release atoms of said metal compound at a rate such that the concentration of said metal in the water of said reactor is sufficient, once doped on said alloy components, to reduce the electrochemical corrosion potential of said alloy components to a level below the critical potential to protect against intergranular stress corrosion cracking. 11. A method for improving the corrosion resistance of an alloy surface having an oxide film thereon, comprising the step of immersing said alloy surface in water at a temperature within the range of 340 to 360xc2x0 F. in which a compound containing a metal is dissolved, said metal having the property of increasing the corrosion resistance of said alloy when incorporated in said oxide film, said compound having the property that it decomposes in said water to release atoms of said metal which incorporate in said oxide film. 12. The method as defined in claim 11 , wherein said metal is a platinum group metal. claim 11 13. The method as defined in claim 12 , wherein said platinum group metal is selected from the group consisting of platinum and rhodium. claim 12 14. The method as defined in claim 11 , wherein said compound is selected from the group consisting of palladium acetyl acetonate, palladium nitrate, palladium acetate, platinum acetyl acetonate, hexahydroxyplatinic acid, Na 2 Pt(OH) 6 , Pt(NH 3 ) 4 (NO 3 ) 2 , Pt(NH 3 ) 2 (NO 3 ) 2 , K 3 Ir(NO 2 ) 6 , K 3 Rh(NO 2 ) 6 , platinum(IV) oxide, platinum(IV) oxide-hydrate, rhodium(II) acetate, Rh(III) nitrate, rhodium(III) oxide, rhodium(III) oxide-hydrate, rhodium(II) phosphate, rhodium(III) sulphate, and mixture thereof. claim 11 15. The method as defined in claim 11 , wherein said compound is injected at a rate such that the concentration of said metal in the water is sufficient, once doped on said alloy components, to reduce the electrochemical corrosion potential of said alloy components in the presence of low levels of hydrogen to a level below the critical potential to protect against intergranular stress corrosion cracking. claim 11 16. The method as defined in claim 11 , further comprising the step of injecting hydrogen into the water. claim 11 17. The method as defined in claim 11 , wherein palladium is added to said water in an amount sufficient to produce a palladium concentration of 0.1 to 1000 ppb. claim 11 18. A method for controlling the deposit ratio of different noble metals from a mixture of said noble metals on a metal surface carrying an oxide film, comprising the steps of immersing said metal surface in water at a temperature within the range of 340 to 360xc2x0 F. and introducing a mixture of compounds containing said different noble metals, said compounds each having the property of decomposing in said water to release atoms of said different noble metals which incorporate in said oxide film at a desired deposit ratio. 19. The method as defined in claim 18 , wherein said noble metals are platinum and rhodium and the deposit ratio of platinum to rhodium is about 5:1 and 10:1. claim 18
description
The field of the application relates to imaging devices, and more particularly to medical imaging devices. Imaging devices, such as an x-ray imager, have been used for diagnostic and treatment purposes. One type of x-ray imager is a diagnostic imager configured to operate with a diagnostic radiation source. Another type of x-ray imager is a high DQE detector that is configured for use with a treatment radiation source. An x-ray imager may also be configured for use with both diagnostic radiation beam and treatment radiation beam. Creating a high DQE detector for portal imaging presents a significant technical challenge. One approach uses thick pixilated scintillator arrays that are coupled to an electronic portal imaging device (EPID). Incoming x-ray photons deposit energy into the scintillators which then produce optical photons via luminescence. These optical photons, which originate with random polarizations and direction vectors after the luminescence events, are transported throughout the scintillator during which time they can be reflected, refracted and scattered. Eventually, many photons will cross the boundary between the scintillator and the photodiode array to be absorbed by the EPID's photodiodes and converted into electrical current for readout and digitization. Despite the promise of the technology, performance may be inadequate and a significant manufacturing cost lies in the process of cutting the crystalline scintillators into parallelepipeds and gluing reflective septa between them in order to reduce optical cross talk. Also, in some cases, an x-ray imager (e.g., a diagnostic x-ray imager or a portal imager) may comprise a scintillator coupled to a photodiode array. X-ray photons deposit energy into the scintillator thereby producing optical photons with random direction and polarization vectors. A percentage of these optical photons will cross the scintillator-photodiode boundary and deposit energy. The photodiodes convert optical photons into electron-hole pairs. After a sufficient amount of charge is collected, signals are read out and digitized to form an image. To achieve a sufficiently high spatial resolution, optical blurring is desired to be minimized. This implies that the photodiode signals associated with a given x-ray photon should be localized in close lateral proximity to where that x-ray photon interacted with the scintillator. A common means of achieving this goal is through the use of pixelated geometries that confine optical photons using reflective septa. Unfortunately, this approach suffers from high manufacturing costs and may not be practical for incorporating into large-area imagers. As similarly discussed, the process of cutting the crystalline scintillators (e.g. CsI, CdWO4, BGO) into parallelepipeds, gluing reflective septa between them, and then assembling the pixels into a complete array, may be very expensive. Another disadvantage of the pixelated geometry is the loss of fill factor (and associated quantum efficiency) due to the finite thickness of the septa. Also, current amorphous silicon based flat panel imagers for megavoltage radiation suffers from very low x-ray conversion efficiency. Only about 1.3% of the x-ray photons contribute to an image. In other words, more than 98% of the imaging dose gets lost and will not contribute to the image formation. Approaches that utilize thicker scintillator are either very expensive because the scintillator has to be pixelated or has to exhibit very high imaging performance due to added blurring. An imaging device includes: a first scintillator layer; an array of detector elements, wherein the array of detector elements comprises a first detector element; a second scintillator layer configured to receive radiation after the radiation has passed through the first scintillator layer and the array of detector elements, wherein the array of detector elements is located between the first scintillator layer and the second scintillator layer; a first electrode located closer to the first scintillator than the second scintillator; and a second electrode situated between the second scintillator and the first detector element; wherein the first detector element is configured to generate a first electrical signal in response to light from the first scintillator layer, and to generate a second electrical signal in response to light from the second scintillator layer; and wherein the second electrode is configured to allow the light from the second scintillator layer to reach the first detector element. Optionally, the first electrode is situated between the first scintillator and the first detector element, and wherein the first electrode is configured to allow light from the first scintillator layer to reach the first detector element. Optionally, the second electrode is made from a non-transparent conductive material but is etched with a pattern to allow light to pass therethrough. Optionally, the second electrode has a polygonal pattern. Optionally, the first detector element has a first part configured to generate the first electrical signal in response to the light from the first scintillator layer, and a second part configured to generate the second electrical signal in response to the light from the second scintillator layer. Optionally, the first part is a top side of the first detector element, and the second part is a bottom side of the first detector element. Optionally, the first part comprises a first photodiode, and the second part comprises a second photodiode, and wherein the first photodiode and the second photodiode form a side-by-side configuration. Optionally, the second electrode is at least partially transparent to light. Optionally, the second electrode comprises a first opening for allowing the light from the second scintillator layer to pass therethrough. Optionally, the second electrode comprises a second opening. Optionally, the first opening comprises a circular opening, a square opening, a rectangular opening, or a slot. Optionally, the second electrode has a ring configuration. Optionally, the second electrode has a grid configuration, and the first opening is one of a plurality of grid holes. Optionally, the second electrode comprises a chrome layer. Optionally, the second electrode comprises ITO or another transparent conductor. Optionally, the first detector element comprises a hardware component, and wherein the second electrode and the hardware component are in a side-by-side configuration. Optionally, the second electrode comprises a conductor extending along at least a part of a periphery of the second electrode, and one or more optical openings surrounded by the periphery. Optionally, the hardware component comprises at least a part of a thin-film-transistor (TFT) Optionally, the second electrode further comprises one or more additional conductors extending within a space that is surrounded by the periphery of the second electrode. Optionally, the imaging device further includes a substrate, wherein the array of detector elements is secured to the substrate, wherein the substrate has a first side and an opposite second side, the first side being closer to a radiation source than the second side. Optionally, the array of detector elements is located closer to the first side of the substrate than the second side, or vice versa. Optionally, a first part of the first detector element is located closer to the first side of the substrate than the second side. Optionally, the substrate has a thickness that is less than 2 mm. Optionally, the imaging device further includes a layer of focusing elements located between (1) the array of detector elements and (2) the first scintillator layer or the second scintillator layer. Optionally, the first scintillator layer is non-pixelated, the second scintillator layer is non-pixelated, or both the first and second scintillator layers are non-pixelated. Optionally, one or both of the first and second scintillator layers are pixelated. Optionally, the imaging device further includes an optical grid coupled to the first scintillator layer or the second scintillator layer. Optionally, the imaging device further includes a first optical grid coupled to the first scintillator layer, and a second optical grid coupled to the second scintillator layer. Optionally, the imaging device further includes a first plate coupled to the first scintillator layer, and a second plate coupled to the second scintillator layer, wherein both the first scintillator layer and the second scintillator layer are between the first and second plates. Optionally, the imaging device further includes a first neutral density filter located between the first scintillator layer and the first detector element and/or a second neutral density filter located between the second scintillator layer and the first detector element. Optionally, the first neutral density filter and/or the second neutral density filter is configured to improve a signal-to-noise ratio of the imaging device. Optionally, a signal-to noise ratio of the imaging device is based on (1) respective quantum efficiencies (QE1,QE2) of the first and second scintillator layers, (2) respective detective quantum efficiencies (DQE1,DQE2) of the first and second scintillator layers, (3) respective optical yields (□□□□□□□□of the first and second scintillator layers, (4) optical sensitivities (p1, p2) of the first detector element associated with the first and second scintillators respectively, or (5) a combination of any of the foregoing. Optionally, the first electrical signal has a first feature value (e1), and the second electrical signal has a second feature value (e2); and wherein min (e1, e2)/max (e1, e2) is larger than a threshold. Optionally, the first feature value (e1) is a function of quantum efficiency QE1 of the first scintillator layer, optical yield□□□ of the first scintillator layer, and optical sensitivity p1 of the first detector element associated with the first scintillator layer; and wherein the second feature value (e2) is a function of quantum efficiency QE2 of the second scintillator layer, optical yield□□□ of the second scintillator layer, and optical sensitivity p2 of the first detector element associated with the second scintillator layer. Optionally, the first feature value (e1) is a function of detective quantum efficiency DQE1 of the first scintillator layer, optical yield□□□ of the first scintillator layer, and optical sensitivity p1 of the first detector element associated with the first scintillator layer; and wherein the second feature value (e2) is a function of detective quantum efficiency DQE2 of the second scintillator layer, optical yield□□□ of the second scintillator layer, and optical sensitivity p2 of the first detector element associated with the second scintillator layer. Optionally, the threshold is larger than 0.5. Optionally, the threshold is larger than 0.7. An imaging device includes: a first scintillator layer; an array of detector elements, wherein the array of detector elements comprises a first detector element; a second scintillator layer, wherein the array of detector elements is located between the first scintillator layer and the second scintillator layer; and a first neutral density filter located between the first scintillator layer and the first detector element and/or a second neutral density filter located between the second scintillator layer and the first detector element; wherein the first detector element is configured to generate a first electrical signal in response to light from the first scintillator layer, and to generate a second electrical signal in response to light from the second scintillator layer. Optionally, the first neutral density filter and/or the second neutral density filter is configured to improve a signal-to-noise ratio of the imaging device. Optionally, a signal-to noise ratio of the imaging device is based on (1) respective quantum efficiencies (QE1,QE2) of the first and second scintillator layers, (2) respective detective quantum efficiencies (DQE1,DQE2) of the first and second scintillator layers, (3) respective optical yields (□□□□□□□□of the first and second scintillator layers, (4) optical sensitivities (p1, p2) of the first detector element associated with the first and second scintillators respectively, or (5) a combination of any of the foregoing. Optionally, the first electrical signal has a first feature value (e1), and the second electrical signal has a second feature value (e2); and wherein the first neutral density filter and/or the second neutral density filter is configured such that min (e1, e2)/max (e1, e2) is larger than a threshold. Optionally, the first feature value (e1) is a function of quantum efficiency QE1 of the first scintillator layer, optical yield□□□ of the first scintillator layer, and optical sensitivity p1 of the first detector element associated with the first scintillator layer; and wherein the second feature value (e2) is a function of quantum efficiency QE2 of the second scintillator layer, optical yield□□□ of the second scintillator layer, and optical sensitivity p2 of the first detector element associated with the second scintillator layer. Optionally, the first feature value (e1) is a function of detective quantum efficiency DQE1 of the first scintillator layer, optical yield□□□ of the first scintillator layer, and optical sensitivity p1 of the first detector element associated with the first scintillator layer; and wherein the second feature value (e2) is a function of detective quantum efficiency DQE2 of the second scintillator layer, optical yield□□□ of the second scintillator layer, and optical sensitivity p2 of the first detector element associated with the second scintillator layer. Optionally, the threshold is larger than 0.5. Optionally, the threshold is larger than 0.7. Optionally, the second scintillator layer is configured to receive radiation after it has passed through the array of detector elements. Optionally, the imaging device further includes a first electrode situated between the first scintillator and the first detector element, wherein the first electrode is configured to allow light from the first scintillator layer to reach the first detector element. Optionally, the imaging device further includes a second electrode configured to allow the light from the second scintillator layer to reach the first detector element. Optionally, the first detector element has a first part configured to generate the first electrical signal in response to the light from the first scintillator layer, and a second part configured to generate the second electrical signal in response to the light from the second scintillator layer. Optionally, the first part is a top side of the first detector element, and the second part is a bottom side of the first detector element. Optionally, the first part comprises a first photodiode, and the second part comprises a second photodiode, and wherein the first photodiode and the second photodiode form a side-by-side configuration. Optionally, the second electrode is at least partially transparent to light. Optionally, the second electrode comprises a first opening for allowing the light from the second scintillator layer to pass therethrough. Optionally, the second electrode comprises a second opening. Optionally, the first opening comprises a circular opening, a square opening, a rectangular opening, or a slot. Optionally, the second electrode has a ring configuration. Optionally, the second electrode has a grid configuration, and the first opening is one of a plurality of grid holes. Optionally, the second electrode comprises a chrome layer. Optionally, the second electrode comprises ITO or another transparent conductor. Optionally, the first detector element comprises a hardware component, and wherein the second electrode and the hardware component are in a side-by-side configuration. Optionally, the second electrode comprises a conductor extending along at least a part of a periphery of the second electrode, and one or more optical openings surrounded by the periphery. Optionally, the second electrode further comprises one or more additional conductors extending within a space that is surrounded by the periphery of the second electrode. Optionally, the hardware component comprises at least a part of a thin-film-transistor (TFT). Optionally, the imaging device further includes a substrate, wherein the array of detector elements is secured to the substrate, wherein the substrate has a first side and an opposite second side, the first side being closer to a radiation source than the second side. Optionally, the imaging device further includes a layer of focusing elements located between (1) the array of detector elements and (2) the first scintillator layer or the second scintillator layer. Optionally, the imaging device further includes an optical grid coupled to the first scintillator layer or the second scintillator layer. Optionally, the imaging device further includes a first optical grid coupled to the first scintillator layer, and a second optical grid coupled to the second scintillator layer. Optionally, the imaging device further includes a first plate coupled to the first scintillator layer, and a second plate coupled to the second scintillator layer, wherein both the first scintillator layer and the second scintillator layer are between the first and second plates. Optionally, the substrate has a thickness that is less than 2 mm. Other and further aspects and features will be evident from reading the following detailed description. Various embodiments are described hereinafter with reference to the figures. It should be noted that the figures are not drawn to scale and that elements of similar structures or functions are represented by like reference numerals throughout the figures. It should also be noted that the figures are only intended to facilitate the description of the embodiments. They are not intended as an exhaustive description of the invention or as a limitation on the scope of the invention. In addition, an illustrated embodiment needs not have all the aspects or advantages shown. An aspect or an advantage described in conjunction with a particular embodiment is not necessarily limited to that embodiment and can be practiced in any other embodiments even if not so illustrated, or if not so explicitly described. FIG. 1 illustrates a radiation treatment system 10. The system 10 includes an arm gantry 12, a patient support 14 for supporting a patient 20, and a control system 18 for controlling an operation of the gantry 12 and delivery of radiation. The system 10 also includes a radiation source 22 that projects a beam 26 of radiation towards the patient 20 while the patient 20 is supported on support 14, and a collimator system 24 for changing a cross sectional shape of the radiation beam 26. The radiation source 22 may be configured to generate a cone beam, a fan beam, or other types of radiation beams in different embodiments. Also, in other embodiments, the source 22 may be configured to generate proton beam, electron beam, or photon beam, as a form of radiation for treatment purpose. Also, in other embodiments, the system 10 may have other form and/or configuration. For example, in other embodiments, instead of an arm gantry 12, the system 10 may have a ring gantry 12. In the illustrated embodiments, the radiation source 22 is a treatment radiation source for providing treatment energy. In other embodiments, in addition to being a treatment radiation source, the radiation source 22 can also be a diagnostic radiation source for providing diagnostic energy for imaging purposes. In such cases, the system 10 will include an imager, such as the imager 80, located at an operative position relative to the source 22 (e.g., under the support 14). In further embodiments, the radiation source 22 may be a treatment radiation source for providing treatment energy, wherein the treatment energy may be used to obtain images. In such cases, in order to obtain imaging using treatment energies, the imager 80 is configured to generate images in response to radiation having treatment energies (e.g., MV imager). Also, in some embodiments, the imager 80 may be a portal imager configured to perform portal imaging. In some embodiments, the treatment energy is generally those energies of 160 kilo-electron-volts (keV) or greater, and more typically 1 mega-electron-volts (MeV) or greater, and diagnostic energy is generally those energies below the high energy range, and more typically below 160 keV. In other embodiments, the treatment energy and the diagnostic energy can have other energy levels. In some embodiments, the radiation source 22 is able to generate X-ray radiation at a plurality of photon energy levels. For example, the accelerator may have an energy range from 1 MV to 20 MV, producing an x-ray having a range from 10 kV to 160 kV. In other cases, the energy may have a range anywhere between approximately 10 keV and approximately 20 MeV. In further embodiments, the radiation source 22 can be a diagnostic radiation source. In such cases, the system 10 may be a diagnostic system with one or more moving parts. In the illustrated embodiments, the radiation source 22 is carried by the arm gantry 12. Alternatively, the radiation source 22 may be located within a bore (e.g., coupled to a ring gantry). In the illustrated embodiments, the control system 18 includes a processing unit 54, such as a processor, coupled to a control 40. The control system 18 may also include a monitor 56 for displaying data and an input device 58, such as a keyboard or a mouse, for inputting data. The operation of the radiation source 22 and the gantry 12 are controlled by the control 40, which provides power and timing signals to the radiation source 22, and controls a rotational speed and position of the gantry 12, based on signals received from the processing unit 54. Although the control 40 is shown as a separate component from the gantry 12 and the processing unit 54, in alternative embodiments, the control 40 can be a part of the gantry 12 or the processing unit 54. In some embodiments, the system 10 may be a treatment system configured to deliver treatment radiation beam towards the patient 20 at different gantry angles. During a treatment procedure, the source 22 rotates around the patient 20 and delivers treatment radiation beam from different gantry angles towards the patient 20. While the source 22 is at different gantry angles, the collimator 24 is operated to change the shape of the beam to correspond with a shape of the target tissue structure. For example, the collimator 24 may be operated so that the shape of the beam is similar to a cross sectional shape of the target tissue structure. In another example, the collimator 24 may be operated so that different portions of the target tissue structure receive different amount of radiation (as in an IMRT procedure). The imager 80 may have different configurations in different embodiments. FIG. 2 illustrates an imaging device 200 in accordance with some embodiments. The imaging device 200 may be used to implement the imager 80 in some embodiments. As shown in the figure, the imaging device 200 includes a first scintillator layer 202, and an array of detector elements 204. In some embodiments, each detector element 204 may include one or more amorphous silicon (a:Si) detector. The imaging device 200 also includes a second scintillator layer 206. As shown in the figure, the array of detector elements 204 is located between the first scintillator layer 202 and the second scintillator layer 206. The second scintillator layer 206 is configured to receive radiation after it has passed through the array of detector elements 204. The imaging device 200 also includes electrodes 210, 212 coupled to respective ones of the detector elements 204. Each detector element 204 is configured to generate a first electrical signal in response to light from the first scintillator layer 202, and to generate a second electrical signal in response to light from the second scintillator layer 206. In the illustrated embodiments, each detector element 204 has a first electrode 210 electrically coupled thereto, and a second electrode 212 coupled thereto. The first electrode 210 and the second electrode 212 are on opposite sides of the detector element 204, and are configured to receive opposite charge of an electron-hole pair generated in the detector element 204 in response to detected light. In the illustrated embodiments, the second electrode 212 has a configuration for allowing the light from the second scintillator layer 206 to reach the detector element 204. Also, in some embodiments, the first electrode 210 also has a configuration for allowing light from first scintillator layer 202 to reach the detector element 204. In some embodiments, the detector element 204 may be implemented using a photodiode. Also, in some embodiments, the electrodes 210, 212 may be considered as parts of the photodiode, or as terminals that are separately coupled to the photodiode. In some embodiments, the detector element 204 has a first part configured to generate a first electrical signal in response to the light from the first scintillator layer 202, and a second part configured to generate a second electrical signal in response to the light from the second scintillator layer 206. In some cases, the first part 240 is a top side 250 of the detector element 204, and the second part 242 is a bottom side 252 of the detector element 204 (FIG. 3). In other cases, the first part 240 and the second part 242 may form a side-by-side configuration (FIG. 4). Also, in some embodiments, the first part may comprise a first photodiode element, and the second part may comprise a second photodiode element. In this specification, the term “photodiode element” refers to one or more electrical circuit element(s) on a detector pixel that are associated with converting photon energy into electrical signals. This can include, but is not limited to, photodiode(s), switching transistor(s), amplification transistor(s), direct conversion element, or a combination thereof. The first scintillator layer 202 and the second scintillator layer 206 are configured to receive radiation and generate photons in response to the radiation. The first photodiode element is configured to generate electrical signals in response to the photons provided from the first scintillator layer 202, and the second photodiode element is configured to generate electrical signals in response to photons provided from the second scintillator layer 206. The electrical signals are then read out and digitized to form an image. In some embodiments, a circuit is provided to combine the signals from the first and second photodiode elements for each detector element 204 to form each pixel in the image. In the illustrated embodiments, the electrode 212 is at least partially transparent to light. In some cases, the electrode 212 may comprise a first opening 260 for allowing the light from the second scintillator layer 206 to pass therethrough (FIG. 5A). Also, in some embodiments, the electrode 212 may comprise multiple openings (e.g., a first opening and a second opening) for allowing light from the second scintillator layer 206 to pass therethrough. The first opening may comprise a circular opening, a square opening, a rectangular opening, or a slot. In some embodiments, the electrode 212 may have a ring configuration (FIG. 5B). In further embodiments, the electrode 212 may have a grid configuration, and the opening 260 is one of a plurality of grid holes (FIG. 5C). In some embodiments, the electrode 212 may have a shape that accommodates component(s) of the detector element 204, such as that shown in FIG. 5D. As shown in the figure, the electrode 212 has a substantially square shape that accommodates component(s) 490 of the detector element 204 at the corner or side(s) of the electrode 212. The component(s) 490 may be photodiode, or hardware component(s) (such as at least a part of a thin-film-transistor (TFT), trace, wire, circuit, etc.). In other embodiments, the electrode 212 may have a rectangular shape, a circular shape, a hexagonal shape, or other customized shapes. The electrode 212 is positioned next to the component(s) 490 of the detector element 204 in a side-by-side configuration, and defines the opening 260 for allowing light to travel therethrough. In some embodiments, the opening 260 may be a space without any material. In other embodiments, the opening 260 may be filled or covered by an optically transparent material, which may or may not be electrically conductive. In the illustrated embodiments, the electrode 212 has a conductor 500 (e.g., a wire or trace) extending around a perimeter of the electrode 212. In other embodiments, the conductor 500 may not extend completely around the perimeter of the electrode 212, and may instead extend partially around the perimeter to define an open-loop for the electrode 212. Also, in other embodiments, the electrode 212 may include additional conductor(s) 502 in the space defined by the perimeter of the electrode 212 (FIG. 5E). As shown in the embodiment of FIG. 5E, the electrode 212 has additional conductors 502 extending in the same direction within the perimeter of the electrode 212. Such configuration defines a plurality of openings 260 (e.g., slots) for allowing light to travel therethrough. In other embodiments, the electrode 212 may include additional conductors extending in other directions (such as in a horizontal direction to form a grid of holes with the vertical conductors 502). It should be noted that the term “opening” (such as the opening 260) may refer to a space without any material that allows light to travel therethrough, or may refer to a material that has at least some optical transparency for allowing light to travel through the material. Also, in other embodiments, the electrode 212 may be made from a non-transparent conductive material, but is etched with a pattern to allow light to pass therethrough. For example, the electrode may have a polygonal pattern, or any customized pattern, that is etched to allow light to pass therethrough. In any of the embodiments described herein, the electrode 212 may comprise a chrome layer. Also, in some embodiments, the electrode 212 may comprise Indium tin oxide (ITO), or another transparent conductor. Returning to FIG. 2, the imaging device 200 further includes a glass substrate 220, wherein the array of detector elements 204 is secured to the glass substrate 220. In the illustrated embodiments, the glass substrate 220 has a first side 222 and an opposite second side 224, wherein the first side 222 is closer to a radiation source than the second side 224. The glass substrate 220 may have a thickness that is less than 2 mm, and more preferably less than 1 mm, and even more preferably less than 0.7 mm. Also, in some embodiments, the substrate 220 that is greater than 0.1 mm, or greater than 0.15 mm. In other embodiments, the glass substrate 220 may have a thickness that is greater than 2 mm. In some embodiments, the array of detector elements 204 is located closer to the first side 222 of the glass substrate 220 than the second side 224. It has been discovered that any blurring effect (due to light traveling from the second scintillator layer 206 through the glass substrate 220 to reach the detector elements 204) is minimal, or does not significantly degrade image quality. Accordingly, the imaging device 200 does not need to have any optical filter coupled between the second scintillator layer 206 and the glass substrate 220 to improve image resolution. However, if improvement is needed, the imaging device 200 may include such optical filter, or may utilize a kernel-based algorithm to improve resolution of the image generated by the imaging device 200. In other embodiments, the substrate 220 may be made from other materials that are different from glass. For example, in other embodiments, the substrate 220 may be made from plastic. In other embodiments, the array of detector elements 204 is located closer to the second side 224 of the glass substrate 220 than the first side 222. This configuration is advantageous because the detector elements 204 are in close proximity to the scintillator layer 206, so that photons leaving the scintillator layer 206 can be immediately received by the detector elements 204. Also, in some embodiments, a first part of the first detector element 204 is located closer to the first side 222 of the glass substrate 220 than the second side 224, and a second part of the first detector element 204 is located closer to the second side 224 of the glass substrate 220 than the first side 222. In other embodiments, both the first part and the second part of the detector element 204 may be located closer to the first side 222 of the glass substrate 220 than the second side 224. In further embodiments, both the first part and the second part of the detector element 204 may be located closer to the second side 224 of the glass substrate 220 than the first side 222. The scintillator layers 202, 206 may be selectively chosen to fit the required imaging tasks. For example, the first scintillator layer 202 may be copper and Lanex™, while the second scintillator layer 206 may be CdWO4, Csl, or BGO, or vice versa. Also, in some embodiments, GOS with a copper build-up plate may be used for the first scintillator layer 202, the second scintillator layer 206, or both. When implemented in the second scintillator layer 206, the build-up plate may be positioned below the GOS. Scintillator options that are suitable for the first scintillator layer 202 and/or second scintillator layer 206 include, but are not limited to, LKH-5, CdWO4, CsI, with or without build-up plate. The first scintillator layer 202 and the second scintillator layer 206 may be the same or different. In some embodiments, the first scintillator layer 202 may be non-pixelated, the second scintillator layer 206 may be non-pixelated, or both the first and second scintillator layers 202, 206 may be non-pixelated. In other embodiments, one or both of the first and second scintillator layers 202, 206 may be pixelated. During use of the imaging device 200, the imaging device 200 is positioned so that the first scintillator layer 202 receives radiation from the radiation source before the second scintillator layer 206. The first scintillator layer 202 receives the radiation, and generates photons in response to the received radiation. The photons are detected by the detector elements 204, which generate electrical signals (imaging signals) in response to the detected photons from the first scintillator layer 202. Some of the radiation is not absorbed by the first scintillator layer 202, and passes through the detector elements 204 and the glass substrate 220, and reaches the second scintillator layer 206. The second scintillator layer 206 receives the radiation and generates photons in response to the received radiation. The photons from the second scintillator layer 206 travel backward towards the direction of the radiation, and reach the detector elements 204. The detector elements 204 generate electrical signals (imaging signals) in response to the photons detected by the respective detector elements 204. Thus, each detector element 204 generates two electrical signals based on photons from the first and second scintillator layers 202, 206. The two electrical signals from each detector element 204 are combined, e.g., via a circuit, to form an image signal for a pixel of an image. The imaging signals may be transmitted to a device, such as a processor for determining an image based on the imaging signals, and/or to a medium for storage. In order for each detector element 204 to be able to detect photons coming from both the first scintillator layer 202 and the second scintillator layer 206, the detector element 204 needs to be able to detect photons coming from two opposite directions. In some embodiments, the detector element 204 has a photodiode that is configured to detect photons coming from two opposite directions. Alternatively, the detector element 204 may have a first photodiode for detecting photons from the first scintillator layer 202, and a second photodiode for detecting photons from the second scintillator layer 206. The first and second photodiodes may be disposed on the top and bottom sides, respectively, of the detector element 204. Thus, in this embodiment, there are two photodiodes for each pixel, with one of them being upside down. Also, in order for the photons from the second scintillator layer 206 to reach the detector element 204, the electrode 212 is configured to allow light to pass therethrough. For example, the electrode 212 may have one or more opening(s) (like those shown in FIGS. 5A-5C) for allowing light to pass therethrough to reach the detector element 24. Alternatively, or additionally, the electrode 212 may be made from ITO or a combination of ITO with other material, so that the electrode 212 is at least partially transparent. Also, alternatively or additionally, the electrode 212 may be implemented using a thin chrome layer. The above embodiments illustrate a reverse geometry, which is advantageous because it increases the number of photons that are detected by the detector elements 204. Also, by adding a second scintillator layer 206, the conversion efficiency of the imaging device 200 is improved. In addition, the above approach of using scintillator layers on opposite sides of the detector elements 204 reduces artifacts significantly and does not add significant cost. Furthermore, the above multi-scintillator layers approach is advantageous over an imaging device that utilizes thick non-pixelated scintillator or thick pixelated scintillator. A thick scintillator layer without pixelation will blur the signal and as a consequence, will degrade image resolution. In addition to the blurring, the generated light at the upper part of a thick scintillator layer has to travel a long distance and gets much more attenuated, than the light generated at the lower part of the thick scintillator. Hence, the efficiency does not scale with the thickness. The above approach of using two scintillator layers can be viewed as splitting a thick scintillator layer into two layers, and placing the two scintillator layers on opposite sides of the detector elements 204. This reduces blurring and because the light has to travel a shorter distance, it reduces unwanted light attenuation. Also, thick pixelated scintillators will address the light scattering issue in the thick non-pixelated scintillator as described above, but manufacturing a large area pixelated scintillator is very expensive. Adding a second scintillator layer 206 below the detector elements 204 only adds the cost of a relatively inexpensive second scintillator layer 206. In some embodiments, the substrate 220 is configured to have a thinner thickness that reduces a distance light has to travel from the scintillator layer 206 to the detector elements 204, thereby reducing blurring effect. In any of the embodiments described herein, the imaging device 200 may not need any optical grid between the first scintillator layer 202 and the detector elements 204, and may also not need any optical grid between the second scintillator layer 206 and the detector elements 204. In other embodiments, the imaging device 200 may optionally further include an optical grid coupled between the first scintillator layer 202 and the detector elements 204, and/or an optical grid coupled between the second scintillator layer 206 and the detector elements 204. The optical grid is configured to allow “on-angle” light generated by the second scintillator 206 to be transmitted towards the detector elements 204, while blocking the “off-angle” light. In some embodiments, the imaging device 200 may further include a layer 280 of focusing elements located between the array of detector elements 204 and the second scintillator layer 206 (FIG. 6). The layer 280 of focusing elements is configured to direct light generated by the second scintillator 206 to reach the detector elements 204, thereby improving the resolution of the image. The layer 280 of focusing elements may comprise a fiber optic array, a brightness enhancement film (BEF), an optical grid, an optical filter, or any optical device that is capable of channeling optical rays (e.g., using Fresnel refraction and/or reflection). In other embodiments, the focusing elements are not needed, and the imaging device 200 does not include the focusing elements between the detector elements 204 and the second scintillator layer 206. In some embodiments, the imaging device 200 may optionally further include a first plate 300 coupled to the first scintillator layer 202, and a second plate 302 coupled to the second scintillator layer 206, wherein both the first scintillator layer 202 and the second scintillator layer 206 are between the first and second plates 300, 302 (FIG. 7). During use, radiation may interact with the first plate 300 and the second plate 302 (in addition to the first and second scintillator layers 202, 206) to create photons for detection by the detector elements 204. One consideration in maximizing signal-to-noise ratio (SNR) is equalizing the signals from the first and second scintillator layers 202, 206. Signal detection theory dictates that the electron signal amplitude from each side's scintillator (after conversion of the optical signal by the photodiode) should be proportional to that side's scintillator's detective quantum efficiency (DQE). For example, if the same scintillator with the same thickness is used for the top and bottom sides, but the photodiode efficiency on the bottom side is, for example, ½ of the efficiency of the top side, then a ½× neutral density filter may be put between the top scintillator and the detector elements 204 to equalize the signals, or to at least bring them closer to each other. Alternatively, different scintillators with different optical yields may be used on the top and bottom sides. In some embodiments, the first electrical signal (generated based on light from the first scintillator layer 202) may have a first feature value (e1), and the second electrical signal (generated based on light from the second scintillator layer 206) may have a second feature value (e2). The first and second feature values may be first and second electron signal amplitudes, respectively. The ratio, min (e1, e2)/max (e1, e2), may be larger than a threshold. For examples, the threshold may be larger than 0.5, larger than 0.7, larger than 0.9, etc. In any of the examples, the threshold may be less than or equal to 1.0. In some embodiments, such ratio may be achieved to be below the prescribed threshold by selecting the appropriate materials and thicknesses for the first and second scintillator layers 202, 206. In other embodiments, the imaging device 200 may optionally further include a first neutral density filter located between the first scintillator layer 202 and the first detector element, and/or a second neutral density filter located between the second scintillator layer 206 and the first detector element. The first neutral density filter and/or the second neutral density filter may be configured to improve a signal-to-noise ratio of the imaging device. For example, the first neutral density filter and/or the second neutral density filter may be configured such that min (e1, e2)/max (e1, e2) is larger than a threshold. In some embodiments, a signal-to noise ratio of the imaging device 202 is based on (1) respective quantum efficiencies (QE1,QE2) of the first and second scintillator layers 202, 206, (2) respective detective quantum efficiencies (DQE1,DQE2) of the first and second scintillator layers 202, 206, (3) respective optical yields (□□□□□□□□of the first and second scintillator layers 202, 206, (4) optical sensitivities (p1, p2) of the detector element(s) associated with the first and second scintillators respectively 202, 206, or (5) a combination of any of the foregoing. Also, in some embodiments, the first feature value (e1) may be a function of quantum efficiency QE1 of the first scintillator layer 202, optical yield □□ of the first scintillator layer 202, and optical sensitivity p1 of the detector element(s) associated with the first scintillator layer 202. Also, the second feature value (e2) may be a function of quantum efficiency QE2 of the second scintillator layer 206, optical yield □□ of the second scintillator layer 206, and optical sensitivity p2 of detector element(s) associated with the second scintillator layer 206. In other embodiments, the first feature value (e1) may be a function of detective quantum efficiency DQE1 of the first scintillator layer, optical yield□□□ of the first scintillator layer, and optical sensitivity p1 of the detector element(s) associated with the first scintillator layer. Also, the second feature value (e2) may be a function of detective quantum efficiency DQE2 of the second scintillator layer, optical yield□□□ of the second scintillator layer, and optical sensitivity p2 of the detector element(s) associated with the second scintillator layer. It should be noted that the term “first scintillator layer” and the term “second scintillator layer” need not refer to the top scintillator layer and the bottom scintillator layer, respectively. For example, in other cases, the first scintillator layer may refer to the bottom scintillator layer, and the second scintillator layer may refer to the top scintillator layer. It should be noted that the embodiments of the imaging device 200 described herein are not limited to portal imagers, and that any of the embodiments of the imaging device 200 described herein may be used with diagnostic radiation beam. The imaging device 200 may be a part of a treatment machine, a part of an imaging machine, or both. In the above embodiments, various features have been described with reference to medical imaging. In other embodiments, any or all of the features described herein may be implemented for security applications. For example, in some embodiments, any of the imaging devices 200 described herein may be employed for cargo screening. Although particular embodiments have been shown and described, it will be understood that it is not intended to limit the claimed inventions to the preferred embodiments, and it will be obvious to those skilled in the art that various changes and modifications may be made without department from the spirit and scope of the claimed inventions. The specification and drawings are, accordingly, to be regarded in an illustrative rather than restrictive sense. The claimed inventions are intended to cover alternatives, modifications, and equivalents.
043307094
abstract
An electronic optical objective applicable to electrolithographic devices for microlithography in particular in semiconductor and integrated circuits fabrication. The objective comprises an electromagnetic lens formed by two polepieces, one of which is of soft iron and the other of ferrite, spaced from each other by an airgap. It further comprises two deflectors, one of which is placed in a longitudinal zone of weak magnetic field and the other is placed in a longitudinal zone of strong magnetic field. These two deflectors are identical and offset from each other by a certain angle, for example from 215.degree. to 225.degree..
claims
1. A method of refueling a nuclear steam supply system having a nuclear reactor primary coolant loop enclosed within a hermetically sealed containment wherein the containment comprises a nuclear reactor vessel for supporting and housing a plurality of nuclear fuel assemblies within a core, the nuclear reactor vessel being supported within the containment as part of the nuclear reactor primary coolant loop; a refueling cavity extending above the nuclear reactor vessel within the containment; an in-containment refueling coolant storage tank supported within the containment outside the refueling cavity at an elevation above the core for, upon command, flooding at least a portion of the refueling cavity with a refueling coolant in furtherance of refueling the reactor vessel, the in-containment refueling coolant storage tank having a full level substantially at which a volume of the refueling coolant is maintained during normal reactor operation; and an irradiated nuclear fuel assembly storage tank supported within the containment below a portion of the refueling cavity, the irradiated nuclear fuel assembly storage tank is configured with fuel assembly storage racks for storing irradiated nuclear fuel within the containment outside the core when the reactor vessel is in operation and the refueling cavity is drained, and the irradiated nuclear fuel assembly storage tank is configured to selectively place a nuclear fuel assembly storage tank coolant conduit, connected to the irradiated nuclear fuel assembly storage tank, in fluid communication with the in-containment refueling coolant storage tank or the refueling cavity; the method comprising:flooding the refueling cavity with the coolant from the refueling coolant storage tank;removing a head from the reactor vessel;opening a lid on the irradiated nuclear fuel assembly storage tank;removing at least some of the fuel assemblies from the core into the irradiated nuclear fuel assembly storage tank;closing the lid on the irradiated fuel assembly storage tank;loading a number of new fuel assemblies into the core;closing the head on the reactor vessel;draining the refueling cavity into the in-containment refueling coolant storage tank; andstarting up the reactor with the at least some of the fuel assemblies removed from the core stored in the irradiated nuclear fuel assembly storage tank. 2. The method of claim 1 including the steps of:configuring the irradiated nuclear fuel assembly storage tank coolant conduit in fluid communication with the refueling cavity after the refueling cavity is flooded; andconfiguring the irradiated nuclear fuel assembly storage tank coolant conduit in fluid communication with the in-containment refueling coolant storage tank after the refueling cavity is drained. 3. The method of claim 1 including the steps of:operating the nuclear steam supply system for an operating cycle;shutting down the reactor vessel;flooding the refueling cavity with the coolant from the refueling coolant storage tank;removing a head from the reactor vessel;opening a lid on the irradiated nuclear fuel assembly storage tank;moving at least some of the fuel assemblies within the irradiated fuel assembly storage tank to a spent fuel pool outside the containment;removing at least some of the fuel assemblies from the core into the irradiated nuclear fuel assembly storage tank;closing the lid on the irradiated fuel assembly storage tank;loading a number of new fuel assemblies into the core;closing the head on the reactor vessel;draining the refueling cavity into the in-containment refueling coolant storage tank; andstarting up the reactor with the at least some of the fuel assemblies removed from the core stored in the irradiated nuclear fuel assembly storage tank. 4. The method of claim 3 wherein the irradiated nuclear fuel assembly storage tank includes a long-term nuclear fuel assembly storage tank and a short-term nuclear fuel assembly storage tank that are each configured to separately store fuel assemblies and wherein the step of removing at least some of the fuel assemblies from the core into the irradiated nuclear fuel assembly storage tank includes the steps of:identifying the fuel assemblies within the core that are not to be returned to the core;removing at least some of the fuel assemblies in the core that are not to be returned to the core to the long-term nuclear fuel assembly storage tank; andremoving at least some of the fuel assemblies in the core that are to be returned to the core to the short-term nuclear fuel assembly storage tank. 5. The method of claim 4 wherein the short-term nuclear fuel assembly storage tank includes a fuel assembly rack having compartments with each compartment having an opening into which one of the fuel assemblies can be loaded, with each compartment having a separate cover which can be individually moved to an open or closed position, including the step of:opening only one cover at a time with the remaining covers closed as the fuel assembly is loaded into the corresponding compartment. 6. The method of claim 4 wherein the reactor vessel has a lower internals and the long-term nuclear fuel assembly storage tank has a lid with a removable lower internals storage stand that fits on top of the lid, including the steps of:fitting the lower internals storage stand to the lid after the fuel assemblies that are not to be returned to the reactor vessel are loaded into the long-term nuclear fuel assembly storage tank;removing the lower internals from the reactor vessel after all of the fuel assemblies have been removed from the core; andplacing the lower internals into the removable lower internals storage stand. 7. The method of claim 6 including the steps of:replacing the lower internals into the reactor vessel; andremoving the lower internals storage stand from the lid before opening the lid. 8. The method of claim 1 including the step of cooling the irradiated nuclear fuel assembly storage tank by natural circulation of the coolant through the irradiated nuclear fuel assembly storage tank. 9. The method of claim 8 wherein the coolant cooling the irradiated nuclear fuel assembly storage tank is either circulated through the in-containment refueling coolant storage tank or through the refueling cavity.
062513095
claims
1. A method of manufacturing uranium dioxide (UO.sub.2) fuel pellets, the method comprising: annealing U.sub.3 O.sub.8 powder at temperatures of 1000.degree. C. to 150.degree. C. in a non-reducing gas atmosphere in order to make U.sub.3 O.sub.8 polycrystalline aggregates having a large crystal size; dividing the U.sub.3 O.sub.8 polycrystalline aggregate into its constituent U.sub.3 O.sub.8 single crystals; forming a mixture comprising 1% to 15% by weight of the U.sub.3 O.sub.8 single crystals with the remainder being UO.sub.2 powder; making granules of the mixture; pressing the granules into green pellets; sintering the green pellets at temperatures above 1600.degree. C. for more than one hour in a reducing gas atmosphere. 2. The method of claim 1, wherein the U.sub.3 O.sub.8 powder is produced by heating defective or sound UO.sub.2 pellets at temperatures of 300.degree. C. to 800.degree. C. in air or in an oxygen containing gas to oxidize UO.sub.2 to U.sub.3 O.sub.8, and by sieving the oxidized products in order to eliminate large U.sub.3 O.sub.8 agglomerates and not-oxidized products. 3. The method of claim 1, wherein the U.sub.3 O.sub.8 powder is produced in a oxygen containing gas by calcining uranium compounds in which uranium has an oxidation state of 4+ to 6+, and by sieving the calcined products in order to eliminate large U.sub.3 O.sub.8 agglomerates and not-oxidized products. 4. The method of claim 1, wherein the non-reducing gas comprises air, nitrogen, inert gases, oxygen and mixtures thereof. 5. The method of claim 1, wherein the U.sub.3 O.sub.8 single crystals have an average size of 2 to 30 .mu.m. 6. The method of claim 1, wherein the U.sub.3 O.sub.8 single crystals further comprise the U.sub.3 O.sub.8 single crystals, U.sub.3 O.sub.8 products composed of less than ten U.sub.3 O.sub.8 single crystals, and mixtures thereof. 7. The method of claim 6, wherein the U.sub.3 O.sub.8 product has an average size of less than 50 .mu.m. 8. The method of claim 1, wherein the mixture further comprises the UO.sub.2 powder, the U.sub.3 O.sub.8 single crystals, and the U.sub.3 O.sub.8 powder. 9. The method of claim 8, wherein the total amount of both the U.sub.3 O.sub.8 single crystals and the U.sub.3 O.sub.8 powder is not larger than 15% by weight of the mixture. 10. The method of claim 1, wherein the reducing gas comprises at least one gas selected from the group consisting of hydrogen, a mixture of hydrogen and nitrogen, a mixture of hydrogen and inert gas, a mixture of hydrogen and carbon dioxide, and a mixture of hydrogen and steam.
062722077
abstract
An apparatus and method for obtaining a high-resolution digital image of an object or objects irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, or of an object or objects emitting radiation within the x-ray or gamma ray spectrum. The apparatus comprises a detector matrix and a radiation mask. The detector matrix comprises a plurality of detector pixels, each comprising a detection surface having a respective surface area which generates a signal in response to an energy stimulus. The radiation mask has an opaque portion, and a plurality of apertures. The aperture size and position relative to the detector array determines the image resolution not the size of the detector pixels. The mask is positioned between the detector matrix and the radiation source, such that the opaque portion prevents portions of the radiation from passing through the mask, and each of the apertures permits a portion of the radiation which has passed through or has been emitted from a respective portion of the object to propagate onto an area of the detection surface, less than the surface area, of a respective one of the detector pixels. The signal from a large detector pixel or from a group of small detector pixels represent an image of the respective portion of the object. The detector matrix and radiation mask are moved in synchronism in relation to the object to enable the areas of the detection surfaces of the detector pixels to receive portions of the radiation propagating through or emitted from other portions of the object, and to output signals representative of those other portions. These steps of moving the detector pixels and mask and irradiating the object are repeated until digital images of all portions of the object have been obtained. Alternatively, the x-ray source can be moved to image all portions of the object. The images are then arranged into an image representative of the entire object.
summary
description
The present disclosure relates generally to nuclear reactor cores and more specifically to detectors for measuring flux in nuclear reactor cores. Inside nuclear reactors, local power is measured inside the nuclear reactor core with in-core detectors designed to operate and survive in the environment. The in-core detectors include self-powered neutron detectors (SPNDs) or self-powered detectors (SPDs). A short section of detector material, lead-wire and crushable ceramic insulators are assembled inside a long thin metal housing. The metal housing is formed of Inconel or stainless steel tubes and is called a sheath. The sheath outer diameter is reduced multiple times crushing the ceramic insulators around the detector material and lead-wire to insulate it from the sheath producing a continuous length SPND or SPD. The detector material within the sheath is aligned within a specific location of the core when inserted. The lead-wire is connected to the bottom of the short section of detector material and extends along the full length of the sheath to carry the electrical signal from the detector material to a connector so it can be transmitted for plant use. The detector material within the sheath is aligned within a specific location of the core when inserted. The alignment of the detectors is maintained with a cylindrical oversheath of similar materials and crushed around the individual detectors in a similar manner as the sheath for all of the individual detectors. The lead-wire may be connected to an end of the short section of detector material and extending the full length of the sheath to carry the electrical signal from the detector material to a connector so the electrical signal can be transmitted for plant use. There is also a background signal running parallel to the lead-wire in a background detector, which may be inside the same sheath in a twin lead detector or outside of the sheath as a separate detector. When higher than average output signals are needed, a few different techniques are employed conventionally. A first conventional technique for designing in-core detectors involves using a specific detector material that outputs sufficiently high signals for the given application. A second conventional technique is to design the in-core detectors to be sized large enough to provide sufficiently high signal outputs for the given application. A third conventional technique involves using multiple very long elements of different lengths that cover large parts of the reactor core, then subtracting the signals of the elements and using the difference as the measurement for the one location only covered by one of the elements. A fourth conventional technique is to coil the detector, instead of using a straight detector, to generate a stronger signal than a the straight detector can generate. A detector assembly for measuring flux in a nuclear reactor core includes a plurality of self-powered in-core detector arrangements each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core, and an assembly connector configured to be connected to a power plant connector. The assembly connector includes a plurality flux signal terminals each connected to one of self-powered in-core detector arrangements. At least one of the self-powered in-core detector arrangements comprises a set of at least two self-powered in-core detectors for measuring flux at a same one of the axial locations in the nuclear reactor core. Each of the at least two self-powered in-core detectors includes a sheath, a detector material section inside the sheath, an insulator between the sheath and the detector material, and a flux signal output line. The flux signal output lines of the at least two self-powered in-core detectors are joined together. A method of providing a detector assembly for measuring flux in a nuclear reactor core comprising is also provided. The method includes arranging a plurality of self-powered in-core detector arrangements in the nuclear reactor core each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core, and connecting an assembly connector to the self-powered in-core detector arrangements. The assembly connector includes a plurality flux signal terminals each connected to one of self-powered in-core detector arrangements. The assembly connector is configured to be connected to a power plant connector. At least one of the self-powered in-core detector arrangements includes a set of at least two self-powered in-core detectors for measuring flux at a same one of the axial locations in the nuclear reactor core. Each of the at least two self-powered in-core detectors includes a sheath, a detector material section inside the sheath, an insulator between the sheath and the detector material, and a flux signal output line. The flux signal output lines of the at least two self-powered in-core detectors are joined together. A method of replacing a first detector assembly for measuring flux in a nuclear reactor core with a second detector assembly for measuring flux in a nuclear reactor core is provided. The method includes uninstalling the first detector assembly from the nuclear reactor core. The first detector assembly includes a plurality of first self-powered in-core detector arrangements each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core, and a first assembly connector configured to be connected to a power plant connector. The first assembly connector includes a plurality of first flux signal terminals each connected to one of first self-powered in-core detector arrangements. At least one of the first self-powered in-core detector arrangements includes a set of at least two first self-powered in-core detectors for measuring flux at a same one of the axial locations in the nuclear reactor core. Each of the at least two first self-powered in-core detectors includes a sheath, a detector material section inside the sheath, an insulator between the sheath and the detector material, and a flux signal output line. The flux signal output lines of the at least two first self-powered in-core detectors being joined together. The uninstalling of the first detector assembly from the nuclear reactor core includes disconnecting the first assembly connector from a power plant electrical connector. The replacing method also includes installing the second detector assembly in the nuclear reactor core in place of the first detector assembly. The second detector assembly includes a plurality of second self-powered in-core detector arrangements each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core, and a second assembly connector configured to be connected to a power plant connector. The second assembly connector includes a plurality second flux signal terminals each connected to one of second self-powered in-core detector arrangements. At least one of the second self-powered in-core detector arrangements includes a set of at least two second self-powered in-core detectors for measuring flux at a same one of the axial locations in the nuclear reactor core. Each of the at least two second self-powered in-core detectors includes a sheath, a detector material section inside the sheath, an insulator between the sheath and the detector material, and a flux signal output line. The flux signal output lines of the at least two second self-powered in-core detectors are joined together. The installing of the second detector assembly in the nuclear reactor core includes connecting the second assembly connector to the power plant electrical connector. One problem with conventional techniques is that when different detector materials are placed in a specific axial location to be representative of that axial measurement in the core, the different materials will provide higher or lower amount of electrical current output based on each materials radiation induced interactions in the given radiation field. Some of these materials do not always output what is considered a high enough signal for a given application and given detector design. The detector design component dimensions are highly engineered and changing them to increase detector output for a given application is not always possible for a multitude of reasons, for example, limited space in the detector assembly, or cost to re-engineer and analyze detector component designs. In other words, re-engineering a given detector for every situation just because the signal is not as high as needed or expected can be very costly and is prohibitive in most situations. Most detector materials that provide higher output also have dramatic downsides because for a self-powered detector to provide a higher output there is typically much higher depletion of the material, resulting in the detector becoming a consumable having a very short useful life. Decades of analysis and measurements went into the materials, for example rhodium, platinum and vanadium, used today to get the balance of a high enough output and useful lifetimes. The use of the multiple long pieces that cover large parts of the core are difficult to build, will integrate other undesirable signals into the signals, and have larger uncertainty issues with taking two large signals and subtracting them to make one smaller signal. The coiled design costs more to build and is more difficult to model and analyze, while building an assembly of coils takes up more space, making it too large to fit in some limited spaces. The present disclosure provides methods for building assemblies that each have multiple detector components in each axial space, with lead wires being tied together and their respective background wires being tied together to create one electrically combined detector with multiples of the single detector signal output for the same axial core location. Such methods are simpler to manufacture when compared to a coiled or multiple long element design. The modeling and analysis is also simplified since you just model normal size and length straight already engineered detector designs. Additionally, the measurement and connector design are simplified as compared to conventional techniques because multiple detectors electrically combined in the assembly will have the same connector with the same number of pins as a single detector per axial space. The uncertainty is expected to decrease by increasing the amount of radiation interaction in the same assembly space, thus increasing the useful signal without needing to do any external subtraction. The assemblies may include as many detectors as fit in the allowed space to increase the output as much as is needed. FIG. 1 schematically shows a nuclear reactor pressure vessel 10 including a self-powered in-core detector assembly 12 provided in a reactor core 14 of pressure vessel 10 to measure local power in reactor core 14. Pressure vessel 10 is centered on a vertically extending center axis CA. Unless otherwise mentioned, the terms axial, radial and circumferential and derivatives thereof are used in reference to center axis CA, with radial direction R and axial direction A being shown in FIG. 1. Detector assembly 12 includes a plurality of self-powered in-core detector arrangements each comprising a detector set 16, 17, 18, 19. In the embodiment, each of detector sets 16, 17, 18, 19 includes two detectors in the form of SPNDs or SPDs, with detector set 16 including detectors 16a, 16b, detector set 17 including detectors 17a, 17b, detector set 18 including detectors 18a, 18b and detector set 19 including detectors 19a, 19b. Each detector 16a, 16b, 17a, 17b, 18a, 18b, 19a, 19b includes a first section 20 including detector material and a second section 22 extending axially from first section 20 including at least one lead wire. First section 20 has a larger outer diameter than section 22 and is a radially thickest portion of the respective detector 18. Second section 22 is vertically below first section 20 in reactor core 14. Although each detector set 16 to 19 in the embodiment shown in FIG. 1 includes only two detectors per set, in other embodiments, each set may include three or more detectors, with the number of detectors per set being based on spaced considerations in the core and how high a signal is needed. In other embodiments, detector assembly 12 may be loaded through the reactor head. As shown in FIG. 1, detector sets 16 to 19 are arranged and configured such that first sections 20 of each of the detectors of a respective detector set 16 to 19 are at a same axial location in core 14 and first sections 20 of the detectors of each detector set 16 to 19 are axially offset from the first sections 20 of the detectors of the other detector sets 16 to 19. In other words, detectors 16a, 16b of detector set 16 are at a first axial location in core 14, detectors 17a, 17b of detector set 17 are at a second axial location in core 14 that is axially offset from the first axial location, detectors 18a, 18b of detector set 18 are at a third axial location in core 14 that is axially offset from the first and second axial locations, and detectors 19a, 19b of detector set 19 are at a fourth axial location in core 14 that is axially offset from the first, second and third axial locations. The detectors of each detector set 16 of 19 are of the same configuration—i.e., same size and shape (within the context of manufacturing tolerances) and materials—as the other detector in the set 16 to 19, with detectors 16a, 16b being of the same configuration as each other, detectors 17a, 17b being of the same configuration as each other, detectors 18a, 18b being of the same configuration as each other and detectors 19a, 19b being of the same configuration as each other. All of detectors 16a to 19b have a same sized first section 20, and detectors of each detector set 16 of 19 have a section 22 of the same length and materials as the other detector (or detectors when each detector set includes more than two detectors) of the set 16 to 19, but different from the sections 22 of all of the other sets. More specifically, detectors 16a, 16b have sections 22 of a first length, detectors 17a, 17b have sections 22 of a second length that is less than the first length, detectors 18a, 18b have sections 22 of a third length that is less than the first and second lengths, and detectors 19a, 19b have sections 22 of a fourth length that is less than the first, second and third lengths. Detectors 16a to 19b are held together by an oversheath 27 that is crushed onto detectors 16a to 19b to rigidly hold detectors 16a to 19b together. Oversheath 27 may be formed of for example stainless steel or Inconel. Oversheath 27 and detectors 16a to 19b extend outside of core 14 and pressure vessel 10 to join an assembly connector 24. The electrical signals output by detectors of a set 16 to 19 in response to the flux in the reactor core—hereafter referred to as flux signals—are linked together with other detector(s) of the detector set for outputting a combined flux signal from connector 24 of assembly 12. Such a configuration allows connector 24 to be inserted into an existing connector 26 of the power plant. Power plant connector 26 then sends the signals through wires to a power plant computer 28 configured for determining the local power in reactor core 14 based on the signals from detectors 16a to 19b for display on a graphical user interface and analysis by a user for operating core 14. Although assembly 12 is schematically shown as taking up a large portion of core 14, it should be understood that a typical oversheathed cylindrical assembly 12 with up to seven detectors and one thermocouple may for example have an outer diameter <1 cm, and each sheathed detector 16a to 19b may have a typical outer diameter <0.2 cm and the tapered section around half of the detector. FIG. 2 shows a cross-sectional view of a detector set 16 including detectors 16a, 16b. Detectors of sets 17 to 19 are configured in the same manner as detectors 16a, 16b, but with sections 22 of different lengths that sections 22 of detectors 16a, 16b. Detectors 16a, 16b each include a contiguous section of a flux detecting material 30 and a lead wire 32 extending from a first axial end 30a of detector material section 30. A first axial end 32a of lead wire 32 is embedded in first axial end 30a of detector material section 30. Detectors 16a, 16b each also include a background wire 33 extending parallel to lead wire 32. A first axial end of background wire 33 is spaced axially from detector material section 30 such that background wire 33 is not directly electrically connected to detector material section 30. Detector material section 30 and portions of lead wire 32 and background wire 33 inside of reactor core 14 are embedded in an insulator 34 and directly surrounded by insulator 34 in the radial direction. A first axial end 33a of background wire 33 is axially spaced away from first axial end 30a of detector material section 30 by insulator 34. Insulator 34 is directly surrounded by a sheath 36 in the radial direction and in the axial direction at the second axial end 30b of detector material section 30. Detector material section 30 is a conducting or semiconducting material that emits electrons as a result of neutron and gamma irradiation, and may be formed example rhodium, platinum, vanadium, aluminum, silver, cadmium, gadolinium, cobalt, hafnium or scandium. Detector material section 30 is shaped as a cylindrical rod. Insulator 34 is electrically insulating and may be formed of ceramic material, for example crushed ceramic material. Wires 32, 33 are formed of electrically conductive material, with each lead wire 32 conveying the flux signal emitted by the respective detector material section 30 and each background wire 33 conveying a respective background signal. Sheath 36 forms a collector and may be formed of for example stainless steel or Inconel. In response to neutron flux in the reactor core, detector material section 30 emits electrons that flow through insulator 34 to sheath 36, causing lead wire 32 to transmit current that forms a flux signal indicating the flux in the axial location of the reactor core. Detector material section 30 is provided solely in first section 20 and a majority of lead wire 32 is provided in second section 22. Insulator 34 and sheath 36 extend through all of sections 20, 22, with sheath 36 defining outer circumferential surfaces of sections 20, 22. Accordingly, an outer circumferential surface of sheath 36 has larger outer diameter at first section 20, than at second section 22. More specifically, sheath 36 includes a first sheath section 36a that is cylindrical and defines the outer circumferential surface of first section 20, a second sheath section 36b that is cylindrical and defines the outer circumferential surface of second section 22. Sheath 36 also includes a tapered section 36c extending radially outward while extending axially from second section 36b to first section 36a. Sheath 36 further includes an end section 36d axially abutting the portion of insulator 34 that contacts second end 30b of detector material section 30. End section 36d defines a closed end of sheath 36. An axial end of second section 36b that is axially furthest from detector material section 30 defines an open end of sheath 36. Wires 32, 33 of detector 16a extend out through the open end of sheath 36 for linking to the wires 32, 33, respectively, of detector 16b, as explained further below with respect to FIG. 3. In other embodiments, as disclosed in U.S. patent application Ser. No. 16/149,609, which is hereby incorporated by reference herein, the detectors 16a to 19b may include tail sections having tails wires, the detectors 16a to 19b may lack background wires, or the detectors may include tail sections that lack tail wires and include fillers sections such that all of detectors 16a to 19b extend the same length. FIG. 3 schematically shows an enlarged view of detector assembly 12 shown in FIG. 1. As noted with respect to FIG. 1, in this exemplary embodiment, detector assembly 12 includes four sets 16 to 19 of detectors, with each set 16 to 19 including two detectors. It should be understood that other embodiments include different numbers of sets and more than two detectors per set. As discussed with respect to FIG. 1, detector sets 16 to 19 are surrounded by oversheath 27, with the inner circumferential surface of oversheath 27 being in contact with outer circumferential surfaces of some or all of detectors 16a to 19b. Connector 24 is fixed to an axial end of oversheath 27. The detector material section 30 of each of detectors 16a to 19b is a same material and a same size and shape (as understood within the context of manufacturing tolerances). Outside of sheaths 36, flux signal output lines of the detectors of each set 16 to 19 are joined together with the flux signal output lines of the other detector (or detectors, where each set includes two or more detectors) in the set to provide a combined flux signal for identifying the flux of the nuclear reactor core at the axial location of the detector material 30 of the detector set 16 to 19. For example, flux signal output lines 39a, 39b of detectors 16a, 16b, respectively, are joined together to provide a combined flux signal for identifying the flux of the nuclear reactor core at the axial location of the detector material 30 of detectors 16a, 16b. Also, outside of sheaths 36, background signal output lines of the background wire 33 of each set 16 to 19 are joined together with the output lines of the other detector (or detectors, where each set includes two or more detectors) in the set to provide one combined background signal for the respective detector set 16 to 19. For example, background signal output lines 41a, 41b of detectors 16a, 16b, respectively, are joined together to provide one combined background signal for background wires 33 of detectors 16a, 16b. More specifically, in the embodiment shown in FIG. 3, the second axial end 32b of each lead wire 32 forms output line 39a and is joined with the second axial end 32b of the other lead wire 32, which forms output line 39b, in the respective detector set 16 to 19, and the second axial end 33b of each background wire 33 forms output line 41a and is joined with the second axial end 33b of the other background wire 33, which forms output line 41b, in the respective detector set 16 to 19. For example, the second axial end 32b of lead wire 32 of detector 16a is joined with the second axial end 32b of lead wire 32 in the detector 16b at a junction 40a, and the second axial end 33b of background wire 33 of detector 16a is joined with the second axial end 33b of the background wire 33 of detector 16b at a junction 40b. Accordingly, the flux signal from lead wires 32 are added together at junction 40a to generate a combined flux signal greater than detector material section 30 of detectors 16a, 16b generate individually and the background signal from background wires 33 are added together at junction 40b to generate a combined background signal greater than background wires 33 of detectors 16a, 16b generate individually. A lead wire section 42a downstream of junction 40a transmits the combined flux signal to a first flux signal terminal in the form of a first flux signal pin 44a of connector 24 and a lead wire section 42b downstream of junction 40b transmits the combined background signal to a first background signal terminal in the form of a first background signal pin 44b of connector 24. In other words, detector assembly 12 thus includes a plurality of self-powered in-core detector arrangements each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core 14 and assembly connector 24 configured to be connected to power plant connector 26. Assembly connector 24 includes a plurality flux signal terminals 44a each connected to one of self-powered in-core detector arrangements. At least one of the self-powered in-core detector arrangements a set 16 to 19 of at least two self-powered in-core detectors 16a to 19b for measuring flux at a same one of the axial locations in the nuclear reactor core 14. Each of the at least two self-powered in-core detectors 16a to 19b includes a sheath 36, a detector material section 30 inside the sheath 36, an insulator 34 between the sheath 36 and the detector material 30, and a flux signal output line 39a or 39b. The flux signal output lines 39a or 39b of the at least two self-powered in-core detectors 16a to 19b are joined together. In the embodiment shown in FIG. 3, the connector 24 includes four flux signal pins 44a for eight detector material sections 30 and four background signal pins 44b for eight background wires 33. In other words, there is one flux signal pin 44a for each detector set 16 to 19, and one background signal pin 44b for each detector set 16 to 19. For the embodiment shown in FIG. 3, connector 26 (FIG. 1) includes four flux signal terminals in the form of flux signal receptacles, each for receiving one of pins 44a, and four background signal terminals in the form of background signal receptacles, each for receiving one of pins 44b. Thus, connector 24 includes two pins for each detector set and connector 26 includes two pin receptacles for mating with the pins for each detector set in the assembly. FIG. 4a schematically shows an axial view illustrating how detectors 16 to 19 may be arranged inside of oversheath 27 at A-A in FIG. 3 in accordance with an embodiment of the present invention. FIG. 4b schematically shows an axial view illustrating how pins 44a, 44b may be arranged inside of connector 24 at B-B in FIG. 3 in accordance with an embodiment of the present invention. As shown in FIG. 4a, oversheath 27 may be cylindrical at least in parts and detectors 16a to 19b may be provided in a circular arrangement when viewed axially and the inner circumferential surface of oversheath 27 contacts the outer circumferential surfaces of each of sheaths 36 of detectors 16a to 19b. A thermocouple 46, which includes two conducting wires 46a, 46b, may also be arranged inside of oversheath 27 to measure the temperature within the reactor core. As shown in FIG. 4b, connector 24 may include four flux signal terminals in the form of pins 44a—one for each detector set 16 to 19, four background signal terminals in the form of pins 44b—one background signal pin 44b for each detector set, two thermocouple terminals in the form of thermocouple pins 46c, 46d and one collector signal terminal in form of a collector signal pin 36e, for a total of eleven pins. Electrical lines from all of sheaths 36 of detectors 16a to 19b electrically connect sheaths 36 to collector signal pin 36e. Such a configuration minimizes the number of pins of the electrical connector for detector assembly 12. For example, if a pin was provided for each of lead wires 32 and background wires 33 in the present example, the electrical connector would include nineteen pins, which is considerably greater than the electrical connector of the present example. If the number of detectors per each of the four detector sets increased from two to three, the difference in pins would be even greater than with an electrical connector including a pin for each detector, as the electrical connector according to an embodiment of the present invention would still include eleven pins, while an electrical connector including a pin for each detector would include twenty-seven pins. Cabling carrying the signals and the amount of electronics needed to measure all of these signals is much reduced, reducing cost and space outside of the reactor. The merging of detectors from each detector set allows the same connector 24 to be used with different detector assemblies in accordance with embodiments of the present invention. For example, if a first detector assembly configured in the same manner as assembly 12, which is comprised of first detectors 16a to 19b including a first detector material, such as rhodium, has reached the end of its useful life and needs to be replaced, but only detectors including a second detector material, such as vanadium, different from the first detector material are available, second detector sets including a different number of second detectors per set could be used to obtain an acceptably high electrical signal, without changing the number of output terminals on the electrical connector of the second detector assembly in comparison with the first detector assembly. For example, if the each of the first detector sets include only two detectors, but second detector sets each require three detectors because the electrical signals emitted by the second detector material is lower than the electrical signals emitted by the first detector material to obtain an acceptably high signal strength, then the electrical connector 24 for the first and second detector assemblies can still be the same and have the same number of pins because the lead wires of detectors of each set are linked to each other and transmitted to a single pin. Accordingly, the electrical connector for each of the first and second detector assemblies is compatible with the power plant electrical connector 26. In view of this, the present disclosure also provides a method of replacing a first detector assembly for measuring flux in a nuclear reactor core with a second detector assembly for measuring flux in a nuclear reactor core. The method may include uninstalling the first detector assembly from the nuclear reactor core. The first detector assembly may be formed for example in the same manner as the detector assembly 12 discussed with respect to FIG. 3, and may include a plurality of first self-powered in-core detector arrangements each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core 14, and a first assembly connector 24 configured to be connected to a power plant connector 26. The first assembly connector 26 comprising a plurality of first flux signal terminals 44a each connected to one of the first self-powered in-core detector arrangements. At least one of the first self-powered in-core detector arrangements comprising a respective set 16 to 19 of at least two first self-powered in-core detectors 16a to 19b for measuring flux at a same one of the axial locations in the nuclear reactor core—e.g., a set 16 of first in-core detectors 16a, 16b, a set 17 of first in-core detectors 17a, 17b, a set 18 of first in-core detectors 18a, 18b and/or a set 19 of first in-core detectors 19a, 19b. Each of the at least two first self-powered in-core detectors 16a to 19b includes a sheath 36, a detector material section 30 inside the sheath 36, an insulator 34 between the sheath 36 and the detector material 30, and a flux signal output line 39a or 39b. The flux signal output lines 39a or 39b of the at least two first self-powered in-core detectors are joined together. The uninstalling of the first detector assembly 12 from the nuclear reactor core 14 includes disconnecting the first assembly connector 24 from power plant electrical connector 26. The replacing method may also include installing the second detector assembly in the nuclear reactor core in place of the first detector assembly. The second detector assembly may be configured in a similar manner to detector assembly shown in FIG. 3 and may include a plurality of second self-powered in-core detector arrangements each for measuring flux at a different one of a plurality of axial locations in the nuclear reactor core 14, and a second assembly connector configured to be connected to a power plant connector 26. The second assembly connector comprising a plurality flux signal terminals 44a each connected to one of second self-powered in-core detector arrangements. At least one of the self-powered in-core detector arrangements comprising a respective set of at least two second self-powered in-core detectors for measuring flux at a same one of the axial locations in the nuclear reactor core. Each of the at least two second self-powered in-core detectors includes a sheath 36, a detector material section 30 inside the sheath 36, an insulator 34 between the sheath 36 and the detector material 30, and a flux signal output line 39a or 39b. The flux signal output lines 39a or 39b of the at least two second self-powered in-core detectors are joined together. The installing of the second detector assembly from the nuclear reactor core 14 includes connecting the second assembly connector to power plant electrical connector 26. In the replacing method, the detector material sections of the first self-powered in-core detectors may be formed of a first material, such as for example rhodium, and the detector material sections of the second self-powered in-core detectors are formed of a second material, such as for example vanadium, different from the first material. It should be noted that any of the material mentioned above for the detector material section 20 may be used. The set(s) of the first self-powered in-core detectors each have a first number of detectors and the set(s) of the second self-powered in-core detectors each have a second number of detectors different from the first number. For example, the first set(s) may include two detectors each and the second set(s) may include three detectors each; or the first set(s) may include four detectors each and the second set(s) may include two detector each. The first assembly connector and the second assembly connector may have a same number of terminals, for example, both sets could include 13 terminals as discussed with respect to FIG. 4. In another replacing method, a conventional detector assembly having a single detector per axial level may be replaced with a new detector assembly having at least a detector arrangement with a set of at least two individual detectors coupled for the same axial level. This will allow the replacement of the conventional detector assembly with a single detector with one material such as rhodium by a new detector assembly having a coupled pair of detector of another material (platinum or vanadium) without changing the power plant electrical connector 26 because the coupled pair of detectors in the replacement assembly are connected to one pin of connector 24, and without changing the electronic range of the computer input and conversion signal because the signal delivered by the rhodium detector is in the same order than the signal delivered by the coupled pair of detectors. In the preceding specification, the invention has been described with reference to specific exemplary embodiments and examples thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of invention as set forth in the claims that follow. The specification and drawings are accordingly to be regarded in an illustrative manner rather than a restrictive sense.
description
This application claims the benefit of U.S. Provisional Application No. 61/663,427, filed Jun. 22, 2012, the disclosure of which is expressly incorporated by reference herein in its entirety. With the prospect of radioactive material remaining in storage at reactor sites longer than was originally anticipated, there is a need to verify the condition of the canister shell, which forms the primary boundary for confinement of radioactive materials in ventilated canister storage systems. The need for inspection is particularly important at coastal storage facilities, where stress corrosion cracking of stainless steel canisters may be a concern. Depending on the results of the inspection, maintenance may also be necessary. Therefore, there exists a need for delivering various non-destructive examination and maintenance tools, which can be mounted between the storage module and the transfer cask in a storage system. This summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This summary is not intended to identify key features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter. In accordance with one embodiment of the present disclosure, a travel system for a canister storage, transfer, or transport system is provided. The travel system generally includes a support structure, at least one traveling device for preparing, inspecting, and/or repairing the canister, and a base ring for supporting the traveling device and providing for rotational movement of the traveling device relative to the support structure. In accordance with another embodiment of the present disclosure, a travel system for a canister storage, transfer, or transport system is provided. The travel system generally includes a support structure couplable to the canister storage, transfer, or transport system, at least one traveling device selected from the group consisting of a sensing device, a preparation device, and a repair device, and a base ring for supporting the at least one traveling device and providing for rotational movement of the traveling device relative to the support structure. In accordance with another embodiment of the present disclosure, a method of preparing, inspecting, and/or repairing a canister in a canister storage, transfer, or transport system is provided. The method generally includes mounting a travel system on a canister storage, transfer, or transport system, wherein the travel system includes a support structure, at least one traveling device, and a base ring for supporting the traveling device and providing for rotational movement of the traveling device relative to the support structure. The method further includes rotating the base ring and the traveling device relative to the support structure or moving the canister relative to a fixed base ring and traveling device. The detailed description set forth below in connection with the appended drawings where like numerals reference like elements is intended as a description of various embodiments of the disclosed subject matter and is not intended to represent the only embodiments. Each embodiment described in this disclosure is provided merely as an example or illustration and should not be construed as preferred or advantageous over other embodiments. The illustrative examples provided herein are not intended to be exhaustive or to limit the disclosure to the precise forms disclosed. Similarly, any steps described herein may be interchangeable with other steps, or combinations of steps, in order to achieve the same or substantially similar result. In the following description, numerous specific details are set forth in order to provide a thorough understanding of exemplary embodiments of the present disclosure. It will be apparent to one skilled in the art, however, that many embodiments of the present disclosure may be practiced without some or all of the specific details. In some instances, well-known process steps have not been described in detail in order not to unnecessarily obscure various aspects of the present disclosure. Further, it will be appreciated that embodiments of the present disclosure may employ any combination of features described herein. Embodiments of the present disclosure are generally directed to systems, devices, and methods for inspecting canisters designed for containing radioactive material for abnormalities, for example, for stress corrosion cracking, and for providing maintenance where needed. Referring to FIG. 1, a horizontal dry storage module M for a canister C is shown that has its front door removed so that the canister C may be moved in and out of the module M. A travel system 20 is attached to the module M and is designed for traveling along external surfaces of the canister C for preparation, inspection, and/or repair of the external surfaces that cannot be manually affected because of the canister's radiation field. The travel system 20 may be configured to prepare, examine, and/or repair any of the external surfaces of the canister C, but also may have a particular focus on welds and other surfaces subject to degradation. Preparation, examination, and maintenance of a canister C using the travel system 20 can prepare the canister C for transport, for example, after an extended period of storage, or for extended storage. Although shown in FIGS. 1-8 as being used on a horizontal storage module M, it should be appreciated that canister travel systems 20 may also be used in vertical storage systems, for example, including vertical storage silos. As described in detail below, FIGS. 9-12 represent one embodiment of a vertical storage system. The travel system 20 may be mounted between a storage over pack (for example, a horizontal storage module M, as can be seen in FIG. 1, or a vertical storage silo S, as can be seen in FIG. 9) and a cask (for example, a transfer cask or a transportation cask, not shown). In that regard, the external surfaces of the canister C may be prepared, examined, and/or repaired during the canister C transfer process, for example, as the canister is withdrawn from the over pack into the cask, as it is being inserted into the over pack from the cask, or both. Returning to FIG. 1, the travel system 20 generally includes a support structure 22, at least one traveling device (for example, a sensing device 24), and a base ring 26 for supporting the sensing device 24 relative to the support structure 22. It should be appreciated, however, that the travel system 20 may also be designed to support preparation and maintenance tools, in addition to sensing tools. As described in greater detail below, the travel system 20 may move relative to the canister C (see e.g., FIG. 8), or in the alternative, the canister C may move relative to the travel system 20 (see e.g., FIG. 5). Comparing FIGS. 2 and 3, the support structure 22 may be attached to the entry point of the module M by using the same embedments 30 that are used for door installation. In that regard, fasteners may be used to couple with embedments 30 that are provided for door attachment. The support structure 22 is therefore designed for repeatable and precise installation on the module M. In addition, the support structure 22 is easy to attach to and remove from the module M and is configured to operate in the limiting space of opening between the module M and the transfer equipment (not shown). Arms 32 extending outwardly from the support structure 22 can be used to support control and power cables 42 (see FIG. 1). Referring to FIGS. 3 and 4, the components of the travel system 20 will now be described in greater detail. The base ring 26 is coupled to the support structure 22 and as shown in the illustrated embodiment, may be configured for rotational movement relative to the support structure 22. Referring to FIG. 3, the base ring 26 is rotatably mountable on the support structure 22 to align and be concentric with an opening O into the module M, in which the canister C is inserted and contained. The base ring 26 may be configured to rotate either clockwise or counterclockwise, or both (e.g., to oscillate between clockwise and counterclockwise). The base ring 26 may be actuatable for precise and repeatable movements relative to the support structure 22. In the illustrated embodiment of FIG. 3, an actuation assembly 36 includes a precision timing belt 38, which may be driven by a stepper motor 40. The advantage of using a timing belt 38 and stepper motor 40 for actuation is that locations of, for example, defects, can be pinpointed using software coordinates. It should be appreciated, however, that other actuation assemblies are also within the scope of the present disclosure. For example, transmissions for rotation may include one or more gears, sprockets, chains, or one or more timing belts (for example, one timing belt used as a gear and one to transmit motion). Actuation assemblies in accordance with embodiments of the present disclosure may be designed to prevent slippage. A bearing system 44 allows the base ring 26 to move relative to the support structure 22. In the illustrated embodiment, the base ring 26 of the illustrated embodiment has a groove, which is shown in the cross-sectional view of FIG. 4 as a cup-shaped design. The groove is configured to interface with the bearing assembly 44 and the support structure 22. In that regard, the bearing assembly 44 allows the base ring 26 to rotate without constraint relative to the support structure 22 while carrying the load of the base ring 26 itself and prevent radial or linear movement of the base ring 26 relative to the support structure 22. Referring to FIGS. 3 and 4, the bearing assembly 44 includes a guide ring 80 extending from the support structure 22, an external bearing ring assembly 82 that is positioned external to the guide ring 80, and an internal bearing ring assembly 84 to interface with the guide ring 80. As can be seen in the cross-sectional view of FIG. 4, the guide ring 44 extending from the support structure 22 has a first end 86 coupled to the support structure 22 and a second end 88 distal from the support structure 22. From the first end 86 to the second end 88, the cross-sectional shape of the guide ring 44 expands such that it has a larger width as the second end 88 than at the first end 86. The external bearing ring assembly 82 includes outer and inner components 82a and 82b that are designed to interface as bearing surfaces between the surfaces of the guide ring 80 and the surfaces of the base ring groove. In that regard, the outer and inner components 82a and 82b have trapezoidal cross-sectional shapes to interface with the cross-sectional shape of the guide ring 44. The internal bearing ring 84 provides a bearing surface between the second end 88 of the guide ring 80 and the inner surface of the groove. The bearing surfaces of the external bearing ring assembly 82 (82a and 82b) and the internal bearing ring 84 allow the base ring 26 to rotate relative to the support structure 22. In addition, in this horizontal orientation, the external bearing ring assembly 82 (82a and 82b) carries the load of the base ring 26 itself. The fit of the bearing assembly 44 components allows for rotation movement of the base ring 26 relative to the support structure 22, but prevents radial or linear movement of the base ring 26 relative to the support structure 22. Referring to FIG. 2, the base ring 26 is configured to have “pockets” or specific mounting positions 46 for its payloads, which may include one or more sensing devices 24 or preparation devices 48. As a non-limiting example, the mounting positions 46 may be rigidly attached to the base ring 26 to receive either rigidly mountable or removable sensors. In the illustrated embodiment of FIG. 4, the mounting positions 46 are radially spaced from one another along the base ring 26 and include a receiving portion for receiving any number of inspection, preparation, and maintenance tools necessary for a particular operation, for example, a mountable sensing device 24 or a preparation device 48. The mounting positions 46 can also be configured to hold other mountable devices, including, but not limited to, grinders, welding heads, peening nozzles, abrasive blast nozzles, surface coating spray nozzles, surface cleaning spray nozzles, or other devices needed to repair surface defects, to clean the surface, or to provide preventative maintenance such as surface coating for extended storage. Mounting positions 46 may be located at multiple positions along the base ring 26. For example, in one embodiment of the present disclosure, the base ring 26 may include mounting positions 46 at two sides of the base ring 26 so that 180 degree rotation of the base ring 26 can be configured to provide 360 degrees of surface coverage. Reducing the degrees of rotation required for the base ring 26 to cover the entire surface may provide advantages in terms of management of cables, to the travel system 20, such as power, controls, compressed gas, etc. In the illustrated embodiment of FIG. 5, a sensing device 24 is mounted between two preparation devices 48 to allow for two-way scanning, for example, in either of the clockwise and counterclockwise directions. The preparation devices 48 may be used to either clean the surface before inspection or apply a solution needed for inspection (for example, in ultrasonic and penetration testing). By mounting a single sensing device 24 between two preparation devices 48, preparation may be achieved before sensing if the base ring 26 is moving in either a clockwise or counterclockwise direction. Moreover, by mounting a single sensing device 24 between two preparation devices 48, the first device 48 can be used to apply a solution needed for inspection, and the second device 48 can be used to remove the solution after testing. Referring to FIG. 6, an exemplary preparation device 48 is provided. The preparation device 48 includes a body 50 having first and second main rollers 52 and 54 and a tape 56 that travels between the first and second main rollers 52 and 54. The preparation device 48 may further include one or more smaller return rollers 58 that are configured to tension the tape 56, taking up any slack in the tape 56 on its return. In another configuration, however, the preparation device 48 may not include return rollers; rather, the first main roller 52 may be a supply roller and the second main roller may be a take-up roller, similar to an audio cassette tape. In the illustrated embodiment, the plurality of return rollers are included to allow for a long tape 56, so as to minimize tape changes in the process, as well as any take up problems in the device 48. One or both of the main rollers 52 and 54 may be driven, for example, by a drive roller 64, an air motor having a gear head, or by any other suitable driving mechanism. If the preparation device 48 is being used as a cleaning device, the tape 56 may be any type of cleaning media, for example, including but not limited to cloth, abrasive pads, felt, etc., and may depend on the required cleaning application. The spread of the first and second main rollers 52 and 54 shall be sufficient to allow the span of tape 56 between the rollers 52 and 54 to cover a flat surface on the canister C, but also to conform to the curvature of the canister C, for example, when running over either a front or back end welding seam. It should be appreciated, however, that other preparation and cleaning devices besides use of a tape and roller mechanism are also within the scope of the present disclosure. If the preparation device 48 is being used as a solution delivery system, the tape 56 may be any suitable media for delivering solution. The preparation device 48 may also include a delivery nozzle 60, which may be configured to deliver a solution to the surface of the canister C, and a suction nozzle 62 to provide evacuation of the delivered solution. The sensing device 24 may be mounted to the base ring 26 with multiple degrees of movement freedom to achieve an optimal sensing position. Referring to FIG. 7, an exemplary sensing device 24 is mounted on a tool arm assembly 70. The sensing device 24 may be mounted to have multiple degrees of freedom relative to the canister C surface that it is sensing. For example, the arm 72 is slidable within an arm casing 74 to allow the sensing device to move forward and back relative to the base ring 26 and the canister C surface. Moreover, the sensing device 24 is mounted on a moveable neck 76 that is capable of radial rotation relative to the arm 72. The preparation device 48 may be mounted on a similar arm assembly. Further, the arm casing 74 is pivotably mounted to the pockets 46 (see FIG. 5 to provide for pivotal rotation relative to the pocket 46). The purpose of the sensing device 24 is to inspect canisters welds and surfaces, for example, during transition of the canister C between its storage over pack module M and a transfer cask or a transportation cask (not shown). This extraction could occur at any time during the storage, transfer, or transportation of the canister C. Examination or sensing methods are primarily aimed at examining canisters to discover imperfections in the outer canister wall, including, but not limited to, pitting corrosion, rust discoloration, and/or stress corrosion cracking in weld and heat-affected zones. Suitable sensing devices may include non-destructive testing devices, such as dye penetrant sensors, ultrasonic examination sensors, eddy current examination sensors, laser ultrasonic examination sensors, and/or visual inspection sensors. The sensing devices used may vary depending on the quality and quantity of sediments on the surfaces of the canisters C, and the size and orientation of imperfections. In the case of ultrasonic and penetration testing, solutions may need to be applied before the testing and evacuated after the testing. Therefore, embodiments of the present disclosure further include cleaning heads that can be used to clean and/or apply and evacuate solutions. Eddy current examination uses eddy currents, which are electric currents induced in conductors when a conductor is exposed to a changing magnetic field due to variations of the field with time. The changing magnetic field can cause a circulating flow of electrons, or current, within the body of the conductor. Eddy current sensors are generally capable of discovering stress corrosion cracking on smooth surfaces, such as mill-finish plate or flush-ground welds. The eddy current testing generally includes tools for visual inspection, surface cleaning, and manipulation of the eddy current probe. Dye penetrant testing uses a penetrant and developer solution to penetrate cracks and highlight surface defects. Penetrant testing is generally capable of discovering stress corrosion cracking. The procedure is typically performed as a manual procedure; however, it can be automated using spray nozzles, wipers, and/or fiber optics to clean the surface, apply the penetrant, wipe off excess penetrant, apply the developer, and visually inspect the surface. In that regard, dye penetrant testing generally includes a camera or fiber optic probe with lighting for visual inspection, surface cleaning, application of penetrant, and application of developer. In ultrasonic examination, very short ultrasonic pulse-waves with center frequencies ranging from 0.1-15 MHz and occasionally up to 50 MHz are launched into the canister wall or weld to detect internal flaws or to characterize materials. The technique is also commonly used to determine the thickness of the test object, for example, to monitor pipe work corrosion. Ultrasonic testing is often performed on steel and other metals and alloys, though it can also be used on concrete, wood and composites, albeit with less resolution. In ultrasonic testing, an ultrasound transducer connected to a diagnostic machine is passed over the object being inspected. The transducer is typically separated from the test object by a couplant (such as oil) or by water, as in immersion testing. Ultrasonic examination techniques are specifically designed to locate and size near-side defects. Ultrasonic examination generally includes tools for visual inspection, surface cleaning, application of couplant, and manipulation of the ultrasonic probe. Laser-ultrasonic examination uses lasers to generate and detect ultrasonic waves. It is a non-contact technique used to measure materials thickness, detect flaws and materials characterization. The basic components of a laser-ultrasonic system are a generation laser, a detection laser and a detector. Laser ultrasonic examination generally includes tools for visual inspection, surface cleaning, and manipulation of the laser ultrasonic probe. Visual inspection generally includes using special illumination and magnification techniques generally capable of discovering pitting and rusting that are precursors to stress corrosion cracking. Visual inspection may also include surface cleaning and/or testing. Suitable maintenance devices may include surface cleaning, for example, by dry ice blasting, repairing cracked welds, and applications of protective coatings. A dry ice blasting process may include spray nozzles to apply dry ice and filtered waste evacuation to collect material removed from the canister C surface. A repair for cracked welds process may include a grinding head, weld heat, and one or more visual inspection tools. An application of protective coatings process may include one or more spray nozzles and visual inspection tools. Returning now to FIG. 1, a front weld inspection will be described in greater detail. The front weld F is the circular weld between the front closure portion of the container C and the cylindrical sidewall of the container C. The front weld F can be inspected by pulling the canister C slightly forward in the module M (for example, using grappler ring 66) such that the travel system 20 is generally aligned with the canister C front weld F, as can be confirmed by operator visual inspection or by a sensing device. After canister C adjustment, the sensing device 24 and preparation devices 48 can be finely articulated and adjusted to meet the front weld F on the canister C using, for example, articulation controls. After adjustment, the actuation assembly 36 can be used to rotate the base ring 26 (on which the exemplary sensing device 24 and preparation devices 48 are mounted) relative to the canister C around the entirety of the front weld F. Depending on the sensor used by the sensing device 24, the preparation devices 48 may clean the front weld F surface before sensing or they may apply and remove a solution necessary for inspection. As discussed above, the travel system 20 may also support repair tools, in addition to preparation and examination tools, for the front weld F in any suitable combination. Referring now to FIG. 5, a longitudinal weld inspection will be described. The longitudinal weld L is the straight weld between the front closure portion of the container C and the back closure portion of the container C. The longitudinal weld L can be inspected by pulling the canister C slightly forward in the module M such that the travel system 20 is generally aligned with the canister C longitudinal weld L, as can be confirmed by operator visual inspection or by a sensing device. After canister C adjustment, the sensing device 24 and preparation devices 48 can be finely articulated and adjusted to meet the longitudinal weld L on the canister C using, for example, articulation controls. In the illustrated embodiment of FIG. 5, a preparation device 48 has been adjusted to meet the longitudinal weld L on the canister C. The canister C can be pulled forward (for example, using grappler ring 66) from the module M as the sensing device 24 or preparation device 48 runs along the length of the longitudinal weld L. It should also be appreciated that the canister C may also be moved relative to the travel system 20 in the reverse direction. This action can be repeated as needed for further sensing, preparation, or clean-up, for example, to remove a solution necessary for inspection. As discussed above, the travel system 20 may also support repair tools, in addition to preparation and examination tools, for the longitudinal weld L in any suitable combination. Referring now to FIG. 8, a back weld inspection will be described. The back weld B is the circular weld between the back closure portion of the container C and the cylindrical sidewall of the container C. The back weld B can be inspected by pulling the canister C completely forward in the module M such that the travel system 20 is generally aligned with the canister C back weld B, as can be confirmed by operator visual inspection or by a sensing device. After canister C adjustment, the sensing device 24 and preparation devices 48 can be finely articulated and adjusted to meet the back weld B on the canister C using, for example, articulation controls. After adjustment, the actuation assembly 36 can be used to rotate the base ring 26 (on which the exemplary sensing device 24 and preparation devices 48 are mounted) relative to the canister C around the entirety of the back weld B. Depending on the sensor used by the sensing device 24, the preparation devices 48 may clean the back weld B surface before sensing or they may apply and remove a solution necessary for inspection. As discussed above, the travel system 20 may also support repair tools, in addition to preparation and examination tools, for the back weld B in any suitable combination. Referring now to FIGS. 9-12, another embodiment of the present disclosure will now be described. The embodiment shown in FIGS. 9-12 is substantially similar to the embodiment of FIGS. 1-8, except for differences regarding the vertical orientation of the canister C and the storage silo S. Like parts in FIGS. 9-12 use like numerals as used in FIGS. 1-8 in the 100 series. In the illustrated embodiment of FIGS. 9-12, the storage silo S is a vertical storage silo. Therefore, the travel system 120 is attached to the top entry point of the module S using embedments 130 that are provided for top plate attachment. The travel system 120 further includes a cage 128 for protecting components of the system 20 from damage and also may be used for supporting control and power cables 142. Vertical storage systems typically include a gate or mating mechanism located between the vertical silo and the transfer cask. It should be appreciated that the travel system may be placed either below or above a mating mechanism, and that the cage 128 may be interrupted to clear the mating mechanism. In addition, the travel system 120 includes an adaptor 190 such that support structure 122 is attachable to embedments 30 on silo S. Similar to the embodiment of FIGS. 1-8, the travel system 120 shown in FIGS. 9-12 is designed to prepare, inspect, and repair canisters C, which may be subject to front, longitudinal, and back weld review (see, for example, FIGS. 11 and 12). However, with the embodiment of FIGS. 9-12, the canister C is lifted from the vertical module S using hooks 134, instead of being move horizontally as shown in the embodiment of FIGS. 1-8. While illustrative embodiments have been illustrated and described, it will be appreciated that various changes can be made therein without departing from the spirit and scope of the disclosure.
046769358
description
To explain this method in further detail, three embodiment examples will now be described: EXAMPLE 1 UO.sub.2 -powder and PuO.sub.2 -powder are weighed in the ratio of 4:1 and in an amount of 500 g into a mill. To the powder mixture are added 200 g water, which corresponds to a water content of approximately 30%; this amount of water, however, presents a problem in view of the criticality problems with the fission materials uranium 235 and plutonium. Only if the total amount of fissionable material is kept very small, as in this example, or if a criticality-proof geometry (for instance, the diameter of the mill) is observed, will no difficulties be expected. The quantity of powder is milled in the mill for 12 hours. After the milling, the milled material is dried in a drying cabinet or in a vacuum. Subsequently, the material is granulated and the powders are pressed into pellets, and sintered at 1700.degree. C. in inert gas/hydrogen mixtures. The following table shows some characteristic data of such powder mixtures. ______________________________________ Powder Data Pellet Data Compacted Solubility Apparent Sintered of the Pu- Density Density Component in g/cm.sup.3 g/cm.sup.3 HNO.sub.3, % ______________________________________ Powder mixture, 3.0 10.3 67.3 unmilled Powder mixture, milled 2.9 10.5 99.6 with the addition of water ______________________________________ EXAMPLE 2 UO.sub.2 -powder and PuO.sub.2 -powder are weighed into a mill in the ratio of 7:3 (parts by weight) and a total quantity of 500 g. To the powder mixture are added 0.5 g of a polyalcohol, propane diol, which corresponds to a content by weight of 0.1%. With respect to the criticality problems discussed in Example 1 with the fissionable materials uranium 235 and plutonium, no problems are expected in this case, since the ratio of the number of the hydrogen atoms to the number of the fissionable atoms is far below the critical value of 1. Therefore, no limitation as to the geometric data of the mill need be provided in this case. The powder mixture is milled together with the organic milling aid for 12 hours. The milled powder is granulated, pressed into pellets and sintered at 1700.degree. C. in inert gas/hydrogen mixtures. The following table shows characteristic data of the UO.sub.2 /PuO.sub.2 mixture so processed. ______________________________________ Pellet Data Powder Data Sintered Solubility of the Compacted Apparent Density Pu-Component in HNO.sub.3 Density in g/cm.sup.3 g/cm.sup.3 % ______________________________________ 3.7 10.5 99.9 ______________________________________ EXAMPLE 3 A powder mixture of UO.sub.2 and PuO.sub.2 with a 30-% plutonium content is placed, as described in Example 2, in a mill; 0.1% of the organic milling aid is added and milling proceeds for 12 hours. Subsequently, the mill is emptied, the powder is examined for its plutonium content and then taken to interim storage. After interim storage, a nuclear fuel for light-wear reactors with a plutonium content of 3% is to be manufactured with the powder. To this end, the calculated amount of the plutonium-containing milled powder is taken from storage and mixed with the calculated amount of UO.sub.2 -powder in an intensive mixer. The powder mixture obtained is tested for homogeneous distribution of the plutonium and the predetermined plutonium content. If these data are in the predetermined range, the powder mixture is granulated (by either dry or wet processes), pressed into pellets of the desired shape and sintered, for instance, at 1700.degree. C. The distribution of the plutonium in such pellets is, depending on the intensity of the mixing process, sufficiently homogeneous and corresponds in a substantially better manner to the reactorphysical requirements than would be the case if the same cycle would be run with more coarse-grained granulate instead of with the fine-grain powders. The solubility of such pellets in nitric acid corresponds to that which was also found in Example 2. These examples show that it is possible by means of this simple method to achieve the desired solubility of mixed-oxide nuclear fuels in nitric acid. It is advantageous to granulate the milled powder mixture as a piled bed in a rotating vessel by build-up granulation. Suitable rotating vessels are, for example, the vessels shown in FIG. 1 on page 149 of "Zeitschrift Fuer Werkstofftechnik/Journal of Materials Technology" volume 4, No. 3 (1973), i.e., for instance, hollow granulating cylinders or granulating dishes which execute a rotary motion with a horizontal, inclined, tumbling or gyrotary axis of rotation and which can be operated within a glove box. Since the form of the granulating vessel was found not to be important, differently shaped vessels, i.e. for instance, hollow spheres or conical vessels can also be used for granulating. If such granulating vessels are used, which are advantageously closed off during the granulating, the development of dust is small as compared with the dust development which would occur with the customary granulating by pre-compacting the powder in a press and subsequent breaking-up of the pressed material, and which can lead over an extended period of time to considerable radiation exposure in the glove boxes. After a period of granulating of about 120 minutes in a rotating granulating vessel, there is produced from the milled and optionally dried powder mixture a highly flowable, homogeneous, well processable and sinterable build-up granulate. To facilitate the formation of this granulate, fine agglomeration seeds which consist of sintered uranium-plutonium mixed oxide, can be added to the milled powder mixture (material to be milled) prior to the granulating. These seeds can be made of reprocessed powder which comes from previous productions of mixed oxide nuclear fuel pellets. The addition of a binder to the milled powder mixture prior to granulating is not necessary. The speed of rotation of the granulating vessel may be 10 to 70% of the critical speed of rotation, at which the powder charge begins to cling to the inside wall of the rotating granulating vessel. The degree of fillng of the granulating vessel is less than, or at most equal to, 80% of the volume of the granulating vessel. Starting with optionally admixed agglomeration seeds, spherical granulates with an average diameter of less than 300 micrometers can be produced in this manner. The maximum diameter of the granulates is approximately 1 mm. The finest component of the granulate, which has a tendency to form dust, is considerably less than in granulates which are produced by compacting and subsequent breaking-up. Granulates of the last-mentioned type of production have a broad grain spectrum with a high very-fine grain component tending to produce dust. The build-up granulate produced by the method according to the invention can be compressed in a press without pressing aids, for instance, zine stearate, directly into fuel pellets with predetermined dimensions. These pellets are sintered in conclusion in a reducing atmosphere at about 1700.degree. C., as already mentioned. Uranium dioxide powder as known in practice often contains oxygen in slight excess of the two atoms of oxygen to one of uranium and the term uranium dioxide in the claims is intended to include them.
summary
048428060
summary
BACKGROUND OF THE INVENTION This invention relates to a device for measuring the recirulating flow rate of the primary coolant (reactor coolant) in a boiling water reactor (BWR) in which an internal pump is installed on the bottom of a reactor pressure vessel (called hereinafter an internal pump type reactor), particularly for measuring the flow rate of the primary coolant precisely and easily. In one typical example of a known device for measuring primary coolant recirculating flow rate of a BWR, differential pressure detectors are installed on the upstream and downstream sides of a core support plate which supports a fuel assembly in the reactor, and the pressure difference caused by the core support plate is detected by a pressure difference transmitter as a pressure difference at the core support plate thereby to measure the recirculating flow rate (core flow rate). In the recirculating flow rate measuring device of this prior type, the core support plate constitutes a flow path resistance, and the flow rate is obtained or calculated from the pressure difference between the upstream side and the downstream side of the core support plate. With a device of the type described above, however, since the pressure difference at the core support plate is measured as a pressure including a pressure difference in the interior of the fuel assembly, when two phase flow conditions of the liquid phase and the gas phase in the fuel assembly vary in accordance with the reactor output, the resisting value also varies, and accordingly, the flow rate cannot be exactly measured. In another recirculating flow rate measuring device which has been proposed in this field, differential pressure detectors are located on the upstream and downstream sides of an internal pump to detect the pressure difference caused by the location of the internal pump by a pressure difference transmitter as a pressure difference at the internal pump, and in which the rotational speed of the internal pump is detected by a pulse converter. The recirculating flow rate (pump flow rate) is then measured by the combination of the pressure difference at the internal pump and the rotational speed thereof. With the flow rate measuring device of the latter mentioned type, however, it is generally necessary to preliminarily determine the relationship between the pressure difference at the internal pump and the rotational speed thereof and the flow rate, but this relationship is often different with the internal pump used. Moreover, even with the same internal pump, the relationship for determining the flow rate may be different depending on the operational conditions thereof. Furthermore, with a device of this character, since the pressure difference at the internal pump and the rotational speed thereof are taken as factors to be inputted, the construction of the device is made complicated, and the accurate measuring of the flow rate cannot be attained. As still another recirculating flow rate device, the prior art provides another type in which a flow meter of general type such as a Venturi tube is installed in the reactor (for example, refer to Japanese Patent Laid-Open Publication No. 144594/1980), but a device of this type involves drawbacks of complicated structure and increasing of the flow resistance of the primary coolant. SUMMARY OF THE INVENTION An object of this invention is to overcome the problems encountered in the prior art technique and to provide a device of simple construction for accurately measuring the recirculating flow rate of the primary coolant in a nuclear reactor. Another object of this invention is to provide a device for measuring the recirculating flow rate of the primary coolant recirculated in a reactor pressure vessel by an internal pump, the device comprising, in specific combination, flow path resistance means, differential pressure detectors, a differential pressure transmitter, and a flow rate computing device. A further object of this invention is to provide a recirculating flow rate measuring device additionally provided with an auxiliary equipment for measuring the recirculating flow rate of the primary coolant in a reactor core. These and other objects can be attained according to this invention by providing a device for measuring the recirculating flow rate of the primary coolant recirculating in a reactor pressure vessel by means of an internal pump located at the bottom of the reactor pressure vessel, the device comprising a flow path resistance means disposed in the recirculating flow path of the primary coolant in the reactor pressure vessel and adapted to cause a pressure difference between an upstream part and a downstream part of the primary coolant caused to flow at constant flow rate, detectors positioned at an upstream position and a downstream position of the flow path resistance means so as to detect the pressure difference between the upstream and downstream positions of the primary coolant flow, a transmitter operatively connected to the detectors for converting the pressure difference value into an electric signal representing the pressure difference and transmitting the thus converted signal, and a device operatively connected to the transmitter for computing the recirculating flow rate of the primary coolant in response to the signal from the transmitter. In a preferred embodiment of the invention, the flow passage resisting means comprises a plurality of support legs which are disposed between the bottom of the reactor pressure vessel and a lower end of a cylindrical shroud disposed within the reactor pressure vessel to partition the interior thereof and adapted to support the lower end of the shroud, and the differential pressure detectors are installed respectively at the upstream and downstream sides of the shroud support legs which are interposed therebetween. In one modification of the preferred embodiment of the invention, the flow path resistance means comprises a rectifying lattice positioned at an upstream position of the internal pump and adapted to rectify the suction flow of the internal pump, and the differential pressure detectors are disposed respectively at the upstream and downstream sides of the rectifying lattice which is interposed therebetween. Furthermore, in another preferred embodiment of the invention, the device further comprises an auxiliary measuring means for measuring the core flow rate of the primary coolant, the auxiliary measuring means comprising detectors disposed at upstream and downstream sides of a core support plate and adapted to detect the pressure difference of the primary coolant therebetween, a transmitter operatively connected to the detector and adapted to convert the detected pressure difference value into an electric signal and transmitting the thus converted signal, and a device operatively connected to the transmitter and adapted to compute the core flow rate in response to the signal from the transmitter. These and other objects, features, and advantages of this invention will become clear from the following description of the preferred embodiments taken in conjunction with the accompanying drawings.
description
The present patent application is a national phase application of International Application No. PCT/US03/031998 filed Oct. 8, 2003, which claims priority from U.S. Provisional Application No. 60/417,273 filed Oct. 8, 2002. The invention relates to scanning electron microscopes and especially to a measurements of copper layer thickness and detection of voids. Integrated circuits are very complex devices that include multiple layers. Each layer may include conductive material and/or isolating material while other layers may include semi-conductive materials. These various materials are arranged in patterns, usually in accordance with the expected functionality of the integrated circuit. The patterns also reflect the manufacturing process of the integrated circuits. Integrated circuits are manufactured by complex multi-staged manufacturing processes. This process may include depositing photo-resistive material on a substrate or layer, selectively exposing the resistive material by a photolithographic process, and developing the photo-resistive material to produce a pattern that defines some areas to be later etched or otherwise processed. After the pattern is processed various materials, such as copper are disposed. The deposition step is usually followed by a removing access material, such as chemical mechanical polishing (CMP). The polishing can result in various deformation, such as dishing and erosion. Various metrology, inspection and failure analysis techniques evolved for inspecting integrated circuits both during the manufacturing stages, between consecutive manufacturing stages, either in combination with the manufacturing process (also termed “in line” inspection techniques) or not (also termed “off line” inspection techniques). It is known that manufacturing failures may affect the electrical characteristics of the integrated circuits. Some of these failures result from unwanted deviations from the required dimensions of the patterns. X-ray reflectivity (XRR) and X-ray florescence (XRF) are methods that use X-rays to determine the thickness of thin films. Various vendors sell metrology tools that utilize these methods for thin film thickness determination. One of these vendors is Jordan Valley Ltd. of Israel that sells various tools. The JVX5200 metrology tool implements both methods. These X-ray based methods can also be utilized for detection of voids within thin films. A brief description of the state of the art may be found in the following patents and patent applications, all being incorporated herein by reference: U.S. Pat. No. 6,556,652 titled “Measurement of critical dimensions using X-ray” of Mazor et al., U.S. Pat. No. 6,535,575 titled “Pulsed X-ray reflectometer” of Yokhin, U.S. Pat. No. 6,041,095 titled “X-ray fluorescence analyzer” of Yokhin, U.S. Pat. No. 6,389,102 titled “X-ray array detector” of Mazor et al., U.S. patent application Ser. No. 2003/0156682 titled “Dual-wavelength X-ray reflectometry” of Yokhin et al. X-ray spot is relatively large. For example, the JVX5200 tool can produce a spot of about 18-30 micron when implementing XRF, and a larger spot (due to grazing angle illumination) that has a length of about 2-8 millimeters when implementing XRR. These measurements require relatively large test pads (about 70×100 micron for XRF and 100-150×2000-5000 microns for XRR). Electron beam metrology and defect detection tools, such as Scanning Electron Microscopes are used for high resolution measurement of surface features as well as surface defects and contaminations. These tools generate a spot of electrons that is very small. Typical spots may have a length of about few nanometers. These electron beam metrology and defect detection tools are not able to detect voids or measure the thickness of layers, such as oblique layers and especially of copper layers. U.S. patent application Ser. Nos. 10/242,496, 09/990,170 and 09/990,171 of Nasser-Ghodsi et el. titled respectively, “Methods and system for dishing and erosion characterization”, “Methods and system for defect localization” and “methods and system for void characterization” also provide a description of prior art methods and systems for analyzing copper films. U.S. patent application Ser. No. 09/990,171 of Nasser-Ghodsi describes a system and method that provides an indication about the presence of voices in response to the measurement counts. It is noted that said count based system and method are prone to various errors resulting from measurement inaccuracies, such as difference between measurements, different X-ray absorption and emission characteristics by different materials. In various embodiments, the invention provides systems and methods for process monitoring based upon X-ray emission induced by a beam of charged particles such as electrons or ions. In further embodiments, the invention provides a system and method for process monitoring that analyze a cavity before the cavity is filled and then analyzing emitted X-rays from the cavity after the cavity has been filled with a conductive material. In yet other embodiments, the invention provides a system and method for process monitoring that apply quantitative iterative analysis correction technique on detected X-ray emissions. The invention relates to systems and methods for process monitoring and for detecting voids in thin layers, and additionally or alternatively, for determining the thickness of thin opaque layers. FIG. 1 illustrates various interaction process and various information volumes. An information volume is a space in which an interaction process occurs and result in an emission of X-rays or electrons that may be eventually detected to provide information about the information volume. The figure illustrates a primary electron beam 2 that hits a sample 20 at an interaction point 11. As a result, secondary electrons 2 and Auger electrons 4 are emitted from a very thin information volume 3 while back scattered electrons (USE) 6 and X-ray 8 can leave the inspected object from a relatively large information volume 5 that has a depth that may even exceed one micron. It is noted that the distribution of electrons within the relatively large information volume 5 is not homogenous. The flux of electrons decreases at longer distance from interaction point 11. An indication about a depth of a void may be detected by illuminating the vicinity of the void by beams of different energies. FIG. 2 illustrates a Scanning Electron Microscope (SEM) 10 that may be utilized for process monitoring, according to an embodiment of the invention. SEM 10 includes an electron gun (not shown) for generating a primary electron beam, as well as multiple control and voltage supply units (denoted 11), an objective lens 12, and an EDX detector 14. It is noted that SEM 10 may include more than a single detector. SEM 10 may include at least one detector positioned in-lens (like optional secondary electron detector 16), and/or at least one external detector (such as EDX detector 14). SEM 10 may include detectors of various types, such as a secondary electron detector, a backscattered electron detector, a narrowband X-ray detector, and the like. Each detector can include a single sensing element, or may include an array of sensing elements. The detectors may be positioned to detect radiation emitted towards different directions. In SEM 10 the primary electron beam is directed through an aperture 18 within the optional in-lens detector 17 to be focused by the objective lens 12 onto an inspected sample 20. The primary electron beam interacts with sample 20 and as a result various types of electrons and photons, such as secondary electrons, back-scattered electrons, Auger electrons and X-ray quanta are reflected or scattered. EDX detector 14 is positioned such as to detect at least a portion of the emitted X-ray. EDX detector 14 is a broadband X-ray detector capable of providing a radiation spectrum that may be analyzed to determine which materials interacted with the electron beam. The inventor used various EDX detectors, such as an EDX detector of Thermo Noran that has Phi-Rho-Z electron probe correction program named PHI-RHO-Z. The inventors also applied other quantitative correction schemes such as the ZAF analysis. Phi-Rho-Z correction program and the ZAF analysis convert X-ray intensity peak areas into chemical values representative of the elemental weight fractions of the elemental constituents of the specimen. These techniques compensate for various phenomena that occur when a sample made of multiple materials is EDX analyzed. A brief description of an EDX analyzer that used ZAF analysis can also be found at U.S. Pat. No. 5,299,138 of Fiori et al. which is incorporated herein by reference. Sample 20 is positioned on a stage 22. During the process monitoring a relative movement is introduced between the sample 20 and the primary electron beam 2. This may involve mechanical movement of sample, mechanical movement of other parts of SEM 10 and/or electrical deflection of the beam 2, or a combination of movements and deflection. Typically, the mechanical movement is introduced when a certain target or a certain area are being located, but it may also be introduced while scanning said target or area. When a certain target or area has to be inspected, there is a need to locate that certain target or area. An exemplary locating process is described at FIG. 6. FIG. 6 illustrates a process 50 of locating an area or a target. Process 50 starts at step 52 of optionally mechanical movement towards a vicinity of that certain target or area. Step 52 is followed by step 54 of acquiring an image of said vicinity, usually using a field of view that is derived from mechanical movement inaccuracies. The image is acquired by scanning said vicinity within an acquisition window. FIG. 5a illustrates such a vicinity that includes the target (which in turn includes a via surrounded by oxide), as well as additional vias 71′ and an L-shaped conductor 74. Step 54 is followed by step 56 of processing the image to locate the target or area. Step 56 usually includes comparing a target by comparison with a previously acquired target image. Once the certain target or area is located it is scanned with a scanning window that is usually much smaller than the acquisition window. It is noted that the size of the scanning window is usually responsive to signal to noise ratio of the EDX sensor being used for the process monitoring process and/or to the response period of said EDX detector. This is because for a certain size of inspected pattern, the signal from the pattern itself is inversely proportional to the scanned area. SEM 10 is typically used for implementing various process monitoring methods, such as methods 100-300, but some steps may require other tools, such as an optical inspection tool or Critical Dimension SEM to be used during step 210 of method 200. SEM 10 may include additional electrodes and anode that are positioned along the path of the beam of charged particles. These may be connected to current meters that may evaluate the intensity of the beam of charged particles, as portions of the beam may interact with these electrodes or electrodes. The beam mal also directed to a special target (formed within the sample) in order to allow beam intensity measurements. SEM 10 includes a processor 8 that processed the detection signals, and may also control the operation of various parts of SEM 10. Typically, processor 8 has image processing capabilities as well as control capabilities. For example, processor 8 may determine in which manner to process the detected X-ray emission, how to generate a map. A map generation may involve determining which colors and/or symbols to assign to various void volume ranges, to various flatness value ranges, target thickness ranges, to void depth range and the like. FIG. 3 illustrates a cross section of a small part 44 of a sample 20. Said small part 44 includes a substrate 40 that surrounds multiple vias 41 as well as a large pad 42, each is surrounded, but not covered by, substrate 40. The large pad and vias (which are illustrated out a scale) are made of conductive material, such as copper. The conductive material is deposited to an etched substrate 40 and then are subjected to access conductive material removal process. 42. It is noted that in many cases an intermediate barrier layer may be manufactured between each of the vias 41 and large pad and between the substrate 40. The processing may result in voids and/or conductor upper surface deformations. These deformations include erosion, dishing and scratches, and are usually significant when the conductor is large. This may occur when the conductor is a pad or a long conductive line, but is of less significance when the conductor is a small interconnect or via. The term large can be defined in relation to the size of the illuminating spot, in response to the size of other objects such as vias, or in response to the influence of size deformations upon the functionality of the integrated circuit. FIG. 4 illustrates a wafer 60 that includes multiple targets 64. This figure illustrates a wafer 60 that includes multiple dies 62. Each die 62 may include a single target 64 but it usually includes a large amount of targets 64. When many targets are present the process monitoring process may include selecting which targets to evaluate. Some targets may be formed within scribe lines between dies, while others may be formed within the dies themselves. A scanned target or area may include a via that is partially surrounded by silicone oxide, but this is not necessarily so. The inventors also scanned parts of conducting lines, and are aware that other shaped targets may be scanned during to process monitoring process. Typical targets are further illustrated at FIGS. 5a-5d. In order to provide a comparison between different targets they should include the same composition of materials, and preferably have the same shape. The inventors found that various patterns may be used as targets. For example, a copper via surrounded by oxide may be selected as a target. The selection may be responsive to the manufacturing process characteristics (for example locations that are prone to the creation of voids, large objects that me be subject to dishing, and the like). FIGS. 5a-5c illustrates an upper view of various targets 70, 73, 75 and FIG. 5d illustrates a cross sectional view of target 77. Targets 70, 73 and 75 were formed after access copper was removed, while target 77 includes access copper to be later removed. FIG. 5a illustrates target 70 that includes a single copper via 71 surrounded by substrate 72. FIG. 5b illustrates target 73 that includes multiple vias 71 surrounded by substrate 72 as well a part of a conductive line 74. FIG. 5c illustrates target 75 that includes a part 76 of a conductive line that is surrounded by substrate 72. FIG. 5d illustrates target 77 that includes a buried via 71, an upper layer of copper 79 and substrate 72, as well as a possible first portions 82 and an additional portion 84, that are generated by illuminating the target with beams of different energy. The via is connected to the upper layer 79 and to a lower layer 81 of copper. The shape of the first portion and additional portion is responsive to the presence of voids, such as void 86 within via 71. FIG. 5a also illustrates the vicinity 68 of target 70 that is defined by an acquisition window. The vicinity includes additional vias 71′ and an L-shaped conductor. Each of FIGS. 5b-5c illustrates a scanning window. FIG. 7 is a flow chart illustration of method 100 for process monitoring. Method 100 starts by step 110 of receiving a sample such as sample 20 that is made of two or more materials. Step 110 is followed by step 120 of determining which area or areas of sample 20 to scan. Usually the sample is a wafer and each area includes targets that in turn may include a copper object (such as vias 71 of FIGS. 5a-5d) that is at least partially surrounded by other materials such as a silicon oxide substrate. Typically, and especially if a die-to-die comparison is implemented, the same areas are scanned at each die, but this is not necessarily so. Step 120 is followed by step 130 of locating the area. The location of an area may involve steps 52-56 of FIG. 6, but this is not necessarily so. For example, in some cases a mechanical movement may not be necessary. Step 130 is followed by step 140 of scanning the located area of the sample such as to induce X-ray emission from a first portion (such as relatively large information volume 5 of FIG. 1 or portions 82 or 84 of FIG. 5d) of the sample. The shape and size of the first portion is responsive to various characteristics of the sample, as well as those of the beam of charged particles. For example, the penetration of electrons is responsive to the materials from which the vicinity of the interaction target is made of, to the arrangement of materials at that vicinity, as well as the energy of the electrons of the primary beam, incident angle of the beam and the like. Step 140 is followed by step 150 of detecting X-ray emitted from said first portion. This step may include detecting a portion of said emitted X-rays, as the detection is responsive to the location of the EDX detector, the illuminating path, the sensitivity of the EDX detectors and the like. As previously mentioned, the detection can be executed by multiple detectors. The detectors may be of the same type and characteristics but may differ by their frequency response, as well as their sensitivity. Step 150 is followed by step 160 of providing an indication about the process. Step 160 involves applying a quantitative analysis correction technique on the detected X-ray emission. Optionally, the estimation may include die-to-die or die-to database comparison. Die to die includes comparing the results of a currently illuminated target to previous results from another target. That inventors found that a comparison of the results of a currently illuminated portion to a previous elimination of another target from the same wafer (or even the same die) is very effective, as various characteristics that alter the emitted X-ray radiation can vary between wafer to wafer and even between die to die. These characteristics may include copper density and the like. The quantitative analysis correction technique may be a Phi-Rho-Z correction program and/or ZAF analysis that convert X-ray intensity peak areas into chemical values representative of the elemental weight fractions of the elemental constituents of the specimen. Step 160 may include comparing the results of a current session to an estimated emission. The estimated emission can be responsive to an estimated first portion, and more specifically to estimated materials that may be are included within the first portion, and/or to an estimated arrangement of objects within the first portion. According to various embodiments of the invention the first portion comprises objects that are made of different materials, such as a conductive object (such as a via, a metal layer conductor connected to the via), a substrate, a barrier layer, and the like. The estimated first portion content may reflect a substantially flawless first portion, but this is not necessarily so. For example, it may reflect a typical first portion. The estimation may be responsive to the design of the inspected sample and/or to previous measurements of other portions of the sample or even other samples. This estimation may include destructive measurements (such as measurements that involve cross sectioning of the sample) or non-destructive measurements of other portions of the sample or other samples. It is noted the estimation of the first portion may be responsive to one or more parameters that affect the shape or size of the first portion, such as the energy of the primary beam, the angle of incidence and the illuminated sample. The inventors used a Monte Carlo based simulation to estimate emitted X-ray from a sample. According to an embodiment of the invention step 160 provides an indication that reflects a presence of a void within the first portion. The inventors found that even relatively small voids may be detected. For example, voids that have aggregate volumes of few percents of the via volume were. The depth of voids, as well as their aggregate volume per target can be determined more accurately when the target is illuminated with primary beams of different energies, and even different incidence angles. According to another aspect of the invention step 160 may provide an indication about the shape of an object positioned in the located area. Such an indication requires multiple measurements of various locations within said object. The various locations may define a grid. Each measurement may reflect the thickness of the object at a certain location. Thus, by measuring the thickness of a certain conductive pad or other large object the method can indicate if the object is flat, deformed, dish-shaped and the like. According to an embodiment of the invention method 100 may include an optional step 170 of providing an estimate of a characteristic of a reference object. The reference object is typically a filled cavity that is filled with the same conductive material as the inspected object. Step 170 is followed by step 160 in which the indication is also responsive to the estimated characteristic. Typically, the characteristic is a thickness of the reference object. Step 170 may include measuring a characteristic of the reference object. It may involve multiple measurements at various locations of the reference object, but it may only include a single measurement. The inventors selected to measure the reference object at a location that was selected such as to provide an indication about the thickness of the reference object. The selection may involve estimating where voids do not exist and/or where dishing has a minor effect. For example, given a large reference object, voids are usually located near the edges of such an object. Thus, the inventors selected locations near the center of the object. If there is a chance that the large reference object surface is deformed then additional measurements can be taken to provide a representative parameter of the thickness of the reference object. FIG. 8 is a flow chart of method 200 for process monitoring. Method 200 analyzes a sample at multiple stages of the monitored manufacturing process. Method 200 starts by step 210 of receiving a sample that defines a cavity. The sample is made of at least a first material. Typically, sample 20 is an integrated circuit that includes at least a substrate and may also include multiple layers, including conductive layers. The sample may be made of many materials, but at least some of said materials will not influence X-ray emission as they are not included within a first portion that emits X-rays. The cavity can be etched within a substrate to be later filled in with a conductive material such a copper. Step 210 is followed by step 220 of determining a characteristic of the cavity. The determination may involve determining at least one dimension of the cavity, such as bottom width, top width and even height. The determination may include scanning the cavity with a Critical Dimension SEM (CD-SEM), whereas the scanning may involve normal incidence, as well as tilted incidence. The determination may involve optical measurements, such as those involving reflectometery, and may include estimating the volume of the cavity or its cross section by comparing measurements of the cavity to a bank of previously measured cavities. The determination may include a mathematical analysis of the measurements to provide the determined characteristic. One of these mathematical analysis methods was developed by IBM and involves matching a mathematical formula (usually a polynomial of a certain order) to the measurements. The determination may be responsive to one or more measurements of the one or more dimensions of the cavity at one or more locations. Step 220 is followed by a manufacturing step during which the sample is provided to a manufacturing tool to be processed and then provided back to the tool used for x-ray detection (such as SEM 10 of FIG. 2). The processing step includes filling the cavity. It may also include polishing or otherwise removing excess material, but this is not necessarily so. The inventors performed some of their measurements on samples that included a filled cavity and an upper layer of conductive material. In these cases the inventors found that the upper layer usually did not include voids. Nevertheless, without a removal of access material various phenomena such as dishing of the layer below the upper layer are not monitored. Thus, method 200 includes step 230 of receiving a sample that includes a processed cavity filled with a second material, such as a conductive material and especially such as copper. Step 230 is followed by step 240 of directing a beam of charged particles towards the sample, so as to induce X-ray emission from a first portion of the sample, said first portion at least partially overlaps the processed cavity. Usually, the first portion includes the processed cavity as well as its surroundings. Once a void is present the first portion is expanded to include more Step 240 is followed by step 250 of detecting X-ray emitted from said first portion. Step 250 is followed by step 260 of providing an indication about the process in response to detected X-ray emission from the first portion and the determined characteristic of the cavity. According to various embodiments of the invention the indication may reflect the presence of voids within the first portion, or a shape of the filled cavity. It may reflect the thickness of the processed cavity at various locations. Method 200 was described in relation to a single cavity. It is noted that according to an embodiment of the invention it may be applied to monitor the process in response to multiple processed cavities, each cavity is associated with a different portion. Accordingly, at least steps 230 and 240 repeated for each of the multiple processed cavities. The indication about the process may be responsive to the detected X-ray emission from the portions associated with each cavity and a determined characteristic of at least one cavity. In such a case there are multiple measurements that may be processed in various manners to provide an indication about the process. Each result can stand on its own, but this is not necessarily so and they may be further processed (including statistically processed) to provide various indications. According to an embodiment of the invention the multiple results are processed to provide a map of the sample indicating X-ray emission measured in response to the detected X-ray emission from the multiple portions of the sample. According to another aspect of the invention voids characteristics are more accurately defined by performing multiple measurements, while changing various illumination or detection characteristics, such as acceleration voltage, incidence angle, detector location, detector sensitivity and the like. Thus, method 200 may include a further step (not shown, should follow step 250) of changing a characteristic of the beam of charged particles to provide a changed beam and repeating steps 230 and 240 of inducing X-ray emission from a second portion of the sample, said second portion at least partially overlaps the processed cavity; and detecting X-ray emitted from said second portion. Step 260 shall me modified such that the indication about the process is further responsive to detected X-ray emission from the second portion. According to yet another embodiment of the invention method 200 includes applying a quantitative analysis correction technique on detected X-ray emission to provide the process monitoring. FIG. 9 is a flow chart of method 300 for process monitoring. Method 300 starts by step 310 of receiving a sample made of multiple materials. Step 310 is followed by step 320 of scanning multiple targets such as to induce X-ray emission from the multiple targets and of detecting X-ray emitted from said multiple targets. The multiple targets are positioned within a first region of the sample. Step 320 may include narrowband or wideband X-ray detection. Exemplary wideband X-ray detection may include EDX detection. Narrowband X-ray detection may include detecting X-ray within a narrowband that usually includes a certain emission line of a selected element, like K-line or L-line of Copper. Narrowband detectors are known in the art and some are described at U.S. patent application Ser. No. 09/990,171 of Nasser-Ghodsi et el. Each area is illuminated and its radiation is detected before moving to the next area, but simultaneous illumination of multiple targets and corresponding detection may also occur. Step 320 may include locating the multiple targets. Said location may include the steps of method 50, but this is not necessarily so. Step 320 is followed by step 330 of creating a map of at least the region of the sample indicating a status of the process in response to the detected X-ray emission from the multiple targets. Step 330 may include processing measured X-ray emission in response to characteristics of at least two materials of the target. The processing may be Phi-Rho-Z correction program and/or ZAF processing. Said processing may include processing X-ray emission measurements in response to measured intensity of the beam of charged particles. The map may indicate at least one of the following: the presence of voids within the sample, the depth of the voids, the volume of the voids, deviations in a shape of the target, or dishing phenomena. The map may include symbols or colors or a combination of both. Different symbols, colors or a combination of both may be allocated to different void volume ranges, to different void depth ranges, to different target flatness value ranges, to different target thickness value ranges, and the like. Map 400 of FIG. 10 was generated by assigning different colors to different void volumes. FIG. 10 is an exemplary screen of a simulation program that estimated a first portion and especially the radiation emitted from a sample. According to an aspect of the invention method 300 further includes a step of changing a characteristic of a beam of charged particles that scanned the multiple targets to provide a changed beam and scanning the multiple targets with the changed beam of charged particles, so as to induce additional X-ray emissions from the multiple targets; and detecting the additional X-ray emissions. In this case the indication about the process is further responsive to the additional detected X-ray emissions. It is noted that a target may be illuminated by a beam and a changed beam before another target is illuminated, but this is not necessarily so. For example, multiple targets can be illuminated by the (unchanged) beam before changing the beam to provide a changed beam. According to another aspect of the invention once multiple cavities are illuminated, each processed cavity must be located before said illumination. Each processed cavity may be located by acquiring an image of an estimated vicinity of the processed cavity and processing the image to locate the processed cavity. The image is typically acquired by scanning the sample within an acquisition window. Usually, a processed cavity is scanned within a scanning window that is smaller than the acquisition window. According to yet a further embodiment of the invention the indication about the process is further responsive to a reference parameter, such as a reference parameter that reflects measurements of other processed cavity, or a reference parameter that reflects an estimated detected X-ray emission. The present invention can be practiced by employing conventional tools, methodology and components. Accordingly, the details of such tools, component and methodology are not set forth herein in detail. In the previous descriptions, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it should be recognized that the present invention might be practiced without resorting to the details specifically set forth. Only exemplary embodiments of the present invention and but a few examples of its versatility are shown and described in the present disclosure. It is to be understood that the present invention is capable of use in various other combinations and environments and is capable of changes or modifications within the scope of the inventive concept as expressed herein.
055920276
description
In these two figures, the same references designate the same objects. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The container to be compacted is referenced 1. It has previously been filled with waste 2 and saturated in inert gas 3, and then placed inside the compacting skirt 4 on the anvil 5. Said anvil 5 is a massive part placed on the bottom Jaw of the press to receive the compacting forces. The clearance between said container 1 and said compacting skirt 4 is referenced 6. This limited amount of clearance 6 is saturated with inert gas 3 as soon as the first cracks appear in the structure of the container 1 under the action of the pressure exerted by the piston 7. In FIG. 2, the clearance 6 between the container 1 and the compacting skirt 4 is larger than in FIG. 1. To be absolutely sure that the clearance 6 is saturated with inert gas during compacting, provision is made to fill the clearance 6 with inert gas (G) prior to said compacting. Advantageously, said inert gas G is the same as the gas 3 inside the container 1. Reference 8 designates a gasket and 9 a collecting ring. By using these elements, dispersion of fines in the compacting cell is limited. The invention is also illustrated by the following example. Zircalloy hulls were compacted in accordance with the invention. There must be no water in waste of this type in order to avoid hydrogen being given off. Unfortunately, once dried and a fortiori broken up into small pieces with the presence of fines, said hulls are liable to ignite, even without any particular addition of energy. It is therefore essential to provide blanketing while compacting said dried hulls. The hulls were dried in an appropriate device under an inert gas. They were then loaded (with nitrogen bubbling) into a stainless steel container having a capacity of about 90 liters. The outside diameter of said container was 390 mm, and its height was 800 mm. The thickness of the steel was about 1 mm. The volume of hulls loaded into said container was about 82 liters. The apparent relative density of the nitrogen and hull mixture was about 1 (the relative density of the metal itself is 6.2-6.6). The container loaded in this way was transferred into the compacting cell. In an advantageous manner, a collecting ring was provided therein above the compacting skirt to collect the inert gas escaping through the cracks in the container during compacting. The pressure exerted was about 200 MPa. A compressed compact was obtained having a height of about 150 mm and a relative density of 4.1. Provision is made for transferring and conditioning such a compact into a final storage container.
claims
1. A sample observation method including recognizing images of objects in an electron microscope image of a sample containing multiple objects by computing it with previously stored reference images, comprising:obtaining the electron microscope images of the multiple objects;selecting images of the objects from the multiple objects in the electron microscope images;computing a correlation between each of the selected objects and each of the stored reference images; anddisplaying the results of the computation for different objects;wherein the multiple objects are located at different positions in the electron microscope images; andwherein the reference images are stored as two-dimensional images which are previously captured in multiple directions for each of three-dimensional objects. 2. The sample observation method according to claim 1, wherein the results of the correlation are displayed in terms of the correspondence between the objects and reference images. 3. The sample observation method according to claim 1, wherein the correlations which have been carried out by polar correlation conversion are computed from the selected images of the objects and the stored reference images. 4. The sample observation method according to claim 3, which further comprises:specifying fulcrums for rotation in the polar coordination conversion of the objects in the electron microscope images. 5. An electron microscope comprising:an electron gun for emitting an electron beam;an objective lens for focusing the electron beam emitted from the electron gun, wherein the electron beam is applied to a sample including multiple objects to form images of the objects; andan administration controller for storing reference images of objects obtained from a sample including multiple objects and for computing a correlation between each of the selected objects and each of the reference images, wherein the administration controller stores the reference images as two-dimensional images which are previously captured in multiple directions for each of three-dimensional objects. 6. An electron microscope comprising:an electron gun for emitting an electron beam;an objective lens for focusing the electron beam emitted from the electron gun, wherein the electron beam is applied to a sample including multiple objects to form images of the objects,an administration controller for storing reference images of objects obtained from a sample including multiple objects and for computing a correlation between each of the selected objects and each of the reference images,wherein the administration controller stores the reference images as two-dimensional images which are previously captured in multiple directions for each of three-dimensional objects, and identifies the object by computing a correlation between the object selected in the sample and each of the stored reference images.
description
This patent application is a divisional application of U.S. patent application Ser. No. 11/057,937, filed on Feb. 15, 2005, which is a continuation-in-part patent application of U.S. patent application Ser. No. 10/780,525, filed on Feb. 16, 2004 now U.S. Pat. No. 6,999,041 and entitled DUAL FREQUENCY ANTENNAS AND ASSOCIATED DOWN-CONVERSION METHOD; U.S. Ser. No. 10/780,520, filed on Feb. 16, 2004 now U.S. Pat. No. 6,950,076 and entitled TWO-DIMENSIONAL DUAL-FREQUENCY ANTENNA AND ASSOCIATED DOWN-CONVERSION METHOD; U.S. Ser. No. 10/780,536, filed on Feb. 16, 2004 now U.S. Pat. No. 7,009,575 and entitled HIGH-FREQUENCY TWO-DIMENSIONAL ANTENNA AND ASSOCIATED DOWN-CONVERSION METHOD; and U.S. Ser. No. 10/780,535, filed on Feb. 16, 2004 now U.S. Pat. No. 6,943,742 and entitled FOCAL PLANE ARRAY FOR THZ IMAGER AND ASSOCIATED METHODS, the entire contents of all of which are hereby expressly incorporated by reference. The present invention relates generally to antennas and, more particularly, to a composite dipole array for the generation and/or detection of electromagnetic radiation. A Terahertz (THz) is a unit of frequency equal to 1012 hertz. THz electromagnetic radiation forms a large portion of the electromagnetic spectrum between the infrared and microwave regions. THz electromagnetic radiation is generally defined as covering frequencies from about 0.3 THz to about 30 THz. This corresponds to the range of wavelengths from about 1.0 mm to about 0.01 mm and is sometimes referred to as the sub-millimeter and/or far-infrared region of the electromagnetic spectrum. By way of comparison, visible light covers the frequency range of 428 THz to 750 THz, corresponding to wavelengths from 0.4 micron to 0.7 micron. Thus, THz electromagnetic radiation is in a previously much neglected range of frequencies between optical and radio waves. THz electromagnetic radiation has interesting properties because its wavelengths are long enough to pass through some objects that are opaque to visible and IR light and are also short enough to be manipulated by conventional optical techniques. Thus, THz electromagnetic radiation can be used for the imaging of hidden objects. It is a particularly attractive means of detection because it can determine the composition, size, and shape of a variety of different substances. The potential uses of THz electromagnetic radiation in various fields are presently being investigated. Specific applications include remote sensing, short range covert communications, compact radar ranging systems, inter-satellite communication links, testing of integrated circuits, and even medical imaging and treatment. In the field of medical imaging, for example, tumors and other pathologies may be identified and characterized. THz electromagnetic radiation may even find applications in a variety of other areas, including atmospheric sensing and upper atmospheric imagery. Research into the potential use of THz electromagnetic radiation for the detection and characterization of contraband, such as chemicals (including illegal drugs, explosives, and toxic substances), biological agents (including aerosols) and concealed weapons, is being stimulated by law enforcement and homeland security concerns. By using THz electromagnetic radiation, drugs, explosives, and pathogens can be identified in parcels before they are opened. Concealed guns and knives can be observed on people. Even mines in minefields can potentially be located. Further, the use of ambient THz electromagnetic radiation facilitates standoff detection of weapons and explosives. Standoff detection is particularly useful in determining whether a threat exists prior to the item or person posing the threat actually entering a sensitive area. That is, the threat can be identified before there is an opportunity to do the intended harm. For example, a THz electromagnetic radiation imaging system can be employed at the gate to a military base. If a threat is perceived, then the person posing the potential threat can be denied entry. THz time-domain spectroscopy and related THz technologies promise to be of great benefit for military and civilian uses, because they offer innovative imaging and sensing technologies that can provide information not available through such conventional methods as microwave and x-ray. Spectral fingerprints can facilitate the identification of suspicious items. The resolution of such spectra can be impressive. Not only can the type of explosive, drug, or pathogen be determined, but many times the factory where the substance was manufactured can be identified, as well. Thus, the potential forensic benefits are clear. Compared to the relatively well-understood science and technology at microwave and optical frequencies, THz science and technology is in its infancy. This is largely due to the inadequate power of available contemporary THz sources and the limited resolution of contemporary THz imaging receivers. The use of THz electromagnetic radiation in such applications is particularly attractive because, in many instance, it can be used passively. That is, THz electromagnetic radiation can be viewed without actively illuminating the subject. Other forms of imaging, such as those using x-ray and gamma ray backscatter, require that the subject be illuminated so as to provide the necessary radiation. Such illumination tends to pose some degree of health risks to human subjects and imaging equipment operators. However, in many instances sufficient THz electromagnetic radiation is generally present in the ambient environment to facilitate imaging. Thus, illumination is not always necessary for THz imaging. The elimination of a source of radiation by using ambient radiation both reduces costs and eliminates health concerns. It is also useful in covert applications. In industrial applications, such as manufacturing and quality control, the use of an active source may be less objectionable. In such settings, provision for shielding can more readily be made. Further, in industrial settings the cost and space required for a source tend to be less problematic. Imaging can potentially be accomplished in either a transmission mode or a reflection mode of operation. In the transmission mode, the radiation source is on the opposite side of the subject with respect to the detection device and the radiation is transmitted through the subject to detect substances therein. In the reflection mode, the radiation source is on the same side of the subject with respect to the detection device and the radiation is reflected by substances within the subject. Sensors for detecting THz electromagnetic radiation are presently being developed. Although prices are expect to decrease as development proceeds and as the sensors are produced in volume, the cost of contemporary sensors is high. Another problem associated with the use of THz electromagnetic radiation is that contemporary THz electromagnetic radiation sources with suitable output power levels are undesirably large, heavy, and costly. The only way to generate THz electromagnetic radiation with average power over a watt, according to contemporary practice, is to use an accelerator, such as a 15 MeV synchrotron. As those skilled in the art will appreciate, such THz electromagnetic radiation sources are very large and very expensive. Even in industrial environments, it is generally desirable to reduce the size and cost of equipment. In view of the foregoing, it is desirable to provide a source of THz electromagnetic radiation with output power levels of a few hundred milliwatts and higher that is smaller in size and less costly than contemporary sources. It is also desirable to provide a way to more readily facilitate the imaging in the THz frequency range of the electromagnetic spectrum. Systems and methods are disclosed herein for generating THz electromagnetic radiation and for imaging in the THz frequency range. For example, in accordance with an embodiment of the present invention, two laser beams interact with a composite dipole array (CDA) to frequency down convert to a beat (difference) frequency so as to provide THz electromagnetic radiation. In accordance with another embodiment of the present invention, a laser beam and image bearing THz electromagnetic radiation interact with a composite dipole array to frequency up convert the THz electromagnetic radiation to an optical frequency, the intensity distribution of which is subsequently imaged conventionally. More specifically, in accordance with one embodiment of the present invention, a pair of infrared ring type optical resonator lasers have beams that are incident upon a common portion of a composite dipole array. The composite dipole array interacts with the two IR beams from the two lasers and re-radiates energy at the difference THz frequencies. In accordance with another embodiment of the present invention, a beam from an infrared ring type optical resonator laser and image bearing THz electromagnetic radiation are incident upon a composite dipole array, such as upon opposite sides thereof. The composite dipole array interacts with the laser beam and the THz electromagnetic radiation and re-radiates image bearing electromagnetic radiation at optical frequencies (e.g., infrared frequencies). In accordance with another embodiment of the present invention, a Terahertz (THz) electromagnetic radiation generator includes a composite dipole array comprising a plurality of dipoles electrically interconnected via non-linear resonant circuits; and two lasers configured to direct laser beams to the composite dipole array such that the laser beams cooperate with the composite dipole array to form THz electromagnetic radiation. In accordance with another embodiment of the present invention, a Terahertz (THz) electromagnetic radiation imaging system includes a composite dipole array; THz imaging optics configured to direct THz electromagnetic radiation to the composite dipole array; and a laser configured to direct a laser beam to the composite dipole array such that the laser beam cooperates with the THz electromagnetic radiation and the composite dipole array to form optical electromagnetic radiation. In accordance with another embodiment of the present invention, a method of frequency conversion includes directing first electromagnetic radiation of a first frequency to a composite dipole array comprising dipoles that are electrically interconnected by non-linear circuits; and directing second electromagnetic radiation of a second frequency to the composite dipole array, wherein the composite dipole array radiates electromagnetic radiation at a difference frequency approximately equal to a difference between the frequency of the first electromagnetic radiation and the second electromagnetic radiation. In general in accordance with one or more embodiments of the present invention, methods and systems are provided for generating and imaging THz electromagnetic radiation for a variety of applications such as remote sensing, short range covert communications, compact radar ranging systems, inter-satellite communication links, testing integrated circuits, and even medical imaging and treatment. The scope of the invention is defined by the claims, which are incorporated into this section by reference. A more complete understanding of embodiments of the present invention will be afforded to those skilled in the art, as well as a realization of additional advantages thereof, by a consideration of the following detailed description of one or more embodiments. Reference will be made to the appended sheets of drawings that will first be described briefly. Embodiments of the present invention and their advantages are best understood by referring to the detailed description that follows. It should be appreciated that like reference numerals are used to identify like elements illustrated in one or more of the figures. One embodiment of the present invention comprises a system and method for the generation of narrowband, high-power, THz electromagnetic radiation. Another embodiment of the present invention comprises a system and method for the high-resolution detection of THz electromagnetic radiation. As disclosed herein, both the generation and detection of THz electromagnetic radiation utilizes a hybrid frequency conversion (HFC) technique. The HFC technique takes advantage of the interaction of electromagnetic radiation with miniature dipole antennas, miniature resonant circuits—all on the micron and submicron (nano) scale together with solid-state electronics to enable frequency mixing for down conversion from optical to THz frequencies to provide a THz electromagnetic radiation source and/or up-conversion from THz to optical frequencies to provide an imager at THz frequencies. Unlike contemporary techniques for the generation and detection of THz electromagnetic radiation, HFC provides high efficiency, which is a key factor in the development of compact, lightweight, and portable THz imaging systems. According to one embodiment of the present invention, the hybrid Frequency Conversion (HFC) is performed using a composite dipole array (CDA), which facilitates frequency mixing in the optical and THz regions. As discussed in detail below, the composite dipole array is a two-dimensional array of one-dimensional dipole strings, wherein each of the one-dimensional dipole arrays defines a macro-dipole antenna. A one-dimensional dipole array or macro-dipole antenna is a one-dimensional array of adjacent (end-to-end) micro-dipole antennas. Adjacent micro-dipole antennas are electrically interconnected with non-linear resonant circuits which facilitate efficient frequency conversion. As used herein, macro-dipole antennas include antennas that are comprised of connected smaller micro-dipole antennas, and micro-dipole antennas cooperate to define the larger macro-dipole antennas. Thus, the terms macro and micro can refer to the relative size of the antennas with respect to one another and the way that these antennas cooperate to define or be defined by one another. According to one embodiment of the present invention, energy is extracted from two spatially overlapping CO2 laser beams that have been outcoupled from their respective laser resonator cavities. The beams overlap upon a composite dipole array. The composite dipole array includes micro-dipoles formed of a conductor, such as metal or metal alloy, having high electric conductivity. As discussed in detail below, the composite dipole array comprises suitable linear and non-linear lumped or distributed circuit elements, e.g., diodes, capacitors, inductors and resistors. The combined physical area of the micro-dipoles presented to the incident laser beams is only a small fraction of the composite dipole array surface illuminated by the laser beams. Thus, the composite dipole array can be described in general as being optically thin unless the individual microdipoles are made to resonate at the frequency of the incident laser. The generation of THz electromagnetic radiation is facilitated by the coupling of CO2 laser energy into the micro-dipoles. When the CO2 laser frequency is not close to the resonant frequency of the micro-dipoles, less than 1% of the incident CO2 laser light is absorbed by the micro-dipoles. However, when the CO2 laser frequency is tuned to the resonance frequency of the micro-dipoles, a significant portion of the incident CO2 laser radiation is coupled into the micro-dipoles, efficiently converted to dipole currents, and then converted into THz electromagnetic radiation. This THz radiation is extracted in the form of a collimated beam. That portion of the incident CO2 energy not extracted by the dipoles passes though the composite dipole array and would be lost. This loss limits the conversion efficiency to generally less than 20%. According to one embodiment, the loss is reduced to as little as few percent by recovering most of the CO2 laser energy not extracted by the composite dipole array in one pass and recycles it. As a result, conversion efficiency is greatly improved compared to systems without such recycling. FIG. 1 shows a THz electromagnetic radiation generator 100 in accordance with one exemplary embodiment of the present invention. THz electromagnetic radiation generator 100 comprises two lasers 120a and 120b and a composite dipole array 150. The composite dipole array 150 is substantially contained within a plane 102 that is perpendicular to the plane of FIG. 1. Optical resonators used by said lasers 120a and 120b can be of the ring type (also known as traveling wave type) formed by a gain medium 124a and reflectors 125a, 126a, and 127a for laser 120a and formed by gain medium 124b and reflectors 125b, 126b, and 127b for laser 120b. Reflectors 126a and 126b can be either flat mirrors or diffraction gratings. Reflectors 125a, 125b, 127a, and 127b can be curved (e.g., parabolic) mirrors. Lasers 120a and 120b generate laser beams 132a and 132b at laser frequencies f1 and f2, respectively. A predetermined frequency difference f1−f2 is equal to the frequency f3 of the desired THz output. Laser beams 132a and 132b can each be incident upon composite dipole array 150 at an angle θ with respect to a normal of plane 102 of composite dipole array 150 and at an angle 2θ with respect to each other. Additionally, the two laser beams overlap upon composite dipole array 150. Optionally, windows 128a, 128b, 129a and 129b can be provided to isolate the laser gain medium environment from the composite dipole array environment. For example, the gain medium may operate at sub-atmospheric pressure while the composite dipole array may operate at ambient pressure. The gases of the laser gain medium may also be different from those of the composite dipole array environment. Furthermore, a transverse mode control assembly 140 can be provided to maintain operation of the laser oscillators in their TEMoo (fundamental) modes. One effective approach is to bring the laser beam into a focus and remove portions of the beam outside the Airy disk (in focal plane) with an aperture (spatial filtering). FIG. 2 shows a transverse mode control that has been implemented using lenses. Laser beam 120 is brought to a focus 148 at aperture 146 by a lens 142 and is then subsequently collimated by a lens 144. In this manner, portions of the beam outside of the Airy disk defined by aperture 146 are removed thereby. FIG. 3 shows how the laser beam can alternatively be brought into focus with mirrors. Here, laser beam 120 is brought to a focus 148 by a mirror 152 and is then subsequently collimated by a mirror 154. As those skilled in the art will appreciate, such transverse mode control can thus be accomplished using any desired combination of lenses and mirrors. Referring again to FIG. 1, each laser 120a and 120b can include means for reverse mode suppression, so that the beam inside the oscillator tends to propagate only in one direction, as indicated by arrows 134a and 134b. Such reverse mode suppression can be accomplished using well know principles. For example, lasers having built-in reverse mode suppression can be purchased off the shelf. Lasers 120a and 120b can to include means for frequency selection. It is well known that gratings can be used to precisely select a lasing frequency in laser medium, such as the case of CO2 lasers capable of supporting more than one laser transition. In lasers 120a and 120b laser frequency selection capability is provided, for example, by replacing the mirrors 126a and 126b with gratings that can be appropriately oriented so as to provide operation at desired frequencies. Laser gain mediums 124a and 124b can comprise vibrationally and rotationally excited CO2 gas that is known to exhibit laser gain at over seventy-five discrete wavelengths in the proximity of 10 micrometers. As discussed above, appropriate wavelength selection means can be provided to allow lasers 120a and 120b to operate only at particular predetermined wavelengths. Excitation means for the CO2 gas can include DC electric discharge or microwave discharge. As those skilled in the art will appreciate, other excitation means can likewise be used. During operation, lasers 120a and 120b, generate traveling wave beams 132a and 132b, respectively. Beams 132a and 132b overlap upon composite dipole array 150 where, at resonance, a portion of the incident laser powers are converted into THz radiation 190 at frequency f1−f2 and this difference frequency propagates normal to the plane of composite dipole array 150. A portion of incident laser beams 132a and 132b that passes through composite dipole array 150 forms beams 133a and 133b, respectively. Beam 133a is reflected by mirrors 125a, 126a, and 127a back into gain medium 124a where it is amplified to reinforce beam 132a. Beam 132b undergoes a similar process in laser 120b, resulting in formation of beam 132b. In this manner, laser energy not converted into THz output in a single pass through the composite dipole array 150 is recovered and reused. Thus, conversion to THz radiation is a principal means for removal of laser energy from lasers 120a and 120b. Except for some losses due to diffraction and absorption, a significant part of the laser power generated by laser gain media 124a and 124b in lasers 120a and 120b is thus coupled into the composite dipole array 150, is converted into THz radiation, and emitted as a single frequency, continuous wave (cw) collimated THz beam. According to one embodiment of the present invention, composite dipole array 150 can be substantially larger in one or more transverse directions than the corresponding transverse dimensions of laser beams 132a and 132b inside their respective resonators. In this event, laser beams 132a and 132b can be expanded to a desired size using conventional beam expanders. Similarly, laser beams 132a and 132b can be compacted so as to accommodate use with a composite dipole array 150 having one or more smaller transverse dimensions. Thus, the cross-sections of laser beams 132a and 132b can generally be configured so as to match their sizes to the size and shape of composite dipole array 150. FIG. 4 shows one example of the use of beam expanders 272 to facilitate such modification of the laser beams 235a and 235b to correspond to the configuration of a composite dipole array 250. In this instance, composite dipole array 250 is substantially larger in at least one transverse dimension than the laser beams 235a and 235b. Gaussian type of beam expanders 272 formed by negative lenses 274a and 274b and positive lenses 276a and 276b expand the beams 235a and 235b to form expanded beams 232a and 232b that provide a more complete illumination of the composite dipole array 250. Laser beams 233a and 233b, which are downstream with respect to the composite dipole array 250, are subsequently compacted to form beams 234a and 234b by compactors 273. Compactors 273 are essentially devices that are equivalent to expanders 272 operating in a reverse mode. Similar beam expanders can be used for the outputs of both lasers 120a and 120b of FIG. 1. The Gaussian type of beam expanders, consisting of at least a negative and a positive lens in each, maybe substituted by beam expanders with spatial filters incorporated in them, such as illustrated in FIG. 2. When beam expanders using transmissive optics are undesirable, the embodiment may be practiced using beam expanders constructed from reflective optics (e.g., mirrors). See FIG. 3. Both transmissive and reflective beam expanders are well known in the art. FIG. 5 shows a THz generator 400 in accordance with another embodiment of the present invention. This embodiment is generally the same as the embodiment of FIG. 1, except that THz radiation 491 (which is transmitted to the left from composite dipole array 150 in FIG. 5) is redirected (reflected) by a mirror 462 to travel in the same direction (to the right in FIG. 5) as the THz radiation 490. Mirror 462 can be formed so that the shape of the reflected THz radiation 491 wavefronts matches the shape of the THz radiation 490 wavefronts. To assure that the reflected radiation 491 and radiation 490 add coherently, mirror 462 is placed a distance L from the dipole plane 152 of the composite dipole array 150 and L is chosen to be an integer number of one half of the THz radiation wavelength. Thus, the THz beams emitted in both direction from composite dipole array 152 interfere constructive to provide more power in the output beam and consequently to enhance the efficiency of one half of the THz electromagnetic radiation generation. Coherent addition of the electric field nearly doubles the E field (quadruples the power) in the outgoing THz beam. FIG. 6 shows a THz imaging receiver 311 in accordance with another embodiment of the present invention. THz imaging receiver 311 comprises a laser 320, a composite dipole array 350, THz imaging optics 366, infrared (IR) imaging optics 372, and an infrared focal plane array 368. Laser 320 can be of the ring type (also known as traveling wave type) formed by a gain medium 324 and reflectors 325, 326, and 327. Reflector 326 can be either a flat mirror or a diffraction grating. Reflectors 325 and 327 can be curved (e.g., parabolic) mirrors. Laser 320 generates a laser beam 332 that is incident upon composite dipole array 350 at an angle θ with respect to a normal of the plane of composite dipole array 350. Windows 328 and 329 can optionally be provided to isolate the laser gain medium environment from the composite dipole array environment. Furthermore, a transverse mode control assembly 340 can be provided to operate the laser 320 in its TEMoo mode. Laser 320 can include appropriate means for reverse mode suppression, as discussed above, so that the beam inside the oscillator can propagate only in one direction as indicated by arrow 334. Laser 320 can include means for frequency selection, as discussed above. A laser gain medium 324 can comprise vibrationally and rotationally excited CO2 gas that is known to exhibit laser gain at over seventy-five discrete wavelengths in the proximity of 10 micrometers. Appropriate wavelength selection means can be provided to allow laser 320 to operate only at a particular predetermined wavelength. Excitation means for the CO2 gas can include electric discharge and microwave discharge. However, other excitation means can be used. During operation, laser 320 generates a traveling wave beam 332 that is incident upon composite dipole array 350 where, at resonance, a portion of the incident laser power is coupled into the micro-dipoles thereof. THz radiation 364 at frequency f5 illuminating an object 362 is reflected from the object as THz radiation 365 that enters THz imaging optics 366 and is formed thereby into a THz image of the object on composite dipole array 350. Composite dipole array 350 has an array of dipoles (micro-dipoles and macro-dipoles) of appropriate dimensions and arrangement for resonance at both laser frequency f4 (for micro-dipoles) and at THz frequency f5 (for macro-dipoles). As a result of the interaction of THz radiation 364 with the collimated, single frequency laser beam 332 on the composite dipole array 350, infrared radiation 370 at respective frequencies f5−f4 and f5+f4 is generated and propagated at an angle θ with respect to a normal of the plane of composite dipole array 350. Infrared radiation 370 can be imaged onto a focal plane array 368 suitable for converting infrared radiation at these wavelengths into electric signals or visible and digitized images. In this fashion, the THz image of object 362 produced by THz imaging optics on composite dipole array 350 can be viewed at infrared wavelengths. The portion of the incident laser beam 332 that passes through composite dipole array 350 defines beam 333. Beam 333 is reflected by reflectors 325, 326 and 327 into gain medium 324, where it is amplified so as to reinforce beam 332. In this manner, laser energy not coupled into the composite dipole array 350 in a single pass is recovered and reused. Except for losses due to diffraction and absorption, all of the laser power generated by the laser gain medium 324 in laser 320 is coupled to the composite dipole array 350 and is available for up-conversion of incident THz radiation of the THz image into infrared radiation. FIG. 7 shows the frequency down-conversion from optical electromagnetic radiation in the IR part of the spectrum to THz electromagnetic radiation by irradiating a composite dipole array 550 with two coherent optical waves having respectively frequencies f1 and f2. The frequency difference f1−f2 is selected so as to provide the desired THz frequency f3. Fortuitously, suitable sources with single frequency, stable outputs separated by frequencies in the THz domain are conveniently offered by CO2 lasers, which have over seventy-five output lines in the vicinity of 10 μm. The large number of closely spaced lines offered by CO2 lasers facilitates the selection of line pairs suitable for production of a choice of many thousands of different THz frequencies from 0.025 to over 5.0 THz. For example, mixing the 10.25 μm and 10.48 μm wavelengths yields an output with 639.5 GHz frequency in the middle of an atmospheric window with low atmospheric absorption. FIG. 8 shows a frequency up-conversion from THz into the optical IR regime where a THz image signal f1 is imaged onto a composite dipole array 550 where it is mixed with an external single frequency optical field having frequency f2 to generate optical signals at frequencies f3 and f4 representing the THz image that can be viewed with focal plane arrays (FPAs) of conventional infrared cameras. It is worth while to note that f3=f2−f1 and f4=f2+f1. In principle, the same general layout of composite dipole array can be used for both down-conversion and up-conversion, although in practice different materials may be used. The HFC technique can be used in either continuous wave (cw) or pulsed mode. An advantage of the pulsed mode is the possibility to improve the signal-to-noise ratio (SNR). FIGS. 9-12 show that composite dipole array 550 can be defined by an array of one-dimensional dipole arrays that define macro-dipoles 607, which are in turn defined by arrays of substantially identical individual micro-dipoles 602. Micro-dipoles 602 are resonant at a predetermined higher frequency and arranged in a one-dimensional (tip-to-tip) configuration, so as to define larger macro-dipoles 607 that are resonant at a predetermined lower frequency. A plurality of such linear macro-dipoles 607 placed side-by-side define a planar, two-dimensional composite dipole array (CDA) 550. An interference zone 601 is defined by that area of composite dipole array 550 where two laser beams are incident thereon so as to produce interference that provides the difference frequency that is subsequently re-radiated by composite dipole array 550 as THz electromagnetic radiation (503 of FIG. 7) during the down conversion process of source mode operation according to one embodiment of the present invention. This is also the interference zone where THz electromagnetic radiation (511 of FIG. 8) interferes with optical radiation (512 of FIG. 8) so as to produce the difference frequency (513 of FIG. 8) that is subsequently re-radiated by composite dipole array 550 as optical radiation during the up conversion process of receiver mode operation according to one embodiment of the present invention. As shown in FIG. 10, adjacent micro-dipoles 602 are interconnected by non-linear resonant circuits (NLRCs) 604. As shown in FIG. 11, the non-linear resonant circuits 604 comprise a diode 605 or some other non-linear component. The non-linear resonant circuits also comprise at least one capacitor 608 and one inductor 606. These circuit components may be either lumped elements as shown in FIG. 11 or distributed. For operation in the optical and THz regimes, if the circuit components are lumped elements, their mechanical dimensions are very small. For example, dimensions of capacitors may be just a fraction of a micron, the line width of the micro-dipole conductors would be approximately 300 nm or less. FIG. 12 shown electrons in individual micro-dipoles 602 accumulating at one end (the upper end) thereof in response to the application of a sinusoidally varying electric field (such as that of optical electromagnetic radiation incident upon composite dipole array 550) when the micro-dipoles are unconnected. Current merely flows to one end of each micro-dipole, instead of flowing from micro-dipole to micro-dipole when the micro-dipoles are interconnected tip to tip to facilitate resonance and re-radiation at the macro-dipole frequency. Thus, the use of non-linear resonant circuits 604 allows a one-dimensional string of micro-dipoles 602 to function as a single macro-dipole 607 by facilitating current flow between micro-dipoles 602 at the difference frequency only. Suitable techniques for fabricating composite dipole array 550 include e-beam lithography. Note that although the physical footprint of composite dipole array 550 is rather small, at resonance it nevertheless intercepts a large portion of incident radiation thereby enabling high conversion efficiencies. FIG. 13 shows the HFC method for THz electromagnetic radiation generation. Two CO2 lasers 701a and 701b provide laser beams 702a and 702b at predetermined closely spaced frequencies and at angular positions with respect to one another so as to irradiate a resonant planar composite dipole array 750, thereby generating a THz electromagnetic radiation output propagating at normal incidence away from the composite dipole array 750. FIG. 14 shows the method for using a composite dipole array 850 as a THz electromagnetic radiation imaging receiver. The composite dipole array may be a 200×200 pixel array where each pixel consists of a one-dimensional (macro) dipole array, for example. This concept is analogous to the use of composite two-dimensional dipole array 750 as a THz electromagnetic radiation source, as shown in FIG. 13 and discussed above. The frequency up-conversion occurs on composite dipole array 850, where an imaged THz signal 804 is mixed with a selected CO2 laser line 801. An image field 803 radiated by composite dipole array 850 contains two frequency components. One frequency component is at a frequency that is the sum of the THz frequency and the CO2 laser frequency. The other frequency component is at a frequency that is the difference between the THz frequency and the CO2 laser frequency. The two frequencies can be separated spectrally or can both be used together to enhance the signal to noise ratio. The THz image thus upshifted to infrared frequencies can also be received on a conventional focal plane array, viewed by an infrared imager, digitized, recorded and displayed in various formats. A dichroic mirror 802 can be used to direct infrared electromagnetic radiation to composite dipole array 805 and to allow infrared radiation from composite dipole array 805 to pass therethrough to an image sensor array 808. Field emission devices (FEDs) are micro-vacuum tubes and have gained recent popularity as alternatives to conventional semiconductor devices. Typical advantages associated with FEDs include much faster switching, temperature and radiation insensitivity, and easy construction. Applications include the construction of discrete active devices, high density static random access memories, displays, radiation hardened military equipment, and temperature insensitive devices for use in space. Recently, lateral FEDs have emerged as an alternative to traditional vertical emitter devices. Lateral FEDs have many advantages in high-speed and high frequency applications because of their simple fabrication, precise control of electrode distances, and low capacitances. The sharp radius of curvature (which can be less than 100 Å) around the edge of the thin-film cathode (emitter) in an FED produces the high intensity electric field necessary to cause the emission of electrons. This phenomenon is known as field emission. Unlike in traditional vacuum tubes with hot cathodes, or Schottky devices with warm cathodes, FEDs operate with the cathode at ambient temperature and thus have cold cathodes. In an FED, the emitter tip of the cathode is typically separated from an anode by a distance of less than 1 micron. Due to the extreme closeness of the emitter to the anode, operating voltages in FED are much lower than in traditional vacuum tubes. FIG. 15 shows that turn-on voltages as low as few Volt/μm and emission currents up to milliamps per tip are attainable. FIGS. 16 and 17 shown micro-miniature FEDs configured as diodes and triodes. With particular reference to FIG. 16, the diode FED comprises a silicon cathode 1601 and a silicon anode 1602 formed upon a silicon substrate 1604. A gap 1603 is formed between the tip of the cathode 1601 and the anode 1602. An oxide layer 1605 electrically insulates the cathode 1601 and the anode 1602 from the substrate 1604. With particular reference to FIG. 17, the triode FED comprises a silicon cathode 1701 and a silicon anode 1702 similarly formed upon a silicon substrate. A gap 1703 is formed between the tip of the cathode 1701 and the anode 1702. First 1704 and second 1705 gate members define a gate within the gap 1703. An oxide layer 1706 electrically insulates the cathode 1701, the gate electrodes, 1704 and 1705 and the anode 1702 from the substrate. Suitable materials for the emitters include most metals (especially refractory metals), silicon, and nitrogen-doped diamond. To reduce device turn-on voltage, the cathode-anode separation should be minimized, the cathode tip radius should be made as small as practically possible while maintaining reproductability, and the cathode material is chosen to have a low work function. Using UV lithography cathode-anode separations down to about 300 nm have been achieved. Using electron beam lithography cathode-anode separations down to less than 30 nm have been achieved. Such small dimensions facilitate the use of turn-on voltages in the range of 100 mV. Micro-machined lateral FEDs combine the advantages of electron transport in vacuum with the ease of solid-state microfabrication techniques. As a result, lateral FEDs offer the distinct advantages of high specific power and efficiency, compactness, and ease of thermal management. In radio frequency devices FEDs provide higher transconductance, reduced ionization and breakdown, lower power dissipation and heating, and low capacitance. The non-linear resonant circuit is a critical part of a composite dipole array because it enables the efficient generation of the difference (THz) frequency. In particular under ideal conditions, the non-linear resonant circuit provides open circuits at the high (CO2 laser) frequencies (λ˜10 μm) and short circuits at the (low) difference (THz) frequency (λ>50 μm). Thus, at high frequencies adjacent dipoles in the linear array are isolated from each other and resonate as individual unconnected half-wave dipoles. On the other hand, at the THz frequencies the non-linear resonant circuit becomes short circuits, thereby connecting adjacent dipoles into the longer half wave dipole resonating at the difference (THz) frequency. The capacitance of conventional high frequency semiconductor diodes is too large to allow efficient operation in the THz frequency range of interest (e.g., approximately 30 THz). Various metal-oxide-metal (MOM) diodes have been used during the past decade that worked satisfactorily (Ni—NiO—Ni diodes, for example). However these structures need a bias voltage for proper function. While the means for bias can be incorporated into the composite dipole array, it substantially complicates the design and fabrication of the array elements. Schottky diodes used in high frequency (mm wave) receivers are the standard technology for operation at room temperature. Recent advances in this technology include III-V semiconductor on quartz substrates that have shown much reduced parasitic capacitances. Planar diode mixers of this type have been operated with noise performance at frequencies above 3 THz. GaAs Schottky diodes have been used as antennas coupled square law detectors. Whiskered diode triplers have operated at frequencies above 1 THz. Semiconductor diodes introduce significant parasitic capacitance and noise into the nonlinear resonant circuits. In addition, fabrication of composite dipole arrays with high frequency, zero bias semiconductor diodes would require multiple fabrication steps involving molecular beam epitaxy (MBE) and electron beam lithography. This increases fabrication risks and reduces manufacturing yield. As a result, development of such a fabrication process would be very costly and risky. FIGS. 18 and 19 show a design for electrically interconnecting two adjacent dipoles 1801 and 1802 via a non-linear resonant circuit 1803 using a planar-type semiconductor diode 1804 with its capacitance and a shortened short circuited transmission line (less than λ/4 in length) as an inductor 1805. Dipoles 1801 and 1802 can comprise gold wire having cross-sectional dimensions of 0.3×0.2 μm or less. Diode 1804 can comprise of a backward tunnel diode (BTD) for example. By way of contrast, one or more embodiments of the present invention disclose a composite dipole array fabricated using field emission diodes (FEDs) that have a good frequency response in the THz regime, have very low parasitic capacitance, have a predictable performance, are very simple, and can be produced reliably in a single step as a part of the composite dipole array fabrication by e-beam lithography. FED diodes are readily integrated into the composite dipole array micro-dipole structures using established technologies including optical lithography, UV lithography, E-beam lithography, and x-ray lithography. FIG. 20 shows a composite dipole array 2000 in accordance with an embodiment of the present invention. Composite dipole array 2000 comprises a substrate 2002 and a plurality of one-dimensional dipole arrays (LDAs) 2005 formed upon substrate 2002. Elements of the macro-dipole array 2005 can be arranged in in-line configurations or rows 2003. Columns of the macro dipoles 2005 can be generally parallel with respect to each other and will be formed so as to have a constant lateral spacing, dimension C, with respect to one another. The numbers of macro dipole strings 31 can be chosen to fit within a perimeter 2006 which is typically circular or slightly elliptical and size of this perimeter is determined by the two incident, superimposed laser beams. However, the grouping and lengths of the macro dipole strings 2005 can be configured according to any other desired perimeter shape including, square, rectangular, triangular, polygonal, or even an irregular shape. All macro dipoles 2004 in a composite dipole array 2000 would be identical, and have the same length, dimension A. The spacing between adjacent macro-dipole strings, dimension C, may be (2n+1)λL/2, where n=0, 1, 2, . . . is an integer and λL is the wavelength of the difference frequency f3 of the derived THz radiation modified by the presence of substrate reactive index and other factor. FIG. 21 shows that each macro-dipole consists of a string of micro-dipoles 2005. Adjacent micro-dipoles 2101 are electrically interconnected to each other by a non-linear resonant circuit (NLRC) 2102. All micro-dipoles 2101 in each macro dipole array element 2005 are of the same length, dimension B, which is chosen to correspond approximately to the half-a-wavelength of electromagnetic radiation of the incident laser beams, modified by the refractive index of the substrate. Each macro dipole 2005 contains an integral number of micro-dipoles 2101, wherein the integral number is chosen so that the overall electrical length, related to dimension A, of the macro-dipole 2005 corresponds to half-a-wavelength of electromagnetic radiation at the difference frequency f3. The macro dipole arrays 2005 constructed in this manner are resonant at both frequency fH (f1+f2) and frequency fL (f3=f1−f2). That is, individual micro-dipoles 2101 are resonant at the higher frequency 1H, while the macro dipole 2005 may be resonant at the lower frequency fL. This condition may be referred to as dual-resonance. For simplicity, the following example ignores the presence of any dielectric materials, such as a dipole array support substrate, and also assumes that the resonant dipole's conductivity contains no imaginary part. A macro dipole 2005 intended to resonate at fH=28.595 THz (the frequency of a CO2 laser operating at the 10.49 micrometer wavelength) should comprise micro-dipoles 2101 having a length, dimension B, of approximately 7.2 micrometers, which includes 5.2 micrometers of physical dipole length and 2 micrometers of separation distance. If additionally, the macro dipole 2005 is intended to resonate at fL=0.640 THz, then the macro dipole length, dimension A, should be approximately 234 micrometers, which corresponds to 32 in-line micro-dipoles 2101. Substrate 2002 can be formed of dielectric material exhibiting very low electrical conductivity at the frequencies fL and fH. In at least some embodiments, substrate 2002 can be thin (from about 0.1 micrometer to about 1 millimeter) and exhibits low absorption of electromagnetic radiation at the frequencies fL and/or fH. Examples of suitable materials for the substrate 2002 include glass, fused silica, sapphire, silicon, gallium arsenide, germanium, polycrystalline diamond, diamond-like films, single crystal diamond, zinc selenide, cadmium selenide, and cadmium telluride. Micro-dipoles 2001 can be formed of materials exhibiting good electrical conductivity at the frequencies of fL and fH. Examples of materials for micro-dipoles 2101 include copper, gold, silver, tungsten, molybdenum, and refractory metals. As those skilled in the art will appreciate, the selection of materials for the substrate and the dipoles depends on the choice of frequencies fH and fL. FIG. 22 shows a schematic of an exemplary non-linear resonant circuit 2102. Non-linear resonant circuit 2102 comprises at least a diode 2203 a capacitor 2202 and inductor 2201. Losses in the nonlinear resonant circuit would be represented by an ohmic component 2204. Parameters of the components of the non-linear resonant circuit 2102 are chosen so that non-linear resonant circuit 2102 provides higher impedance (e.g., substantially an open circuit) to the flow of electrical current at frequency fH and provides lower impedance (e.g., substantially a short circuit) to flow of electrical current at frequency fL. FIGS. 23 and 24 show a plan view and a cross-sectional view, respectively, of an exemplary linear dipole array 2005. A macro-dipole 2005 comprises micro-dipoles 2101 that are electrically connected by diodes 2203. Each diode 2203 further comprises a cathode 2301 and anode surface 2307 separated by a gap 2310 having dimension E. The cathode 2301 has an apex portion with angle F terminated by an emitter tip 2304. Dimension E of gap 2310 can be made sufficiently small so that significant electron current is emitted from the cathode 2301 onto the anode surface 2307 at a relatively low electrical potential across gap 2310. It has been established that a device constructed in this fashion preferentially supports electron flow in the direction indicated by arrow 2311, and thus functions as a diode. Dimension E of gap 2310 can be formed to be less than 1 micrometer and can be as small as a few nanometers. In practice, the lower bound on dimension E is limited by the availability of suitable manufacturing processes. The width, dimension D, of the micro-dipoles 2101 is preferably less than 10% of the micro-dipole length, dimension B. FIG. 24 is cross-sectional view of linear dipole array 2005 showing the thickness, dimension G, of the micro-dipoles 2101. The thickness, dimension G, is usually less than the micro-dipole width, dimension D. Using the macro-dipole and micro-dipole dimensions from Example 1, an example of a suitable choice for the micro-dipole width, dimension D, is approximately 200 nanometers and an example of a suitable choice for the micro-dipole thickness, dimension G, is approximately 100-150 nanometers. FIG. 25 shows a cross-sectional view of linear dipole array 2005 where the substrate 2305 has trenches 2502 formed therein is shown. Trenches 2502 are intended to reduce undesirable perturbation to the electric field in gap 2310 caused by substrate 2305. The width of the trenches 2502 is preferably the same or greater than the width, dimension E, of gap 2310 and the depth of the trenches 2502 is preferably about the same as the thickness, dimension G, of micro-dipoles 2101 or deeper. Cathode 2301 can optionally have a slope 2501, such that the thickness thereof gradually decreases as the cathode 2301 approaches the gap 2310. FIG. 26 shows a cross-sectional view of part of a macro dipole 2005 where an insulating layer 2601 is formed between micro-dipoles 2101 and substrate 2305. Insulating layer 2601 can be constructed as one or more dielectric layers. In one embodiment of the present invention, the dielectric layers can be constructed so that insulating layer 2610 is highly reflective at the frequency fH and/or frequency fL. In another embodiment of the present invention, the dielectric layers can be constructed so that insulating layer 2610 is highly transmissive at the frequency fH and/or frequency fL. For example, an insulating layer with quarter wave thickness in the dielectric layer will result in cancellation of the Fresnel reflections off of its boundaries. FIG. 27 shows a cross-sectional view of part of an alternate macro dipole 2005, wherein the diode 2203 is formed by a cathode layer 2701 and an anode layer 2702 that cooperate to define a gap 2703 therebetween. This embodiment of the macro dipole allows anode 2307 and cathode 2301 to be constructed from different materials with respect to the micro-dipoles 2101. For example, micro-dipoles 2101 can be constructed from gold, which has good electrical conductivity but can sustain only a limited current density without damage, whereas the anode layer 2702 and cathode layer 2701 can be constructed from refractory metal that has a higher damage threshold. In addition, the cathode layer material can be impregnated or coated with suitable materials having a low work function, such as ZrO or BaO. Other preferred materials for construction of anode layer 2702 and cathode layer 2701 include doped diamond. FIGS. 28-32 show different embodiments of non-linear resonant circuit 2102. FIG. 28 shows a non-linear resonant circuit 2102 comprising a diode 2203 its capacitance and an inductor 2201, wherein inductor 2201 is created by an arc-shaped conductive path 2801 between adjacent micro-dipoles 2101. FIG. 29 shows non-linear resonant circuit 2102 having two parallel inductors 2201 defined by two arc-shaped conductive paths 2901 and 2902. FIG. 30 shows non-linear resonant circuit 2102 having a capacitor 2202 formed in one of the two arch-shaped conductive paths 2902. Capacitor 2202 comprises plates 3002. Optionally, capacitors could be similarly formed in both of the arch-shaped conductive paths 2901 and 2902. FIG. 31 shows non-linear resonant circuit 2102 similar to that of FIG. 30, but having a capacitor 2202 with a different shape. Capacitor 2202 comprises plates 3101. FIG. 32 shows a non-linear resonant circuit 2102 comprising a triode that includes a cathode 3201, an anode 3202 and a grid 3203 defined by two electrodes 3204. Using such a triode allows independent control of the electric field between cathode 3201 and anode 3202 and thus provides control over the current that flows therebetween. Such current control can be used to either provide a static bias that increases the threshold voltage or it can be applied dynamically to modulate a composite dipole array's resonance conditions, such as the Q of resonant circuit. Electric signals can be applied to grid 3203 either via wired connections to outside sources, or by connecting the grid 3203 to suitable electromagnetic radiation antennas. In this fashion the output electromagnetic radiation of the composite dipole array can be modulated. Such modulation may be suitable, for example, for communication purposes. The composite dipole array, in accordance with one or more embodiments, may optionally include means for tuning and/or modulation of composite dipole array resonant frequencies by superimposed magnetic and/or electric fields. Such modulation is suitable for generation of amplitude-modulated (AM) and frequency-modulated (FM) electromagnetic radiation. In particular, superimposed magnetic fields affect the mobility of electrons in the dipoles and the non-linear resonant circuit which in-turn affects the resonant frequencies and the Q of the resonant circuit. Superimposed electric fields affect the flow of electrons in the field emission diode and triode components of the non-linear resonant circuit. Superimposed electric and/or magnetic fields can be static or time varying. Suitable methods for generation of superimposed magnetic field include the use magnets, electromagnets, and inductive components. Superimposed electric and magnetic fields can be uniform in space or can have spatial variation. Orientation of the superimposed electric and magnetic fields can be either constant or time varying. Suitable time varying electric and magnetic fields can be also produced by electromagnetic radiation. Such electromagnetic radiation can be AM and/or FM modulated to produce corresponding modulation of electromagnetic radiation generated by the composite dipole array. FIG. 33 shows a SEM picture of a fabricated device, showing an exemplary non-linear resonant circuit 2102 (similar to that of FIG. 29) having a field emission diode (FED) 2203 the diode capacitance and inductor 2202. Inductor 2202 is defined by two arc-shaped conductive paths 2901 and 2902. Those skilled in the art will appreciate that diode 2203 and/or inductor 2202 can have various different configurations, such as those shown in FIGS. 24-27 and FIGS. 28-32. FIG. 34 shows an exemplary embodiment of a difference frequency generator 3400 using a composite dipole array 3450 according to one embodiment of the present invention. Composite dipole array 3450 is irradiated with electromagnetic radiation beams 3301 and 3302 having respective, closely spaced, frequencies fH1 and fH2. Electromagnetic radiation beams 3301 and 3302 are mutually disposed at an angle 2θ with respect to each other and intersect at composite dipole array 3450. The electromagnetic radiation beams 3301 and 3302 are incident onto the surface of composite dipole array 3450 at angle θ with respect to a surface normal thereof. The composite dipole array 3450 is designed to resonate at frequencies fH1 and fH2, as well as at the difference frequency fL=fH1−fH2. In addition, the composite dipole array substrate 3451 is formed of material which is at least partially transparent to electromagnetic radiation at the frequencies fH1, fH2 and fL. In response to irradiation by beams 3301 and 3302, composite dipole array 3450 generates electromagnetic radiation at the difference frequency fL. The electromagnetic radiation at difference frequency fL propagates normal to the composite dipole array 3350 surface forming a forward beam 3303 and backward beam 3304. The forward beam 3303 can be radiated into open space. The backward beam 3304 penetrates into the composite dipole array substrate 3351. Since substrate 3351 is formed of material that is substantially transparent at the frequency fL, then the backward beam 3304 will be transmitted through substrate 3351 with minimal losses. However, the substrate 3351 can include a layer of material reflective at the frequency fL and the backward beam 3304 can be reflected so that it is constructively added to forward beam 3303. FIG. 35 shows another exemplary embodiment of a difference frequency generator 3500 using a composite dipole array 3550. The composite dipole array 3550 is generally the same as the composite dipole array 3450 (FIG. 34), except that it includes a layer of material reflective at the frequencies fH1 and fH2, formed intermediate composite dipole array 3550 and a substrate 3551. In the difference frequency generator 3500, the two electromagnetic radiation beams 3401 and 3402 are incident on the surface of composite dipole array 3550 at angles θa and θb, respectively. The difference frequency generator 3500 of FIG. 35 has two distinct advantages over the difference frequency generator 3400 of FIG. 34. First, substrate 3551 of the composite dipole array 3550 does not have to be transparent at the frequencies fH1 and fH2. This feature allows greater flexibility in choosing the material. Second, a back surface 3552 of substrate 3551 can be attached to a heat sink (not shown) for effective removal of waste heat from the composite dipole array 3500. Sources of electromagnetic radiation may include but are not limited to lasers (e.g., CO2 lasers and near infrared solid-state lasers) and radio frequency sources. Electromagnetic radiation sources can be also AM or FM modulated for the purpose of modulating the composite dipole array output. Furthermore, one or more beams from the electromagnetic radiation sources can be also spatially modulated. One or more embodiments of the present invention may provide approximately an order of magnitude of improvement with respect to contemporary systems in efficiency when generating or detecting THz radiation. Thus, according to one embodiment, the composite dipole array comprises having FED diodes for use with the HFC technique for frequency conversion of electromagnetic radiation in a broad spectrum ranging from microwaves to optical frequencies. Further, one or more embodiments may provide enhanced efficiency in the generation of electromagnetic radiation by the HFC technique; provide enhanced efficiency of receiving electromagnetic radiation by the HFC technique; enable high-average power generation of electromagnetic radiation in the sub-millimeter regime, enable high resolution imaging of sub-millimeter wavelengths electromagnetic radiation signals; facilitate the construction of a compact, lightweight, and portable THz imaging system; facilitate composite dipole array operation with sub-millimeter wavelength electromagnetic radiation; reduce parasitic capacitance in composite dipole arrays; reduces noise in composite dipole arrays; facilitate the construction of radiation hardened THz generators and detectors; and/or facilitate the construction of temperature insensitive THz generators and detectors. THz electromagnetic radiation has the advantage of being able to readily penetrate many common non-conductive materials, so as to provide images or absorption spectra of the materials encountered. It also has the advantage of being non-ionizing and is therefore substantially less harmful than other radiation sources. In accordance with one or more embodiments, methods and systems may provide for generating and imaging THz electromagnetic radiation for a variety of applications such as remote sensing (such as in spectroscopy applications for the standoff sensing of contraband including guns, knives, explosives, chemical agents, biological agents, and drugs), short range covert communications, spacecraft communications, process and quality control (such as in the manufacturing of chemicals and pharmaceuticals), compact radar ranging systems, force protection (CWD), radar imaging (including 3D radar), multi-spectral imaging, seeing through walls (such as for military and police surveillance/SWAT operations), search and rescue, landing aids, space imaging, non-destructive inspection, inter-satellite communication links, tactical data links (weather and dust resistant), testing integrated circuits, and/or even medical imaging (such as 3D tomography) and treatment. Embodiments described above illustrate but do not limit the invention. It should also be understood that numerous modifications and variations are possible in accordance with the principles of the present invention. Accordingly, the scope of the invention is defined only by the following claims.
abstract
A lifting fixture for removing the upper internals from a nuclear reactor to provide access to the core during a refueling that does not require flooding of a refueling canal. A shield plate is integral to a lifting rig used to remove the upper internals. The shield plate is sized to be supported on the reactor vessel upper flange and to cover the reactor vessel opening with the closure head removed. The shield plate has openings that are in-line with the control rod assembly drive rod travel housings. Control rod assembly drive rods can be accessed through the openings. The lifting rig allows personnel to decouple the drive rods from the rod cluster control assemblies. The lifting fixture enables the decoupled drive rods to be lifted from the core with the upper internals, while shielding maintenance personnel without flooding the area above the reactor.
summary
048195243
abstract
A thimble screw alignment plate is disclosed herein that greatly facilitates the removal and reinstallation of the bottom nozzle of a fuel assembly. The screw alignment plate includes a plurality of bores registrable with the screw holes of a bottom nozzle, and a resilient sleeve mounted over each bore for receiving, retaining and aligning the threaded end of a screw with each such screw hole. The alignment plate is particularly adapted for use in an overall fuel assembly repair and reconstitution system, and greatly expedites the removal of bottom nozzles whose feet include flanges or gussets that obstruct access to one or more of the thimble screws that connect the bottom nozzle to the support skeleton of the fuel assembly.
description
The disclosed and claimed concept relates generally to testing equipment and, more particularly, to an apparatus for the inspection of the top guide of a boiling water reactor. Numerous types of nuclear reactors are known to exist in the relevant art. One type of nuclear reactor is a Boiling Water Reactor (BWR) that boils water to form steam to generate electrical power. Such BWRs and other reactors must be periodically inspected in order to ensure that they maintain structural integrity, and such inspections typically are performed during refueling operations on the reactor. In a BWR, the fissile material typically is configured in fuel bundles that are supported in the BWR on a core plate at the lower end thereof and are supported at the top end thereof by a top guide. The top guide typically includes a plurality of beams that are arranged in a grid pattern to form a plurality of receptacles that are defined by and are situated adjacent corresponding segments of the grids, and typically four fuel bundles are received in each such receptacle. In the past, when the top guide 8 has been the subject of an inspection operation such as an ultrasonic testing operation or other such operation, most if not all of the fuel bundles typically had been required to be removed from the receptacles. In removing such fuel bundles from a receptacle, the typical practice has been to remove a pair of fuel bundles from diagonally opposed positions within the receptacle and to then position in their place in the receptacle a dummy pair of space holders that are of an elongated rectangular shape and that are approximately of the same size and shape as the pair of removed fuel bundles. Such reception of the dummy pair into the empty spaces vacated by the removed fuel bundles avoids the two remaining fuel bundles in receptacle from falling out of position. The space holders typically are connected together with a bail to enable the removal of the dummy pair after the two remaining fuel bundles are removed from the receptacle. Further regarding past inspection methodologies, once the fuel bundles and dummy pairs have been removed from the receptacles, the previous inspection methodology for the top guide have involved receiving a large inspection machine down onto the top guide that would occupy a large portion if not the entirety of the top guide. Such inspection devices were costly, difficult to maneuver, and interrupted other operations that might have been performed on the BWR. Improvements thus would be desirable. Accordingly, an improved apparatus for use in performing an inspection on the beams of the top guide of a BWR includes a housing, an alignment assembly, and an inspection system. The housing is receivable atop the upper edges of a first pair of beams adjacent a receptacle of the top guide. The reception of the housing atop the upper edges of the first pair of beams is facilitated by the alignment assembly which includes a plurality of legs that are simultaneously moved between a retracted position wherein one or more of the legs is disengaged from the beams within the receptacle and an extended position wherein all of the legs are engaged with the beams of the top guide within the receptacle. The inspection system includes a pair of inspection elements that are translated above a second pair of beams that are adjacent the receptacle and that do not have the housing received on the upper edges thereof. After the inspection of the second pair of the beams adjacent the receptacle, the alignment assembly can be moved to the retracted position. The apparatus can then be rotated ninety degrees and the alignment assembly can be returned to the extended position, which permits the housing to be received on the second pair of beams, i.e., whose segments adjacent the receptacle have just been inspected. The inspection system can then be operated to inspect the upper edges of the first pair of beams that are adjacent the receptacle. The apparatus is usable to perform such an inspection without any need to remove the fuel bundles or the dummy pair from a receptacle, although the apparatus is still usable to inspect the beam segments adjacent a receptacle even if the fuel has been removed from the receptacle. The apparatus requires very little space above the top guide, thus permitting operations to be performed on other parts of the BWR while the apparatus is inspecting various segments of the beams of a given receptacle. Accordingly, an aspect of the disclosed and claimed concept is to provide an apparatus that can perform an inspection operation, such as an ultrasonic (UT) inspection operation of other such operation, on the beams of a top guide of a BWR. Another aspect of the disclosed and claimed concept is to provide such an apparatus that can be received on the upper edges of the segments of the beams that are adjacent a receptacle in the top guide and that employs an alignment assembly to enable such reception of the apparatus on the upper edges of the beams. Another aspect of the disclosed and claimed concept is to provide such an apparatus that occupies relatively little space in the region above the top guide of the BWR. Another aspect of the disclosed and claimed concept is to provide such an inspection apparatus that can be easily deployed and operated to perform an inspection operation on the top guide of a BWR. These aspects and others are provided by an improved apparatus structured to be received into a boiling water reactor (BWR) and to be usable to inspect at least a portion of a top guide of the BWR, the top guide having a plurality of beams arranged in a grid pattern, the top guide further having a number of receptacles, a receptacle of the number of receptacles being defined by and situated adjacent a subset of beams of the plurality of beams that can be generally stated as including a first pair of beams of the plurality of beams and a second pair of beams of the plurality of beams, each beam of the plurality of beams having an upper edge. The apparatus can be generally stated as including a housing that can be generally stated as including a base and a pair of supports, the pair of supports being situated on the base, each support of the pair of supports having an engagement edge, the engagement edges being structured to be received atop the upper edges of one of the first pair of beams and the second pair of beams, an alignment assembly situated on the base and which can be generally stated as including a plurality of legs and an actuator, the actuator being operable to simultaneously move the plurality of legs between a retracted position wherein at least one of the legs of the plurality of legs is structured to be disengaged from the subset of beams and an extended position wherein all of the legs of the plurality of legs are structured to be engaged with the subset of beams, and an inspection system situated on the base and that can be generally stated as including at least a first inspection device that is structured to be situated in proximity to the upper edge of a beam of the other of the first pair of beams and the second pair of beams when the legs are in the extended position and the engagement edges are received atop the upper edges of the one of the first pair of beams and the second pair of beams. Other aspects of the disclosed and claimed concept are provided by an improved apparatus structured to be received into a boiling water reactor (BWR) and to be usable to inspect at least a portion of a top guide of the BWR, the top guide having a plurality of beams arranged in a grid pattern, the top guide further having a number of receptacles, a receptacle of the number of receptacles being defined by and situated adjacent a subset of beams of the plurality of beams that can be generally stated as including a first pair of beams of the plurality of beams and a second pair of beams of the plurality of beams, each beam of the plurality of beams having an upper edge. The apparatus can be generally stated as including a housing that can be generally stated as including a base and a pair of supports, the pair of supports being situated on the base, each support of the pair of supports having an engagement edge, the engagement edges being structured to be received atop the upper edges of one of the first pair of beams and the second pair of beams, an alignment assembly situated on the base and can be generally stated as including a plurality of legs that are structured to be engaged with the subset of beams, an inspection system situated on the base and that can be generally stated as including at least a first inspection device that is structured to be situated in proximity to the upper edge of a beam of the other of the first pair of beams and the second pair of beams when the engagement edges are received atop the upper edges of the one of the first pair of beams and the second pair of beams, and the inspection system further can be generally stated as including a drive apparatus that is situated on the base, the at least first inspection device being situated on the drive apparatus, the drive apparatus being operable to translate the at least first inspection device along an inspection path between a first location adjacent a first support of the pair of supports and a second location adjacent a second support of the pair of supports. Similar numerals refer to similar parts throughout the specification. An improved apparatus 4 in accordance with the disclosed and claimed concept is depicted in FIGS. 1-7 and is depicted in section in FIGS. 8-12. The apparatus 4 is an inspection apparatus that is usable in performing an inspection operation on a Boiling Water Reactor (BWR) 6 such as is depicted generally in FIG. 5. More particularly, the apparatus 4 is configured to perform an inspection operation such as an ultrasonic (UT) inspection operation on a top guide 8 (FIGS. 5 and 7) of the boiling water reactor 6. As can be understood from FIGS. 5 and 7, the top guide 8 includes a plurality of beams that are arranged in a grid pattern. The beams are indicated at the numerals 10A, 10B, 10C, 10D, 10E, and 10F, which may be collectively or individually referred to herein with the numeral 10. It is noted that the numeral 10 refers to other beams of the top guide 8 that are not otherwise specifically enumerated herein. The beams 10 each have an upper edge 12 upon which the apparatus 4 is receivable, as will be set forth in greater detail below. The top guide 8 further includes a plurality of receptacles, two of which are indicated at the numerals 14A and 14B, and which may be collectively or individually referred to herein with the numeral 14. It is noted that other receptacles are likewise indicated at the numeral 14 if they are expressly enumerated otherwise herein. As can be understood from FIGS. 6 and 7, the receptacle 14A is situated adjacent the beams 10A, 10B, 10C, and 10D and, more specifically, is defined by and is situated adjacent segments of those four beams. The beams 10A and 10B are parallel one another and can be said to form a first pair of beams, and the beams 10C and 10D are likewise parallel with one another and can likewise be said to form a second pair of beams. The receptacle 14A is thus defined and is situated adjacent the segments of the first and second pairs of beams 10A, 10B, 10C, and 10D. In a similar fashion, the receptacle 14B is defined by and is situated adjacent segments of the beams 10B, 10E, 10D, and 10F. The segments of the beams 10B and 10E form another first pair of beams, and the segments of the beams 10D and 10F form another second pair of beams which together define and are situated adjacent the receptacle 14B. It can further be seen that the receptacles 14A and 14B can be said to be situated diagonally adjacent one another within the grid that is formed by the beams 10. FIG. 6 depicts the receptacle 14A as having a pair of fuel bundles 13 situated therein and as further having a dummy pair 15 situated therein in place of a diagonally opposed pair of other fuel bundles that have already been removed. As noted elsewhere herein, the apparatus 4 is usable on any receptacle 14 whether or not some or all of the fuel bundles have been removed from the receptacle and regardless of whether any such fuel bundles may have been replaced with dummy fuel structures. This is highly advantageous since it does not require the removal of the fuel bundles and likewise does not require that the fuel bundles be removed and stored elsewhere in an appropriate location, thus saving time, effort, and money. The apparatus 4 can be broadly construed as including a housing 16, an alignment assembly 20 situated on the housing 16, and an inspection system 22 that is likewise situated on the housing. The housing 16 itself can be said to include a base 26 and a pair of supports that are indicated at the numerals 28A and 28B, and which may be collectively or individually referred to herein with the numeral 28. The supports 28 are situated on the base 26 and extend away from the base 26 in a first direction 30. The supports 28 each include an engagement edge 32 at an end thereof opposite the base 26. As will be set forth in greater detail below, the engagement edges 32 are receivable on the upper edges 12 of a pair of beams 10 and, more specifically, are receivable atop the upper edges 12 of a pair of segments of an pair of parallel and adjacent beams 10 that are situated adjacent and that define one of the receptacles 14. The alignment assembly 20 can be said to include four legs that are indicated at the numerals 34A, 34B, 34C, and 34D, and which may be collectively or individually referred to herein with the numeral 34. The legs are each of an approximately L-shaped configuration as can be seen in the accompanying drawings. The alignment assembly 30 further includes an actuator 38 (such as is depicted in FIGS. 8-12) that is situated on the base 26. More specifically, the actuator 38 can be said to include a stationary portion 40 that is affixed to the base 26 and a follower 44 that is movable with respect to the stationary portion 40 and which is connected with the legs 34, as will be set forth in greater detail below. The actuator 38 can be any of a wide variety of mechanical actuator devices and may be, for example, of a pneumatic configuration or a hydraulic or electric configuration or the like, without limitation. The alignment assembly 20 further includes a hub 46 such as is depicted generally in FIG. 2 and which is affixed to an underside of the base 26. The legs 34 are each pivotably connected with the hub 46. More particularly, the legs 34 each include a first portion 50 that is pivotably connected with the hub 46 and which can be said to extend in a direction generally away from the hub 46. The legs 34 each further include a second portion 52 that is connected with the first portion 50 and which extends in the first direction 30 generally away from the corresponding first portion 50. As can be understood in the accompanying drawings, the first portions 50 of the legs 34A and 34B can be said to extend away from the hub 46 and toward the supports 28. The second portions 52 of the legs 34A and 34B can be said to extend along and adjacent the supports 28. The first portions 50 of the legs 34C and 34D extend away from the hub 46 but are situated approximately midway between the supports 28, and the second portions 52 of the legs 34C and 34D likewise extend in the first direction 30 away from the first portions 50 but are situated approximately midway between the supports 28. The alignment system 20 further includes four links that are indicated at the numerals 56A, 56B, 56C, and 56D, and which extend between the legs 34A, 34B, 34C, and 34D, respectively, and a connector 48 that is affixed to the follower 44. The links 56A, 56B, 56C, and 56D may be collectively or individually referred to herein with the numeral 56. As a general matter, the links 56 are each pivotably connected at one end with the connector 48 and are pivotably connected at the opposite end with the corresponding leg 34. In the depicted exemplary embodiment, the links 56 each have a yoke-type connection with the corresponding leg 34. The inspection system 22 can be said to include a pair of inspection devices that are indicated at the numerals 58A and 58B, and which may be collectively or individually referred to herein with the numeral 58. The inspection system 22 further includes a tractor 62 is movably situated on the base 26 and upon which the inspection devices 58 are disposed. The inspection system 22 additionally includes a drive apparatus 64 that is disposed on the base 26 and which is connected with the tractor 62. The drive apparatus 64 is operable to move the tractor 62 between a first position at one end of the base 26 adjacent the support 28A, as is depicted generally in FIGS. 1-3, and a second position adjacent an opposite end of the base 26 adjacent the support 28B, as is depicted generally in FIG. 4. Such movement of the inspection system 22 between the first and second positions at the opposite ends of the base 26 causes the inspection device 58A to move along an inspection path 68A and to cause the inspection device 58B to move along an inspection path 68B. It is noted that FIG. 3 depicts the inspection device 58B slightly lower than the inspection device 58A, i.e., in slightly different vertical positions, in order to illustrate that the inspection device 58B is situated behind the inspection device 58A in FIG. 3, but in FIG. 4 the inspection devices 58 are depicted as being in the same vertical position. As can be seen in the accompanying drawings, the tractor 62 can be said to include a plate 70 and a number of wheels 74 that are mounted to the plate 70 and that are rollably engaged with inboard surfaces of the base 26. As employed herein, the expression “a number of” and variations thereof shall refer broadly to any non-zero quantity, including a quantity of one. The drive apparatus 64 can be said to include an elongated cylinder 76 that is mounted to the base 26 and which is operably connected with the plate 70. In the depicted exemplary embodiment, the cylinder 76 is a pneumatic cylinder having a magnetic element situated therein and which is caused to translate along the interior of the cylinder 76 due to varying pneumatic pressures in different regions of the cylinder 76. The magnet that is situated within the cylinder 76 is magnetically connected with a ferromagnetic structure that is situated on the plate 70. It is noted, however, that the cylinder 76 can be of any of a wide variety of configurations other than pneumatic, such as hydraulic or motor-operated, by way of example and without limitation. The inspection devices 58 can each be said to include a holder 80 and an inspection element 82. The holder 80 is situated on the plate 70 of the tractor 62. The inspection element 82 is situated on the holder 80 at a location at the end of the holder 80 opposite the plate 70 such that the inspection element 82 is spaced in the first direction 30 away from the base 26. More specifically, the holder 80 can be said to include a platform 86 that is affixed to the plate 70 and to further include an extension mechanism 88 that is situated on the platform 86. The holder 80 can further be said to include a mount that is situated on the extension mechanism 88 and which holds the inspection element 82. The extension mechanism 88 is operable to move the mount 92 and the inspection element 82 that is situated thereon between, for instance, a first position, such as is depicted generally in FIGS. 8-11 and a second position such as is depicted generally in FIG. 12, with the second position being relatively farther away from the base 26 and relatively closer to the upper edge 12 of one of the beams 10 than the first position. As a general matter, it is understood that the inspection elements 82, which may be ultrasonic (UT) inspection elements or other inspection elements, are sensitive devices. By providing the extension mechanism 88, the inspection elements 82 can be in a retracted position relatively closer to the base 26 in order to avoid collisions between the inspection elements 82 and, for instance, the fuel bundles 13 or the beams 10, by way of example, when the apparatus is being received atop a pair of the beams 10. Moreover, the inspection elements 82 need not be situated in the second position of FIG. 12 in order to perform an inspection operation on the beams 10. Rather, an inspection can be performed even if the inspection elements 82 are in the position depicted generally in FIGS. 8-11 as long as the inspection elements 82 are maintained at a predetermined proximity, i.e., at a fixed distance, with respect to the beam 10 that is being inspected by the inspection element 82 as the inspection element is moved through its inspection path. As can be understood from FIGS. 8-12, the alignment assembly 20 is movable between a retracted position, such as is depicted generally in FIGS. 8-9, and an extended position, such as is depicted generally in FIGS. 10-12. In the retracted position, the follower 44 and the connector 48 that is situated thereon are spaced relatively farther away from the stationary portion 44 than in the retracted position of FIGS. 10-12. Movement of the follower 44 and the connector 48 in the first direction 30, i.e., in the downward direction from the perspective of FIG. 8-12, from the extended position to the retracted position causes the links 56 to simultaneously pull the legs 34 in a generally inward direction such that the second portions 52 move generally toward one another and such that one or more of the legs 34 is disengaged from the segments of the beams 10 that are situated adjacent the receptacle 14A. However, when the follower 44 and the connector 48 are caused to move relatively closer to the stationary portion 44, i.e., in the upward direction from the perspective of FIG. 8-12, the legs 34 are simultaneously pivoted in a generally outward direction such that the second portions 52 move generally away from one another to cause the legs 34 to engage the segments of the beams 10 that are situated adjacent the receptacle 14A. For instance, and as can be understood from FIGS. 8 and 9, in the retracted position the leg 34A is spaced away from the beam 10C, the leg 34B is spaced away from the beam 10D, the leg 34C is spaced away from the beam 10B, and the leg 34D is spaced away from the beam 10A. When the actuator 38 is actuated to cause the follower 44 and the connector 48 attached thereto to move in the upward direction from the perspective of FIGS. 8-12 to be relatively closer to the stationary portion 40, however, the legs 34 are simultaneously pushed in a generally outward direction to cause the leg 34A to engage the beam 10C, to cause the leg 34B to engage the beam 10D, to cause the leg 34C to engage the beam 10B, and to cause the leg 34D to engage the beam 10A. Such simultaneous movement of the legs 34 between the retracted position of FIGS. 8 and 9 and the extended position of FIGS. 10-12 causes the housing 16 to self-align with the beams 10 of the receptacle 14, by way of example. For instance, if the apparatus 4 is relatively closer to the beam 10A than it is to the beam 10B, the simultaneous motion of the legs 34 toward the extended position will cause the leg 34D to engage the segment of the beam 10A within the receptacle 14A prior to the time at which the leg 34C engages the segment of the beam 10B within the receptacle 14A. Such engagement of the leg 34D with the beam 10A and such movement of the leg 34D toward the extended position while the leg 34C remains disengaged from the beam 10B will cause the apparatus 4 to be moved generally toward the beam 10B, i.e., generally in the rightward direction with respect to the top guide 8 from the perspective of FIG. 8, until the leg 34C engages the beam 10B. Such a movement of the apparatus 4 is an alignment movement which causes the apparatus 4 to be centered above the beams 10A and 10B. A similar alignment movement occurs simultaneously therewith if the apparatus 4 is relatively closer to one of the beams 10C and 10D than the other, which would be along the left-right direction in FIG. 9. The two simultaneous alignment movements cause the apparatus 4 to be centered with respect to the segments of the beams 10A, 10B, 10C, and 10D above the receptacle 14A, which also causes the supports 28A and 28B to become aligned with the segments of the beams 10C and 10D in the example presented herein. It is understood that FIGS. 8 and 9 depict the housing 16 as already being aligned with the beams 10A, 10B, 10C, and 10D in order to better illustrate the movement of the legs between the retracted and extended positions. It is understood, however, that in actual operation the apparatus 4 typically will be positioned such that the engagement edges 32 of the supports 28 are spaced a certain distance above the upper edges 12 of the beams 10 while the second portions 52 of the legs are received in the receptacle 14. The alignment assembly 20 will then be energized to cause the legs 34 to simultaneously move from the retracted position toward the extended position, which will cause the housing 16 to become aligned with the beams 10A, 10B, 10C, and 10D, at which point the apparatus 4 can be further moved in the downward direction from the perspective of FIGS. 8 and 9 to cause the engagement edges 32 to be received atop the upper edges 12 of the beams 10 that define and that are situated adjacent the receptacle 14B. In this regard, it can be seen in FIG. 6 that the legs 34 are movable within the narrow spaces between the fuel bundles 13 and/or the dummy pair 15, and it can be seen that the free ends of the second portions 52 are narrowed, i.e., compared with the first portions 50, in order to enable such movement between the fuel bundles 13. It can also be seen that the free ends of the supports 28 are narrowed, i.e., compared with the portions of the supports 28 that are directly connected with the base 26, to facilitate the ends of the supports 28 being received between fuel bundles 13 and/or dummy pairs 15 of adjacent receptacles 14. With the alignment assembly 20 in the extended position to enable the engagement edges 32 of the supports 28 to be received on the upper edges 12 of the beams 10C and 10D, the inspection system 22 is ready to inspect the segments of the beams 10A and 10B that are situated adjacent the receptacle 14A. Depending upon the needs of the given application, the inspection elements 82 can be retained in the position spaced a fixed distance above the upper edges 12 of the beams 10A and 10B, as is depicted in FIGS. 10 and 11. The drive apparatus 64 can then be energized to cause the tractor 62 to move the inspection devices 58 between the first location, such as is depicted generally in FIGS. 8-12, and the second location, such as is depicted generally in FIG. 4. Again, such movement between the first and second locations causes the inspection elements 82 to move through a pair of inspection paths 68A and 68B to cause the inspection elements 82 to perform an inspection operation on the segments of the beams 10 that are situated underneath the inspection elements 82. Once the inspection operation of the first pair of segments of the beams 10, which are the segments of the beams 10A and 10B in the example presented herein, the alignment assembly 20 can be moved from the extended position back to the retracted position, and the apparatus 4 can be lifted in the vertically upward direction, if necessary, and can be physically rotated above the top guide 8 through ninety degrees, after which the alignment assembly 20 can be energized to cause the legs 34 to simultaneously return to the extended position to thereby again align the apparatus 4 with the other pair of beams 10 of the receptacle 14. In such a situation, the engagement edges 32 would become aligned with and engaged with the upper edges 12 of the segments of the beams 10A and 10B that had just been inspected by the inspection system 22, and the inspection system 22 would be positioned to perform an inspection on the segments of the beams 10C and 10D, i.e., the beams on which the supports 28 had previously been situated. To perform the inspection, the drive apparatus could be energized to cause the inspection elements 82 to move from the second location that is depicted in FIG. 4 back to the first location that is depicted in FIGS. 1-3. Alternatively, the inspection elements 82 might need to be returned to the first position of FIGS. 1-3 before another inspection operation can be performed. Again, the inspection operation will be performed with the inspection elements 82 situated at the fixed distance from the upper edges 12 of the beams 10, such as is depicted generally in FIGS. 8-11, or the extension mechanism 88 can be operated to cause the inspection elements 82 to move to the second position relatively closer to the beams 10 or, if needed, physically in contact with the upper edges 12 of the beams 10, to perform the inspection as needed depending upon the requirements of the given application. After the inspection of the segments of the beams 10A, 10B, 10C, and 10D adjacent the receptacle 14A is complete, the apparatus 4 can be removed from the receptacle 14A and can be moved to an adjacent receptacle, such as the receptacle 14B. As noted elsewhere herein, the receptacles 14A and 14B are diagonally situated with respect to one another. In this regard, it can be understood that the four segments of the beams 10A, 10B, 10C, and 10D, which were inspected by the inspection system 22 in the exemplary set of operations noted above, are shared by four other receptacles 14 that are adjacent the receptacle 14A. For example, the segment of the beam 10A that was inspected in the aforementioned procedure is shared with the receptacle 14 that is immediately to the left in FIG. 7 of the receptacle 14A. Likewise, the segment of the beam 10C that was inspected in the aforementioned procedure is shared with the receptacle 14 that is situated directly above the receptacle 14A in FIG. 7. It thus can be understood that progressive inspections of receptacles can advantageously occur in a diagonal direction from the perspective of FIG. 7, and it can further be understood that only about one half of the receptacles need to have the apparatus 4 received therein since the segments of the beams 10 are shared by adjacent receptacles 14. It thus can be understood that the apparatus 4 can easily be used to inspect the beams 10 of the top guide 8. The apparatus 4 is relatively small and is provided with a lug 96 that is situated generally centrally on the base 26 and which can be caused to cooperate with poles and the like that can be manually held from locations vertically above the environment of the BWR 6. The apparatus 4 is lightweight and occupies only a relatively small region of the top guide 8, and it therefore does not impede other activities that can be caused to occur on other portions of the BWR at other locations on the top guide 8. It also can be understood that multiple instances of the apparatus 4 can be deployed to inspect different portions of the top guide 8. This is suggested from FIGS. 5 and 7. Furthermore, it can be seen that the inspection system 22 is movable along a sufficient distance that the edges of the beams 10 all the way to the circular frame 98 of the top guide 8 can be inspected, which is desirable. Other benefits will be apparent. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
047284862
summary
FIELD OF THE INVENTION A pressure control system for a pressurized nuclear water reactor and method for operating the same provides means for reducing the time for a safety valve or power operated relief valve of a pressurizer to close a steam line, following discharge of a water seal from loop seals associated with each of the valves. BACKGROUND OF THE INVENTION In a pressurized water nuclear reactor plant, a primary coolant loop and secondary coolant loop are used to produce steam for the production of electricity. In the primary coolant loop, a pressurized fluid is passed through a nuclear reactor and, after being heated, through a line which contains a pressurizer, to a steam generator. The heated fluid enters the primary side of the steam generator which is divided into an inlet section and an outlet section by a divider plate. A tub sheet divides the steam generator into the primary side and a second side, which tube sheet has an array of holes having U-shaped heat transfer tubes inserted therein, which communicate between the inlet section and outlet section of the primary side of the steam generator. In operation, the heat pressurized fluid passes through the U-shaped heat transfer tubes and is discharged from the outlet section of the primary side of the steam generator to a line, containing a primary coolant pump, back to the reactor in a continuous closed loop. Secondary coolant is passed through the secondary side of the steam generator where it is converted into steam by heat released by the primary coolant passing through the U-shaped heat transfer tubes, which steam is used to drive a turbine to produce electricity. The reactor coolant pressure is controlled by a pressure control system containing a pressurizer, which is a vertical, cylindrical vessel with hemispherical top and bottom heads, wherein water and steam are maintained in equilibrium by electrical heaters and water sprays. Steam can be formed by activating the heaters to increase the pressure in the primary coolant loop, or condensed by the water sprays to reduce the pressure. Power operated relief valves and spring loaded safety valves are connected to the pressurizer and discharge to a pressurizer relief tank, where steam from the pressurizer is condensed and cooled by mixing with water. The pressure control system for the primary loop thus includes the pressurizer and the associated sprays, heaters, power operated relief valves, safety valves, relief tank, and surge lines. This equipment is designed to accommodate changes in system volume and to limit changes in system pressure due to reactor coolant loop temperature variations during all modes of plant operation. To reduce the problem of leakage through the valve seats, a water seal is maintained upstream of each valve seat of the pressurizer valves. The pipes connecting the pressurizer nozzles to their respective valves are shaped in the form of a loop seal. If the pressurizer pressure exceeds the set pressure of the valves, they will open, and the water from the loop seal will discharge during the accumulation period. The power operated relief valves and safety valves, which must close a steam line after the pressure drops below a desired trip threshold, do not fully seal so long as steam continues to pass over the valve seat. Conventionally, the water seal in the loop seal associated with each valve is formed by condensation of steam in the area of the loop seal. During such condensation, steam continues to bleed through the valve creating an upstream pressure (or source) stabilization problem, and this high pressure jet bleeding of steam threatens the valve integrity by rapidly eroding the valve seat. Thus, until a replacement water seal for the valve seat is formed, problems exist. It is an object of the present invention to provide an improved pressure control system for a pressurized water nuclear reactor system which results in a decrease in the response time of closing of the power operated relief valves and safety valves associated with the pressurizer. It is another object of the present invention to provide an improved pressure control system for a pressurized water nuclear reactor system that enhances the life and reliability of power operated relief valves and safety valves associated with the pressurizer. It is a further object of the present invention to provide a method of quickly closing and sealing, by means of a water seal, the power operated relief valves and safety valves associated with the pressurizer of a pressurized water nuclear reactor plant. SUMMARY OF THE INVENTION An improved pressure control system for a pressurized water nuclear reactor, and method of operating the same, has a charging conduit for charging water to the loop seals of power operated relief valves and safety valves on the pressurizer. A temperature detector is provided on each loop seal which senses a rise in temperature in the area of the loop seal upon discharge of the water seal therefrom and actuates a valve to supply water to the charging conduits. The water supply is taken from the line which feeds water to the sprayers in the pressurizer. The water supply conduit has a flow restriction orifice therein and flow restriction orifices are also provided to control the rate of flow of water to each loop seal. When a respective valve closes adjacent the loop seal and the loop seal is again filled with water, the temperature therein is lowered and the temperature detector senses the lower temperature and closes the valve in the water supply conduit.
abstract
A system for the storage of a radioactive waste product, wherein the radioactive waste product is located in a container and where one or more containers are stored in a bore hole drilled beneath a surface and having a bottom, wherein following placement of the one or more containers in the bore hole closer to the bottom, a fluid is added to the bore hole to a height above the upper most container, and wherein an upper cover is located above the upper most container and above the fluid to seal in the one or more containers and a non-permeable material is placed above the upper cover, a container storage area being formed within the bore hole beneath the upper cover.
summary
abstract
A replacement light apparatus includes a base plate, a grip plate, a bearing member, and a light source. The grip plate extends from a first surface of the base plate. The bearing member extends from a second surface of the base plate that is opposite the first surface. The bearing member includes a bearing surface disposed in a first plane that is perpendicular to a second plane, in which the base plate is disposed. The light source is mounted on the bearing surface of the bearing member and adapted to project a cone of light centered on an illumination axis that extends perpendicular to the bearing surface.
abstract
A charged particle beam inspection apparatus includes: an electron gun emitting an electron beam; first and second condenser lenses used to focus the electron beam; a beam control panel disposed between the first and second condenser lenses; and a control unit performing stabilizing processing in which excitation currents respectively supplied to the first condenser lens and the second condenser lens are set to have predetermined values, thereby the current amount of the electron beam passing through an opening of the beam control panel is regulated so that the electron beam to be emitted onto the sample has a larger current amount than that at a measurement, and then the electron beam is emitted onto the sample for a predetermined time period. After the stabilizing processing, the control unit sets the values of the excitation currents back to values for the measurement in order to measure dimensions of the sample, the excitation currents respectively supplied to the first and second condenser lenses.
summary
summary
abstract
An imaging system is provided, configured for providing three-dimensional data of a region of interest. The system comprising: an optical unit and a control unit. The optical unit comprises a radiation collection unit and a detection unit. The radiation collection unit comprises at least two mask arrangement defining at least two radiation collection regions respectively, the mask arrangements are configured to sequentially apply a plurality of a predetermined number of spatial filtering patterns formed by a predetermined arrangement of apertures applied on radiation collected thereby generating at least two elemental image data pieces corresponding to the collected radiation from said at least two collection regions. The control unit comprising is configured for receiving and processing said at least two elemental image data pieces and determining a plurality of at least two restored elemental images respectively being together indicative of a three dimensional arrangement of the region being imaged.
052573052
abstract
A slit radiography device scans a body under examination with a fan shaped X-ray beam. A number of absorption elements placed next to each other can be moved into the X-ray beam to a greater or lesser extent in order to influence the X-ray radiation incident on the body per sector of the X-ray beam.. As seen in the longitudinal direction of the slit the absorption elements are designed such that a certain distance is present between them. As seen in the direction of the X-ray radiation the thickness of the material of the absorption elements is always the same, i.e. there are no gaps between the absorption elements that the X-ray radiation can shine through.
description
This application is a division of U.S. patent application Ser. No. 14/299,268, filed on Jun. 9, 2014, now U.S. Pat. No. 9,875,817, the entire disclosure of which is incorporated by reference herein. This invention was made with Government support under Contract No. DE-NE0000583 awarded by the Department of Energy. The Government has certain rights in this invention. The following pertains to the nuclear reactor arts, nuclear power arts, nuclear reactor safety arts, and related arts. Existing nuclear power plants are typically light water thermal nuclear reactors of the boiling water reactor (BWR) or pressurized water reactor (PWR) designs. In such a reactor, a nuclear reactor core comprising fissile material (typically a uranium compound such as UO2 enriched in fissile 235U) is disposed in coolant (purified water) contained at an operational pressure and temperature in a reactor pressure vessel. A nuclear chain reaction involving fission of the fissile 235U generates heat in the nuclear reactor core which is transferred to the coolant. In a BWR design, the heat directly converts coolant to steam, and steam separator/dryer hardware contained in the reactor pressure vessel generates steam that is output via large-diameter piping to a turbine to generate electricity (in a nuclear power plant setting; more generally the output steam is used to perform other useful work). The condensed coolant from the turbine is fed back into the BWR pressure vessel via additional large-diameter piping. In a PWR design, the primary coolant remains in a liquid state (e.g. subcooled) and is piped via large-diameter piping to an external steam generator where heat from the (primary) reactor coolant converts (separate secondary) coolant to steam that in turn drives the turbine. The condensed coolant from the steam generator is fed back into the PWR pressure vessel via additional large-diameter piping. In such designs, the reactor pressure vessel is relatively compact. It contains the reactor core and associated internals such as control rods, and (in the of a BWR) the steam separator/dryer hardware, along with attached ancillary equipment such as control rod drive systems and valves. The nuclear reactor core is typically the heaviest component and it is located in the lower portion of the reactor pressure vessel so as to reduce likelihood of the core being uncovered in the event of a loss of coolant accident (LOCA). The large-diameter piping connecting the reactor pressure vessel with the coolant loop to the turbine (for a BWR) or steam generator (for a PWR) also provides structural support for the compact reactor pressure vessel. In some embodiments described herein as illustrative examples, a nuclear island comprises: a nuclear reactor including a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel; a vertically oriented pin attached to the bottom of a lower vessel head of the reactor pressure vessel; a pin socket disposed on a floor underneath the nuclear reactor and receiving the vertically oriented pin; and thermal insulation jacketing the lower portion of the reactor pressure vessel including the lower vessel head. In some embodiments the vertically oriented pin defines a vertical axis that intersects the center of gravity of the nuclear reactor. In some embodiments the vertically oriented pin comprises a circular cylindrical pin. In some embodiments, the nuclear island of the immediately preceding paragraph further comprises a support base including the pin socket. The support base is disposed on the floor underneath the nuclear reactor and is configured to be laterally immobilized. In some such embodiments, one or more abutment elements are secured to the floor underneath the nuclear reactor, and one or more peripheral surfaces of the support base engage the one or more abutment elements secured to the floor underneath the nuclear reactor to laterally immobilize the support base. The abutment elements may comprise walls of a reactor cavity that contains a lower portion of the nuclear reactor including at least the nuclear reactor core and the lower vessel head. In some embodiments, the support base includes radial beams extending radially outward from the pin socket and the one or more peripheral surfaces of the support base that engage the one or more abutment elements secured to the floor underneath the nuclear reactor comprise outboard ends of the radial beams. In some embodiments the support base includes a socket plate on which the pin socket is attached, and the one or more peripheral surfaces of the support base that engage the one or more abutment elements secured to the floor underneath the nuclear reactor comprises an outer perimeter of the socket plate. In some embodiments employing such a socket plate, the one or more abutment elements secured to the floor underneath the nuclear reactor may comprise a retaining ring plate secured to the floor underneath the nuclear reactor and having an inside perimeter that comports with the outer perimeter of the socket plate. In some embodiments employing a socket plate, the outer perimeter of the socket plate is a polygonal outer perimeter that further includes tabs extending from the sides of the polygonal outer perimeter that mate into recesses of the one or more abutment elements secured to the floor underneath the nuclear reactor. Gaps between the tabs and the recesses in the radial direction are larger than gaps between the tabs and the recesses on the sides of the tabs. In some nuclear island embodiments as described in the two immediately preceding paragraphs, the thermal insulation jacketing the lower portion of the reactor pressure vessel including the lower vessel head comprises a cylindrical jacket comprising thermal insulation that surrounds the lower portion of the pressure vessel including the lower vessel head, wherein the cylindrical jacket narrows toward the vertically oriented pin attached to the bottom of the lower vessel head of the reactor pressure vessel. In some such embodiments, a cylindrical plenum is defined between the outside surface of the reactor pressure vessel and the inside surface of the cylindrical jacket, the cylindrical plenum having a lower inlet defined by the narrowing of the cylindrical jacket toward the vertically oriented pin attached to the bottom of the lower vessel head of the reactor pressure vessel. Optionally, ducts may be configured to admit water into the lower inlet of the cylindrical plenum. In some embodiments described herein as illustrative examples, a nuclear island comprises: a nuclear reactor including a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel; a floor connection extending between the bottom of a lower vessel head of the reactor pressure vessel and a floor underneath the nuclear reactor; and a reactor core retention cooling system comprising one or more baffles defining a plenum between the baffles and the exterior surface of a lower portion of the reactor pressure vessel including at least the lower vessel head, the plenum narrowing toward the floor connection to define a lower inlet that surrounds the floor connection. The one or more baffles may include thermally insulating material, such as by way of illustrative example a thermally reflective metal multi-foil. In some embodiments of a nuclear island as set forth in the immediately preceding paragraph, the floor connection includes a vertically oriented pin attached to one of the bottom of the lower vessel head and the floor underneath the nuclear reactor, and a pin socket attached to the other of the bottom of the lower vessel head and the floor underneath the nuclear reactor that receives the vertically oriented pin. The nuclear island may further comprise a support base secured to the floor underneath the nuclear reactor, with the support base including the vertically oriented pin or pin socket attached to the floor underneath the nuclear reactor. In such embodiments, the one or more baffles may include one or more baffles mounted onto the support base and defining a plenum between the one or more baffles mounted onto the support base and the exterior surface of the lower vessel head. In some embodiments described herein as illustrative examples, a nuclear island comprises a nuclear reactor, a lateral seismic restraint, and a reactor core retention cooling system. The nuclear reactor suitably includes a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel having a lower vessel head disposed below the nuclear reactor core. The lateral seismic restraint suitably includes a vertically oriented pin attached to one of the bottom of the lower vessel head and a floor underneath the nuclear reactor, and a mating pin socket attached to the other of the bottom of the lower vessel head and the floor underneath the nuclear reactor. The reactor core retention cooling system suitably includes one or more baffles disposed alongside the exterior surface of a lower portion of the reactor pressure vessel including at least the lower vessel head, with a plenum defined between the one or more baffles and the exterior surface of a lower portion of the reactor pressure vessel. In some embodiments the one or more baffles define a lower inlet surrounding the lateral seismic restraint and in fluid communication with the plenum defined between the one or more baffles and the exterior surface of the lower portion of the reactor pressure vessel. The nuclear island may further comprise a support base secured to the floor underneath the nuclear reactor, wherein the vertically oriented pin or mating pin socket is attached to the floor via the support base. The one or more baffles may in some embodiments include a cylindrical jacket surrounding the lower portion of the pressure vessel including the lower vessel head, the cylindrical jacket having a narrowed lower end defining a central plenum inlet containing the lateral seismic restraint. In such embodiments, the reactor core retention cooling system may further comprise radial ducts configured to admit water into the lower plenum inlet. In some embodiments the one or more baffles include thermally insulating material. With reference to FIG. 1, an illustrative nuclear reactor island includes a nuclear reactor 10 comprising a nuclear reactor core 12 disposed in a reactor pressure vessel 14. It is to be understood that the reactor pressure vessel 14, which is typically a stainless steel or other metal vessel, is opaque such that the nuclear reactor core 12 is occluded by the reactor pressure vessel 14; accordingly, FIG. 1 shows the reactor core 12 diagrammatically in phantom, i.e. using dashed lines, to indicate it is actually hidden from view being disposed inside the reactor pressure vessel 14. Illustrative reactor pressure vessel 14 is of a typical design in which the reactor pressure vessel comprises a cylindrical main body (optionally with some deviation from a perfect mathematical cylindrical shape, for example to accommodate flanges or other vessel penetrations, small increases or decreases in cylinder diameter along the length of the cylinder, or so forth) with its cylinder axis oriented vertically, and further includes an upper vessel head 14uH closing the top of the cylindrical main body and a lower vessel head 14LH closing the bottom of the cylindrical main body. In such a design, the nuclear reactor core 12 is typically disposed near the bottom of the pressure vessel, that is, closer to the lower vessel head 14LH than to the upper vessel head 14uH. The illustrative nuclear reactor 10 is of the pressurized water reactor (PWR) variety, but differs from a conventional PWR in that the nuclear reactor 10 further includes an internal steam generator 16 disposed inside the reactor pressure vessel 14. (As with the core 12, the steam generator 16 is occluded from view by the pressure vessel 14 and according is drawn in phantom in FIG. 1). Such a PWR design in which the steam generator is located internally inside the pressure vessel is known in the art as an “integral PWR”. During operation of the nuclear reactor 10, the reactor pressure vessel 14 contains coolant, and the nuclear reactor core 12 includes a fissile material. In the illustrative examples, the nuclear reactor 10 is a light water reactor employing a uranium composition such as uranium oxide (UO2) enriched in the fissile 235U isotope, and the coolant is purified water. However, other reactors are contemplated, such as a sodium-cooled nuclear reactor. During reactor operation, the nuclear reactor core 12 supports a nuclear fission chain reaction involving the fissile material (e.g. 235U), and the nuclear fission chain reaction generates heat in the core 12 that in turn heats the coolant in the reactor pressure vessel 14. The coolant serves as a heat transfer medium to transfer heat from the nuclear reactor core 12 to the internal steam generator 16. Feedwater (secondary coolant) flows into the steam generator 16 via a feedwater inlet vessel penetration 18, and steam (that is, secondary coolant converted to steam by heat from the primary coolant) exits the nuclear reactor 10 via a steam outlet vessel penetration 20. The piping connecting with the vessel penetrations 18, 20 is not illustrated, but is typically of relatively small diameter as compared with the piping of a primary coolant loop of a conventional PWR or of a BWR. The nuclear reactor 10 is disposed inside a radiological containment 24, which is typically a steel or steel-reinforced concrete structure designed to contain any radiological release from the nuclear reactor 10, for example in the event of a loss of coolant accident (LOCA). In the illustrative nuclear island of FIG. 1, the radiological containment 24 is in turn located inside a reactor service building 26. Additional components may be located inside the radiological containment 24, such as an illustrative refueling water storage tank (RWST) 28. The illustrative nuclear island of FIG. 1 employs an ultimate heat sink (UHS) in the form of passive containment cooling tanks 30 located on a top dome of the radiological containment 24, but other UHS structures are contemplated, such as a cooling tower. The floor of the radiological containment 24 includes a reactor cavity 32 inside of which is disposed the lower portion of the nuclear reactor 10 including the nuclear reactor core 12 and the lower portion of the reactor pressure vessel 14 which contains the core 12. However, bottom-supporting the nuclear reactor 10 in this position raises certain difficulties. Unlike a conventional PWR or BWR design, the nuclear reactor of illustrative FIG. 1 has a relatively high center-of-gravity 34 due to the presence of additional components, such as the steam generator 16, in the upper portion of the pressure vessel 14. Additionally, the integral PWR 10 does not have connected large-diameter piping for an external primary coolant loop, and hence does not benefit from the structural support provided by such large-diameter pipe connections. Another concern with bottom-supporting the nuclear reactor 10 in the reactor cavity 32 is that in the event of a LOCA or other event leading to the core 12 heating beyond its operational design limits, the bottom support may be compromised. An alternative approach (not illustrated) for addressing these issues is to employ a top-supported configuration, e.g. suspending the nuclear reactor from above. However, a top-supported configuration has its own disadvantages. It complicates reactor refueling, because in the refueling process an upper head or other upper portion of the reactor pressure vessel is usually removed and fuel assemblies are unloaded and loaded from above via the open upper end. A top-supported configuration can also be susceptible to lateral movement of the nuclear reactor during a seismic event. In the illustrative example of FIG. 1, and with further reference to FIGS. 2 and 3, the nuclear reactor (and more particularly the reactor pressure vessel 14) is supported in suspended fashion at or near a flange coupling 36 of the reactor pressure vessel 14 by a set of three support engagement surfaces 40 built into or otherwise anchored to the top of the reactor cavity 32 or otherwise anchored to the civil structure of the radiological containment 24. The illustrative flange coupling 36 is located at around the mid-elevation of the pressure vessel 14. In the illustrative integral PWR design, during refueling the reactor pressure vessel 14 is opened at the flange coupling 36 and the upper portion of the pressure vessel 14 including the steam generator(s) 16 is lifted off to provide access to the nuclear reactor core 12. This is merely an illustrative design, and in other contemplated embodiments the flange coupling 36 may be located elsewhere, for example near the top of the pressure vessel so that an upper vessel head may be removed via the flange coupling to perform the refueling. Regardless of the flange coupling location, the nuclear reactor 10 is preferably supported in suspended fashion at an elevation located below the center-of-gravity 34 of the nuclear reactor 10. In the illustrative example this support is via the three support engagement surfaces 40, which are preferably evenly spaced at 120° intervals around the reactor pressure vessel 14. (Note that in FIGS. 1 and 3, only two of the support engagement surfaces 40 are visible, while in the perspective view from an elevated vantage point shown in FIG. 2 all three support engagement surfaces 40 are visible. The illustrative support engagement surfaces 40 are narrow ledges that extend inboard from the perimeter of the reactor cavity 32. The use of specifically three spaced-apart support engagement surfaces 40 has certain advantages. With this arrangement, it is ensured that each of the three spaced-apart support engagement surfaces 40 carries load of the reactor weight. Two (relatively narrow) support engagement surfaces is insufficient because the two support surfaces would define a linear axis about which the reactor could rotate, which is especially likely when the center of gravity is above the support elevation. On the other hand, with four or more spaced apart support engagement surfaces, there is a possibility that the weight of the reactor could shift off of one or more of the support engagement surfaces so that the remaining support engagement surfaces carry additional load. This is acceptable, but requires that the four or more support engagement surfaces be “over-designed” to accommodate the additional load. Using specifically three support engagement surfaces 40 spaced apart at 120° intervals around the nuclear reactor 10, as illustrated, defines a minimum support configuration off of which the weight of the reactor cannot readily shift. While a single continuous, e.g. annular, support surface could alternatively be employed, this would effectively close the top of the reactor cavity, which would impede flooding of the cavity during a LOCA response, and would also complicate reactor access for maintenance operations. FIG. 2 shows a portion of the civil structure in partial cutaway including the reactor cavity 32 and the three support engagement surfaces 40, along with a lowermost portion of the reactor pressure vessel 14 with the upper portion including the flange coupling 36 cut away. As seen in FIG. 2, the illustrative support engagement surfaces 40 each include a lug 42. FIG. 3 shows an enlarged view in partial cutaway of the top of the reactor cavity 32 and the three support engagement surfaces 40, along with the lower portion of the reactor pressure vessel 14 including a lower vessel flange 36L (which is part of the flange coupling 36). In illustrative FIG. 3 the lower portion of the reactor pressure vessel 14 is shown in a slightly elevated position, such as it might assume when being lowered into the reactor cavity 32 but before engaging the three support engagement surfaces 40. As seen in FIG. 3, lug cutouts 44 are located at the bottom outer region of the lower vessel flange 36L. These lug cutouts 44 mate with the vertical lugs 42 located on the respective support engagement surfaces 40 of the civil structure of the radiological containment structure 24. When the lower portion of the reactor pressure vessel 14 is initially lowered into the containment, it is clocked (that is, arranged rotationally) so that the lug cutouts 44 in the lower vessel flange 36L slide down over the vertical lugs 42 of the respective support engagement surfaces 40. The lugs 42 do not bear the weight of the reactor vessel 14, but rather serve as seismic restraints. The weight of the reactor pressure vessel 10 is borne by the support engagement surfaces 40, and more particularly in the illustrative embodiment by the lower edge of the lower vessel flanges 36L resting on the upper surfaces of the support engagement surfaces 40. The lug cutouts 44 in the lower vessel flange 36L are arranged in a circular pattern around the perimeter of the lower vessel flange 36L, spaced apart by 120° intervals, and are used to transfer the lateral seismic loads from the lower vessel flange 36L to the civil structure. With reference to FIG. 4, the lugs 42 and lug cutouts 44 are preferably sized with gaps between the lateral edges to allow the reactor pressure vessel 14 to thermally grow radially and not contact the civil structure lugs 42. However, such gaps can adversely impact compliance with applicable nuclear regulations regarding seismic support. In some such regulations, a gap of 1/16-inch or less is required to allow for the seismic analysis to consider the interface between the two elements 42, 44 to be in direct contact. To achieve this, as shown in FIG. 4, the gaps are optionally closed (at least partially) by placing shims 50 into these gaps that reduce the gaps less than 1/16-inch (or another target gap size). The remaining gap of 1/16-inch or less allows for the reactor pressure vessel 14 to move to accommodate radial thermal expansion and contraction, or vessel rotation, without creating friction and wear points on the shims 50. The lugs 42 and mating lug cutouts 44 thus define a seismic rotational restraint assembly that allows the reactor pressure vessel 14 to thermally expand or contract radially, but prevents rotational of the pressure vessel 14 during a seismic event. With reference to FIGS. 5 and 6, as previously mentioned the lugs 42 serve as seismic rotational restraints, and in the illustrative embodiment do not bear the weight of the reactor vessel 14. As seen in FIGS. 5 and 6, the weight of the reactor pressure vessel 10 is borne by the support engagement surfaces 40 as the lower edge of the lower vessel flange 36L rests on the support engagement surfaces 40. To prevent vertical liftoff of the lower vessel flange 36L from the support engagement surfaces 40 during a seismic event, the lugs 42 include slots 54 and the lug cutouts 44 include notches 56 aligned horizontally with the lug slots 54. At each support engagement surface 40, a locking block 60 is inserted into the lug slot 54 and extends laterally into the notches 56 in the lug cutout 44 so as to tie the lower vessel flange 36L to the support engagement surface 40 via the lug 42. This prevents the lower vessel flange 36L from vertically lifting off of the support engagement surfaces 40 during a seismic event. In this block-in-slots-and-notches arrangement, suitable gaps are provided to accommodate radial displacement due to thermal expansion of the lower vessel flange 36L. Various approaches can be employed to ensure that the shims 50 and locking blocks 60 stay in position. In one approach, which is diagrammatically indicated in FIG. 6, a seal plate 62 (shown in phantom in FIG. 6) is welded to the periphery of the lower vessel flange 36L. FIGS. 3-6 show weld buttering 64 on the lower vessel flange 36L to facilitate this welding. Thus, the lugs 42 and mating lug cutouts 44, together with the locking blocks 60, define a vertical liftoff prevention assembly that prevents the lower vessel flange 36L from lifting off the support engagement surfaces 40 during a seismic event. With returning reference to FIG. 1, the center-of-gravity 34 of the nuclear reactor 10 is located above the plane of the support engagement surfaces 40. As a result, a moment may occur when the nuclear reactor 10 undergoes a seismic event, in that the lateral seismic loading of the nuclear reactor 10 may urge a pendulum motion on the upper and lower regions of the nuclear reactor 10 about the plane of the support engagement surfaces 40. With continuing reference to FIG. 1 and with further reference to FIGS. 7 and 8, to prevent seismically induced pendulum motion of the reactor pressure vessel 10, a bottom seismic support is provided. In the illustrative embodiment, the bottom seismic support comprises a pin 70 that is connected to the bottom of the reactor pressure vessel 14 (specifically on the lower extremum, that is, bottom, of the lower vessel head 14LH of the reactor pressure vessel 14) and that extends downward and fits into a female pin socket 72 (see FIG. 8) of a support base 74 located at the bottom of the reactor cavity 32, that is, on or in the floor of the civil structure located beneath the nuclear reactor 10. The pin 70 preferably has the shape of a circular cylinder (optionally including a rounded or tapered tip, broadened region at the upper end where it connects with the reactor pressure vessel 14, or so forth). The pin socket 72 of the support base 74 is sized and shaped to receive the pin 70 with lateral tolerances small enough to provide the design-basis lateral seismic restraint. The pin 70 is oriented vertically and is coaxial with the vertical axis of the reactor pressure vessel 14. Said another way, an axis 76 labeled in FIGS. 1 and 7 is both the cylinder axis of the circular cylindrical pin 70 and the vertical axis passing through the center of gravity 34 of the nuclear reactor 10. Said yet another way, the pin 70 defines a pin axis that is coaxial with a cylinder axis of the cylindrical main body of the reactor pressure vessel 14. The pin 70 is located on the centerline of the reactor pressure vessel 14 so that as the vessel 14 thermally grows downward as the reactor 10 heats up as it is brought into operation, the pin 70 moves only vertically downward and stays within the mating pin socket 72. The pin socket 72 should be deep enough that the lower end of the pin 70 does not contact the bottom of the opening of the pin socket 72 for any credible temperature/extent of thermal expansion. The circular pin 70 is located on the reactor axis 76 and is preferably small relative to the diameter of the reactor pressure vessel 14—as a result, radial thermal growth of the pin 70 is minimized. To restrain lateral movement during a seismic event, the circular pin 70 contacts the sidewall of the support base 74 so as to eliminate pendulum motion of the nuclear reactor 10 during a seismic event. The pin 70 on the bottom of the lower vessel head 14LH of the reactor pressure vessel 14 may be fabricated in various ways. In one approach, the pin 70 is a separate element (e.g. forged, cast, machined from square stock, or cut from round steel stock) that is welded to the bottom of the lower vessel head 14LH of the reactor pressure vessel 14. In another approach, the pin 70 is fabricated integrally with the lower section of the reactor pressure vessel 14, for example being forged together with the rest of the lower vessel head 14LH as a single unitary forging. The lateral seismic load is transferred from the nuclear reactor 10 to the pin 70 and to the support base 74 which is secured to the civil structure (the floor of the reactor cavity 32 in illustrative FIG. 1). In some contemplated embodiments (not shown), the support base is the floor of the reactor cavity 32 (or other radiological containment floor located underneath the reactor), and the pin socket 72 is suitably a hole drilled into that concrete floor, optionally reinforced by an cylindrical collar of steel or another suitable material (which may optionally extend a distance above the floor). However, this approach has the disadvantage that the hole drilled into the concrete floor can present a pathway for corium ingress into the concrete in the event of an ex vessel core retention event. The opening in such a design is also susceptible to buildup of contaminants that may collect on the floor of the reactor cavity 32, although this can be reduced by employing a steel collar extending above the floor. With continuing reference to FIGS. 7 and 8, in the illustrative example the support base 74 is separate from the floor of the reactor cavity 32 (or other radiological containment floor located underneath the nuclear reactor) and rests on that floor. The illustrative support base 74 includes three horizontal legs extending away from the pin socket 72, spaced apart circumferentially at 120° intervals around the pin socket 72. Three legs is the minimum needed to provide lateral seismic restraint in any lateral direction; four or more legs are also contemplated. Alternatively the support base may be of another geometry, such as a circular base or a square base. With particular reference to FIG. 8, lateral motion of the support base 74 on this floor is prevented by suitable abutment elements 80 (shown in phantom in FIG. 8) which are secured to the floor of the reactor cavity 32 (or other radiological containment floor located underneath the nuclear reactor). The abutment elements 80 may, for example, be concrete structures deposited and set on top of the concrete floor, preferably with steel faces engaging the support base. In another embodiment, the abutment elements 80 are a steel structure whose outer circumference coincides with the circumference of the floor of the reactor cavity 32, so that the lateral seismic load is transferred via the abutment elements 80 to the bottom of the sidewall of the reactor cavity 32. Other configurations for integrating or securing the pin socket 72 to the floor of the reactor cavity 32 (or other radiological containment floor located underneath the nuclear reactor) are also contemplated. Under governing nuclear regulations of some nuclear regulatory jurisdictions, a gap of 1/16-inch or less between the pin 70 and the inner diameter (ID) of the pin socket 72 allows the seismic analysis to consider the interface between the two elements 70, 72 as being in direct contact. Because the radial thermal expansion of the (preferably small-diameter) pin 70 is small, this tolerance is expected to be readily achieved in most designs without the use of shimming. The lateral seismic load that results from the pendulum effect operating on the nuclear reactor 10 is distributed from the pin 70 to the pin socket 72 in the center of the support base 74, to the ends of the legs of the support base 74, to the abutments 80 (or to the walls of the reactor cavity 32 if the base is a plate of diameter commensurate with the reactor cavity diameter, or so forth). The support base 74 is suitably constructed of plate steel or the like of sufficient thickness, and with the legs of sufficient lateral width, to prevent buckling under the lateral loading generated by seismic pendulum movement of the nuclear reactor 10. In view of thermal considerations, it is contemplated for the support base 74 to be supported on the floor of the reactor cavity 32 by footers or the like, so as to define an air gap between the support base 74 and the floor to allow for circulation of the heating ventilating and air conditioning (HVAC) around the support base 74. It will be appreciated that the bottom seismic support comprising the pin 70 and support base 74 with the mating pin socket 72 advantageously has minimal contact with the bottom of the reactor pressure vessel 14. Thus, the bottom seismic support does not restrict water flooding the reactor cavity 32 during a LOCA response (other unscheduled reactor shutdown scenario) from contacting and immersing the lower portion of the reactor pressure vessel 14 in order to provide core cooling. The illustrative seismic restraints compensate for both lateral and vertical seismic loads, while accommodating thermal expansion. The seismic restraint system employs a two-point seismic restraint configuration, in which suspension support is provided by the support engagement surfaces 40 and lateral pendulum motion suppression is provided by the pin 70 and mating pin socket 72 along with the support base 74. The primary vertical bearing support of the nuclear reactor 10 is provided by the bottom surface of the lower vessel flange 36L resting on the support engagement surfaces 40 of the civil structure in the radiological containment. In other contemplated embodiments, the three (relatively narrow) bearing surfaces 40 could be extended to four or more such surfaces, preferably spaced at equal angular intervals around the nuclear reactor (for example, four such surfaces spaced at 90° intervals around the nuclear reactor, five such surfaces spaced at 72′ intervals around the nuclear reactor, or so forth), or could be further extended and joined together to form a single annular support surface encompassing the entire lower surface of the lower vessel flange 36L (although this latter design would likely entail adding vent openings and/or other access to the reactor cavity 32 to allow it to be flooded and steam vented). Moreover, the vertical support bearings 40 can support a feature of the pressure vessel other than the illustrative lower vessel flange 36L. For example, in a vessel design employing a removable upper vessel head for refueling, in which the flange coupling for opening the vessel is near the top of the pressure vessel (e.g. a flange coupling via which the removable upper vessel head is attached), suitable suspension support may be provided via a ledge formed by a suitable narrowed or constricted diameter portion of the pressure vessel, or may be provided by including protrusions extending from around the middle of the reactor pressure vessel. In embodiments employing protrusions, such protrusions may be integrally included as part of the vessel forging, or welded to the vessel, or attached using a tension band, or so forth. Liftoff during seismic events is suppressed by the lugs 42 and engaging locking block 60, which allows for construction and installation without exceeding precise location requirements on the interfacing civil structure. Other liftoff prevention configurations are also contemplated. For example, in an alternative embodiment in which the suspension support is via protrusions extending from around the middle of the reactor pressure vessel, such protrusions may include slots and the liftoff prevention mechanism is suitably a bolt passing through the slot and threading into a tapped hole in the support engagement surface 40 (or into a bolt located below a through-hole passing through the support engagement surface in a variant embodiment). In this approach, the bolt head is sized to be too large to pass through the slot, and the bolt is tightened down such that the gap between the top of the protrusion and the bottom of the bolt head is within the required tolerance for seismic restraint. Radial thermal expansion of the reactor pressure vessel can be accommodated in such a design by orienting the slot along the radial direction. The bolt also serves as a seismic rotational restraint assembly due to the engagement between the bolt and the slot preventing rotation of the pressure vessel. The lower point of the two-point seismic restraint configuration comprises the illustrative pin 70 and pin socket 72. Optionally, the male and female aspects of the illustrative pin 70 and pin socket 72 assembly may be reversed—that is, a pin may be anchored to the floor of the reactor cavity (or other floor of the civil structure located beneath the nuclear reactor) and a pin socket may be formed as a hole in the bottom of the reactor pressure vessel lower head. While illustrative embodiments have been described herein, it is to be appreciated that more generally the seismic restraint for the nuclear reactor 10 includes (i) a suspension support on which the nuclear reactor is supported (for example, the support engagement surfaces 40), the suspension support anchored to a civil structure of a radiological containment containing the nuclear reactor (anchored to the reactor cavity 32 of the radiological containment 24 in the illustrative examples) and defining a reactor support plane (the plane of the support engagement surfaces 40 in the illustrative examples) located above the bottom of the nuclear reactor 10 and below the center of gravity 34 of the nuclear reactor and (ii) a lateral seismic support engaging the nuclear reactor at a plane or point located below the reactor support plane (for example, the pin 70 and associated features 72, 74), the lateral seismic support configured to prevent pendulum motion of the nuclear reactor. The pin 70 and socket 72 restraint advantageously leave space free around the bottom of the lower head 14LH of the reactor pressure vessel 14. In some embodiments, this space is utilized to accommodate a reactor core retention cooling system as further disclosed herein. The reactor core retention cooling system provides external cooling of the lower head 14LH of the reactor pressure vessel 14 during a LOCA or other event in operation that compromises operation of the reactor cooling system (RCS, including the coolant water in the reactor pressure vessel 14 and associated components such as reactor coolant pumps). With reference to FIGS. 9 and 10 show assembled and exploded views, respectively, of one embodiment that includes a reactor core retention cooling system. The embodiment of FIGS. 9 and 10 includes an illustrative support base 100 corresponding to the previously describes support base 74 and comprising (in the illustrative example of FIGS. 9 and 10) six radial beams 102 extending radially outward from a central pin socket 104 that corresponds to the pin socket 72 of the previously described support base 74. Ends of the radial beams 102 abut walls of the reactor cavity 32, or abutment elements 80 (shown in phantom in FIG. 8), so as to laterally immobilize the support base 100 to enable it to provide lateral seismic restraint for the pin 70. A reactor core retention cooling system 110 includes flow baffles 112 (one of which is omitted in the exploded view of FIG. 10 to reveal internal features) and a mating base 114 that mates with the support base 100 as shown in the assembled view of FIG. 9. As seen in FIG. 10, the mating base 114 includes inlet slots 116, which together with flow openings 118 defined between the central pin socket 104 and the flow baffles 112 in the assembled structure provides a water intake flow path. The illustrative radial beams 102 include support pads 120 at their outboard ends for additional baffling that extends upward to extend insulation and/or the reactor core retention cooling structure vertically along the sidewalls of the pressure vessel 14 (feature not shown in FIGS. 9 and 10, but see the cylindrical jacket 172 of the embodiment of FIGS. 15-18). The reactor core retention cooling system 110 allows water flow around the lower vessel including at least the lower vessel head 14LH when the reactor cavity is flooded. The baffles 112 preferably include thermal insulation material, for example being constructed as steel plates or sheets coated on one or both sides by a thermally reflective metal (e.g. stainless steel) multi-foil. The baffles 112 are configured to be in close proximity to (that is, approximately conformal with) the lower vessel outer wall so as to define a plenum between the baffles 112 and the lower vessel wall. Water flowing in this plenum cools the vessel during a LOCA or other event in order to cool the nuclear reactor core 12 within the pressure vessel 14 in order to prevent melting or to cool the melted core mass (“corium”) inside the pressure vessel so as to prevent or delay relocation of the corium to the floor of the reactor cavity 32. In the event of a severe LOCA that results in coolant loss exposing the reactor core 12 to air, this approach potentially allows long-term exposure of the molten core to the air inside of the reactor vessel allowing release of additional fission products to the containment atmosphere. The structure of FIGS. 9 and 10 provides seismic support for the reactor vessel 14 in any radial direction via the engagement of the pin 70 in the pin socket 104, and provides an inlet for cooling water in support of core retention in the event of a LOCA or the like, and optionally also provides thermal insulation to the lower vessel head 14LH during normal reactor operation (for example by constructing the baffles 112 to include a thermally reflective multi-foil). The support base 100 is a freestanding assembly supported by the floor of the reactor cavity 32. The flow baffles 112 located between the beams 102 of the support base 100 direct cooling water along the lower head 14LH of the pressure vessel 14 during core cooling operation. An optional insulation cassette (not shown) of thermally insulating material may optionally be added which surrounds the flow baffling 112 and support beams 102, or additionally or alternatively insulation may be integrally added into these components, for example by including a thermally reflective multi-layer foil. During reactor core cooling operation, the gap or plenum between the lower reactor vessel head 14LH and the flow baffling 112 contains cooling water which enters via the inlet slots 116 and flow openings 118 at the center of the structure and travels upward around the reactor vessel shell through the gap or plenum defined by the flow baffling 112 located around the radial support beams 102. The flow baffles 112 are designed to optimize coolant flow during reactor core cooling by conforming to the shape of the lower reactor vessel head 14LH. Near the center of the seismic support structure, the flow baffling 112 extends downward approximately to the elevation of the floor of the reactor cavity 32, and cutouts defining the inlet slots 116 are provided through the flow baffling at the base of the structure. Advantageously, the water flows around and immerses the pin socket 104, providing effective cooling of this thermal conductance pathway. Flow area for reactor core cooling operation through the center portion of the structure is maximized by reducing the amount of material perpendicular to the direction of flow while maintaining stiffness in the radial direction by the structural beams 102. In addition, the cutouts 116 for flow ingress are located away from the walls of the reactor pressure vessel 14 to limit flow turbulence and promote natural circulation and heat transfer characteristics of the reactor core retention cooling system. Space is provided between the flow inlets 116 and the lower reactor vessel head 14LH to reduce undesirable flow eddies and to streamline the flow characteristics. Optional insulation included with the baffles 112 limits heat loss from the lower vessel head 14LH during normal operation. In the illustrative embodiment of FIGS. 9 and 10, the support base 100 and the reactor core retention cooling system 110 are constructed as separate elements that are fitted together (see exploded view of FIG. 10 and assembled view of FIG. 9). This approach accommodates thermal stresses; however, it is alternatively contemplated to construct these components integrally, for example by welding each baffle to its two bounding support beams. As another contemplated configuration, the baffles 112 may be constructed as a single-piece frustoconical element having its smaller opening accommodating the pin socket 104 and its overall frustoconical shape being conformal with the lower vessel head 14LH. With reference to FIGS. 11-17, another embodiment of the support base that includes a reactor core retention cooling system is described. In this embodiment a support base 150 is constructed as a socket plate 152 with polygonal outer perimeter, namely a hexagonal outer perimeter in the illustrative example. The socket plate 152 includes a pin socket 154 at its center, supported by reinforcing ribs 156 in the illustrative example. The socket plate 152, pin socket 154, and reinforcing ribs 156 form a unitary component that may be variously constructed, for example as a single cast forging, or as components that are welded together, e.g. the socket plate 152 and ribs 156 being cut from stainless steel plate stock, the pin socket 154 being cut from hollow cylindrical stainless steel stock, and these components being welded together to form a unitary component. The socket plate 152 inserts inside a retaining ring plate 158 having an inside perimeter that comports with the outer perimeter of the socket plate 152. The socket plate 152 and the ring plate 158 are disposed on a base plate 160 with the ring plate 158 welded or otherwise secured with the base plate 160 and the socket plate 152 being placed onto the base plate 160 inside the ring plate 158 without welding or other bonding. With particular reference to FIGS. 12 and 13, the support base 150 further includes buttress elements 162 that serve the same function as the ends of the horizontal legs of the support base 74 of FIG. 8, that is, to engage walls of the reactor cavity 32, abutment elements 80 (shown in phantom in FIG. 8), or other features which are built into or otherwise secured to the floor of the reactor cavity 32 (or other radiological containment floor located underneath the nuclear reactor) so as to laterally immobilize the support base 150 to enable it to provide lateral seismic restraint for the pin 70. In an alternative approach, the buttress elements 162 are omitted and the outer perimeter of the base plate 160 and/or the outer perimeter of the ring plate 158 directly engage abutment elements of the floor. The perspective view of the support base 150 shown in FIG. 11 omits the buttress elements 162, so as to reveal tabs 164 extending from the sides of the hexagonal (or more generally polygonal) perimeter of the socket plate 152. These tabs 164 mate into corresponding recesses in the inside perimeter of the ring plate 158, as best seen in FIG. 11. Seismic support for the reactor vessel 14 in any radial direction is provided by the pin 70 inserted in the pin socket 154, with lateral forces transferred to the socket plate 152, then to the ring plate 158, and then to the base plate 160 and/or buttress elements 162. The tabs 164 advantageously accommodate thermal expansion as follows. Gaps 166 in the radial direction between the outer perimeter of the socket plate 152 (including the tabs 164) and the inner perimeter of the ring plate 158 are sufficiently large to accommodate the larger thermal expansion of the socket plate 152 as compared with the ring plate 158. Larger thermal expansion of the socket plate 152 as compared with ring plate 158 results from the socket plate 152 being in closer proximity to the lower reactor pressure vessel head 14LH. There is also a conductive thermal pathway from the head 14LH via the pin/socket coupling 70, 154. On the other hand, gaps 168 in the lateral direction (that is, transverse to the radial direction, i.e. on the sides of the tabs 164) are smaller, and the interfaces between the socket plate 152 and the ring plate 158 at these gaps 168 provides the seismic restraint. Thermal expansion of the tabs 164 in the lateral direction is reduced because of the small lateral extent of the tabs 164 and their large distance from the hottest center point of the socket plate 152. For seismic restraint to be provided in any radial direction, at least three tabs (suitably spaced 120° apart in this) should be provided—in the illustrative example there are six tabs 164 spaced 60° apart. In the illustrative embodiment (see FIG. 12), the buttress elements 162 cover the tabs 164, which provides the side benefit of preventing lift-off of the unbonded socket plate 152 from the base plate 160 during a seismic event. Toward this end, the buttress elements 162 may optionally be welded to the ring plate 158 and/or to the base plate 160 (but not welded to the socket plate 152 which should be free to move and thermally expand laterally except to the extent it is restrained by the ring plate 158). With reference to FIGS. 14-17, the support base 150 is shown in combination with a reactor core retention cooling system 170 that allows water flow around the lower vessel including at least the lower vessel head 14LH when the reactor cavity is flooded. The reactor core retention cooling system 170 includes a cylindrical jacket 172 that surrounds the lower portion of the pressure vessel 14 including at least the lower vessel head 14LH. The cylindrical jacket 172 narrows toward the pin 70 attached to the bottom of the lower vessel head 14LH in approximate conformity with the shape of the lower vessel head 14LH. Ducts 174 are disposed on the support base 150 between the buttress elements 162. The ducts 174 are best seen in FIG. 14 which shows a perspective view of the support base 150 including the ducts 174. As seen in FIG. 14, the buttress elements 162 on either side of a duct 174 define a socket or channel into which the duct 174 fits. Water flows into the ducts 174 via duct inlets 176, through the ducts 174 to the center of the support base 150 (or, more precisely, into a plenum defined between the lower vessel head 14LH and the support base 150) and then flows upward through a cylindrical plenum 178 defined between the outside surface of the pressure vessel 14 and the inside surface of the cylindrical jacket 172. Accordingly, the cylindrical jacket 172 functions as a cylindrical baffle disposed around the lower portion of the pressure vessel 14. The water flow path inward through the ducts 174 and then upward through the cylindrical plenum 178 is diagrammatically indicated in FIG. 17 as flow path F. Optionally, a float valve 180 is provided at the duct inlet 176 (shown only in FIG. 17 in the depicted left-side duct 174) to prevent debris from entering the duct 176 during normal operation of the nuclear reactor. The float valve 180 is configured to open as water fills the reactor cavity 32, or as water pressure is applied to the duct inlet 176. The illustrative float valve 180 comprises a metal-encapsulated foam swing door disposed at the duct inlet 176, which swings upward and inward when lifted by a rising water level or applied water pressure. In the illustrative embodiment, the cylindrical jacket 172 is supported by support surfaces 182 built into the outboard ends of the ducts 176 (see FIG. 14); however, the cylindrical jacket 172 may additionally or alternatively be supported by a direct connection to the support base 150 (or, said another way, the support surfaces 182 may instead be built into the support base). As another contemplated approach, the cylindrical jacket 172 may be mounted in suspension, for example being suspended from the rim of the reactor cavity 32. It is also contemplated to include discrete spacers (not shown) of a suitably thermally insulating material disposed in the plenum 178 between the pressure vessel 14 and the cylindrical jacket 172 to more precisely define the gap of the plenum 178. During a reactor core cooling operation, for example in response to a LOCA, the reactor cavity 32 (see FIG. 1) is flooded, for example using water drained from the illustrative refueling water storage tank (RWST) 28 located inside radiological containment 24. This water enters the duct inlets 176 and flows into the center of the support base 150 (or, more precisely, into a plenum defined between the lower vessel head 14LH and the support base 150) and upward into the cylindrical plenum 178 defined between the reactor pressure vessel 14 and the cylindrical jacket 172. In this operation, the cylindrical jacket 172 thus serves as a cylindrical baffle. The water, being in close proximity to the lower vessel head 14LH which is hot due to heat transferred from the reactor core 12 to the vessel head 14m, heats the water to convert it to steam which rises through the cylindrical plenum 178 so as to drive the flow F (see FIG. 17) by natural circulation driven by the heat generated by the reactor core 12. (This heat is typically residual decay heat in a reactor core cooling scenario, since in a LOCA response the nuclear chain reaction is swiftly terminated by releasing control rods in an operation known as “scram”). By using baffling to confine water flow to the plenum 178 which is in close conformity with the reactor pressure vessel 14, more efficient cooling is achieved versus the situation in which the reactor cavity 32 is flooded but without the plenum 178 defined by the baffling 172. Additionally, if the available water is insufficient to flood the reactor cavity 32 to a level at or above the top elevation of the reactor core 12, then the core retention cooling system 170 provides a passive (that is, natural circulation-driven) mechanism for drawing water from the reactor cavity 32 upward along the exterior surface of the lower portion of the reactor pressure vessel 14 to provide core cooling. Although not shown in the illustrative drawings, it is contemplated to provide additional features to inject water into the duct inlets 176 and/or to direct steam exiting from the top of the cylindrical plenum 178. For example, in some embodiments a manifold is provided to direct water drained from the RWST 28 into the duct inlets 176 (rather than doing so indirectly by flooding the reactor cavity 32). Additionally or alternatively, in some embodiments a steam manifold is provided at the top of the cylindrical jacket 172 that collects steam from the plenum 178 and pipes it to a desired location, for example sparging it into the RWST 28. During normal operation, the reactor core retention cooling system 170 and/or the support base 150 optionally provide thermal insulation for the lower portion of the reactor pressure vessel 14. This can be accomplished, for example, by including infrared-reflective metal multi-foils in these components, and/or by including other type(s) of thermal insulation such as ceramic insulation material. Moreover, in some embodiments it is contemplated to provide the thermal insulation functionality without the reactor core retention cooling functionality. In the embodiments of FIGS. 10-17, for example, this entails omitting the ducts 174 and modifying the cylindrical jacket 172 to reduce or eliminate the cylindrical plenum 178. In some such embodiments, the cylindrical jacket may be in direct contact with the lower portion of the reactor pressure vessel, and may be bottom-supported by support surfaces built into the support base and/or supported by attachment of the cylindrical jacket to the reactor pressure vessel. In such an embodiment, the use of the pin 70 and pin socket 154 to provide lateral support provides numerous benefits as described herein, including accommodating vertical thermal expansion of the pressure vessel, providing symmetric lateral support in all directions, and leaving most of the space between the lower vessel head 14u1 and the floor of the reactor cavity 32 available for other uses such as accommodating thermal insulation that jackets the lower portion of the reactor pressure vessel 14 including the lower vessel head 14LH. As another contemplated embodiment, the floor connection extending between the bottom of a lower vessel head of the reactor pressure vessel and the floor underneath the nuclear reactor can take a form other than the illustrative pin 70 and pin socket 72, 104, 154. For example, the configuration can be reversed, so that the pin is attached to the floor and the pin socket is attached to the bottom of the lower vessel head. As another example, the floor connection can be (at least partially) weight-bearing to (at least help) support the nuclear reactor. Illustrative embodiments including the preferred embodiments have been described. While specific embodiments have been shown and described in detail to illustrate the application and principles of the invention and methods, it will be understood that it is not intended that the present invention be limited thereto and that the invention may be embodied otherwise without departing from such principles. In some embodiments of the invention, certain features of the invention may sometimes be used to advantage without a corresponding use of the other features. Accordingly, all such changes and embodiments properly fall within the scope of the following claims. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the present disclosure be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
summary
summary
summary
claims
1. A reinforced concrete containment vessel comprising: a side wall having at least one opening extending therethrough; a plurality of reinforcing bars, at least one of said reinforcing bars being interrupted at said at least one opening; and at least one reinforcing plate positioned in said side wall, each said reinforcing plate comprising a flange extending at least partially around, and directly connected to a periphery of said reinforcing plate, and an opening substantially aligned with a corresponding side wall opening, each said reinforcing plate connected to at least one interrupted reinforcing bar. 2. A reinforced concrete containment vessel in accordance with claim 1 further comprising at least one penetration sleeve, each said penetration sleeve extending through a corresponding reinforcing plate opening and through a corresponding side wall opening, each said penetration sleeve having an outer diameter smaller than said corresponding reinforcing plate opening. claim 1 3. A reinforced concrete containment vessel in accordance with claim 2 wherein each said penetration sleeve is secured to a corresponding reinforcing plate. claim 2 4. A reinforced concrete containment vessel in accordance with claim 1 wherein said plurality of reinforcing bars comprises a plurality of vertical reinforcing bars and a plurality of horizontal hoop reinforcing bars. claim 1 5. A reinforced concrete containment vessel in accordance with claim 1 wherein said at least one reinforcing plate comprises at least one reinforcing bar terminator coupled to said at least one interrupted reinforcing bar and attached to an edge of said reinforcing plate. claim 1 6. A reinforced concrete containment vessel in accordance with claim 5 wherein said reinforcing bar terminator is coupled to said at least one interrupted reinforcing bar and attached to said peripheral flange of said reinforcing plate. claim 5 7. A reinforced concrete containment vessel in accordance with claim 1 wherein each said reinforcing plate comprises a substantially polygonal shape or a substantially circular shape. claim 1 8. A reinforced concrete containment vessel in accordance with claim 1 wherein said at least one reinforcing plate comprises two reinforcing plates, said reinforcing plates welded together along corresponding edges. claim 1 9. A reinforced concrete containment vessel in accordance with claim 1 wherein at least one said reinforcing plate comprises a plurality of reinforcing plate sections, said reinforcing plate sections welded together to form a reinforcing plate. claim 1 10. A reinforced concrete containment vessel in accordance with claim 1 wherein each said reinforcing plate comprises a plurality of extensions, said reinforcing plate extensions connecting said reinforcing plate with said at least one interrupted reinforcing bar. claim 1 11. A reinforced concrete containment vessel in accordance with claim 1 wherein each said reinforcing plate comprises steel. claim 1 12. A nuclear reactor comprising a reactor pressure vessel enclosed in a reinforced concrete containment vessel, said reinforced concrete containment vessel comprising: a side wall having at least one opening extending therethrough; a plurality of reinforcing bars, at least one of said reinforcing bars being interrupted at said at least one opening; and at least one reinforcing plate positioned in said side wall, each said reinforcing plate comprising a flange extending at least partially around, and directly connected to a periphery of said reinforcing plate, and an opening substantially aligned with a corresponding said side wall opening, each said reinforcing plate connected to said at least one interrupted reinforcing bar. 13. A nuclear reactor in accordance with claim 12 further comprising at least one penetration sleeve, each said penetration sleeve extending through a corresponding reinforcing plate opening and through a corresponding side wall opening, each said penetration sleeve having an outer diameter smaller than said corresponding reinforcing plate opening. claim 12 14. A nuclear reactor in accordance with claim 13 wherein each said penetration sleeve is secured to a corresponding reinforcing plate. claim 13 15. A nuclear reactor in accordance with claim 12 wherein said plurality of reinforcing bars comprises a plurality of vertical reinforcing bars and a plurality of horizontal hoop reinforcing bars. claim 12 16. A nuclear reactor in accordance with claim 12 wherein said at least one reinforcing plate comprises at least one reinforcing bar terminator coupled to said at least one interrupted reinforcing bar and attached to an edge of said reinforcing plate. claim 12 17. A nuclear reactor in accordance with claim 16 wherein said reinforcing bar terminator is coupled to said at least one interrupted reinforcing bar and attached to said peripheral flange of said reinforcing plate. claim 16 18. A nuclear reactor in accordance with claim 12 wherein each said reinforcing plate comprises a substantially polygonal shape or a substantially circular shape. claim 12 19. A nuclear reactor in accordance with claim 12 wherein said at least one reinforcing plate comprises two reinforcing plates, said reinforcing plates welded together along corresponding edges. claim 12 20. A nuclear reactor in accordance with claim 12 wherein at least one said reinforcing plate comprises a plurality of reinforcing plate sections, said reinforcing plate sections welded together to form a reinforcing plate. claim 12 21. A nuclear reactor in accordance with claim 12 wherein each said reinforcing plate comprises a plurality of extensions, said reinforcing plate extensions connecting said reinforcing plate with said at least one interrupted reinforcing bar. claim 12
summary
052308600
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to the drawings, it is seen in FIG. 1 that the invention is generally indicated by the numeral 10. Reactor vessel cavity seal plate 10 is generally comprised of annular plate 12, inner spacer ring 14, outer spacer ring 16, annular seal 18, inner seal ring 20, outer seal ring 22, and means 24 for covering and sealing ports in annular plate 12. Annular plate 12 is preferably formed from a sturdy material such as one inch thick steel for withstanding the weight of the water when the space between reactor vessel 26 and shield structure 28 is flooded. Annular plate 12 is formed from a plurality of plates shaped such that they form annular plate 12 when positioned adjacent each other around reactor vessel 26. For ease of description, annular plate 12 will be referred to as a single item since the plurality of plates forming it all have a similar structure. Annular plate 12 is provided with a plurality of ports 30 spaced around its circumference. Ports 30 provide access to nuclear instruments positioned therebelow in annular cavity 32 between reactor vessel 26 and shield structure 28 and also allow circulation of air from beneath reactor vessel 26 during normal reactor operations. Annular plate 12 is provided with spacer rings 14, 16 that extend axially therefrom. Spacer rings 14, 16 may be separate pieces attached to annular plate 12 or they may be integral with annular plate 12. Inner spacer ring 14 may be fabricated from bar stock rolled to the proper diameter and extends from the inner diameter of annular plate 12. As seen in FIG. 1, inner spacer ring 14 rests freely upon reactor vessel flange 34. Outer spacer ring 16 may be fabricated from angle stock rolled to the proper diameter and extends from adjacent the outer diameter of annular plate 12. Outer spacer ring 16 rests upon cavity shield ring 36. Outer spacer ring 16 is provided with holes that match the position of shield ring studs 38 so that studs 38 do not have to be removed during installation of annular plate 12. Annular seal 18, like annular plate 12, is formed from a plurality of sections. This is schematically illustrated in FIG. 2. Once the sections are in position on top of annular plate 12, their adjoining edges are welded together over a backing strip to prevent annular seal 18 from being welded to annular plate 12. In the preferred embodiment, four 90 degree sections are welded together to form annular seal 18. One-eighth inch stainless steel is used to form annular seal 18 in the preferred embodiment. This provides the necessary corrosion resistance and flexibility. As seen in FIG. 1, annular seal 18 has a smaller inner diameter and larger outer diameter than annular plate 12 such that annular seal 18 extends beyond the edges of annular plate 12. Inner and outer seal rings 20, 22 extend axially from the inner and outer diameter of annular seal 18. Inner and outer seal rings 20, 22 are preferably formed from the same material as annular seal 18 and may be integral therewith or separate parts attached thereto. Annular seal 18 is provided with cutouts 40 that match ports 30 in annular plate 12. Inner seal ring 20 is seal welded to reactor vessel flange 34 as indicated at numeral 42. Outer seal ring 22 is seal welded to the stainless steel canal liner plate 44. This provides a watertight seal around the outer and inner diameter of annular seal 18. Means 24 for removably covering and sealing ports 30 and cutouts 40 is comprised of mounting blocks 46 and cover plates 48. A mounting block 46, preferably formed from stainless steel, is seal welded to annular seal 18 around each port 30 and cutout 40. Mounting blocks 46 are tapped to threadably receive bolts 50. Gasket 52 is positioned on the top of mounting blocks 46. A cover plate 48 is bolted above each port 30 against gasket 52 and mounting block 46. In operation, a plurality of plates are positioned adjacent one another around reactor vessel 26 to form annular plate 12. Inner spacer ring 14 rests freely upon reactor vessel flange 34. Annular seal 18, formed from a plurality of sections welded together at adjoining edges, rests freely upon annular plate 12. Inner and outer seal rings 20, 22 are respectively seal welded to reactor vessel flange 34 and the stainless steel canal liner plate 44 of shield structure 28. To begin refueling operations, cover plates 48 are bolted to mounting blocks 46 to cover ports 30 in annular plate 12. The area above reactor vessel cavity seal plate 10 may then be flooded with borated water to provide neutron shielding before the reactor vessel head is removed. The seal provided by the invention prevents nuclear instruments positioned at the lower exterior of the reactor from being damaged by the water. The steel used for annular plate 12 provides the necessary support for the weight of the water. After refueling operations are completed and the reactor vessel head replaced, the borated water is drained. Cover plates 48 may then be removed and stored to allow access to the nuclear instruments and air flow from beneath the reactor vessel. Although cover plates 48 are stored between refueling operations, they present much less difficulty for installation, removal, and storage as opposed to an entire seal assembly. The cover plates 48 are smaller and lighter than previously used sections of seal assemblies and thus greatly reduce clearance and special hoisting procedures previously needed. The remainder of reactor vessel cavity seal plate 10 stays in its installed position. During normal reactor operations the reactor vessel will grow axially as much as 1.59 inches and radially as much as 0.44 inch. Since inner spacer ring 14 rests freely on reactor vessel flange 34, the reactor vessel flange will simply slide beneath inner spacer ring 14 during expansion and contraction of reactor vessel 26. Annular seal 18 is flexible enough to bow over annular plate 12 during this expansion and flattens out again when reactor vessel 26 contracts. Because many varying and differing embodiments may be made within the scope of the inventive concept herein taught and because many modifications may be made in the embodiment herein detailed in accordance with the descriptive requirement of the law, it is to be understood that the details herein are to be interpreted and not in a limiting sense.
043483550
claims
1. A fuel assembly for use in the core of a nuclear reactor, said fuel assembly comprising a plurality of separate elongated box-forming means, a bundle of elongated fuel rods positioned in each elongated box-forming means, and separate spaced-apart first and second end members which mount the respective opposite ends of all of said box-forming means such that they are spaced apart from one another and oriented in parallel, said first and second end members being supportable in said core. 2. A fuel assembly according to claim 1 wherein each of said box-forming means has a generally square cross section with arcuate-shaped corners. 3. A fuel assembly according to claim 2 wherein the bundle of elongated fuel rods in each elongated box-forming means has a square cross section, and wherein the fuel rods at the corners of each bundle have a smaller diameter than the other fuel rods in the bundle. 4. A fuel assembly according to claim 3 wherein each bundle of elongated fuel rods includes a hollow tube through which cooling and moderator water can be freely passed. 5. A fuel assembly according to claim 2 wherein means are provided along the length of said box-forming means to connect them together. 6. A fuel assembly according to claim 1 wherein said fuel assembly includes only four elongated box-forming means. 7. A fuel assembly according to claim 6 wherein a removable absorber rod with a burnable absorber is positioned in the spaces between the four elongated box-forming means. 8. A fuel assembly according to claim 1 wherein one of said first and second end members associated with each box-forming means includes a divider to define a plurality of parallel channels in the associated end member. 9. A fuel assembly according to claim 1 wherein each of said elongated box-forming means is disconnectable from the associated first and second end members and each of said bundles of elongated fuel rods is removable from within the associated box-forming means.
summary
abstract
The invention provides a system for generating a performance data (PD) report comprising a first module for collecting performance data, a second module for formatting the PD and selecting from at least one of the following types of data: system run time in minutes, heat run time in minutes, cooling run time in minutes, fan run time in minutes, average heating temperature by degrees, average cooling temperature by degrees, average outdoor temperature by degrees, average humidity level by percent humidity, highest indoor temperature by degrees, lowest indoor temperature by degrees, highest outdoor temperature by degrees, lowest indoor temperature by degrees, highest humidity level by percent humidity and lowest percent humidity by percent humidity, date, time, system mode, such as cool or heat or a fan, system state, room temperature, setpoint, fan state, outdoor temperature and humidity level (collectively hereinafter “custom Performance Data”).
claims
1. A method for preparing a powder of a solid solution of dioxide of uranium and of at least one other actinide and/or lanthanide element comprising:combusting a solution comprising uranyl nitrate and at least one nitrate of the other actinide and/or lanthanide element and glycine, the glycine being used in a predetermined amount in order to form, at the end of combusting, said solid solution, said predetermined amount being an over-stoichiometric amount of glycine relative to the uranyl nitrate obtained by:a) determining a combustion equation of uranyl nitrate, to which a factor φU is assigned to the stoichiometric coefficient of glycine;b) determining an over-stoichiometric amount of glycine, QU, by multiplying the amount of uranyl nitrate by the stoichiometric coefficient of glycine and by the factor φU, the latter being set to a value greater than 1;c) determining a combustion equation of the nitrate of the other actinide and/or lanthanide element, to which a factor φAn/Ln is assigned to the stoichiometric coefficient of glycine;d) determining an amount of the glycine, QAn/Ln, by multiplying the amount of the at least one nitrate of the other actinide and/or lanthanide element by the stoichiometric coefficient of the glycine and by the factor φAn/Ln, the latter being set to a value below 2; ande) obtaining said predetermined amount by adding at least the amounts of glycine determined in b) (QU) and d) (QAn/Ln), respectively. 2. The method for preparing a powder according to claim 1, wherein the factor φU has a value ranging from 1.5 to 1.7. 3. The method for preparing the powder according to claim 1, wherein the at least one nitrate of the other actinide and/or lanthanide element comprises:(i) for the nitrate of the other actinide element, a plutonium nitrate, a minor actinide nitrate and/or a thorium (IV) nitrate; and(ii) for the nitrate of the other lanthanide element, a lanthanide (III) or (IV) nitrate. 4. The method for preparing a powder according to claim 1, wherein the combusting comprises gradually heating the solution up to an ignition temperature. 5. The method for preparing a powder according to claim 1, further comprising, before the combusting, preparing the solution comprising uranyl nitrate and at least one nitrate of the other actinide and/or lanthanide element and glycine. 6. The method for preparing a powder according to claim 5, wherein preparing the solution comprising uranyl nitrate and at least one nitrate of the other actinide and/or lanthanide element and glycine consists of adding, to a first solution only comprising uranyl nitrate and at least one nitrate of the other actinide and/or lanthanide element, the predetermined amount of glycine.
052176797
claims
1. A device for restricting the motion of a thimble tube in a nuclear reactor during normal reactor operating conditions, comprising: a. a sleeve open at each end and having upper, middle, and lower sections; and b. said middle section having inner and outer sections attached to each other at their ends and being formed from materials having different coefficients of thermal expansion whereby the inner section is in a first normal relaxed state during cold conditions in the reactor and in a second flexed state during hot conditions in the reactor. 2. The device of claim 1, wherein said upper section has an over-expanded area adjacent the top end. 3. The device of claim 1, further comprising a baffle in said lower section.
048225258
description
In the present invention, by the application of at least one member selected from the group consisting of boric acid, silicic acid, lithium borate, lithium silicate, zinc borate, zinc silicate, an organic silane, a silica sol, an oil emulsion and an alumina sol, such an inorganic acid, inorganic acid salt or organic substance, provides an adhesive effect or a coating film-forming effect, whereby the compression strength and impact strength of the cartridge is improved. As a result, the amount of the dust generated, decreases, and it is possible to prevent troubles caused by the dust. Said at least one member is applied preferably in an amount of from 0.01 to 2% by weight, as solid content, relative to the glass fibers. In the present invention, the inorganic acid or inorganic acid salt such as boric acid, silicic acid, lithium borate, lithium silicate, zinc borate or zinc silicate, or alumina sol, is a component constituting the glass fibers, and therefore can be added without modifying the final composition of glass. Usually, the glass fibers are composed essentially of 55 to 65% by weight of SiO.sub.2, 2 to 6% by weight of B.sub.2 O.sub.3, from 2 to 6% by weight of Li.sub.2 O, from 0 to 6% by weight of BaO, from 2 to 6% by weight of CaO, from 2 to 6% by weight of ZnO and from 2 to 8% by weight of Al.sub.2 O.sub.3. In a particularly preferred example for the glass solidification of radioactive substances, the glass fibers are composed essentially of 60.2% by weight of SiO.sub.2, 19.0% by weight of B.sub.2 O.sub.3, 4.0% by weight of Li.sub.2 O, 4.0% by weight of BaO, 4.0% by weight of CaO, 4.0% by weight of ZnO and 4.8% by weight of Al.sub.2 O.sub.3. The composition of the glass fibers of this type, is relatively strictly determined by its nature. When other components are added, it may happen that no adequate effects are obtainable. Such a possibility can be avoided by using the above-mentioned inorganic acid, inorganic acid salt or alumina sol, because such a material can be added without modifying the composition of the glass fibers. In a more preferred embodiment, the addition of the above-mentioned inorganic acid, inorganic aci salt or alumina sol is adjusted so that the final composition after the addition corresponds to the desired composition of glass fibers. The glass fibers prior to the addition may be composed essentially of 50 to 75% by weight of Si0.sub.2, 0 to 15% by weight of B.sub.2 O.sub.3, from 0 to 10% by weight of Li.sub.2 O, from 0 to 10% by weight of BaO, from 0 to 25% by weight of CaO, from 0 to 10% by weight of ZnO and from 0 to 15% by weight of Al.sub.2 O.sub.3. A boric acid gel or a silicic acid gel may also be employed as the above-mentioned inorganic acid or inorganic acid salt. Among the above-mentioned inorganic acids and acid salts, boric acid (H.sub.3 BO.sub.3) is particularly preferred since it is most inexpensive and readily available. The above-mentioned inorganic acid or inorganic acid salt may be added to the glass fibers, in the form of a solution or powder. Preferably, it is added in the form of a solution. When such an inorganic acid or acid salt is added in the form of a solution, the glass fibers may be dipped in such a solution, or such a solution may be spray-coated onto the glass fibers. The application of the solution of the inorganic acid or inorganic acid salt may be conducted during the fiber-forming step of the glass fibers, or before or after the molding of the fibers into a cartridge, or such different types of applications may be used in combination. With a view to prevention of the generation of a dust, it is preferred to apply the solution after the molding into a cartridge. On the other hand, in the present invention, it is possible to employ an organic silane and an oil emulsion in addition to the above-mentioned inorganic acids, inorganic acid salts and alumina sol. As the organic silane, for example, a .gamma.-alkylaminotriethoxysilane may be used. Likewise, as the oil emulsion, for example, an emulsified mineral oil may be used. By the application of the organic substance capable of imparting the wettability and slipping property to the cartridge itself, such as the organic silane or oil emulsion, during the fiber-forming step, or before or after the molding of the fibers into a cartridge, it is possible to substantially reduce the amount of a dust generated from the cartridge. The organic silane or oil emulsion is applied preferably in an amount of from 0.001 to 1% by weight. More preferably, the amount is from 0.01 to 0.1% by weight, from the view point of the economy and effects. The glass fibers to be used in the present invention, may be short fibers or long fibers. However, the present invention is particularly suitable for short fibers. The average diameter of the glass fibers, is preferably from 8 to 18 .mu.m. If the average diameter is less than 8 .mu.m, it tends to be difficult to obtain a good water-absorbing property. On the other hand, if the average diameter exceeds 18 .mu.m, the productivity in the spinning step tends to be poor, and the fusing points of the glass fibers one another tend to be less, whereby the dimensional stability tends to be poor. The treating capacity of a cartridge is proportional to its weight. In order to increase the amount of the waste liquid to be treated per cartridge, it is therefore necessary to increase the density. In some cases, a product having a density as high as 280 kg/m.sup.3 may be used. The product tends to be susceptible to cracking as the density increases, but cracking may be avoided by improving the manner of handling. The water absorbing property also decreases, but such a decrease does not adversely affect the present invention. Further, the waste liquid tends to hardly penetrate, as the density increases. This can be avoided to some extent by increasing the diameter of the glass fibers to the above-mentioned upper limit of 18 .mu.m. As shown in FIG. 1, glass fibers 11 are deposited on and transported by belt conveyors 12 and 13. During the transportation, an aqueous boric acid solution is applied to the glass fibers 11 by a hot dipping apparatus 14. This hot dipping apparatus 14 is designed so that the aqueous boric acid solution overflowing a supply tube 14a is applied to the glass fibers 11 by a roller 14b. As a separate means, a spray 15 may be employed to apply an aqueous boric acid solution to the glass fibers 11. The concentration of the aqueous boric acid solution may be varied depending upon the temperature of water, and is preferably within a range of from 1 to 10% by weight. Further, it is preferred to conduct heating and drying, for instance, at a temperature of 200.degree. C. for two minutes, after the application of the aqueous boric acid solution, to remove the water. Having thus applied the aqueous boric acid solution to the glass fibers 11, a predetermined amount of the glass fibers 11 is rounded and filled in a mold indicated at 16 and 17, as shown in FIG. 2. The density of the glass fibers 11 is preferably adjusted to a level of from 170 to 270 kg/m.sup.3. If the density is less than 170 kg/m.sup.3, no adequate compression strength is obtainable, and the volume tends to be too large to maintain the glass weight to the impregnated radioactive wast liquid at a proper level, whereby a heat-melting furnace of a large size will be required. On the other hand, if the density exceeds 280 kg/m.sup.3, the cartridge tends to be susceptible to cracking as a whole, whereby no adequate falling strength will be obtained, and the water absorbing property tends to be poor since the spaces between the glass fibers decrease correspondingly. After filling the glass fibers 11 into the mold 16 and 17, the mold is heated at a temperature of 710.degree..+-.15.degree. C. for 35.+-.5 minutes, whereby the glass fibers 11 are partially fused. If the heating temperature is lower than 695.degree. C., or the heating time is shorter than 30 minutes, the fusion of the glass fibers 11 tends to be inadequate, and the moldability tends to be poor. On the other hand, if the heating temperature is higher than 725.degree. C. or the heating time is longer than 40 minutes, the glass fibers 11 are likely to melt and contracted, whereby the water absorbing property will be poor, and the products will be susceptible to cracking. By this heating treatment, boric acid (H.sub.3 BO.sub.3) applied to the glass fibers 11 is converted to B.sub.2 O.sub.3, and B.sub.2 O.sub.3 is melted and coated on the glass fibers 11, whereby an adhesive effect and a coating film-forming effect will be brought about. Further, B.sub.2 O.sub.3 is a component constituting the glass fibers 11, and thus will not adversely affect the performance of the finally obtained cartridge for the disposal of a radioactive waste liquid. After this heat treatment, the mold 16 and 17 is left to cool, and then the glass fibers 11 are taken out to obtain a cartridge 18 as shown in FIG. 3. In this embodiment, the cartridge 18 is of a spherical shape. However, the cartridge may be of a cylinderical shape or of a shape of an angular rod or the like. A cartridge 18 of a spherical shape has the following advantages. Namely, (1) when dumped, the cartridges readily roll, and the frictional resistance is adequately small, whereby the dumping operation can smoothly be conducted, and an automatic operation can readily be accomplished for the waste liquid treatment, (2) clogging scarcely takes place in the dumping installation, and the cartridges are not susceptible to cracking or breakage, whereby the generation of a dust will be minimized, and (3) the cartridges can uniformly be packed, and the heat-melting can be uniformly conducted for the treatment of the radioactive waste liquid. In the present invention, it is preferred that an aqueous boric acid solution is applied by e.g. a spray again to the cartridge 18 thus obtained, followed by heating and drying at a temperature of at least 300.degree. C. By the heating at a temperature of at least 300.degree. C., boric acid (H.sub.3 BO.sub.3) is converted to B.sub.2 O.sub.3. Thus, the generation of a dust can effectively be prevented. The cartridge obtained in the manner as described above, was compared in its performance with a cartridge obtained without conducting the treatment with the aqueous boric acid solution. The results are shown below. ______________________________________ (Boric acid treatment) (Non-treatment) ______________________________________ Compression strength 2 mm 5 mm (Deformation degree) Deviation in 0.3 mm 0.6 mm Compression strength Amount of dust Small Substantial Penetration of Satisfactory Satisfactory waste liquid ______________________________________ Thus, with the cartridge of the present invention treated with boric acid, the strength is high, and the amount of the dust generated, is small. In the above Example, an aqueous boric acid solution was employed. However, it has been found that similar effects are obtainable by using silicic acid, lithium borate, lithium silicate, zinc borate, zinc silicate, an organic silane, an oil emulsion or an alumina sol. As dscribed in the foregoing, according to the present invention by the application of at least one member selected from the group consisting of boric acid, silicic acid, lithium borate, lithium silicate, zinc borate, zinc silicate, an organic silane, an oil emulsion and an alumina sol, to the glass fibers, such an organic acid, organic salt or organic substance provides an adhesive effect or a coating film-forming effect, whereby the compression strength and the impact strength of the cartridge will be improved. As a result, the amount of a dust generated, decreases, and it is possible to prevent troubles caused by the dust.
049884743
summary
BACKGROUND OF THE INVENTION The invention concerns a process for repair of a nuclear reactor fuel assembly damaged at the periphery of a spacer support, which assembly consists of a bundle of longitudinally extended fuel rods, which are held in position by several grid-shaped interim spacer supports that are axially separated from one another, whereby each fuel rod passes through a cell of the spacer support formed by intersecting metal crosspieces arranged at the edge and is supported therein elastically. During loading or unloading of a reactor core with fuel assemblies, peripheral spacer support cells are occasionally damaged by catching against neighboring fuel assemblies. In order to eliminate such damage in the prior art, a fuel rod or a dummy fuel rod was re-inserted in position after removing or straightening the damaged metal crosspieces of the spacer support. In particular, if two or more spacer supports arranged one above the other are damaged and the metal crosspieces must be completely removed, the insufficient support leads to a swinging of the fuel road and thus this rod or the neighboring fuel rod can be easily damaged. If one wishes to avoid such swinging, according to the state of the art, all rods of the fuel assembly must be withdrawn in a time consuming way, and then be inserted into a new fuel assembly frame consisting of the spacer support, guide and end pieces. In addition to loss of time here there is also the danger that the fuel rods will receive excessive cracks during withdrawal and reinsertion, and in addition, the spacer support may be damaged. Therefore, the objective is to create a process of the type given above, but in which swinging can be avoided. SUMMARY OF THE INVENTION This objective is solved according to the invention by the fact that, after removing the damaged metal crosspieces, a holding component contacting the fuel rod is joined to the spacer support. By this means, it is possible to attach the fuel rod relative to the spacer support, so that undesired swinging movements are avoided. If a dummy fuel rod (dummy) is inserted into the cell instead of a fuel rod, then, in a preferred embodiment of the process, the holding component is inserted into a snap ring groove. In this way, any shifting of the holding component relative to the rod, which is beyond the play required for heat-expansion equilibration, is avoided. The holding component for realization of the process is a metal strip partially embracing the circumference of the fuel rod or dummy fuel rod, which strip has a projection that can be joined to the spacer support on each of its free ends. Thus the rod is held by simple means in a swingless manner in as precise a way as in an intact spacer support. The projections on the free ends of the holding component are formed elastically, so that the rod can assuredly be axially displaced for purposes of a heat expansion equilibration in addition to offering a reliable installation of the rod on the remaining metal crosspieces of the spacer support. A jut-out piece formed elastically and turned toward the rod supports this means and also assures a better contact of the fuel rod with the coolant. If a corner cell is damaged, the projections preferably engage in slots of the two remaining pieces, whereas if a non-corner cell is damaged, three crosspieces remain, so that in that case, a direct hanging of the holding component on the crosspieces is preferably produced. For insertion of a dummy fuel rod, a configuration of a holding component may be used which is characterized by the fact that the holding component is embodied in the form of a split pin (cotter) whose arms are formed elastically and on the free ends of which are found projections, whereby after passing through a borehole or a slot of the dummy fuel rod, the projections can engage in the spacer support. This configuration crates a holding component which cannot fall off since passing through an opening, which may be a bore or a slot, produces a firm hold with the elastically loaded arms, which take on a larger diameter than the borehole behind the borehole, and in this way, it cannot fall out of the borehole as a result of an unintentional loosening of the locking device with the spacer support. An undesired rotation of the holding component in the cooling cycle of the nuclear reactor installation is thus not possible. In order to simplify the mounting of a holding component in combination with a dummy fuel rod, a longitudinal groove is provided in the region of the snap ring groove of the dummy fuel rod in order to create a place for a mounting tool.
summary
050948091
summary
FIELD OF THE INVENTION The invention relates to a device for obturating and retaining a sealed closure plug of a steam generator tube, especially of a steam generator of a pressurized-water nuclear reactor. BACKGROUND OF THE INVENTION The steam generators of pressurized-water nuclear reactors comprise a casing of generally cylindrical shape, within which a water reservoir is delimited by a tube plate of great thickness, on which the bundle of tubes of the steam generator is fixed. Each one of the tubes of the bundle comprises two parallel rectilinear branches, the end parts of which are fixed within the tube plate, generally by rolling in and by welding. In the steam generator in service, the tubes are in contact both with the primary fluid of the nuclear reactor constituted by pressurized water and with the secondary fluid constituted by feed water which vaporizes. Under the conditions of use of the steam generator, the tubes are liable to suffer various deteriorations and, in particular, corrosion by the fluids with which they are in contact. Certain tubes of the steam generator may become defective in service and exhibit, for example, cracks which give rise to leakages causing contamination of the secondary fluid. It is therefore necessary, during the periods of maintenance of the reactor, to take action on the steam generator and to plug the tubes exhibiting deteriorations which are liable to involve leakages. Plugs for obturating the tubes of a steam generator are known which comprise a casing of tubular form, closed at one of its ends by a base and a clamping core, which is likewise tubular, introduced into the bore of the casing of the plug in order to ensure the diametral expansion of the plug after its introduction into the tube of the steam generator. The external wall of the casing of the plug comprises ribs projecting radially towards the exterior, over at least a part of its length. The clamping by the core is effected, in particular, in the zone of the casing of the plug comprising ribs which cooperate with the internal surface of the tube in order to ensure the blocking and the sealing of the plug. The core remains in position within the casing of the plug in the course of the restoration to operation of the steam generator after maintenance, and ensures, in particular, the retention of the plug, the base of which is subjected on one side to the pressure prevailing within the tube of the steam generator and on the other side to the pressure of the primary water filling the water reservoir of the steam generator. In the case of a tube of the steam generator exhibiting a leak, the pressure within the tube corresponds to the pressure of the feed water of the steam generator, this pressure being very much less than the primary pressure. There is likewise carried out, before the starting up of the steam generators, the preventive plugging of certain tubes which run the risk of cracking rapidly and of producing undesirable leaks. In this case, the internal volume of the tube is filled with air which is isolated both from the primary water and from the feed water, and which is at a pressure very much lower than the primary pressure. In all cases, the tubes of the steam generator are obturated at each one of their ends which open on either side of the partition of the water reservoir. The casing of the plug is constructed of a nickel alloy which has undergone a heat treatment permitting the improvement of its resistance to corrosion and mechanical and thermal stresses, within the environment of the steam generator in service. However, it has been observed that, after a certain time of operation of the steam generator, the casing of the plugs for obturating the tubes of the bundle of which the plugging has been carried out exhibits circumferential cracks, in particular in the zones situated just above and just below the expander core. These cracks may lead to fractures of the plugs which are reflected in a loss of sealing of the end of the tube, so that the primary water is liable to penetrate within the tube. Moreover, in the case orf a fracture above the expander core, the upper part of the plug comprising the sealed closure base is propelled violently within the tube under the effect of the difference between the primary pressure and the pressure prevailing within the tube. The upper part of the plug then behaves as a projectile liable to damage the tube of the corresponding steam generator, and the adjacent tubes of the bundle. Until now, no device was known for limiting the leakages and preventing the risks of fracture and of high-speed ejection of the casings of the plugs for obturating the steam generator tubes of the pressurized-water nuclear reactors. SUMMARY OF THE INVENTION The object of the invention is a device for obturating and retaining a sealed closure plug of a steam generator tube comprising a casing of tubular shape closed at one of its ends by a sealed base engaged into one end of the tube, in such a manner that the base is disposed within the tube and a core traversed by a threaded bore at its central part, and engaged within the casing in order to effect the blocking of the plug in the tube by diametral expansion of the casing, this device permitting the limiting of possible leaks and the avoidance of the risks of fracture and of ejection of the casing of the closure plug of the tube, in the steam generator in service. To this end, the obturating and retaining device according to the invention comprises a threaded rod capable of being screwed into the threaded hole traversing the core and solid, at one of its ends, with a blocking element, the external diameter of which is greater than the diameter of the rod, and which is intended to come into engagement in the end of the casing of the plug opposite the closure base, when the threaded rod is screwed into the bore of the core, and equipped with means cooperating with the end of the casing of the plug, in order to achieve the blocking, in rotation and/or in translation, of the obturating device.
description
This is a Divisional Application claiming the benefit of co-pending application Ser. No. 12/932,641 filed on Mar. 1, 2011. Not Applicable Not Applicable 1. Field of the Invention The present invention relates generally to the field of plasma physics. More particularly, the invention concerns a method and apparatus for compressing plasma to a high energy state. 2. Description of Related Art Including Information Disclosed Under 37 CFR 1.97 and 1.98 By way of brief background, in 1942, Enrico Fermi began discussing the idea of joining light nuclei by nuclear fusion to generate a large source of energy. He suggested burning deuterium, an abundant stable-isotope of hydrogen. Today, the two primary approaches to the problem of achieving fusion power production have been Magnetic Confinement (MCF) and Laser Inertial Confinement (ICF) demonstration devices, such as the International Thermonuclear Experimental Reactor (ITER) tokamak that uses MCF or the National Ignition Facility (NIF) that uses ICF. These plasma experiments scale to very large sizes, measuring double-digit meters across. Reactors based on these approaches scale to even larger sizes because they occupy either extreme of the density conditions necessary to fulfill the Lawson criterion for simultaneously achieving an energetic plasma for sufficient duration. MCF attempts to sustain a low-density 1020 m−3 plasma for a long duration of about 2 to 4 seconds, using external magnetic fields, but suffers from plasma instabilities. ICF attempts to hold a high-density 1028 m−3 plasma for nanoseconds. Magnetized Target Fusion (MTF) mitigates the problems encountered at either extreme by sustaining a medium-density 1024 m−3 plasma for only several milliseconds, while simultaneously reducing the minimum reactor size and cost as compared to MCF or ICF. Los Alamos National Laboratory (LANL) began early research into MTF, but became hampered by the impetus to scale their experiments to use the nearby Shiva Star capacitor bank as a power source, instead of scaling by best available theory and experiment. The Shiva Star facility is located at Kirtland Air Force Base in Albuquerque, N. Mex. They did not optimize their proof-of-principle design based on physics, but rather on their power supply limitations. Another weakness in their approach was the use of a theta pinch, instead of a more efficient antenna method to form a Compact Torus (CT) plasma structure. Lastly, they adhere to a non-reusable compression method (an aluminum can crusher), for single-shot experimentation. A Canadian company improved upon this earlier implementation and attempted a smaller-scale MTF approach, one with lower input energy needs. However, this approach introduced high-atomic-number impurities (such as lead) that quench the plasma by radiation losses before ignition occurs. Controlling the timing of the acoustic-compression method of this company is also problematic. The California Institute of Technology and Lawrence Livermore National Laboratory (LLNL) focused on injecting a compact torus (CT) into a tokamak, to sustain the latter. Their prototype ‘Compact Torus Accelerator’ experiment showed that it was possible to both translate and compress a compact torus plasma structure by moving it relative to a tapered wall. However, they also experienced impurity problems (iron from steel electrodes) and did not attempt to extend their initial achievement to a curved geometry, such as a spiral. The University of Washington Plasma Physics Laboratory has long advocated cleanliness requirements to avoid plasma impurities. They also utilize newer and more efficient methods to form and accelerate compact toroids. However, the pure research of the University is not focused on advanced plasma compression for MTF and the University has not attempted to translate a CT along a curved wall made of beryllium or lithium-silicon, which are much lower-Z materials than their walls (made of silicon dioxide). Prior art compact toroid compression mechanisms, include, but are not limited to the following: a. Explosive (liner technology)—For example the Los Alamos/Shiva Star and like projects. Such mechanisms are not reusable, require high input energy requirements and necessitate large system size. b. Pneumatic (gas injection)—Such mechanisms typically exhibit pressure instabilities and are generally too slow for large plasmas. c. Hydraulic (hydro-forming wall)—For example, the Canadian ‘General Fusion’ MTF concept. Such mechanisms, which require sub-microsecond-precision timing, require highly complex control systems. Also, the liquid walls of such mechanisms add high-atomic-number contaminants to the plasma that significantly increase radiation loss rates from the plasma. d. Mechanical (piston)—For example, the Canadian ‘General Fusion’ concept. Such mechanisms, which require repetitive sub-microsecond timing, require highly complex control systems. e. Electrical (relay-piston)—For example, the Canadian ‘General Fusion’ concept. Such mechanisms, which require repetitive sub-microsecond timing require highly complex control systems. f. Magnetic (coil-current spike)—This mechanism has been tried in connection with many research programs, from the early TRISOPS (experiment at the University of Florida) to the University of Washington Plasma Physics Laboratory's latest CT devices. Such mechanisms require good timing, a large energy input, and may induce a plasma instability. The thrust of the present invention is to provide a compact toroid plasma structure compression assembly that is superior to and overcomes the problems associated with the various mechanisms described in the preceding paragraphs. More particularly, through analysis of the disadvantages of the aforementioned prior approaches, it has been possible to derive a unique set of design features that yield a novel approach with a distinct advantage. The details of these novel design features will be described further in the specification that follows. With the foregoing in mind, it is an object of the present invention to provide a compressor assembly of novel design within which a plasma can be efficiently compressed to a high energy state. More particularly, it is an object of the invention to provide a compressor assembly of the aforementioned character, which includes an elongated spiral passageway within which a compact toroid (CT) plasma structure can be efficiently compressed to a high-energy state by compressing the CT using its own momentum against the wall of the spiral passageway in a manner to induce heating by conservation of energy. Another object of the invention is to provide a compressor assembly of the character described in the preceding paragraph, which includes a burn chamber that is in communication with the spiral passageway and into which the compressed CT is introduced following its compression. Another object of the invention is to provide a burn chamber of the character described in the preceding paragraph, in which a magnetic sensor is embedded in the burn chamber for measuring the magnetic field vector versus time. Another object of the invention is to provide a compressor assembly of the character described in the preceding paragraph, in which the burn chamber comprises a toroidal ring of constant cross-section, having at least one entrance port for receiving the compressed CT and having a multiplicity of smaller exhaust ports. Another object of the invention is to provide a method for compressing a CT to a high-energy state using a compressor having an elongated spiral passageway by injecting the CT into the spiral passageway in a manner to avoid ricochet of the CT along the walls of the passageway. More particularly, in accordance with the method of the invention, ricochet is avoided by ensuring that the bulk axial kinetic energy of the CT at the point of injection is greater than the design “target” thermal energy sought to be achieved at the end of compression. Another object of the invention is to provide a method of the character described in the preceding paragraph in which thermal conduction losses and particle diffusion losses are avoided by embedding a large magnetic field within the CT during formation, prior to launching the CT into the elongated spiral passageway. A highly magnetized CT impedes both thermal conduction losses and particle diffusion losses perpendicular to the embedded magnetic field lines. Another object of the invention is to provide a method of the character described in the preceding paragraphs, in which thermal conduction losses and particle diffusion losses are avoided by applying a plasma-impurity impeding coating to the walls of the elongated spiral passageway. Examples of these coatings include low atomic number materials, such as beryllium or lithium-silicon. Another object of the invention is to provide a method of the character described in the preceding paragraphs in which, following compression of the CT to the design “target” thermal energy, the CT is introduced into a burn chamber comprising a toroidal ring of constant cross-section having at least one entrance port for the compressed CT and having a multiplicity of smaller exhaust ports. Another object of the invention is to provide a method of the character described in which, following compression of the CT to the design “target” thermal energy, the CT is introduced into a burn chamber and after the burn is complete, the compressed CT is caused to dissipate into a neutral gas, which is pumped out of the burn chamber by means of a suitable vacuum pump. The forgoing as well as other objectives of the invention will be achieved by the apparatus illustrated in the attached drawings and described in the specification which follows. DEFINITIONSAs used herein, the following symbols have the following meanings:SymbolMeaninga0Bohr radiusa12½ for single reactant, otherwise 1Asplasma surface areaAwwall surface areaBmagnetic flux densitycspeed of lightDdeuteriumDeelectron particle diffusivitye0elementary chargeE0incoming ion energy forsputteringE2electron allowed energystatesEHhydrogen ground state energyEthsputtering threshold energygfbfree-bound gaunt factorgfffree-free gaunt factorhPlanck constantHhydrogenHeheliumjeelectron sheath current towallkBoltzmann constantKLtotal transparency factorKn22nd-order Bessel functionLiion inertial lengthmeelectron massmiion massmPproduct ion massnneutron, or principalquantum no.n1, n2respective reactant densitiesneelectron densityngasneutral gas densityniion densitynPreactant ion particle densityNaion density * fractional ionizationNZreactant ion density *charge/massqabsolute sputtering yieldQPreaction product energyrradiusr0field null radiusrciion cyclotron radiusreclassical electron radiusriion radiusrwwall radiusRyRydberg energySnKRCstopping power for KrCpotentialttime step durationTtritiumTeelectron temperatureTiion temperatureTptransient radial temp. profilevdion velocity distributionviion most-probable thermalspeedvPreaction product ion velocityVplasma volumeWPvariable of integration forenergyZaverage ion charge in plasmaZPion product chargeαfine-structure constantβethermoelectric coefficientγratio of specific heatsΔrplasma effective thicknessεreduced energy forsputteringε0electric permittivity of freespaceHproduct particles fractionthat stayθvariable of integration fortimeκewelectron-wall thermalconductivityκiwion-wall thermalconductivityλsputtering decrease at lowenergyΛeplasma parameter forelectronsΛiplasma parameter for ionsμsputtering decrease fitparameterμ0magnetic permeability freespaceπgeometric piσcsbeam reaction cross-sectionσmmomentum transfer cross-sectionσ∥electric conductivity parallelB<σv>integrated reaction cross-sectionτieion-electron equilibrationtimeTtime that lost productparticles stayφradial particle profile in timeXeelectrons to productsvelocity ratioXiions to products velocityratioΨmagnetic flux radial profilein timeFusion The process by which two light nuclei combine to form a heavier one. The fusion process releases a tremendous amount of energy in the form of fast moving particles. Because atomic nuclei are positively charged—due to the protons contained therein—there is a repulsive electrostatic, or Coulomb, force between them. For two nuclei to fuse, this repulsive barrier must be overcome, which occurs when two nuclei are brought close enough together where the short-range nuclear forces become strong enough to overcome the Coulomb force and fuse the nuclei. The energy necessary for the nuclei to overcome the Coulomb barrier is provided by their thermal energies, which must be very high. For example, the fusion rate can be appreciable if the temperature is at least of the order on 10 keV—corresponding roughly to 100 million degrees Kelvin. The rate of a fusion reaction is a function of the temperature, and it is characterized by a quantity called reactivity. The reactivity of a D-T reaction, for example, has a broad peak between 30 keV and 100 keV. Field-Reversed Configuration (FRC) An example of a compact toroid plasma structure is a Field-Reversed Configuration which is formed in a cylindrical coil which produces an axial magnetic field. First, an axial bias field is applied, then the gas is pre-ionized, which “freezes in” the bias field, and finally the axial field is reversed. At the ends, reconnection of the bias field and the main field occurs, producing closed poloidal magnetic field lines. A review well known to those skilled in the art is found in “Field Reversed Configurations,” M. Tuszewski, Nuclear Fusion, Vol. 28, No. 11, (1988), pp. 2033-2092. Compact Toroid The FRC belongs to the family of compact toroids. “Compact” implies the absence of internal material structures (e.g. magnet coils) allowing plasma to extend to the geometric axis. “Toroid” implies a topology of closed donut-shaped magnetic surfaces. The FRC is differentiated from other compact toroids by the absence of an appreciable toroidal magnetic field within the plasma. Prime-Mover Subsystem As used herein, prime-mover subsystem means a system for converting fusion-generated ion and/or neutron thermal energy to electrical energy. The prime-mover subsystem may comprise a heat exchanger and may also comprise various types of selected direct-conversion subsystems of a character also well known by those skilled in the art. The Apparatus of the Invention Referring now to the drawings and particularly to FIG. 1, one form of the apparatus of the invention for compressing plasma to a high energy state is there shown and generally designated by the numeral 20. This form of the apparatus comprises a compressor 22, a vacuum pump subsystem 24 connected to the compressor by an outlet port 25 and a wall-cleaning subsystem that is operably associated with the compressor. The wall-cleaning subsystem here comprises heater blankets 26a, such as those readily commercially available from BH Thermal Corporation of Columbus, Ohio and like sources, a glow discharge cleaning (GDC) system 26b such as a system that is readily commercially available from XEI Scientific, Inc. of Redwood City, Calif. and an ion gettering pump 26c of the character readily available from commercial sources such as SAES Getters USA of Colorado Springs, Colo. Apparatus 20 also includes a plasma source subsystem 28 that here comprises stator antenna coils with pre-ionization capability, such as those commercially available from sources such as Alpha Magnetics of Hayward, Calif., a gas pulse injection valve with fire control unit 30 of the character that is available from Parker Hannifin of Pine Brook, N.J., and a ejector coil subsystem 32 that is also available from Alpha Magnetics. The pre-ionization process is preferably powered by a radiofrequency generator of the character that can be obtained from T & C Power Conversion of Rochester, N.Y. As will be discussed in greater detail in the paragraphs that follow, a prime-mover subsystem, which is generally designated in FIG. 1 by the numeral 34, must be operably associated with a compressor 22 to convert the fusion-generated ion and/or neutron thermal energy to electrical energy. Prime-mover 34 here comprises a heat exchanger of a character well understood by those skilled in the art. Attached to the heat exchanger is a steam turbine, which is, in turn, attached to an electrical generator (not separately shown in the drawings). The prime-mover subsystem can also comprise various types of selected direct-conversion subsystems of a character also well known by those skilled in the art. A highly unique feature of the apparatus of the present invention is the previously identified compressor 22, the details of construction of which are illustrated in FIGS. 2 through 4 of the drawings. In the present form of the invention, the plasma compressor 22 comprises first and second sealably interconnected portions 36 and 38 that are constructed from a material selected from the group consisting of aluminum, steel, copper, silicon, magnesium, carbon-carbon composites, nickel super alloys, tungsten, or other refractory alloys (such as molybdenum, niobium or rhenium). Preferably, portions 36 and 38 are formed using a conventional computer numerically controlled (CNC) machine, or a conventional electrical discharge machine (EDM), or by casting methods. As best seen in FIGS. 3 and 4 of the drawings, each of the portions 36 and 38 is provided with an elongate spiral passageway 40 having continuous wall 40a. Each of the spiral passageways has an inlet 40b and an outlet 40c (FIG. 3). Disposed proximate the center of the compressor 22 and in communication with the outlet of the spiral passageway is the important burn chamber 41, the construction and operation of which will presently be described. Also forming a part of the compressor 22 is an inlet port component 42 and an inner ring 44 that is operably associated with the burn chamber 41. Inlet port component 42 is in communication with the inlet of the spiral passageway 43 (FIG. 4) that is formed when portions 36 and 38 are joined together in the manner illustrated in FIG. 2 of the drawings by brazing, welding, diffusion bonding, or mechanical assembly (with bolts and seals). As illustrated in FIG. 2, spiral passageway 43 is of progressively decreasing diameter with the smallest diameter of the passageway being in communication with the burn chamber 41. Both the inlet port component and the inner ring are also preferably formed from a material selected from the group consisting of aluminum, steel, copper, silicon, magnesium, carbon-carbon composites, tungsten, or other refractory alloys. In order to avoid contamination of the plasma during the compression process, the wall of the elongated spiral passageway 40 of the compressor 22, as well as all other internal surfaces of the compressor that are exposed to the plasma, must be provided with a coating “C” preferably comprising either lithium-silicon, beryllium, or diboride ceramic, all of which are electrically conductive and low atomic-number materials (see FIGS. 3 and 4A). With respect to the lithium-silicon coating, it is to be noted that because pure lithium metal reacts with water vapor in the air, it is necessary that it be strictly maintained under vacuum between the point of manufacture of the coating powder and its application to the internal walls of the compressor. For certain applications, an electrically-conductive diboride ceramic or similar composite coating that consists of low atomic-number elements, which sputter slowly, could also advantageously be used to coat the internal walls of the compressor. The various techniques for coating the interior walls of the compressor are well known to those skilled in the art. For beryllium coatings, these techniques are fully described in a work entitled Beryllium Chemistry and Processing, Kenneth A. Walsh, Edgar E. Vidal, et al, ASM International (2009) (see particularly, Chapter 22, “Beryllium Coating Processes”, Alfred Goldberg, pp. 361-399). Once machined and properly coated, the inlet port component 42, the inner ring 44 and the inner walls of the compressor 22 that are exposed to the plasma are carefully cleaned and the various components of the compressor are joined together in the manner well understood by those skilled in the art, such as by brazing, welding, diffusion bonding, or mechanical assembly. After further cleaning and leak checks, the compressor 22 is integrated with the other subsystems of the apparatus of the invention in the manner depicted in FIG. 1 of the drawings. These subsystems include the previously described vacuum pump subsystem 24, the wall-cleaning subsystem that comprises heater blankets 26a, a glow discharge cleaning (GDC) system 26b and an ion gettering pump 26c and the plasma source subsystem 28. After these various subsystems have been interconnected with the compressor and the completed system has been thoroughly tested, the prime-mover subsystem 34 is interconnected with the compressor 22 in the manner indicated in FIG. 1 of the drawings. Prior to operating the apparatus of the invention, it is desirable to include a variety of well-known diagnostic tools around the apparatus (not shown in the drawings), such as a high-speed x-ray camera for observing shots, along with a neutron diagnostic, plus Rogowski coils for timing the ejection speed of the CT through the input port, as well as the speed of the CT in the burn chamber 41. Before considering the methods of the invention an alternate embodiment of the compressor unit will be considered. This alternate form of the compression unit is illustrated in FIGS. 6-9 of the drawings and is generally designated by the numeral 52. This embodiment is similar in many respects to the embodiment shown in FIGS. 1 through 5 and functions in a substantially identical manner. The primary difference between this latest embodiment of the invention and the previously described embodiment resides in the fact that the compressor is constructed from an electrically conductive, metallic alloy having a low atomic number, such as a beryllium alloy. More particularly, in this latest embodiment of the invention, portions 54 and 56 of the compressor unit 52 are formed from a block of beryllium alloy using a conventional computer numerically controlled (CNC) machine, or a conventional electrical discharge machine (EDM), or by casting method. As in the earlier described embodiment of the invention and as illustrated in FIGS. 7 and 8 of the drawings, each of the portions 54 and 56 is provided with an elongated spiral passageway 58 having continuous wall 58a. Each of the spiral passageways has an inlet 58b and an outlet 58c (FIG. 7). Also forming a part of the compressor 52 is an inlet port component 60, outlet port component 61 and an inner ring 62, the functions of which are substantially identical to the functions of inlet port 42 and the inner ring 44 of the previously described embodiment. Both the inlet port component and the inner ring are also preferably formed from a low atomic number, electrically conductive material, such as a beryllium alloy. Once machined, the inlet port component 60, the inner ring 62 and portions 54 and 56 are carefully cleaned and connected together in the manner well understood by those skilled in the art, such as by brazing, welding, diffusion bonding, or mechanical assembly using bolts and seals. After portions 54 and 56 are fused together the elongated spiral passageways 58 formed in each of the portions cooperate to define a spiral passageway 63 (FIG. 8). As illustrated in FIG. 8, spiral passageway 58 is of progressively decreasing diameter with the smallest diameter of the passageway being in communication with the burn chamber 65. Disposed proximate the center of the compressor 52 and in communication with the outlet of the spiral passageway 63 is the important burn chamber 65 of this latest form of the invention, the construction and operation of which is substantially identical to the previously identified burn chamber 41. Other candidate materials for use in constructing the compression structure 52 include Carbon-Carbon composites and refractory metal alloys (both higher atomic number materials than Beryllium). The use of the beryllium alloy material in constructing the compressor is somewhat less desirable than the use of the more common materials such as steel, copper, silicon, magnesium, tungsten or other refractory alloys, all of which absorb x-rays better than beryllium. Additionally, the use of these materials is considerably less hazardous and the materials combine the function of a vacuum structural wall and x-ray shielding wall into one component. It is to be understood that a variety of gasses, including but not limited to: hydrogen, deuterium, deuterium-tritium mixtures, pure tritium, helium-3, diborane and mixtures thereof can be used with the compression apparatus of the invention. In the case that the compression apparatus is used to compress a deuterium-rich gas to ignition and/or “burn” conditions, a portion of the burn ash will contain the rare gas helium-3. This is because the helium-3 generated from the reacted deuterium has a slower initial speed than other generated particles, such as tritium, and thus more easily thermalizes in the plasma. However, its nuclear fusion reaction rate is also slower than the tritium-deuterium reaction rate, such that it is not consumed as fast as the thermalized tritium. As a result of this breeding process, the ash from deuterium reactions accumulates the rare stable isotope helium-3. In order to collect the helium-3, a filtration system attached to the vacuum pumps will need to separate the isotopes in the exhaust. This apparatus is used to collect and purify the helium-3, as well as other exhaust products (such as tritium) that should not be vented to atmosphere from the pump exhaust. Additionally, hydrogen-1 (protons) and helium-4 could be obtained from the exhaust using an isotopic separating filtration system. The first step in carrying out the method of the present invention is to form a compact torus (CT) plasma structure. One type of CT is the Field Reversed Configuration (FRC). An FRC is formed in a cylindrical coil which produces an axial magnetic field. First, an axial bias field is applied, then the gas is pre-ionized, which “freezes in” the bias field, and finally the axial field is reversed. At the ends, reconnection of the bias field and the main field occurs, producing closed field lines. Following the formation of the CT, unlike the previously identified prior art methods which involve the use of compact toroid compression mechanisms, the CT, which is identified in the drawings by the numeral 68, is launched at high speed into the inlet port component 42 of the plasma compressor of the invention. As will be discussed in greater detail in the paragraphs that follow, as the CT travels through the plasma compressor it is crushed against a low atomic number material wall of the elongated spiral by means of its own inertia, inducing heating by conservation of energy. The internal thermal energy of the CT increases as its kinetic energy decreases. As the CT compresses against the walls of the spiral passageway 43, the pressure force it exerts has a vector component in the opposite direction to its forward motion (unless the walls are of constant cross-section). Therefore, it is important that the bulk axial kinetic energy of the CT at the point of ejection be greater than the design “target” thermal energy at the end of compression, to avoid a ricochet effect along the walls. The wall of the spiral passageway 43, as well as the other walls of the plasma compressor into which the CT comes in contact, absorb a portion of the heat, the degree to which is significantly reduced by embedding a large magnetic field within the CT during formation, prior to ejection. A highly magnetized CT impedes both thermal conduction losses and particle diffusion losses from its core to the walls. Once compressed to the design “target” thermal energy, the compressed CT 68a enters a comparatively short transfer conduit 70, which guides it away from the plane of symmetry of the compressor, and into the burn chamber 41. As previously discussed, the burn chamber comprises a toroidal ring of constant cross-section, with a single entrance port for the compressed CT 68a (FIGS. 3 and 7), and multiple smaller exhaust ports 72 (FIG. 5) which are in communication with the vacuum system 24. After the burn is complete, the compressed CT 68a dissipates into neutral gas, which is pumped out through the main vacuum exit port 74. Referring to FIGS. 5 and 9 of the drawings, it is to be noted that the inner ring is provided with a circular hole 78, which is adapted to receive an alignment gauge pin during assembly (not shown). After assembly, the alignment gauge pin is removed, leaving two through-holes that can be conveniently used for the insertion of diagnostic probes, such as a Rogowski coil loop. A major advantage of the method of the present invention is that neutral beams are not necessary for heating the plasma, maintaining the compact toroid plasma thermal energy, or providing stability to the plasma structure. Another advantage of the method is that collapsible walls are not needed for compressing the plasma. Additionally, in practice, the compression apparatus of the invention can be used multiple times. By way of background, in burning deuterium, which is an abundant stable-isotope of hydrogen, the reaction cycle consists of the following five equations:2D+2D→3He (0.8 MeV)+01n (2.4 MeV)  Primary neutron-branch2D+2D→3T (1.0 MeV)+1H (3.0 MeV)  Primary proton-branch2D+3He→4He (3.7 MeV)+1H (14.7 MeV)  Secondary helion-branch2D+3T→4He (3.5 MeV)+01n (14.0 MeV)  Secondary triton-branch3T+3T→4He (3.8 MeV)+01n (3.8 MeV)+01n (3.8 MeV)  Tertiary triton-branch It is important to understand that in carrying out the method of the present invention, the wall of the spiral passageway, as well as any surface that the CT plasma structure comes in direct line-of-sight contact with, be clean, of low atomic number, and sputter slowly. These features will minimize losses due to impurities entering the plasma from the walls. In addition, it is beneficial for the walls to be electrically conductive, as this minimizes the loss due to synchrotron (cyclotron) radiation from the heated plasma by reflecting the emitted millimeter-wavelength light back into the plasma for re-absorption. This becomes apparent upon reviewing the fundamental equations governing the energy balance for the system. The equation for the power gained by fusion reactions is:Fusion Gain Pf=a12n1n2σv  A.1 The loss equations for electrons, ions, and particle transfer appear respectively in FIGS. 10, 11 and 12 of the drawings with all variables being as defined in the previously set forth symbol definition table. A key observation, based on these equations, as well as prior experiment literature, is that avoiding impurity-driven losses is a crucial requirement for maintaining a hot plasma. To accomplish this, it is essential that the plasma not come into contact with high atomic number (high Z) materials, such as steel. The end-result of impurities in the plasma is that the loss rates increase by orders of magnitude. There are multiple loss paths due to high-Z contamination. The volumetric radiation power loss mechanisms that increase most significantly with Z are Bremsstrahlung, Recombination, and Excitation Line. However, the average Z also influences thermal conduction losses and even thermalization rates. Bremsstrahlung radiation is strongly affected by the average ion charge Z of the plasma, as the multi-pole non-relativistic equation A.2 (FIG. 10) indicates. In addition to this equation, it is important to calculate both the dipole and relativistic versions of the Bremsstrahlung loss rate, as well as all the quantum-mechanical “gaunt factor” corrections for each ion species, before arriving at the dominant loss rate due to Bremsstrahlung radiation. Bremsstrahlung occurs in the x-ray spectrum and leaves the plasma. However, Bremsstrahlung is dominant only at high energy levels that are commensurate with burn conditions. For this reason, and the fact that the plasma is transparent to x-rays, Bremsstrahlung is usually the primary loss mechanism considered in simulation programs. At lower energy levels, which the plasma must pass through in order to get from a neutral-gas state to burn conditions, recombination and excitation line radiation dominate the plasma's radiative loss mechanisms. This is especially the case for high-impurity content plasma. Recombination radiation, governed by equation A.3 (FIG. 10), is the loss most strongly affected by Z. As can be seen inside the integrand, recombination radiation is extremely sensitive to increases in Z. It can be orders of magnitude less than Bremsstrahlung for a pure hydrogenic plasma, but can rapidly exceed Bremsstrahlung at lower energy levels from even moderate impurity content. Thus, by controlling impurities, the recombination radiation loss mechanism can be minimized. Similarly, excitation line radiation in equation A.4 (FIG. 10) is affected by Z. Although not as apparent from this top-level equation, the calculation of Na utilizes a nonlinear function with Z as a directly dependant variable. Recombination and line radiation are often over-looked in sizing calculations, as they are assumed to be negligible as compared to Bremsstrahlung. This is the case under certain circumstances, but it is important to include their equations in case impurities enter the plasma. Overall, it is always beneficial (loss-reducing) to minimize the average Z. This is best accomplished by keeping impurities out of the plasma by utilizing clean, low-Z walls that sputter at as low a rate as possible. In a clean, but non-magnetized plasma, the dominant loss mechanism is usually thermal conduction to the walls (equations A.6 and A.8—FIGS. 10 and 11), followed by particle diffusion (equation A.15—FIG. 12). Increasing the ambient magnetic field parallel to the walls inhibits these losses, but it also gradually increases the loss from Synchrotron radiation (equation A.5—FIG. 10). From simulations, a compact torus (CT) plasma can sustain several hundred Tesla before Synchrotron radiation exceeds the Bremsstrahlung radiation loss rate. This is because the plasma is highly absorbent to the millimeter-wave spectrum emitted by Synchrotron radiation and electrically-conductive walls efficiently reflect Synchrotron radiation, as well as the fact that Synchrotron radiation is not affected by Z. Other losses included in the tables are ion Bremsstrahlung (equation A.10—FIG. 11) and ion Synchrotron (equation A.11—FIG. 11) radiation, which are comparatively minor to their electron counterparts in quasi-neutral plasmas. Neutral drag (equation A.9—FIG. 11) is also a comparatively small loss, but its inclusion enables prediction of how high a vacuum is required to sustain a moving plasma with negligible drag loss. Similarly, simulating sputtering of impurities from the wall (equation A.16—FIG. 12) and tracking magnetic dissipation (equation A.7—FIG. 10) allow estimation of how many impurities a wall will impart to a transient plasma and how long its internal magnetic field will last, respectively. The remaining effects of ion-to-electron kinetic transfer collisions (equation A.12—FIG. 11), product energy ion apportionment (equation A.13—FIG. 11), product energy ion thermalization (equation A.14—FIG. 12), and particle thermalization (equation A.17—FIG. 11) are essential to accounting for the allotment of energy and particles coming from core burn dynamics. In effect, they determine not the burn rate, but rather how to apportion the fusion energy coming from the original gain equation A.1, given the state of the plasma as instigated by an external device. Once the governing equations are accounted for, it is possible to perform an optimization of the parameters for the method of the invention. By way of example, for deuterium gas, a convenient diameter for the starting and ending CT is 137 and 19 millimeters, respectively. The initial embedded magnetic field is preferably on the order of 6±1 Tesla and the minimum initial plasma ion density is approximately 5×1015 particles per cubic centimeter. For optimum performance, the ejection speed of the CT requires a minimum of 4.8×106 meters per second and the minimum amount of time required for compression is on the order of 2 microseconds. Having now described the invention in detail in accordance with the requirements of the patent statutes, those skilled in this art will have no difficulty in making changes and modifications in the individual parts or their relative assembly in order to meet specific requirements or conditions. Such changes and modifications may be made without departing from the scope and spirit of the invention, as set forth in the following claims.
description
The present invention relates to an electromagnetic pump compensation power supply apparatus and an electromagnetic pump system for improving a low power factor of an electromagnetic pump and having required electric power output characteristic. In a fast reactor that uses metal sodium as coolant, an electromagnetic pump is employed to circulate the coolant. Making use of the fact that liquid metal sodium is a good conductor of electricity; the electromagnetic pump is designed to transport the metal sodium coolant based on the principle that the electromagnetic pump receives a force in proportion to a magnetic field strength thereof in a direction perpendicular thereto when the electromagnetic pump through which a current flows is placed in a magnetic field. The electromagnetic pump has excellent characteristics compared with a conventional mechanical pump. In terms of functionality, flow rate of coolant can be easily and linearly adjusted. In terms of structure, it is possible to keep the coolant in a completely sealed state. Because the electromagnetic pump is small in size, the electromagnetic pump may be combined with another apparatus, such as steam generator, for the purpose of streamlining. The electromagnetic pump does not have moving parts, and is therefore easy to maintain and repair. And electromagnetic pump is possible obtain a high discharge pressure. When abnormality, such as plant trip or loss of offsite power, occurs in a running fast reactor, a function of ensuring flow rate during a predetermined period of time after shutdown of the reactor, i.e. flow coast down characteristic function, is required to mitigate a transitional coolant temperature difference at an inlet and outlet of a reactor core that is generated as a control rod is quickly inserted into the core of the reactor. As described above, when the control rod is quickly inserted into the core, core outlet temperature would increase if the flow rate through the core decreases more rapidly relative to the degree of attenuation of heat removal from the core. In order to mitigate the above, the attenuation speed of the flow rate needs to be consistent with the amount of heat generation. Such a characteristic is known as flow coast down characteristic. The flow coast down characteristic is important not only in terms of safety in avoiding an increase in the core outlet temperature, but also in terms of ensuring soundness in mitigating structural-material temperature change, i.e. mitigating plant thermal transient in a system, particularly in a sodium-cooled reactor where a heat transfer rate between coolant sodium and structural material is high. As for the function of ensuring a flow rate during a predetermined period of time after shutdown of the reactor, flow coast down characteristic function, some kind energy storage needs to be separately prepared to ensure an attenuating output characteristic of an electromagnetic pump because the electromagnetic pump has no rotating parts and no mechanical inertia. Moreover, the electromagnetic pump is an extreme inductive load, and power factor thereof therefore is about 0.5, which is smaller than power factor of a conventional mechanical sodium pump. In the case that the electromagnetic pump is used as a pump requiring large capacity such as a coolant circulation pump of a fast reactor, driving power supply capacity thereof would become massive unless a power factor correction means is provided to compensate reactive power. As a result, the problem is that it is difficult to lay out power equipment, and design work could be complicated, so the use of the pump would become disadvantageous from an economical point of view. As mentioned above, as an energy storage means that can ensure the flow coast down characteristic to mitigate plant thermal transient and improve a low power factor of the electromagnetic pump at the same time, there is a method of using a superconduction energy storage apparatus, and supplying to the electromagnetic pump via a power converter control apparatus from energy stored in a superconductive electromagnet as disclosed in Patent Document 1 for example. A synchronous machine connected parallel to the electromagnetic pump may be operated as a phase modifier during normal operation of a plant. When abnormality such as cutting-off of a power supply circuit of the electromagnetic pump has occurred, as disclosed in Patent Document 2 for example, there is a method of regenerating an excitation current from rotation energy, and supplying the excitation current to the electromagnetic pump. Patent Document 1: Japanese Patent Application Laid-Open Publication No. 05-142382 Patent Document 2: Japanese Patent Application Laid-Open Publication No. 03-73891 In the above-described conventional example, during a flow coast down operation to mitigate the plant thermal transient at a time when a plant trip, loss of offsite power, or the like has occurred, in order to obtain an attenuation output characteristic required for an electromagnetic pump that is applied to a main circulation pump of a fast reactor, a control circuit is used for an electromagnetic pump compensation power supply apparatus. However, in order to obtain safety functions, the control circuit needs to be diversified, multiplexed, or made redundant in other ways; a measure for ensuring testability during operation of a reactor, and other measures are required. However, there is no precedent for applying to a safety system of the above kind of excitation control circuit, and the problem is how to ensure reliability. Therefore, the object of the present invention is to provide a highly-reliable electromagnetic pump compensation power supply apparatus and an electromagnetic pump system that include a function of carrying out reactive power compensation to improve a low power factor of an electromagnetic pump during normal operation of a plant, and to have a required electric power output characteristic without being controlled from outside. According to an embodiment, there is provided an electromagnetic pump compensation power supply apparatus that is electrically connected to an AC power line on a downstream side of a power supply line breaker of a power supply unit of an electromagnetic pump, improves a power factor during normal operation, and supplies power to the electromagnetic pump at a time of loss of AC power supply to the electromagnetic pump, the electromagnetic pump compensation power supply apparatus comprising: a rotor shaft that rotates around an axis; a flywheel that stores rotation energy and is fixed to the rotor shaft; an exciter rotor winding that is fixed to the rotor shaft; a rotating rectifier that is fixed to the rotor shaft, and converts alternate current generated on the exciter rotor winding into direct current; a winding type synchronous machine rotor winding that is fixed to the rotor shaft, and receives direct current supplied from the rotating rectifier; a winding type synchronous machine stator winding that is fixed in a stationary manner so as to face the winding type synchronous machine rotor winding, constitutes a winding type synchronous machine along with the winding type synchronous machine rotor winding, and converts rotational energy into electrical energy and electrical energy into rotational energy; an exciter stator permanent magnet apparatus that includes an exciter stator permanent magnet, which constitutes an exciter along with the exciter rotor winding, and can switch between two states, which are non-excited state and excited state; and a synchronous machine side power supply line that connects the winding type synchronous machine stator winding and the AC power line on a downstream side of the power supply line breaker. According to another embodiment, there is provided an electromagnetic pump system comprising: an electromagnetic pump; a power supply unit of the electromagnetic pump, the power supply unit including: an inverter apparatus which converts power from an AC system bus into AC power having predetermined frequency and voltage to supply to the electromagnetic pump, and a power supply line breaker which is connected to an output side of the inverter apparatus and cuts off AC power; and an electromagnetic pump compensation power supply apparatus that is electrically connected to the electromagnetic pump on an electromagnetic pump's side of the power supply line breaker, and improves a power factor during normal operation, and supplies power to the electromagnetic pump at a time of loss of AC power supply to the electromagnetic pump; wherein the electromagnetic pump compensation power supply apparatus includes: a rotor shaft that rotates around an axis, a flywheel that stores rotation energy and is fixed to the rotor shaft, an exciter rotor winding that is fixed to the rotor shaft, a rotating rectifier that is fixed to the rotor shaft, and converts alternate current, generated on the exciter rotor winding into direct current, a winding type synchronous machine rotor winding that is fixed to the rotor shaft, and receives direct current supplied from the rotating rectifier, a winding type synchronous machine stator winding that is fixed in a stationary manner so as to face the winding type synchronous machine rotor winding, constitutes a winding type synchronous machine along with the winding type synchronous machine rotor winding, and converts rotational energy into electrical energy and electrical energy into rotational energy, an exciter stator permanent magnet apparatus that includes an exciter stator permanent magnet, which constitutes an exciter along with the exciter rotor winding, and can switch between two states, which are non-excited state and excited state, and a synchronous machine side power supply line that connects the winding type synchronous machine stator winding and an AC power line on a downstream side of the power supply line breaker. According to the present invention, it is possible to provide a highly-reliable electromagnetic pump compensation power supply apparatus and an electromagnetic pump system that include a function of carrying out reactive power compensation to improve a low power factor of an electromagnetic pump during normal operation of a plant, and a function of offering a required electric power output characteristic without being controlled from outside. Hereinafter, with reference to the accompanying drawings, embodiments of electromagnetic pump compensation power supply apparatus and electromagnetic pump system of the present invention will be described. The same or similar portions are represented by the same reference symbols, and a duplicate description will be omitted. FIG. 1 is a schematic diagram showing the electrical configuration of a first embodiment of an electromagnetic pump compensation power supply apparatus according to the present invention. As shown in FIG. 1, an electromagnetic pump compensation power supply apparatus 5 of the present embodiment includes an electromagnetic pump compensation power supply mechanism 10, a DC power supply unit 30, and a synchronous machine side power supply line 40a. To an electromagnetic pump 1, power is fed from an AC system bus 2 via an inverter apparatus 42 and a power supply line breaker 41 which is connected in series to a downstream side of the inverter apparatus 42, and through an AC power line 40. To change a flow rate and a pump head characteristics of the electromagnetic pump 1, the inverter apparatus 42 has a function of changing frequency and voltage of drive power for the electromagnetic pump 1 through a process of converting power of the AC system bus 2 from alternate current to direct current and a subsequent process of converting from direct current to alternate current. On a downstream side of the power supply line breaker 41 of the power supply line, the electromagnetic pump compensation power supply mechanism 10 is electrically connected to the electromagnetic pump 1 via the synchronous machine side power supply line 40a. More specifically, the synchronous machine side power supply line 40a is connected to a winding type synchronous machine stator winding 13a (described later), which is component part of the electromagnetic pump compensation power supply mechanism 10. An electromagnetic solenoid 20 in the electromagnetic pump compensation power supply mechanism 10 is connected to the AC system bus 2 via the DC power supply unit 30 independently of the above power supply line. The DC power supply unit 30 includes a DC supply line breaker 31 and a DC supply line rectifier 32, which are connected in series to each other. FIG. 2 is a schematic longitudinal cross-sectional view showing the mechanical configuration of the electromagnetic pump compensation power supply mechanism 10 of FIG. 1. By broad classification, the electromagnetic pump compensation power supply mechanism 10 includes a flywheel 12, a winding type synchronous machine 13, a rotating rectifier 14, and an exciter 15. The winding type synchronous machine 13 includes a winding type synchronous machine stator winding 13a and a winding type synchronous machine rotor winding 13b. The exciter 15 includes an exciter stator permanent magnet apparatus 45 and an exciter rotor winding 15b. The exciter stator permanent magnet apparatus 45 has a drive mechanism including an exciter stator permanent magnet 15a, electromagnetic solenoids 20, and connecting rods 21. The exciter stator permanent magnet apparatus 45 is so formed as to switch between an excited state in which an excitation function is exerted with the exciter stator permanent magnet 15a and the exciter rotor winding 15b facing each other, and a non-excited state in which the excitation function is not exerted as the exciter stator permanent magnet 15a and the exciter rotor winding 15b are so positioned as not to face each other. The flywheel 12, the winding type synchronous machine rotor winding 13b of the winding type synchronous machine 13, the rotating rectifier 14, and the exciter rotor winding 15b of the exciter 15 are directly-connected to each other on the same rotor shaft 11. The flywheel 12 is an apparatus for storing energy as rotation energy during normal operation. The size and the weight of the flywheel 12 are so configured that rotation energy can be secured to supply required power to the electromagnetic pump 1 to obtain the flow coast down characteristic at a time when a plant trip or loss of offsite power has occurred. Although not shown in the diagrams, the exciter rotor winding 15b is electrically connected to an input side of the rotating rectifier 14. An output side of the rotating rectifier 14 is electrically connected to the winding type synchronous machine rotor winding 13b. An alternate current generated on the exciter rotor winding 15b of the exciter 15 is converted into direct current in the rotating rectifier 14 during normal operation, and the direct current flows through the winding type synchronous machine rotor winding 13b having an excitation function. Accordingly, the winding type synchronous machine 13 induces voltage on the winding type synchronous machine stator winding 13a, supplying power to the electromagnetic pump 1. As described below an exciter stator permanent magnet 15a of the exciter 15 is so formed as to move in a rotation-axis direction with the help of action of electromagnetic solenoids 20. The electromagnetic solenoids 20 each includes an electromagnetic solenoid support plate 20a, an electromagnetic solenoid coil 20b, and an electromagnetic solenoid permanent magnet 20c. The electromagnetic solenoid permanent magnet 20c is connected to a spring 16 that is fixed to the solenoid support plate 20a. A portion of the electromagnetic solenoid permanent magnet 20c may not be permanent magnet as long as the portion is driven by magnetic force of an electromagnet. The connecting rods 21, which extend in the rotation-axis direction, are used to connect the exciter stator permanent magnets 15a and the electromagnetic solenoid permanent magnets 20c. The electromagnetic solenoids 20 and the connecting rods 21 are major components of a mechanism (“drive mechanism”) that has a function of driving the exciter stator permanent magnets 15a. During normal operation of a plant, magnetic energy that is supplied from the electromagnetic solenoid coils 20b is accumulated the springs 16 as elastic energy because of interaction between the electromagnetic solenoid coils 20b and the electromagnetic solenoid permanent magnets 20c. In this state, the springs 16 may be in any of a compressed state or a pulled state. In this state, the exciter stator permanent magnets 15a are moved in an axial direction from a position where the exciter stator permanent magnets 15a face the exciter rotor windings 15b in a radial direction, and are kept at a position (referred to as “non-facing position,” hereinafter) that is away therefrom. At a time when a plant trip or loss of offsite power has occurred, no power is supplied by external power source from the DC power supply unit 30 to the electromagnetic solenoid coils 20b. Therefore, with the help of the elastic energy of the springs 16, the exciter stator permanent magnets 15a promptly move in the rotation-axis direction in conjunction with the electromagnetic solenoid permanent magnets 20c; the exciter stator permanent magnets 15a return a home position, or a position referred to as “facing position,” hereinafter) where the exciter stator permanent magnets 15a face the exciter rotor winding 15b in the radial direction. The number of turns of the exciter rotor windings 15b, and the non-facing position of the exciter stator permanent magnets 15a are set in such a way as to enable the winding type synchronous machine 13 to generate reactive power that is required for the electromagnetic pump 1 during normal operation of a plant. The following describes an operation of the electromagnetic pump compensation power supply mechanism 10. During normal operation, a direct current is supplied to the electromagnetic solenoid coils 20b via the DC supply line rectifier 32 from the AC system bus 2; the electromagnetic solenoids 20, which are part of the electromagnetic pump compensation power supply mechanism 10, is in operation. That is, by magnetic attractive force of the electromagnetic solenoid coils 20b and the electromagnetic solenoid permanent magnets 20c, the electromagnetic solenoid permanent magnets 20c and, the exciter stator permanent magnets 15a which are connected to the electromagnetic solenoid permanent magnets 20c via the connecting rods 21, are drawn toward the electromagnetic solenoid support plate 20a. At this time, elastic energy is accumulated in the springs 16, and the exciter stator permanent magnets 15a are moved away from the position where the exciter stator permanent magnets 15a face the exciter rotor windings 15b. As a result, a magnetic flux interlinked with the exciter windings 15b decreases, and an excitation current flowing through the winding type synchronous machine rotor windings 13b decreases. Therefore, the winding type synchronous machine 13 ends up being in a leading power factor operation. When the winding type synchronous machine 13 is in a steady state during normal operation, the winding type synchronous machine 13 is in a synchronous phase modifier operation because the machine is in a no-load state except for windage loss. In the leading power factor operation, advanced reactive power can be generated and supplied to the electromagnetic pump 1. The number of turns of the electromagnetic solenoid coils 20b is adjusted in such a way as to generate reactive power that is required for the electromagnetic pump 1 during rated operation. Therefore, during normal operation of a plant, no control apparatus is required for the electromagnetic solenoids 20. When a plant trip or loss of offsite power have occurred, the power supply line breaker 41 cuts off the electromagnetic pump compensation power supply mechanism 10 and the electromagnetic pump 1 from the inverter apparatus 42 in order to ensure safety functions of the electromagnetic pump compensation power supply mechanism 10 and the electromagnetic pump 1. At the same time, the DC supply line breaker 31 cuts the electromagnetic solenoids 20 off from the AC system bus 2. At this time, no power is supplied to the winding type synchronous machine 13. However, the flywheel 12 and the rotor shaft 11 in which rotation energy has been stored continue to rotate for a while because of inertia, without being powered by external power source. Since no power is supplied to the electromagnetic solenoids 20, the magnetic attractive force between the electromagnetic solenoid coils 20b and the electromagnetic solenoid permanent magnets 20c disappears. Therefore, the spring elastic force of the springs 16 helps the exciter stator permanent magnets 15a move promptly back to the original facing position where the exciter stator permanent magnets 15a face the exciter rotor windings 15b. As a result, the magnetic flux interlinked with the exciter rotor windings 15b increases, and the excitation current of the winding type synchronous machine rotor windings 13b increases. Therefore, the winding type synchronous machine 13 automatically switches to a lagging power factor operation. As a result, the winding type synchronous machine 13 becomes able to supply, without external power source, required power to the electromagnetic pump 1 to obtain the flow coast down characteristic. As described above, the exciter stator permanent magnet apparatus 45, which has the drive mechanism including the exciter stator permanent magnets 15a, the electromagnetic solenoids 20 and the connecting rods 21, has a function of switching between excited state and non-excited state in the exciter 15, as a whole. According to the present embodiment, during normal operation, because of the electromagnetic solenoids 20, the exciter stator permanent magnets 15a move from the home position, resulting in a decrease in field current of the winding type synchronous machine 13. As a result, the winding type synchronous machine 13 of the electromagnetic ump compensation power supply mechanism 10 that is in an almost no-load state enters a leading power factor operation; reactive power can be supplied to the low power-factor electromagnetic pump 1. Therefore, the power factor of the electromagnetic pump 1 during normal operation is improved, and an ancillary-provided power equipment can be made significantly smaller in size. When a power supply circuit is cut off from the electromagnetic pump 1 as abnormality occurs, the spring elastic force of the springs 16 helps the exciter stator permanent magnets 15a of the exciter 15 move automatically back to the facing position, and the winding type synchronous machine 13 switches to the lagging power factor operation. That is, power is supplied to the electromagnetic pump 1 from the exciter 15 and the winding type synchronous machine 13 via the synchronous machine side power supply line 40a because of the rotation energy accumulated in the flywheel 12. Therefore, the power required to ensure a required flow coast down characteristic is obtained without external power source. Thus, a control circuit that adjusts an electrical output of the electromagnetic pump compensation power supply mechanism 10 is not required. Therefore, it is possible to improve reliability. As described above, according to the present embodiment, it is possible to provide a highly-reliable electromagnetic pump compensation power supply apparatus that includes the function of carrying out reactive power compensation to improve a low power factor of the electromagnetic pump during normal operation of plant, and the function of offering a required electric power output characteristic without being controlled from outside. FIG. 3 is a schematic vertical cross-sectional view showing the mechanical configuration of a second embodiment of an electromagnetic pump compensation power supply apparatus according to the present invention. As shown in FIG. 3, in an electromagnetic pump compensation power supply mechanism 10 of an electromagnetic pump compensation power supply apparatus 5 of the present embodiment, an exciter stator permanent magnet apparatus 45 has a drive mechanism including exciter stator permanent magnets 15a, a magnetic shield plate 22, electromagnetic solenoids 20, and connecting rods 21. A cylindrical magnetic shield plate 22 is provided midway between an exciter stator permanent magnet 15a and an exciter rotor winding 15b in the radial direction; the magnetic shield plate 22 is moved by the electromagnetic solenoids 20 in the axial direction by connecting rods 21. The exciter stator permanent magnets 15a and the exciter rotor windings 15b are so positioned as to face each other. However, the exciter stator permanent magnet apparatus 45 includes the magnetic shield plate 22. The magnetic shield plate 22 is so formed as to be able to switch between an excited state in which an excitation function is exerted as no magnetic shield plate 22 between the exciter stator permanent magnets 15a and the exciter rotor windings 15b, and a non-excited state in which the excitation function is not exerted as the magnetic shield plate 22 is between the exciter stator permanent magnets 15a and the exciter rotor windings 15b. The magnetic shield plate 22 is made from magnetic material such as an electromagnetic steel plate. During normal operation, the electromagnetic solenoids 20 is running; the magnetic shield plate 22 is at an intervening position between the exciter stator permanent magnets 15a and the exciter rotor windings 15b. At this time, part of a magnetic flux caused by a permanent magnet flows to the magnetic shield plate 22. As a result, the magnetic flux interlinked with the exciter rotor windings 15b decreases, and the excitation current flowing through the winding type synchronous machine rotor windings 13b decreases. Therefore, the winding type synchronous machine 13 ends up being in a leading power factor operation. When a plant trip or loss of offsite power has occurred, the supply of power to the electromagnetic solenoids 20 is shut down, and then the spring elastic force of the springs 16 helps the magnetic shield plate 22 move in the axial direction. The magnetic shield plate 22 promptly moves to a position (referred to as “non-intervening position,” hereinafter) that is away from the intervening position between the exciter stator permanent magnets 15a of the exciter 15 and the exciter rotor windings 15b. As a result, the magnetic flux interlinked with the exciter rotor windings 15b increases, and the excitation current of the winding type synchronous machine rotor windings 13b increases. Therefore, the winding type synchronous machine 13 automatically switches to a lagging power factor operation. As described above, the exciter stator permanent magnet apparatus 45, which has the drive mechanism including the exciter stator permanent magnets 15a, the electromagnetic solenoids 20, and the connecting rods 21, and the magnetic shield plate 22, has a function of switching between excited state and non-excited state in the exciter 15, as a whole. According to the present embodiment, the length of gaps between the exciter stator permanent magnets 15a of the exciter 15 and the exciter rotor windings 15b become longer. However, the weight of a moving object is decreased, and the electromagnetic solenoids 20 therefore can be made smaller in size. Moreover, the reliability is improved as the exciter stator permanent magnets 15a of the exciter 15 do not move. As described above, according to the present embodiment, it is possible to provide a highly-reliable electromagnetic pump compensation power supply apparatus that includes the function of carrying out reactive power compensation to improve a low power factor of the electromagnetic pump during normal operation of a plant, and the function of offering a required electric power output characteristic without being controlled from outside. FIG. 4 is a schematic diagram showing the electrical configuration of a third embodiment of an electromagnetic pump compensation power supply apparatus according to the present invention. According to the present embodiment, as shown in FIG. 4, step-down transformer 33, a DC power supply apparatus 34 and a DC supply line breaker 31 are placed in a DC power supply unit 30 between an AC system bus 2 and electromagnetic solenoids 20, and are connected in series in that order. Furthermore, a power factor control unit 35 is provided to control the DC power supply apparatus 34 in such a way as to improve a power factor on the basis of current and voltage signals from a current transformer 36 and a potential transformer 37, which are provided on a power supply line of the electromagnetic pump 1. The power factor control unit 35 is designed to continuously control voltage supplied to the electromagnetic solenoids 20 during normal operation. The configuration of the other components is the same as the first or second embodiment. According to the present embodiment, the DC power supply apparatus 34, the power factor control unit 35, the current former 36, and the potential transformer 37 need to be added. However, during normal operation of a plant, it is possible for the electromagnetic solenoids 20 to continuously control the positions of the exciter stator permanent magnets 15a. Therefore, even in any operation state other than the rated operation, the power factor can be improved. As described above, according to the present embodiment, the same advantageous effects as those of the first or second embodiment can be achieved. Moreover, it is possible to offer a more delicate reactive power compensation function for improving a low power factor of the electromagnetic pump during normal operation of a plant, as well as a required electric power output characteristic without being controlled from outside. FIG. 5 is a schematic diagram showing the electrical configuration of a fourth embodiment of an electromagnetic pump compensation power supply apparatus according to the present invention. As shown in FIG. 5, the power that is supplied to the electromagnetic solenoids 20 during normal operation is not supplied through a direct line from the AC system bus 2, but supplied by branching from the synchronous machine side power supply line 40a, which is a power supply line for the winding type synchronous machine dings 13a of the winding type synchronous machine 13, to the DC power supply unit 30. The DC power supply unit 30 includes the DC supply line rectifier 32 and the DC supply line breaker 31 as in the case of the first embodiment. According to the present embodiment, since the electromagnetic solenoids 20 is operated, the capacity of the winding type synchronous machine 13 needs to become larger accordingly. However, compared with each of the above embodiments, the number of lines between the electromagnetic pump compensation power supply mechanism 10 and the AC system bus 2 is decreased, making it easier to design the layout of the power equipment. As described above, according to the present embodiment, it is possible to provide a highly-reliable electromagnetic pump compensation power supply apparatus that includes a function of carrying out reactive power compensation to improve a low power factor of an electromagnetic pump during normal operation of a plant, and a function of offering a required electric power output characteristic without being controlled from outside. Furthermore, the quantity is reduced, and it becomes easier to design the layout of the power equipment. FIG. 6 is a schematic longitudinal cross-sectional view showing the mechanical configuration of a fifth embodiment of an electromagnetic pump compensation power supply apparatus according to the present invention. The present embodiment is a variant of the first embodiment. The present embodiment is the same as the first embodiment in that the exciter stator permanent magnets 15a of the exciter 15 are so formed as to be able to move in the rotation-axis direction due to the action of the electromagnetic solenoid. According to the first embodiment, the electromagnetic solenoids 20, the exciter stator permanent magnets 15a, and the electromagnetic solenoid permanent magnets 20c are directly connected. According to the present embodiment, elements are added to ensure functionality even if the electromagnetic force of the electromagnetic solenoid is weak. A drive mechanism 50 includes connecting rods 51, a support plate 52, compression springs 53, and compression spring stop plates 54, guide sections 55, lever rods 56, fulcrum stages 57, lever permanent magnets 58 and lever electromagnetic solenoids 59. A support plate 52 is fixed to an external portion (or a casing, which is not shown in the diagram, for example). The support plate 52 supports one end of a compression spring 53. Connecting rods 51 are connected to an exciter stator permanent magnet 15a. In the middle of the connecting rods 51, compression spring stop plates 54 are provided. The other end of the compression spring 53 is supported by the compression spring stop plate 54; the compression spring 53 is held between the support plate 52 and the compression spring stop plate 54. The other side of the connecting rod 51 from the connecting portion with the exciter stator permanent magnet 15a passes through the support plate 52; an end portion thereof is connected to a lever rod 56. The other end of the lever rod 56 from the connecting portion with the connecting rod 51 is connected to a lever permanent magnet 58. A middle portion of the lever rod 56 is connected to a lever rod fulcrum 57a on a fulcrum stage 57 so as to be able to rotate. The connecting-portion length of the lever rod 56 between the lever rod fulcrum 57a and the lever permanent magnet 58 is sufficiently longer than the length between the connecting portion with the connecting rod 51 and the lever rod fulcrum 57a. According to the present embodiment, a lever electromagnetic solenoid 59, the lever rods 56, and connecting sections such as the connecting rods 51 are major components of the drive mechanism that has a function of driving the exciter stator permanent magnets 15a. The lever electromagnetic solenoid 59 is fixed to an external portion; DC power is supplied thereto from the DC power supply unit 30. The length of a lever rod 56 and others are so set that a positional relationship between an exciter stator permanent magnet 15a and an exciter rotor winding 15b varies according to whether the compression spring is in a compressed state or in a released state. That is, the length of a lever rod 56 and others are so set that a lever permanent magnet 58 is attracted to the lever electromagnetic solenoid 59, and the exciter stator permanent magnet 15a is at a non-facing position with respect to the exciter rotor winding 15b because of a magnetic attractive force thereof in a situation where DC power is supplied to the lever electromagnetic solenoid 59. And, the lever permanent magnet 58 moves away from the lever electromagnetic solenoid 59 as the compression spring 53 is released from a compression state, and the exciter stator permanent magnet 15a is at a facing position with respect to the exciter rotor winding 15b in a situation where DC power is not supplied to the lever electromagnetic solenoid 59. According to the present embodiment with the above configuration, DC power is supplied from the DC power supply unit 30 to the lever electromagnetic solenoid 59 during normal operation. Therefore, the lever permanent magnet 58 is attracted to the lever electromagnetic solenoid 59, and the exciter stator permanent magnet. 15a moves to a non-facing position with respect to the exciter rotor winding 15b through the lever rod 56 and the connecting rod 51. When a plant trip or loss of offsite power has occurred, the supply of power to the lever electromagnetic solenoid 59 is cut off. Accordingly, the magnetic attractive force between the lever electromagnetic solenoid 59 and the lever permanent magnet 58 disappears. Therefore, the spring elastic force of the compression spring 53 helps the exciter stator permanent magnet 15a move promptly back to, the original facing position where the exciter stator permanent magnet 15a faces the exciter rotor winding 15b. As described above, the exciter stator permanent magnet apparatus 15a, and the drive mechanism 50 including the electromagnetic solenoids 59, the connecting rods 51, and the lever rods 56 have a function of switching between excited state and non-excited state in the exciter 15, as a whole. According to the present embodiment, even when the magnetic attractive force between the lever permanent magnet 58 and the lever electromagnetic solenoid 59 is smaller compared with the spring elastic force of the compression spring 53, the principle of leverage works in such a way that the position of the exciter stator permanent magnet 15a can be maintained by a small magnetic attractive force. Therefore, during normal operation, it is possible to prevent disturbance caused by malfunction, and the occurrence of trouble. As described above, according to the present embodiment, the same advantageous effects as those of the first embodiment can be obtained. Moreover, the position of the exciter stator permanent magnet 15a can be maintained by small magnetic attractive force. Therefore, during normal operation, it is possible to prevent disturbance caused by malfunction, and the occurrence of trouble. The above has described several embodiments of the present invention. However, the embodiments have been presented by way of example, and are not intended to limit the scope of the invention. For example, the present invention can be applied not only to fast reactor plants, but also to facilities and plants that use electromagnetic pumps and have the similar problems. Features of various embodiments may be used in combination. For example, the configuration of the electromagnetic pump compensation power supply mechanism 10 of the third, fourth, and fifth embodiments may be the same as those shown in FIG. 2 or 3. The embodiments may be embodied in other various forms. Various omissions, replacements and changes may be made without departing from the subject-matter of the invention. The above embodiments and variants thereof are within the scope and subject-matter of the invention, and are similarly within the scope of the invention defined in the appended claims and the range of equivalency thereof. 1: electromagnetic pump 2: AC system bus 5: electromagnetic pump compensation power supply apparatus 10: electromagnetic pump compensation power supply mechanism 11: rotor shaft 12: flywheel 13: winding type synchronous machine 13a: winding type synchronous machine stator winding 13b: winding type synchronous machine rotor winding 14: rotating rectifier 15: exciter 15a: exciter stator permanent magnet 15b: exciter rotor winding 16: spring (elastic body) 20: electromagnetic solenoid (driving mechanism) 20a: electromagnetic solenoid support plate 20b: electromagnetic solenoid coil 20c: electromagnetic solenoid permanent magnet 21: connecting rod 22: magnetic shield plate 30: DC power supply unit 31: supply line breaker 32: DC supply line rectifier 33: step-down transformer 34: DC power supply apparatus 35: power factor control unit 36: current transformer 37: potential transformer 40: AC power line 40a: synchronous machine side power supply line 41: power supply line breaker 42: inverter apparatus 45: exciter stator permanent magnet apparatus 50: drive mechanism 51: connecting rod 52: support plate 53: compression springs (elastic body) 54: compression spring stop plate 55: guide section 56: lever rod 57: fulcrum stage 57a: lever rod fulcrum 58: lever permanent magnet 59: lever electromagnetic solenoid
062193988
abstract
An improved heated junction thermocouple cable arrangement for use with a nuclear reactor heated junction thermocouple having sensors with an unheated thermocouple, a heated thermocouple, and a heater coil, shares power conductors between multiple heater coils. The thermocouple conductors are separated from the power conductors to eliminate the possibility of interference with the thermocouple conductor signals. The heater coils are ganged in parallel and if one heater coil fails, power is still supplied to the remaining heater coils connected to a pair of power conductors.
description
This application is a national stage of international application No. PCT/JP2007/050347 filed Jan. 12, 2007, which also claims benefit of priority under 35 U.S.C. §119 to Japanese Patent Application No. 2006-004794 filed Jan. 12, 2006, the entire contents of which are incorporated herein by reference. The prevent invention relates to a device for determining an aim position of a charged particle beam, a method of using the device, and a treatment device employing the device for determining an aim position. More particularly, the prevent invention relates to a device for determining an aim position of a charged particle beam that determines an aim position of a charged particle beam by irradiating the charged particle beam such as a carbon ion beam and excitation light on an eyeground of an eye to be inspected which is a subject and imaging an eyeground image, a method of using the device, and a treatment device employing the device for determining an aim position. In a treatment using a charged particle beam, by using a characteristic of the charged particle beam in which energy centrality due to a Bragg peak is very high, a Bragg peak in which a width of the charged particle beam is spread to size of a field of irradiation is formed and is uniformly irradiated to a focus of disease, so that only an affected part can be efficiently irradiated. On the other hand, a charged particle beam may be very narrowly focused by using a magnetic field or an electric field, and by using the focused charged particle beam, a beam may be precisely irradiated on a small region and the energy can be locally concentrated. As a technique of narrowly focusing a beam, a microbeam forming technique and a pencil beam forming technique is developed. By using these techniques in particle beam treatment, a technique for treatments of a small lesion, i.e., an ion micro surgery treatment technique or the like is theoretically possible. However, since a technique for aiming a deep irradiation position with a high precision and a technique for precisely confirming, in real time, a position which is irradiated for treatment, i.e., a position where a beam reaches are not present, the ion micro surgery treatment technique is not yet realized up to now. An eyeground observing method using a conventional eyeground observation and diagnosis device employs a method of irradiating an eyeground using eyeground excitation light, intravenously injecting an eyeground fluorescence contrast agent into a patient as needed, and observing the fluorescence from the eyeground of a subject's eye. As the eyeground excitation light source, a visible light source for observing an eyeground and an eyeground blood vessel at a retina side of a cell than the retinal pigment epithelium and a near infrared light source for observing as well an eyeground blood vessel on a choroid side of the cell of the retinal pigment epithelium are used. When the method is combined with a device which irradiates a charged particle beam on a small lesion such as an affected part of an eye and detects a generated signal, charged particles must be often deflected from a geometric arrangement. However, a very large deflecting electromagnet is required to deflect the charged particles, and the charged particle beam may not be easily deflected in the small area. As conventional techniques related to the present invention, techniques disclosed in Patent Document 1 and Patent Document 2 are known. Patent Document 1: Japanese Patent Application Laid-Open (JP-A) No. 2000-237168 Patent Document 2: JP-A No. 2002-034919 Therefore, it is disadvantageously difficult to precisely determine an aim position of a small region by using a conventional treatment device that uses a charged particle beam. In order to cubically determine and check an aim position of a small lesion of a charged particle beam with respect to an eyeground while varying a depth of the charged particle beam, a predetermined depth and a position of an affected part of the eyeground must be observed while irradiating a charged particle beam for position determining. However, such technique is not yet implemented to a conventional device for determining an aim position. The present invention is to solve this problem, and an object of the invention is to provide a device for determining an aim position of a charged particle beam that allows to determine the aim position of the charged particle beam for treatment by imaging a subject of treatment such as an subject's eye while irradiating a charged particle beam such as an ion beam on the subject, a method of using this device, and a treatment device employing this device for determining an aim position. In order to achieve the object, the present invention includes: an adjusting unit that adjusts an irradiation position, in a depth direction of a subject, of an irradiation position determining charged particle beam irradiated from a charged particle beam source; a reflecting unit that transmits or allows the position determining charged particle beam to pass, and reflects a first emitted light emitted from a region of the subject on which the position determining charged particle beam is irradiated and a second emitted light emitted, due to an irradiation of an excitation light, from a region including the region of the subject on which the position determining charged particle beam is irradiated, toward the outside of the axis of the charged particle beam; and an imaging unit that is arranged at a position where the first emitted light and the second emitted light reflected from the reflecting unit are incident and images the region including the region of the subject on which the position determining charged particle beam is irradiated by allowing incidence of the first emitted light and the second emitted light. According to the invention, the reflecting unit that reflects the first emitted light emitted from the subject on which the position determining charged particle beam is irradiated and the second emitted light emitted, due to the irradiation of the excitation light, from the region including the region of the subject on which the position determining charged particle beam is irradiated, toward the outside of the axis of the charged particle beam is provided. Therefore, the axis of the charged particle beam does not interfere with an optical axis of the imaging unit which images the subject, and the region including the region of the subject on which the charged particle beam is irradiated may be imaged while irradiating the position determining charged particle beam. Based on the obtained image, an aim position of a charged particle beam for treatment may be determined. The present may invention include a light emitter that is disposed in a path of the position determining charged particle beam irradiated on the subject, and emits light due to the irradiation of the position determining charged particle beam; and a detection unit that detects a position of the charged particle beam irradiated on the subject, on a plane orthogonal to the axis of the charged particle beam, based on the light emitted from the light emitter. In this manner, since, in addition to the irradiation position in the depth direction of the subject, i.e., a range of the charged particle beam, a position on a plane orthogonal to the axis of the charged particle beam irradiated on the subject may be checked, so that the aim position of the position determining charged particle beam may be three-dimensionally adjusted. In the invention, the light emitter and the reflecting unit may be integrated in order to make it easy to handle the device for determining an aim position of a charged particle beam. In the invention, a device for determining an aim position of a charged particle beam for an eyeground (eyeground device for determining an aim position) includes: an adjusting unit that adjusts an irradiation position, in a depth direction of an optic axis of an eye to be inspected which is a subject, of an irradiation position determining charged particle beam irradiated from a charged particle beam irradiation source, i.e., that adjusts a range of the position determining charged particle beam; a reflecting unit that transmits or allows the position determining charged particle beam to pass, and reflects a first emitted light emitted from a region of the eye on which the position determining charged particle beam is irradiated and a second emitted light emitted, due to an irradiation of an excitation light, from a region including the region of the eye on which the position determining charged particle beam is irradiated, toward the outside of the axis of the charged particle beam; and an imaging unit that is arranged at a position where the first emitted light and the second emitted light reflected from the reflecting unit are incident, and images the region including the region of the eye on which the position determining charged particle beam is irradiated by allowing incidence of the first emitted light and the second emitted light. The eyeground device for determining an aim position may include a light emitter that is disposed in a path of the position determining charged particle beam irradiated on the eye, and emits light due to the irradiation of the position determining charged particle beam; and a detection unit that detects a position of the charged particle beam irradiated on the eye on a plane orthogonal to the axis of the beam, based on the emitted light emitted from the light emitter. The light emitter and the reflecting unit may be integrated. In use of the eyeground position determining device, in a plurality of steps, an irradiation position along the direction of an optic axis of the eye of the charged particle beam, which is irradiated from the charged particle beam irradiation source, is adjusted by the adjusting unit in a direction from the sclera of the eyeground to the retina; the first emitted light emitted from the region of the eye on which the position determining charged particle beam is irradiated, to be incident to the imaging unit and imaging, in every step, the region including the region of the eye on which the position determining charged particle beam is irradiated; the irradiation position of the position determining charged particle beam to a targaposition is adjusted by the adjusting unit on the basis of an image obtained by the imaging; and the second emitted light reflected from the reflecting unit is made to be incident thereon and imaging the region including the region of the eye on which the position determining charged particle beam is irradiated to determine an aim position of a charged particle beam for treatment. A standard position determining device according to the present invention may be applied to a treatment device using a charged particle beam. For example, the treatment device is configured as described below. The treatment device includes: an adjusting unit that adjusts an irradiation position, in a depth direction of a subject, of an irradiation position determining charged particle beam irradiated from a charged particle beam source; a reflecting unit that transmits or allows the position determining charged particle beam to pass and reflects a first emitted light emitted from a region of the subject on which the position determining charged particle beam is irradiated and a second emitted light emitted, due to an irradiation of an excitation light, from a region including the region of the subject on which the position determining charged particle beam is irradiated, toward the outside of the axis of the charged particle beam; and an imaging unit that is arranged at a position where the first emitted light and the second emitted light reflected from the reflecting unit are incident and images a region including the region of the subject on which the position determining charged particle beam is irradiated by allowing incidence of the first emitted light and the second emitted light, wherein the adjusting unit adjusts, in a plurality of steps, an irradiation position, along a depth direction of a subject, of the position determining charged particle beam irradiated from the charged particle beam irradiation source, the imaging unit images, in every step, a region including the region of the subject on which the position determining charged particle beam is irradiated by allowing the first emitted light emitted from the part of the subject on which the position determining charged particle beam is irradiated to be incident on the imaging unit, the adjusting unit adjusts the irradiation position of the position determining charged particle beam toward a target position on the basis of an image obtained by the imaging, the imaging unit images, by allowing the second emitted light reflected from the reflecting unit to be incident thereon, the region including the region of the subject on which the position determining charged particle beam is irradiated to determine an aim position of a charged particle beam for treatment, and the irradiation position determining charged particle beam is switched with the charged particle beam for treatment, and the charged particle beam for treatment is irradiated on the aim position. As described above, according to the present invention, an effect can be achieved that an aim position of a charged particle beam for treatment can be precisely determine by imaging a subject to observe and diagnosing the subject such as an eye to be inspected while irradiating the position determining charged particle beam on the subject. An exemplary embodiment of the present invention will be described below in detail with reference to the accompanying drawings. The example is obtained by applying the invention to a particle beam treatment device having a device for determining an aim position of an eyeground. As shown in FIG. 1, the particle beam treatment device having the eyeground aim position determining device according to the Example is provided with an electromagnet group 12 for deflecting a charged particle beam, in which a plurality of electromagnets 10 which guide a charged particle beam irradiated from a charged particle beam irradiation source 11 toward an eye to be inspected as a subject is arranged. The charged particle beam that may be used includes a heavy ion beam such as a carbon ion beam and a charged particle beam such as a proton beam having a Bragg curve. Connected to the charged particle beam irradiation source 11 is an operation unit 17 including a switch 13 for starting and stopping irradiation of the charged particle beam and a turn-over switch 15 which switches between a charged particle beam for determining an irradiation position and a charged particle beam for treatment by adjusting an intensity of the charged particle beam. At an outgoing side of the charged particle beam of the electromagnet group 12 is disposed a range adjuster 14, which, due to a plurality of range shifters that are formed of polymer films and that are arranged in a stack, adjusts a beam irradiation position, in a depth direction of an optic axis of the eye to be inspected, of a charged particle beam irradiated on the eye to be inspected, i.e., adjusts a range of the charge particle beam. The beam irradiation position in the depth direction of the optic axis of the eye, i.e., the irradiation position in an axial direction of the charged particle beam can be adjusted by, for example, several 50 μm increments, by adjusting the number and the thicknesses of the range shifters in the range adjuster 14. At the charged particle beam outgoing side of the range adjuster 14, a bolus 16 to shape a distribution of the charged particle beam in a plane orthogonal to the axis of the charged particle beam is arranged. By the bolus, the distribution of the charged particle beam is shaped corresponding to the shape or curve of a retina to prevent damage in the retina. At the charged particle beam outgoing side of the bolus 16, a film mirror 18 consisting of a polymeric material such as polycarbonate or polyethylene terephthalate is arranged at an angle of 45° with respect to the axis of the charged particle beam. As shown in FIG. 2, at a side of the film mirror 18 to which the charged particle beam is irradiated, a light emitter consisting of a luminescent material such as a fluorescent material which emits light due to an irradiation of a charged particle beam is applied to form a scintillator 20. A surface opposite to the surface to which the light emitter of the film mirror 18 is applied functions as a reflecting surface. In this manner, by applying the light emitter to the film mirror 18, a reflecting unit according to the invention that transmits the charged particle beam, emits light from a region on which the charged particle beam is irradiated, and reflects the light being incident on the reflecting surface toward the outside of the axis of the charged particle beam can be configured. In the reflecting unit, the scintillator and the mirror may be integrally formed by applying a light emitter on the film mirror as described above. However, a material which can form a reflecting surface may be vapor-deposited on a light emitter member which emits light due to the irradiation of the charged particle beam in order to integrally structure the scintillator and the mirror. Further, the scintillator and the film mirror may be integrally formed by being stuck to each other. When at least one of the scintillator and the mirror is formed of a material which does not transmits a charged particle beam, a hole may be formed in a portion of the member corresponding to an axis of the charged particle beam, so that the charged particle beam partially passes through the hole. The charged particle beam which does not pass through the hole is irradiated on the scintillator and cause the light emitter to emit light. A material of the member constituting the mirror is not limited to a specific material. However, it is preferable to use a material which does not considerably reduce the energy of the charged particle beam and does not diffuse the charged particle beam. The example in which the scintillator and the mirror are integrally formed is described above. However, the scintillator and the mirror may be separated from each other and disposed by a predetermined interval in an axial direction of the charged particle beam. Or, it may be formed such that a light emitter is applied to one surface of a single base material, and a material which forms the reflecting surface may be vapor-deposited on the other surface thereof. At a position where the light emitter of the scintillator 20 may be observed, a beam state observing device 22 having a beam profile monitor formed by a micro-strip gas chamber or the like to monitor a beam profile of the light emitter, and a counter which counts particles of the beam, is disposed. Since the beam state observing device 22 can monitor the beam profile, a position of the charged particle beam on a plane orthogonal to an axis of the charged particle beam irradiated on the eye may be detected. Further, at a position on which the light reflected from the film mirror 18 may be incident, an eyeground imaging device 24 which images an eyeground of the eye is disposed. In the eyeground imaging device 24, an excitation light source to irradiate an excitation light on an eyeground is stored. In the Example, a semiconductor laser 24A which irradiates near infrared light and a halogen lamp 24B which irradiates visible light are used as the excitation light source. Any light source which may emit a radiation (ionized or nonionized) to cause a light emission from an eyeground fluorescence contrast agent may be used as the excitation light source. An objective lens 24C having a focal length in which an eyeground may be focused, and a eyeground (fundus) camera 24D for imaging the eyeground are provided in the eyeground imaging device 24. Positions of the electromagnet group and the eyeground imaging device are defined in advance such that an optical axis portion of the eyeground imaging device 24 from the film mirror 18 to the eye coincides to a beam axis portion of the charged particle beam from the film mirror 18 to the eye. Therefore, an irradiation position of the charged particle beam substantially coincides to a light irradiation position of the excitation light source. As an eyeground fluorescence contrast agent, a contrast agent containing fluorescein, indocyanine green, or the like may be used. When the contrast agent containing indocyanine green is used, the excitation light from the semiconductor laser 24A which irradiates near infrared light is used. When the contrast agent containing fluorescein is used, the excitation light from the halogen lamp 24B is used. Next, a method of treatment by determining an aim position of an eyeground by the particle beam treatment device including the device for determining an aim position of an eyeground according to the exemplary embodiment will be described below. An eyeground fluorescence contrast agent is injected into the eye to be inspected in advance. Further, a charged particle beam is adjusted in advance such that a depth position determining beam having a diameter of about 1 to 10 mm and each irradiation intensity is set to 1% or less of a therapeutic dose. The device for determining an aim position of an eyeground is arranged immediately in front of the eyeball of the eye, and the excitation light from the excitation light source is irradiated to photograph the eyeground, so that an fluorescein fundus angiographic image (for example, FIG. 3A) obtained by the excitation light is matched with an fluorescein fundus angiographic image (for example, FIG. 3B) obtained in an preliminary diagnosis, and an object to which a treatment irradiation is confirmed. Further, the depth position determining beam is irradiated on the eye, and position information on a plane orthogonal to the axis of the charged particle beam is acquired by the beam state observing device 22 from the profile of the charged particle beam passing through the light emitter. When the position is offset with respect to a target position, the eye is moved such that the irradiation position of the charged particle beam is adjusted to coincide with the target position on the plane orthogonal to the axis. After the object of irradiation treatment is confirmed by the matching between the fluorescein fundus angiographic images, while performing multiple-step incremental adjustment of the position of the depth position determining beam in increments of several 50 μm, from the sclera of the eyeground towards the retina, that is, while performing adjustment multiple times for each specific depth, the charged particle beam is irradiated, at each specific depth, to the eyeground, into which the eyeground fluorescence contrast agent is injected. At this time, distortion of a dose distribution of the charged particle beam is compensated by the bolus. Further, in response to the irradiation of the charged particles, luminescence is emitted from the eyeground fluorescence contrast agent containing fluorescein, indocyanine green, or the like. The emission from the eyeground fluorescence contrast agent for every specific depth due to the irradiation of the charged particle beam is reflected by the film mirror 18, incident on the eyeground imaging device having a predetermined operation distance, and an eyeground blood vessel image (for example, an fluorescein fundus angiographic image shown in FIG. 3D) is imaged by the fundus camera. Thus, eyeground blood vessel images for each of the specific depths are obtained. Then, a target eyeground blood vessel image is selected from the plural eyeground blood vessel images, the range adjuster is adjusted to a state of the range adjuster when the selected eyeground blood vessel image is obtained, so that the irradiation depth of the charged particle beam, i.e., the irradiation position may be adjusted to the target position. Subsequently, the turn-over switch 15 of the operation unit 17 is operated to switch the intensity and size of the charged particle beam from those of the depth position determining beam to those a therapeutic beam for treatment, the excitation light is irradiated from the excitation light source of the eyeground imaging device to cause the eyeground fluorescence contrast agent in the eyeground of the eye to emit luminescence, and an image (for example, FIG. 3C) of the eyeground irradiated by the light emission is imaged as a moving image in real time by using the fundus camera. A cross representing an aim to perform treatment irradiation is displayed on the imaged image (FIG. 3E) to determined the aim position of the therapeutic beam, and the therapeutic beam is irradiated. In this manner, the therapeutic beam is irradiated on the aim position indicated by the cross while checking the charged particle beam irradiation position in a planar direction of a focus of decease of the eye to perform treatment. According to the exemplary embodiment, since a light from the eyeground of the eye is reflected toward the outside of the axis of the charged particle beam, the optical system for eyeground charged particle beam irradiation may be prevented from interfering with the optical system of the eyeground imaging device. Further, according to the exemplary embodiment, since a charged particle beam can be precisely irradiated on a small region, the invention may be applied to an eyeball (especially, eyeground) disorder in which a charged particle beam is required to be precisely irradiated on a small lesion. Furthermore, the invention may be used as an innovative checking and treatment technique which minimizes an impact on a patient without affecting the patient or the retina of the eye. In the above description, the eyeground is targeted as a subject. However, treatment using the device according to the invention may be performed to not only the eyeground but other also affected parts. The invention may be applied to treatment for an affected part by irradiating a charged particle beam such as a carbon ion beam and an excitation light on an eyeground of an eye to be inspected, obtaining an eyeground image to determine an aim position of the charged particle beam, and irradiating a charged particle beam for treatment on the determined aim position. 14 Range adjuster 18 Film mirror 20 Light Emitter 24 Eyeground imaging device
060758384
abstract
A plasma x-ray source includes a chamber defining a pinch region having a central axis, a gas supply for introducing a gas mixture into the pinch region, a preionizing device disposed around the pinch region for preionizing the gas mixture in the pinch region, and a pinch anode and a pinch cathode disposed at opposite ends of the pinch region. The gas mixture includes a primary X-radiating gas, such as xenon, and a low atomic number diluent gas, such as helium. The pinch anode and the pinch cathode produce a current through the plasma shell in an axial direction and produce an azimuthal magnetic field in the pinch region in response to application of a high energy electrical pulse to the pinch anode and the pinch cathode. The azimuthal magnetic field causes the plasma shell to collapse to the central axis and to generate X-rays. The gas mixture provides enhanced radiation intensity and reduced cost for the primary X-radiating gas.
description
1. Field This invention relates in general to nuclear reactor systems, and, in particular, to in-core instrumentation for such systems, that pass through the upper internals of the reactor pressure vessel. 2. Related Art In a nuclear reactor for power generation, such as a pressurized water reactor, heat is generated by fission of a nuclear fuel such as enriched uranium, and transferred to a coolant flowing through a reactor core. The core contains elongated nuclear fuel rods mounted in proximity with one another in a fuel assembly structure, through and over which coolant flows. The fuel rods are spaced from one another in co-extensive parallel arrays. Some of the neutrons and other atomic particles released during nuclear decay of the fuel atoms in a given fuel rod pass through the spaces between the fuel rods and impinge on the fissile material in adjacent fuel rods, contributing to the nuclear reaction and to the heat generated by the core. Movable control rods are dispersed through the core to enable control of the overall rate of the fission reaction, by absorbing a portion of the neutrons passing between fuel rods, which otherwise would contribute to the fission reaction. The control rods generally comprise elongated rods of neutron absorbing material and fit into longitudinal openings or guide thimbles in the fuel assemblies running parallel to and between the fuel rods. Inserting a control rod further into the core causes more neutrons to be absorbed without contributing to the fission process in an adjacent fuel rod; and retracting the control rod reduces the extent of neutron absorption and increases the rate of the nuclear reaction and the power output of the core. To monitor the neutron activities and coolant temperature within the core fuel assemblies, moveable in-core instrumentation has been employed in the past, such as moveable neutron detectors, that conventionally enter the core from penetrations in the bottom of the vessel. In a few instances in the past, leakage occurred at the penetrations at the bottom of the vessel which presented significant repair problems. It soon became apparent that it would be desirable to have all the in-core instrumentation access the core from above. Additionally, fixed in-core neutron detectors have been employed that enter the core through the bottom of the reactor vessel and reside in the fuel assemblies during normal operation. In addition to fixed in-core instrumentation that enter through penetrations in the bottom of the vessel, there are fixed in-core instrumentation that enter through penetrations in the top of the vessel. In this latter configuration, each in-core instrument thimble assembly is totally enclosed in a guide path composed of tubing. The lower portion of this guide path extends down into the fuel assembly. However, even the fixed in-core neutron detectors, and the thermocouple assemblies that are used to monitor temperature within the core, have to be withdrawn from the fuel assemblies before the reactor core can be accessed for refueling operations. Thus, it is therefore necessary to provide a structure which can satisfactorily guide and protect the in-core instrumentation entering from the top of the vessel and mitigate the potential for leakage while enabling access for refueling. These objectives have become even more of a challenge for some small modular reactor designs such as the one being proposed by Westinghouse Electric Company LLC, Cranberry Township, Pa., in the 200 megawatt class. The small modular reactor is an integral pressurized water reactor with all primary loop components located inside the reactor vessel. The reactor vessel is surrounded by a compact high pressure containment. Due to both the limited space within the containment and the low cost requirement for integral pressurized light water reactors, the overall number of auxiliary systems needs to be minimized without compromising safety or functionality. For example, the compact, high pressure containment associated with the design of small modular reactors does not allow for the incorporation of a large floodable cavity above the reactor vessel in which the transferred components can be shielded. Furthermore, in most traditional pressurized water reactors, the in-core instrumentation is retracted from the core prior to refueling. This is done by breaking primary pressure boundary seals and pulling the instrumentation through a conduit tube. This procedure is straight forward in plants with bottom mounted instrumentation since the conduit just extends from the bottom of the reactor vessel to a seal table located in a room separated from the reactor. In plants with top mounted instrumentation, this procedure is much more challenging because of the upper internal structure. This is further complicated when top mounted instrumentation is considered for use in an integral pressurized water reactor of a small modular reactor system that has a heat exchanger and pressurizer integrally incorporated in the reactor head closure. Top mounted instrumentation is preferred in plants that use a severe accident mitigation strategy commonly referred to as in-vessel retention. This strategy requires that there are no penetrations in the lower portion of the reactor vessel. U.S. patent application Ser. No. 13/457,683, filed Apr. 27, 2012, entitled “Instrumentation and Control Penetration Flange for a Pressurized Water Reactor,” assigned to the Assignee of this Application, introduced a removable annular seal ring between the reactor head closure and the pressure vessel flange for routing cabling from the control rod drives and core monitoring instrumentation through the reactor vessel pressure barrier. U.S. patent application Ser. No. 13/742,392, filed Jan. 16, 2013, entitled “Method and Apparatus for Refueling a Nuclear Reactor Having an Instrumentation Penetration Flange,” assigned to the Assignee of this Application, teaches one method of refueling such a reactor. Refueling is on the critical path of most outages in which it is a part and any means of making the method of refueling more efficient can substantially reduce the cost of such an operation to utility operators. Accordingly, further improvements in reducing the steps that have to be taken to remove the instrumentation from the core so that they can be removed with the upper internals and expose the fuel assemblies is desirable for both conventional reactors and integral modular reactors. In conventional reactors, the in-core instruments are encased in a long stainless steel tube, referred to as an outer sheath, typically 30 to 40 feet (9.1 to 12.2 meters) long and approximately ⅜ of an inch (9.5 millimeters) in diameter. The outer sheath contains the instruments and the instrument leads. These lead wires extend the full length of the instrument and are terminated at one end in an electrical connector. The assembly of the instruments, instrument lead wires, outer sheath and electrical connector is called an in-core instrument thimble assembly. In the reactor, the end of the in-core instrument thimble assembly that has detectors in it, extends from the top of the fuel assembly, to almost the bottom, a distance in a conventional assembly of typically between 10 and 12 feet (3.05-3.66 meters). The non-active end of the in-core instrument thimble assembly contains lead wires that transmit the signal from the detectors to an electrical connector. In existing applications, the outer sheath of the in-core instrument thimble assembly passes through a vessel penetration. In more recent designs the penetration is usually in the reactor vessel's closure head, and the electrical connector is located outside of the reactor. During a reactor refueling the in-core instrument thimble assemblies must be removed from the core to allow fuel repositioning. Some plant designs have an instrumentation grid assembly plate inside the reactor on an upper portion of the upper internals to which all of the in-core instrument thimble assemblies are attached. During the refueling, the instrumentation grid assembly plate is lifted and all of the in-core instrument thimble assemblies are withdrawn simultaneously from the reactor core. Other plants, that do not have an instrumentation grid assembly plate, withdraw each in-core instrument thimble assembly individually a sufficient distance to allow fuel movement. The withdrawn portion of the in-core instrument thimble assembly must be supported by an external means. Any change in structure of the in-core instrument thimble assemblies or the upper internals that will reduce the number of steps required to withdraw the in-core instrument thimble assemblies from the core will reduce the critical path refueling time and minimize the possibility of damaging the in-core instrument thimble assemblies due to a mishandling error. This especially true in the crowded environment of a small, integral modular reactor. According, it is an object of this invention to modify the in-core instrument thimble assemblies in a way that will minimize the number of steps required to withdraw the in-core instrument thimble assemblies into the upper internals and remove the upper internals from above the reactor core. It is a further object of this invention to provide such a modification that will minimize the number of times submerged electrical connectors need to be disassembled. These and other objects are achieved by a pressurized water nuclear reactor having a pressure vessel with an upper removable head for sealably engaging an upper opening in the pressure vessel. A core, having an axial dimension, is supported within the pressure vessel. A plurality of nuclear fuel assemblies are supported within the core with at least some of the fuel assemblies having at least one instrumentation thimble extending axially therethrough. An upper internals assembly is supported above the core and has axially extending instrumentation guide paths supported therethrough with each of the instrumentation thimbles that are configured to receive instrumentation through the upper internals assembly being aligned with one of the instrumentation guide paths. The upper internals further includes an instrumentation grid assembly plate supported above the instrumentation guide paths and axially moveable relative to a lower portion of the upper internals. At least one in-core instrumentation thimble assembly is provided that extends through a corresponding one of the instrumentation guide paths into an instrumentation thimble and is retractable into the upper internals assembly. The in-core instrumentation thimble assembly includes a lower section comprising a sensor region and an upper section through which signal cabling is routed, with both the lower section and the upper section being enclosed within an outer sheath. The outer sheath has an upper portion connected to the instrumentation grid assembly plate with the signal cabling extending through and around an outside of the outer sheath, at least partially through the instrumentation guide path and through a passage from the interior of the reactor vessel to an exterior thereof. Preferably, the signal cabling is coiled around the outside of an upper portion of the outer sheath and, desirably, the coil is in the form of a spiral spring. In one embodiment, the passage from the interior to the exterior of the pressure vessel is through an outwardly extending flange on the upper internals assembly. Preferably, the instrumentation grid assembly plate is configured to move axially from a lower position to an upper position and the instrumentation guide paths are formed from a tubular housing that substantially extends up to the lower position. Preferably, in the latter arrangement, the instrumentation grid assembly plate in the upper position is spaced above the tubular housing. Alternately, an upper portion of the tubular housing is configured as the telescoping tube with an upper portion of the telescoping tube connected to the instrumentation grid assembly plate. Referring now to the drawings, FIG. 1 shows a simplified nuclear reactor primary system, including a generally cylindrical pressure vessel 10 having a closure head 12 enclosing a nuclear core 14. A liquid reactor coolant, such as water, is pumped into the vessel 10 by pump 16 through the core 14 where heat energy is absorbed and is discharged through a heat exchanger 18, typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown) such as a steam driven turbine generator. The reactor coolant is then returned through pump 16, completing the primary loop. Typically, a plurality of the above-described loops are connected to a sealed reactor vessel 10 by reactor coolant piping 20. A conventional reactor design is shown in more detail in FIG. 2. As previously mentioned, though not shown in FIG. 2, in older conventional pressurized water reactor designs, the moveable or stationary in-core neutron detectors enter the core from the bottom of the reactor through tubes that extend from penetrations in the vessel bottom to the lower core plate 36 where they mate with the instrumentation tubes within the fuel assemblies. Furthermore, in such a traditional reactor design, thermocouples that measure core temperature enter the upper head 12 through a single penetration and are distributed by a yoke or cable conduit, such as shown in U.S. Pat. No. 3,827,935 to individual support columns 48 and thereby two various fuel assemblies. In addition to the core 14, comprised of a plurality of parallel, vertical co-extending fuel assemblies 22, for purposes of this description, the other vessel internal structures can be divided into the lower internals 24 and the upper internals 26. In conventional designs, the lower internals function to support, align and guide core components and instrumentation, as well as direct coolant flow within the vessel. The upper internals restrain or provide a secondary restraint for fuel assemblies 22 (only two of which are shown for simplicity), and support and guide instrumentation and components such as control rods 28. In the exemplary reactor shown in FIG. 2, coolant enters the reactor vessel 10 through one or more inlet nozzles 30, flows downward about a core barrel 32, is turned 180° in a lower plenum 34, passes upwardly through a lower core support plate 36 upon which the fuel assemblies 22 are seated, and through and about the assemblies. The coolant flow through the core and surrounding area 38 is typically large, in the order of 400,000 gallons per minute at a velocity of approximately 20 feet per second (6.1 meters per second). The resulting pressure drop and frictional forces tend to cause the fuel assemblies to rise, which movement is restrained by the upper internals, including a circular upper core plate 40. Coolant exiting the core 14 flows along the underside of the upper core plate 40 and upwardly through a plurality of perforations 42. The coolant then flows upwardly and radially through one or more outlet nozzles 44. The upper internals 26 can be supported from the reactor vessel 10 or the vessel closure head 12 and includes an upper support assembly 46 which is also referred to as the upper support plate. Loads are transmitted between the upper support plate 46 and the upper core plate 40 primarily by a plurality of support columns 48. A support column is aligned above a selected fuel assembly 22 and perforations 42 in the upper core plate 40 to provide access to elongated axial instrumentation tubes centrally located within each fuel assembly with the instrumentation tubes being co-extensive with the fuel assemblies' control rod guide thimbles. Rectilinearly moveable control rods 28, typically including a drive shaft 50 and a spider assembly of neutron absorbing rods, are guided through the upper internals 26 and into aligned fuel assemblies 22 by control rod guide tubes 54. The guide tubes are fixedly joined to the upper support assembly 46 and connected by split pin force fed into the top of the upper core plate 40. FIG. 3 provides an enlarged view of the upper internals package from which it can be clearly seen that the control rods, which extend from the head 12 through the upper internals package and into the core below the upper core plate 40, are guided substantially over the entire distance by the control rod guide tubes 54 and control rod guide tube extensions 88. However, the in-core instrumentation which are guided through the support columns 48 only receives support above the elevation of the reactor core between the upper core plate 40 and the upper support assembly 46. A substantial distance remains between the upper support assembly 46 and the head 12 over which the in-core instrumentation is exposed once it is withdrawn from the core. In the conventional embodiment shown in FIG. 3, some or all of the instrumentation is routed through one or more penetrations in the reactor head 12. This prior art embodiment provides a structural modification to earlier reactor models to provide support for the in-core instrument thimble assemblies 52 in their withdrawn position where they extend above the upper support plate 46. In this prior art embodiment, the support columns 48 are provided with a slidable sleeve 60 that is extendable from the upper portion 62 of the support columns 48 into the area above the upper support plate 46 to support the in-core instrument thimble assemblies 52 when they are withdrawn from the fuel assemblies 22 to gain access to the core. In reactors such as the AP1000® supplied by Westinghouse Electric Company LLC, Cranberry Township, Pa., the length of withdrawal required to raise the in-core instrument thimble assemblies 52 to the mid plane of the upper core plate 40 is typically larger than the height of the support columns 48 which leaves the highly irradiated upper portion of the in-core instrument thimble assemblies 52 exposed above the upper support plate 46, unguided and potentially subject to damage. Typically, in the AP1000® design, the in-core instrument thimble assemblies 52 need to be raised approximately 185 inches (470 cms.). The slidable sleeves 60 are designed to extend to support the exposed area of the in-core instrument thimble assemblies 52 above the upper support plate 46. An instrumentation grid assembly plate 53 is attached to the upper ends of the slidable sleeve 60 and is guided by the pins 58 and fixed in an upper position by the swing clamp 90. FIG. 3 shows the instrument grid assembly 53 in its lower position and FIG. 4 shows the instrument grid assembly 53 in its upper position to raise the in-core instrument thimble assemblies out of the core. This prior art embodiment is more fully described in U.S. Patent Publication No. 2010/0150294, published Jun. 17, 2010. FIGS. 5 and 6 illustrate a schematic of a small modular reactor which is more fully described in U.S. patent application Ser. No. 13/457,683, filed Apr. 27, 2012, entitled “Instrumentation and Control Penetration Flange for Pressurized Water Reactor.” FIG. 5 shows a perspective view, partially cut away, to show the pressure vessel and its internal components. FIG. 6 is an enlarged view of the pressure vessel shown in FIG. 5. A pressurizer 56 of which usually one is included in each pressurized water nuclear reactor system, regardless of the number of loops, though not shown in FIG. 1, for maintaining the pressure in the system, is integrated into the upper portion of the reactor vessel head in the integral, modular reactor shown in FIGS. 5 and 6 and eliminates the need for a separate component. It should be appreciated that the same reference characters are employed for corresponding components among the several figures. A hot leg riser 64 directs primary coolant from the core 14 to a steam generator 18 which surrounds the hot leg riser 64. A number of coolant pumps 16 are circumferentially spaced around the reactor vessel 10 at an elevation near the upper end of the upper internals 26. The reactor coolant pumps 16 are horizontally mounted axial flow canned motor pumps. The reactor core 14 and the upper internals 26, except for their size, are substantially the same as the corresponding components previously described with regards to FIGS. 1 and 2. From the foregoing, it should be apparent that the traditional means for routing the cabling from the upper internal components to the exterior of the reactor cannot readily be employed. Some small modular reactor designs also require electrical power to be supplied to internal components such as control rod drive mechanisms, reactor coolant pumps, and pressurizer heaters. U.S. patent application Ser. No. 13/457,683, filed Apr. 27, 2012, entitled, “Instrumentation and Control Penetration Flange for Pressurized Water Reactor” describes an alternative location for all reactor penetrations, including electrical power, through a ring 66 that is clamped between the upper and lower reactor vessel closure flanges 68 and 70, respectively (FIGS. 5 and 6). The penetration flange 66 provides a convenient means of reactor vessel disassembly and reassembly during plant refueling operations and allows for inspection and maintenance of the in-vessel components. In the following description, the preferred embodiment of the invention claimed hereafter will be described in the context of a specific small integral reactor design, however, it should be recognized that the novel elements of this invention can be applied to other reactors, including conventional pressurized water reactors whether or not they have similar design restrictions. FIG. 7 shows a reactor vessel 10 and its internal components, including the lower internals 24, that includes the core 14, and upper internals 26, that includes the control rod guide tubes, the drive rod housings 54 and the control rod drive mechanisms (CRDM). The annular penetration flange seal 66 has radially extending ports 72 through which utility conduits 74 transport electrical power, instrumentation signals, control signals or hydraulic fluids to or from the interior of the pressure vessel to the exterior thereof. The signal cabling from the in-core instrumentation in the preferred embodiments of this invention would be conveyed through these utility conduits. While the arrangement of penetrations through the flange 66 will be a function of the requirements of a particular reactor design, in the small modular reactor internals design described in the afore cited patent application Ser. No. 13/457,683, axial passages are positioned towards the inner diameter of the penetration seal ring 66 to allow return coolant flow from the steam generator 18 to pass through the penetration 66. The operation of the small modular integral reactor described herein can be better understood by reference to co-pending U.S. patent application Ser. No. 13/495,050, filed Jun. 13, 2012, entitled “Pressurized Water Reactor Compact Steam Generator.” This invention provides a modification to the in-core instrument thimble assemblies that typically monitor core power and core coolant exit temperature. This modification reduces the number of underwater electrical cabling disconnects and reconnects that need to be performed during a refueling operation; a procedure that is difficult and time consuming. FIGS. 8 and 9 show a schematic view of the upper internals incorporating one embodiment of this invention. The in-core instrument thimble assembly in accordance with this invention is constructed in two separate sections, a lower section 100 and an upper section 76. The lower section has all the active sensors formed in a traditional arrangement and fits within the instrument thimble in a fuel assembly within the core. The upper part of the lower section terminates in an electrical connector 102. The upper sections 76 still has an outer sheath 78, but the signal leads 80 extend through the outer sheath 78 and is formed into a relatively large coiled spring 82 around the outer sheath 78, approximately 8 inches (20.32 cms.) in diameter and approximately 50 inches (127 cms.) long. The remaining part of the upper section houses a relatively straight (not coiled) signal lead that extends substantially all the way down to the lower section 100 of the instrument thimble assembly 52, routed in a traditional manner. The coiled portion of the electrical signal lead 82 is wrapped around the outer sheath 78 and extends down to and through the utility ports 72 within the penetration flange 66. Both ends of the upper section 76 of the in-core instrument thimble assemblies 52 have electrical connectors. The upper section 76 only contains instrument lead wires and is essentially an extension cord for the in-core instrument thimble assembly. The lower portion of the upper section 76 of the in-core instrument thimble assembly 52 is connected to a complimentary portion of the electrical connector 102, which couples with a mating portion of the electrical connector 102 on the lower section 100 of the in-core instrument thimble assembly 52 which has the detectors and instrument signal wires housed within a stainless steel outer sheath in a conventional arrangement. FIG. 8 shows the in-core instrument thimble assembly upper section 76 housed within a protective tube 84 which extends up to just under an instrument grid assembly plate 86. The instrument grid assembly plate 86 is axially moveable and travels on plate lift guides 92 between a lower position shown in FIG. 8 and an upper position shown in FIG. 9. An instrument grid assembly lift rig 94 has axially extending legs 96 which rest upon the penetration flange 66 and is employed to raise and lower the instrument grid assembly plate 86 which is attached to each of the upper portions of the upper sections 76 of the in-core instrument thimble assemblies 52, raising and lowering each of the in-core instrument thimble assemblies 52 simultaneously respectively out of or into the core. FIG. 9 shows a schematic representation of the upper internals 26 with the instrument grid assembly plate 86 raised in an upper position with the signal leads 80 that exit the upper portion of the outer sheath wrapped around the outer sheath in a tight spiral that extends substantially from the top of the outer sheath 78 to within the protective tube 84, where the signal lead exits the reactor vessel through the penetration flange 66. An electrical connector 98 may also be provided outside the penetration flange to connect cabling that will convey the signal to the control room. However, it should be appreciated that in accordance with this invention the signal cables and connector external to the pressure side of the penetration flange 66 will travel with the penetration flange when it is moved to its storage location during a refueling outage, so there is no reason to make a disconnect to facilitate the refueling process. There are other electrical disconnects in the system that are further from the reactor that allow the penetration flange to be disconnected from plant wiring in order to move the penetration flange. In existing plants there are two disconnects required to access the lower internals in this concept there is only one that is necessary for that purpose. The dotted, axially extending line 100, shown on the left protective tube 84, represents the lower section 100 of the in-core instrument thimble assembly that was raised from the core, which is connected to the upper portion 76 by an electrical connector 102. Once the in-core instrument thimble assemblies have been raised to the upper position, the upper internals 26 may be removed from the core to expose the fuel assemblies for refueling. Thus, in accordance with this invention, the electrical connector 102 between the upper section 76 of the in-core instrument thimble assembly and the active detector section 100, need not be disconnected as part of the refueling process except where replacement of the lower section is necessary, which is infrequent. This gives the system the following advantages. The electrical connector 102 between the two in-core instrument thimble assembly sections 76 and 100 remains joined during a plant refueling and no underwater disconnects need to be made. The coiled section of the in-core instrument thimble assembly allows the instrument grid assembly plate 86 to be raised sufficiently (approximately 10 feet (3.05 meters)) to remove all the in-core instrument thimble assemblies from the fuel without disconnecting the connector; the in-core instrumentation thimble assembly coil elongates as the instrument plate 86 is raised. In contrast, in existing plants, the electrical connector is outside the reactor vessel and must be disconnected to allow disassembly of the reactor components for plant refueling. This improved arrangement eliminates both the critical path time and labor required to perform this operation and the radiation exposure plant workers incur in order to accomplish the electrical connector assembly and disassembly. In existing reactor designs, protective devices (bullet noses) must be installed over disassembled electrical connectors since they are typically stored under water during refueling activities. The bullet noses are used to protect the in-core instrument thimble assembly electrical connectors and to guide the in-core instrument thimble assemblies through the reactor closure head when the head is removed or installed. The invention claimed hereafter eliminates the need for the electrical connector protection and the critical path time and labor required to perform this operation and the radiation exposure of plant workers incurred in order to accomplish the electrical connector assembly and disassembly. One electrical connector of the upper section of the in-core instrument thimble assembly is outside of the reactor. An advantage of this invention is that this electrical connector can also remain joined to the instrument wiring that is external to the vessel. This external wiring is typically mounted on the closure head lift rig structure and must normally be disconnected from the in-core instrument thimble assembly instruments in the vessel. This invention eliminates both the critical path time and labor required to perform this operation and the radiation exposure plant workers incur in order to accomplish the electrical connector assembly and disassembly. Furthermore, in existing plants instrument guide tubes are mounted to some internal structure of the reactor. Some plants have a complex instrument grid assembly plate (typically 10 to 12 feet (3.05-3.67 meters) in diameter) that all of the instrument guide tubes are mounted on. Some plants route the individual instrument guide tubes through the upper internals and attach them to other structures. The in-core instrument thimble assemblies are inserted into these guide tubes and they direct each in-core instrument thimble assembly from the head penetration to the specific fuel assembly to be monitored. Depending on the size and the design of the plant, there are typically 30 to 60 in-core instrument thimble assemblies in a system. This invention eliminates the instrument guide tubes. The instrument cable itself is hardwired onto the plant upper internals so it does not have to be withdrawn from the upper internals during refueling and can be removed with the upper internals. During initial plant assembly, the upper section 76 of the in-core instrument thimble assembly will be semi-permanently installed onto the reactor internals. Under normal circumstances, the upper section should not have to be replaced for the lifetime of the plant but a provision should be made to allow replacement if necessary. Each in-core instrument thimble assembly outer sheath 78 will be fastened into supports such as the protective tubes 84 to protect it from the high velocity reactor coolant flow through the plant. The lower section of the in-core instrument thimble assembly 100 is installed into the reactor internals and then the electrical connectors 102 of the upper section and the lower section will be coupled. The detectors inside the lower section 100 of the in-core instrument thimble assembly will become depleted over time, due to neutronic interactions during plant operation, and therefore the lower section must be replaced after approximately ten fuel cycles. Since the upper section contains only lead wires, it does not have to be replaced under normal plant operating conditions. As mentioned above, during a plant refueling, the in-core instrument thimble assemblies must be removed from the fuel assemblies in order to allow fuel replacement or repositioning within the reactor vessel. In accordance with this invention, all of the in-core instrument thimble assemblies are connected to the instrument grid assembly plate 86. The external lift rig, schematically shown by reference character 94 in FIGS. 8 and 9, that is used to remove the upper internals from the reactor vessel, is also attached to the instrument grid assembly plate 86 and raises it to an elevation sufficient to pull the in-core instrument thimble assemblies out of the fuel (FIG. 9). Instrument plate 86 is locked in the raised position. Then the lift rig 94 moves the upper internals and the raised instrument grid assembly plate to a storage location to allow fuel movement activities. An example of such a lifting rig is described in U.S. patent application Ser. No. 13/741,737, filed Jan. 15, 2013, entitled “Apparatus and Method for Removing The Upper Internals From a Nuclear Reactor Pressurized Vessel. Buckling of the in-core instrument thimble assemblies is prevented by the use of a telescoping guide tube that leads the in-core instrument thimble assemblies out of and back into the fuel. The telescoping tubes supports are fastened to the instrument grid assembly plate 86 in the manner described in U.S. Patent Publication No. 2010/0150294, referenced above. It should be apparent that other variations of the design of the instrument thimble assembly and upper internals can be implemented without departing from the intent of this invention. FIGS. 10 and 11 illustrate one such variation, with FIG. 10 showing the lower portion of the instrument thimble assembly 100 inserted into the core and FIG. 11 showing the same arrangement with the instrument thimble assembly substantially removed from the core. In this embodiment diameter of the outer sheath 78 around the upper section of the in-core instrument thimble assembly 52 is larger than the outer sheath around the lower section 100. The larger diameter sheath forms a more convenient mandrel for wrapping the signal lead and can accommodate larger runs of a signal lead. In the embodiment shown in FIGS. 10 and 11, the outer sheath of the upper section 76 has a round radially extending lip 104 at the bottom which guides and centers the in-core instrument assembly within the protective tube 84. The signal lead exits the sheath just above the lip 104 and spirals around the outer sheath until the elevation of the vessel 10 penetration through which it exits the vessel. Thus, while specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.