patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
description | 1. Field of the Invention The present invention relates to a method for fabricating a supermirror for use in neutron guides and neutron mirrors, and more particularly, to a method for fabricating a neutron supermirror, which is widely used in the fabrication of thin films in cold neutron guides and the spectrometer field. In the inventive method, the neutron supermirror is fabricated with nickel thin films and titanium thin films having varying thickness, using a combination of monochromator structures in each of which nickel thin films and titanium thin films, having the same thickness, are alternately stacked on each other. In other words, the supermirror is fabricated with a combination of monochromator structures having a variety of different thicknesses, which show the overlap of diffraction peaks. 2. Description of the Prior Art Generally, neutrons have positive (+) scattering-length density in most elements, except for some elements such as gadolinium (Gd) and manganese (Mn). This means that, unlike electromagnetic waves in the visible light spectrum, the incident angle between the neutrons and the plane of the material is large, and neutrons and X-rays can be totally reflected when they are incident on the surface of material at angles smaller than a critical angle. The refractive index of most materials on which neutrons are incident is n<1, which means that neutrons are totally reflected when they are incident at angles smaller than a critical angle. Those that reflect incident neutrons using this property are called neutron mirrors, and tubes made by accurately joining these neutron mirrors with an error of less than 10 μm are called neutron guides. Recently, prior nickel neutron guide tubes having a single-layer thin-film structure have been substituted with supermirrors having a multilayer thin-film structure, which can increase neutron yield 2-4 times or more. The refractive index of neutrons incident on materials can be expressed by the following equation: n = 1 - λ 2 2 π N at ( b c ± p ) wherein λ represents wavelength, bc represents scattering length, Na represents atomic density, and P is g×s, where s represents magnetic moment/atom, and g represents 0.27×10−12 cm/Bohr. According to the above equation, neutrons incident at angles smaller than the critical angle will be totally reflected. As shown in FIGS. 1 and 2, a neutron guide 100 is a tube on which nickel or a supermirror is deposited in order to transport cold neutrons from a cold neutron source to an experimental station located a specific distance therefrom in a vacuum without loss. The neutron guide 100 has a total length of about 40-100 m and is formed by linking a plurality of guide units 110 with each other in series to the desired length. In each of the guide units 110 constituting the neutron guide 100, pluralities of supermirror substrates 112, each having a length of about 1 m and a very high refractive index, are assembled with each other in a box shape having a rectangular cross section. Thus, each of such guide units 110 consists of a structure in which neutrons are totally reflected in the guide units at angles smaller than the critical angles due to the supermirror substrates 112 deposited in a thin film. Meanwhile, it is preferable that the guide units 110 be precisely linked to the guide units 110 on the front and rear sides thereof, such that the size error and alignment error of the front and rear inlets/outlets thereof are maintained at less than 10 μm in order to minimize the loss of neutrons, which can occur during the transport of the neutrons. In order to transport neutrons to an experimental station without loss, the neutron guide, to which the supermirror for increasing the yield of neutrons is applied, is fabricated by alternately depositing nickel (Ni) and titanium (Ti), so that the total reflection angle (critical angle) thereof can be increased to at least two times that of a neutron mirror fabricated by coating nickel, which reflects neutrons. This periodical structure of crystal planes diffracts neutrons, electrons, x-rays and the like, and is also used to extract one wavelength. Such supermirrors include Ni/Ti non-magnetic supermirrors, fabricated by alternating a nickel layer with a titanium layer instead of using a prior Ni single film, and polarizing supermirrors, fabricated using a FeCo/Si, FeCoV/TiZr, Co/Cu or FeCo/Ge film for producing polarized neutrons. FIG. 3 schematically shows the principle of a monochromator in general use, FIG. 4 schematically shows a TEM photograph of a supermirror in general use, and FIG. 5 is a graphic diagram schematically showing reflectivity as a function of incident angle in a monochromator and supermirror in general, and shows the principle of a Ni/Ti monochromator and a Ni/Ti supermirror, which are in general use. As shown in the figures, neutrons incident on an interface will be totally reflected when the incident angle (θi) is smaller than the critical angle, and will be transmitted when the incident angle (θi) is larger than the critical angle. Specifically, unlike visible rays, neutrons (except for some materials, for example, Ti, Mn, Gd, H, V, and Li) or X-rays have a refractive index less than 1, and thus incident neutrons or x-rays will be totally reflected when the incident angle thereof is smaller than the critical angle. As used herein, the term “reflectivity” refers to the ratio of the absolute intensity of reflected light to that of incident light, which has a value ranging from a maximum of 1 (total reflection) to zero (0). Herein, the thin film formed by alternately depositing two different materials to a given thickness will produce a diffracted beam, which is called “Bragg Peak”. The monochromator uses the wavelength where this Bragg Peak occurs. Also, this principle of the monochromator can be used to fabricate a supermirror. FIGS. 6 and 7 schematically show the principle of polarizing supermirrors in general use. As shown in the figures, when two different thin films having greatly different scattering length densities are alternately deposited while the thickness thereof is changed, the angle at which the Bragg Peak occurs will change depending on the thickness of the thin films, and the Bragg Peak can continuously occur as a result of adjusting the interval of the change in the thin film thickness. Thus, this principle can be used to fabricate supermirrors. As shown in FIG. 6, which shows the scattering length densities of materials, a polarizing neutron supermirror is made using materials having different scattering length densities (SLD) of neutrons aligned in the spin-up and spin-down directions under the magnetic field. For example, when the content ratio of iron (Fe) to cobalt (Co) is set to 89:11, the scattering length density of spin-down neutrons in the iron-cobalt (FeCo) alloy will be the same as the scattering length density of silicon (Si). Thus, when a film of the iron-cobalt (FeCo) alloy and a film of silicon (Si) overlap each other, the spin-down neutrons will be transmitted through both the iron-cobalt (FeCo) alloy film and the silicon (Si) film without distinction, but the spin-up neutrons will be reflected due to the Bragg diffraction phenomenon, as shown in FIG. 7, which shows the path of polarized neutrons. On the contrary, cobalt (Co) and copper (Cu) have scattering length densities as shown in FIG. 6, and thus the spin-up neutrons will be transmitted through a film of cobalt (Co) and a film of copper (Cu) without distinction, but the spin-down neutrons will be reflected at the interface between cobalt (Co) and copper (Cu). As described above, the polarizing neutron supermirror can be fabricated by either overlapping the iron-cobalt (FeCo) alloy film with the silicon (Si) film or overlapping the cobalt (Co) film with the copper (Cu) film, and this principle can also be applied either to films of an iron-cobalt-vanadium (FeCoV) alloy film and a titanium-zirconium (TiZr) alloy or to films of iron-cobalt (FeCo) and geranium (Ge) in the same manner. Various materials can be used to fabricate supermirrors, but generally, a material having a high refractive index and a material having a low refractive index are selectively used. These days, nickel (Ni) and titanium (Ti), which have different refractive indexes, are most widely used. Herein, assuming that the thickness of one period of nickel (Ni) and titanium (Ti) is d(i), the thickness of a supermirror is calculated according to the following equation: d ( i ) = d c i 4 whereindc: critical thickness=√{square root over (π/ρ( b±p))}/2and b: scattering length density,whereinp=g s,whereing:0.270×10−12 cm/Bohr magnetonand s=M/ρ: average magneticmoment per atoms. Supermirrors have been fabricated according to the above-described equation, but they had low reflectivity, and for this reason, modified equations have been proposed. Such modified equations have problems in that, because the thickness of thin films for forming supermirrors gradually changes, it is required to precisely control the thickness of the thin films when applying the thin films, and it is difficult to perform an operation of coating an additional thin film on a specific region to increase reflectivity according to the high incident angle. The present invention has been made in order to solve the above-described problems occurring in the prior art, and it is an object of the present invention to provide a method for fabricating a neutron supermirror, which is widely used in the formation of thin films in cold neutron guides and the spectrometer field, the supermirror being fabricated with nickel thin films and titanium thin films, having varying thickness, using a combination of monochromator structures, in each of which nickel thin films and titanium thin films, having the same thickness, are stacked in the form of periodic structures. Specifically, the object of the present invention is to provide a method for fabricating a neutron supermirror using neutron monochromator structures, in which a combination of monochromator structures having a variety of different thicknesses is formed, such that the amount of the overlap of peaks by the monochromator structures can be adjusted to increase reflectivity, and some of the monochromator structures can be removed during the fabrication of the supermirror to make it easy to extract monochromatic beams. To achieve the above object, the present invention provides a method for fabricating a supermirror for forming a neutron guide having neutrons therein, the method comprising: forming a plurality of double film structures of nickel thin films and titanium thin films, having varying thickness, in such a manner that a predetermined number of nickel thin films and titanium thin films, having the same thickness, are alternately stacked to form monochromators in each of which the nickel thin films and the titanium thin films, having the same thickness, are stacked in the form of periodic structures; and stacking the monochromators, having different thicknesses, on each other. Preferably, the number of nickel thin films and titanium thin films stacked in the form of the periodic structures is adjusted so as to control reflectivity. Also, the reflectivity of the monochromators consisting of the periodic structures of nickel thin films and titanium thin films, having the same thickness, is calculated according to a periodic number-calculating equation and an equation for calculating full width at half maximum (FWHM), and the positions of peaks are determined by the calculated periodic number and FWHM. Herein, the periodic number-calculating equation is as follows:Rm(max)=(2Nd2|Fm|/m)2 wherein Rm(max): the maximum reflectivity of the monochromator, N: the periodic number of the thin films, m: order, and structure factor Fm is as follows: F m 2 = [ ( f a - f b ) sin ( ms π ) m π ] 2 wherein fa: bAρA, fb: bBρB, and s: the fractional thickness of layer A;if two thin films have the same thickness and m is an odd number, Fm is as follows:|Fm|=[(fa−fb)/mπ]2; m=±1, ±3 . . . ;if interface diffusion is considered, Fm is defined as follows: F m 2 = { ( f A + f B ) / 2 2 , m = 0 ( f A - f B ) / 4 2 , m = ± 1 , 0 , m = ± 2 , ± 3 , … ; if only the reflection of a first peak is considered, Fm is as follows:Rm(max)=4Ni2d4|Fm|2; and if refractivity (R) is substituted with reflectivity saturation (Rs), the following results: 1 - R s = exp ( - R m ) ln ( 1 - R s ) = - R m ln ( 1 - R s ) = - 4 N i 2 d 4 F m 2 N i 2 = - ln ( 1 - R s ) 4 d 2 F m 2 . Meanwhile, reflectivity saturation (Rs) can be variably applied. Also, the equation for calculating full width at half maximum (FWHM) is expressed as follows, if absorption (β) at the position (θi) of the 1st order Bragg Peak of any monochromator is disregarded: θ i ≈ ( λ 2 d i ) 2 + 2 δ wherein the FWHM of the 1st order Bragg Peak is expressed as follows: Δλ ≈ λ / N i and Δλ λ = cot θ i · Δθ i + Δ d d i , wherein θ: incident angle, Δd: thickness error, and Δθi is as follows: ( 1 N i - Δ d d i ) / cot θ i = Δθ i and the position of a Bragg Peak located just next to Δθi is expressed as follows: θ i - 1 = θ i - 2 · Δθ and d i - 1 ≈ λ 2 sin 2 θ i - 1 - 2 δ . Herein, the thickness error (Δd) can be variably applied. In addition, the overlap amount Δθ×T (T: max 1−0) between the Bragg Peaks can be variably applied. Among the plurality of monochromators having the periodic structures of nickel thin films and titanium thin films, a monochromator reflecting a specific wavelength is removed to extract the specific wavelength. In another embodiment, the present invention provides a method of fabricating a supermirror for forming a neutron guide having neutrons therein, the method comprising stacking double films of nickel thin films and titanium thin films on each other in the form of periodic structures in each of which a predetermined number of double films having the same thickness are stacked on each other. In this case, the number of nickel thin films and titanium thin films stacked in the form of periodic structures is adjusted so as to control reflectivity. Hereinafter, embodiments of the present invention will be described with reference to the accompanying illustrative drawings. FIG. 8 schematically shows a supermirror fabricated according to the inventive method for fabricating a neutron supermirror using neutron monochromator structures; FIG. 9 is graphic diagram schematically showing reflectivity according to one embodiment of a supermirror fabricated according to the inventive method for fabricating a neutron supermirror using neutron monochromator structures; FIG. 10 is a graphic diagram schematically showing reflectivity according to another embodiment of a supermirror fabricated according to the inventive method for fabricating a neutron supermirror using neutron monochromator structures; FIG. 11 is a graphic diagram schematically showing reflectivity according to still another embodiment of a supermirror fabricated according to the inventive method for fabricating a neutron supermirror using neutron monochromator structures; and FIG. 12 is a graphic diagram schematically showing the reflectivity of a monochromatic beam filter to which is applied a supermirror fabricated according to the inventive method for fabricating a neutron supermirror using neutron monochromator structures. As shown in the figures, a method for fabricating a neutron supermirror using neutron monochromator structures according to the present invention comprises: forming a plurality of double layer structures of nickel thin films and titanium thin films, having varying thickness, in such a manner that a predetermined number of nickel thin films and titanium thin films, having the same thickness, are alternately stacked to form monochromators in each of which the nickel thin films and the titanium thin films are stacked in the form of periodic structures; and stacking the monochromators, having different thicknesses, on each other. As described above, the nickel thin films and the titanium thin films, having the same thickness, are alternately stacked on each other in the form of periodic structures to form a plurality of monochromators having varying thicknesses, and then the monochromators having different thicknesses are stacked on each other to fabricate the supermirror. Herein, the periodic structures of nickel thin films and titanium thin films, which form each of the monochromators, are preferably 4-5 in number. Also, the monochromators, each consisting of the periodic structures of nickel thin films and titanium thin films, having the same thickness, are preferably formed while the total thickness of each of the monochromators approaches the total reflection angle of nickel. Specifically, the number of nickel thin films and titanium thin films in each of the monochromators, which are in the form of periodic structures, but have different total thicknesses, is adjusted such that reflectivity is made to approach the total reflection angle of nickel. As described above, the monochromators, having different total thicknesses, are stacked on each other in the form of periodic structures to fabricate a supermirror in which peaks overlap each other. Thus, the reflectivity of neutrons in the supermirror can be increased by adjusting the number of periodic structures of monochromators. For this purpose, the reflectivity of monochromators, which have the same thickness, but are in the form of periodic structures of nickel thin films and titanium thin films, is calculated using a periodic number-calculating equation and an equation for calculating full width at half maximum (FWHM), and the position of a peak to be located just next to the first peak is determined by the calculated periodic number and FWHM. In the case of X-rays, n is smaller than 1 in all materials, and in the case of neutrons, n is smaller than 1 in most materials, except for several materials. Thus, the refractive index of X-rays is expressed by the following equations: n = 1 - δ + i β δ x = r 0 λ 2 2 π ρ e and β x = λ 4 π μ x , wherein λ represents wavelength, ro represents electron radius (2.82×10−13),ρe represents β n = μ n λ 4 π atomic density, and μn represents linear absorption coefficient. Meanwhile, the refractive index of neutrons is expressed by the following equations: n = 1 - δ + i β , δ n = λ 2 2 π b ρ n and β n = μ n λ 4 π , wherein λ represents wavelength, bρn represents scattering length density, and μn represents linear absorbance coefficient. A periodic number-calculating equation, which provides the desired reflectivity for the periodic layers of the monochromators having the periodic structures of nickel (Ni) thin films and titanium (Ti) thin films, is as follows. First, the maximum reflectivity of the monochromator, having a thin film structure, can be expressed by the following equation:Rm(max)=(2Nd2|Fm|/m)2 wherein Rm(max) represents the maximum reflectivity of the monochromator, N represents the periodic number of the thin films, m represents order, and structure factor Fm is expressed by the following equation: F m 2 = [ ( f a - f b ) sin ( ms π ) ( m π ) ] 2 wherein fa represents bAρA, fb represents bBρB, and s represents the fractional thickness of layer A. Meanwhile, if two thin films have the same thickness and m is an odd number, Fm is as follows:|Fm|=[(fa−fb)/mπ]2; m=±1, ±3 . . . . Also, if the interface diffusion is considered, Fm is as follows: F m 2 = { ( f A + f B ) / 2 2 , m = 0 ( f A - f B ) / 4 2 , m = ± 1 , 0 , m = ± 2 , ± 3 , … . When two thin films have the same thickness, m is an odd number, and the reflection of a first peak is considered, the maximum reflectivity of the monochromator is expressed as the following equation:Rm(max)=4Ni2d4|Fm|2. If refractivity (R) is substituted with reflectivity saturation (Rs), it is as follows: 1 - R s = exp ( - R m ) ln ( 1 - R s ) = - R m ln ( 1 - R s ) = - 4 N i 2 d 4 F m 2 N i 2 = - ln ( 1 - R s ) 4 d 2 F m 2 . As described above, the periodic number of thin films, which provides the desired reflectivity, can be determined using monochromators consisting of nickel thin films and titanium films. Meanwhile, if two thin films have the same thickness and m is an even number, structural factor Fm is as follows: |Fm|=0. Said Rs (reflectivity saturation) can be variably applied. Specifically, the reflectivity saturation can be applied as variable values, for example, 0.9, 0.99 and 0.999, to determine the periodic number of thin films, which provides the desired reflectivity. Meanwhile, an equation for calculating full width at half maximum, which provides the desired reflectivity for the periodic layers of nickel (Ni) and titanium (Ti), is as follows. The position θi of the 1st order Bragg Peak of any monochromator is as follows, if absorbance β is disregarded: θ i ≈ ( λ 2 d i ) 2 + 2 δ wherein the full width at half maximum (FWHM) of the 1st order Bragg Peak is as follows: Δ λ ≈ λ / N i , Δ λ λ = cot θ i · Δ θ i + Δ ⅆ ⅆ i ,wherein θ represents incident angle, and Δd represents thickness error, and Δθi is as follows: ( 1 N i - Δ ⅆ ⅆ i ) / cot θ i = Δθ i . The position of the Bragg Peak to be located just next to the first Bragg Peak isθi−1=θi−2·Δθ,and thus the thickness corresponding to the position of the Bragg Peak is expressed as follows: d i - 1 ≈ λ 2 sin 2 θ i - 1 - 2 δ . When the position of d1 is approached near the total reflection angle of a nickel mirror by continuously iterating the calculation of FWHM as described above, a supermirror will be completed. According to this method, a supermirror is completed by variably applying parameters, such as Δd (thickness error) and the overlap amount Δθ×T (T=max 1−0) between Bragg Peaks, to approach the total reflection angle of a nickel mirror. The reflectivity of the supermirror thin film, fabricated using the periodic number-calculating method and the FWHM calculation method in the method for fabricating the neutron supermirror using the neutron monochromator structures, is shown in FIG. 9. As shown in FIG. 9, when a supermirror having the following parameters is fabricated, a peak is located such that reflectivity according to incident angle in the supermirror approaches a total reflection angle of 0.5° according to the periodic number and FWHM of the monochromator: the reflectivity saturation (Rs) of the supermirror=0.99; Δd=0; T=1; wavelength=0.475 nm; periodic number=30; and m=2. Also, when each of the supermirrors having the following parameters is fabricated, a peak is located such that reflectivity according to incident angle in the supermirror approaches the total reflection angle of 0.5° by the periodic number and FWHM of the monochromator, as shown in FIGS. 11 and 12: the reflectivity saturation of the supermirror (Rs)=0.999, Δd=1.5; T=0.7; wavelength=0.475 nm; periodic number=61; and m=2 or m=3. In other words, the supermirror is fabricated by stacking a plurality of monochromators, having periodic structures of nickel thin films and titanium thin films, on each other. The reflectivity of neutrons in this supermirror is improved, as shown in FIGS. 10 and 11, by adjusting the thickness of the monochromators so as to reflect all incident wavelengths due to the overlap of peaks caused by the monochromators having different thickness, and adjusting the amount of the peak overlap. The supermirror fabricated by the inventive method for fabricating the neutron supermirror using neutron monochromator structures can be manufactured into a filter for extracting a specific wavelength. Specifically, among the monochromators stacked in the form of periodic structures, a monochromator reflecting a specific wavelength can be replaced with a transmission monochromator instead of a reflection monochromator, to obtain only a specific wavelength. Also, among the plurality of monochromators having the periodic structures of nickel thin films and titanium thin films, a monochromator reflecting a specific wavelength may be removed to obtain the specific wavelength. When only the specific wavelength is obtained, as described above, an increase in dispersion can be suppressed and it will be easy to adjust the wavelength width (Δλ) of a monochromatic beam. As described above, to fabricate a monochromatic beam filter using a supermirror having the following parameters, a reflection monochromator reflecting a specific wavelength, among monochromators forming the supermirror, can be replaced with a transmission monochromator such that the monochromatic beam filter can transmit only the specific wavelength, as shown in FIG. 12: the reflectivity saturation (Rs) of the supermirror=0.999; Δd=1.5; T=0.7; wavelength=0.475 nm; periodic number=61; and m=3. In order words, among monochromators reflecting the respective wavelengths in the supermirrors consisting of the plurality of monochromators, a given monochromator reflecting a specific wavelength can be replaced with a transmission monochromator, such that only the specific wavelength is transmitted through the transmission monochromator, and the remaining wavelengths are reflected. According to another embodiment of the present invention, a supermirror can also be fabricated by stacking double-film structures of nickel thin films and titanium thin films on each other in the form of periodic structures, in which a predetermined number of the double-film structures having the same thickness are stacked on each other. In this case, it is preferable to adjust the number of double-layer structures of nickel thin films and titanium thin films such that the reflectivity of neutrons in the supermirror can be controlled. As described above, according to the present invention, the neutron supermirror, which is widely used in the formation of thin films in cold neutron guides and the spectrometer field, is fabricated with nickel thin films and titanium thin films by stacking nickel thin films and titanium thin films, having the same thickness, on each other, to form monochromator structures in which the nickel thin films and the titanium thin films are alternately stacked in the form of periodic structures, and then stacking the monochromator structures having different thickness on each other. Thus, the overlap of peaks by the monochromators is possible, the amount of the overlap can be adjusted to increase reflectivity, and some of the monochromators can be removed during the fabrication of the supermirror to make it easy to extract a monochromatic beam. In addition, it is easy to fabricate a transmission monochromator, but not a reflection monochromator, making it possible to fabricate a filter for extracting a specific wavelength. Although the preferred embodiment of the present invention has been described for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. |
|
claims | 1. A computer program product including a non-transitory computer-storage medium having instructions stored thereon for processing data information, such that the instructions, when carried out by a processing device, cause the processing device to perform the operations of:receiving public utilities usage data that corresponds to at least one building;receiving building characteristics data that indicate physical characteristics of the at least one building;organizing the public utilities usage data and the building characteristics data, including linking the physical characteristics data to corresponding public utilities data;calculating utilities performance statistics of the at least one building from the physical characteristics data and corresponding public utilities data;outputting utilities performance statistics of the at least one building; andreceiving, via a graphical user interface of a first user account, input specifying a second user account to be permitted access to the first user account;receiving, via the graphical user interface of the first user account, a manually input security question;displaying, via a graphical user interface of the second user account, the security question;receiving, via the graphical user interface of the second user account, manual input representing a response to the security question;displaying, via the graphical user interface of the first user account, the response to the security question;receiving, via the graphical user interface of the first user account, input indicating acceptance of the response to the security question; andgranting to the second user account, access to the first user account. 2. The computer program product of claim 1, wherein organizing the public utilities usage data and the building characteristics data includes defining buildings and public utilities accounts in a hierarchy, and propagating public utilities usage data within the hierarchy to the defined buildings. 3. The computer program product of claim 2, wherein organizing the public utilities usage data and the building characteristics data includes:identifying that a given public utility account is shared by two or more buildings; andallocating public utilities usage data among the two or more buildings based on physical characteristics of the two or more buildings. 4. The computer program product of claim 2, wherein organizing the public utilities usage data and the building characteristics data includes:identifying multiple portions of a given building that are covered by a specific public utility account; andwherein outputting utilities performance statistics of the at least one building includes outputting utilities usage data for a selected portion of the given building. 5. The computer program product of claim 1, wherein calculating utilities performance statistics of the at least one building includes calculating a benchmark representing a measure of utilities usage of a given public utility by examining utilities usage of buildings that match a specific set of physical building characteristics. 6. The computer program product of claim 5, wherein calculating utilities performance statistics of the at least one building includes:comparing the at least one building to the calculated benchmark; andidentifying a measure of efficiency of the at least one building based on the calculated benchmark. 7. The computer program product of claim 6, wherein outputting utilities performance statistics includes displaying utilities usage information for the at least one building relative to other buildings by outputting utilities usage for buildings identified as similar, and by outputting utilities usage for buildings identified as efficient based on the calculated benchmark. 8. The computer program product of claim 1, wherein displaying utilities performance statistics of the at least one building includes displaying utilities usage statistics for the at least one building relative to utilities usage of other buildings identified as having physical characteristics similar to the physical characteristics of the at least one building, wherein the physical characteristics are selected from the group consisting of type of building construction, size of building, geographic location, age of building, heating fuel, heating system, and cooling system. 9. The computer program product of claim 8, wherein outputting utilities usage statistics, for the at least one building relative to utilities usage of other buildings identified as having physical characteristics similar to the physical characteristics of the at least one building, includes normalizing utilities usage statistics between the at least one building and the other buildings based on physical building characteristics. 10. The computer program product of claim 1, wherein calculating utilities performance statistics of the at least one building includes calculating utilities consumption of the at least one building as a sum of usage data from individual public utility accounts that correspond to the at least one building. 11. The computer program product of claim 1, wherein receiving public utilities data includes:receiving a manually submitted spreadsheet;receiving input identifying a layout of the spreadsheet including identifying rows and columns; andimporting public utilities data from the spreadsheet. 12. The computer program product of claim 1, wherein outputting utilities performance statistics of the at least one building includes:receiving, via a graphical user interface, input specifying a custom benchmark representing a measure of utilities usage of a set of buildings meeting specific physical characteristics; andoutputting a report of utilities usage based on the custom benchmark. 13. The computer program product of claim 1, wherein receiving building characteristics data that indicates physical characteristics of the at least one building includes receiving input identifying a given physical upgrade to a given building; andwherein outputting utilities performance statistics of the at least one building includes generating a report that displays cost of corresponding public utilities usage for a first time period that is prior to the physical upgrade, and that displays cost of corresponding public utilities usage for a second time period that is subsequent to the physical upgrade. 14. The computer program product of claim 1, wherein outputting utilities performance statistics of the at least one building includes:receiving, via a graphical user interface, input specifying a custom year-over-year report for a given building; andoutputting the custom year-over-year report as a graph comparing public utilities cost for the given building over consecutive years. 15. A system for tracking utilities usage, the system comprising:a processor; anda memory coupled to the processor, the memory storing instructions that, when executed by the processor, cause the system to perform the operations of:receiving public utilities usage data that corresponds to at least one building;receiving building characteristics data that indicate physical characteristics of the at least one building;organizing the public utilities usage data and the building characteristics data, including linking the physical characteristics data to corresponding public utilities data;calculating utilities performance statistics of the at least one building from the physical characteristics data and corresponding public utilities data;outputting utilities performance statistics of the at least one building;receiving, via a graphical user interface of a first user account, input specifying a second user account to be permitted access to the first user account;receiving, via the graphical user interface of the first user account, a manually input security question;displaying, via a graphical user interface of the second user account, the security question;receiving, via the graphical user interface of the second user account, manual input representing a response to the security question;displaying, via the graphical user interface of the first user account, the response to the security question;receiving, via the graphical user interface of the first user account, input indicating acceptance of the response to the security question; andgranting to the second user account, access to the first user account. 16. The system of claim 15, wherein organizing the public utilities usage data and the building characteristics data includes defining buildings and public utilities accounts in a hierarchy, and propagating public utilities usage data within the hierarchy to the defined buildings. 17. The system of claim 15, wherein displaying utilities performance statistics of the at least one building includes outputting utilities usage statistics for the at least one building relative to utilities usage of other buildings identified as having physical characteristics similar to the physical characteristics of the at least one building and normalizing utilities usage statistics between the at least one building and the other buildings based on physical building characteristics, wherein the physical characteristics include type of building construction. 18. The system of claim 15, wherein calculating utilities performance statistics of the at least one building includes calculating a benchmark representing a measure of utilities usage of a given public utility by examining utilities usage of buildings that match a specific set of physical building characteristics, and wherein outputting utilities performance statistics includes outputting utilities usage information for the at least one building relative to other buildings by outputting utilities usage for buildings identified as similar, and by outputting utilities usage for buildings identified as efficient based on the calculated benchmark. 19. A computer-implemented method for tracking utilities usage, the computer-implemented method comprising:a processor configured with a set of instructions for:receiving public utilities usage data that corresponds to at least one building;receiving building characteristics data that indicate physical characteristics of the at least one building;organizing the public utilities usage data and the building characteristics data, including linking the physical characteristics data to corresponding public utilities data;calculating utilities performance statistics of the at least one building from the physical characteristics data and corresponding public utilities data;outputting utilities performance statistics of the at least one building;receiving, via a graphical user interface of a first user account, input specifying a second user account to be permitted access to the first user account;receiving, via the graphical user interface of the first user account, a manually input security question;displaying, via a graphical user interface of the second user account, the security question;receiving, via the graphical user interface of the second user account, manual input representing a response to the security question;displaying, via the graphical user interface of the first user account, the response to the security question;receiving, via the graphical user interface of the first user account, input indicating acceptance of the response to the security question; andgranting to the second user account, access to the first user account. |
|
046831045 | abstract | A device for the inspection of fuel rods combined into fuel rod bundles in a fuel element of a nuclear reactor includes a frame for supporting a fuel element including a base plate, a cover plate and four supports in the form of four first leadscrew drive mechanisms, two mutually parallel second leadscrew drive mechanisms attached to and extending transversely to the first leadscrew drive mechanism, a third leadscrew drive mechanism jointly supported by and extending transversely to the second leadscrew drive mechanism, and an accessory carrier mechanism disposed on the third leadscrew drive mechanism and movable in a plurality of levels for entering gaps between fuel rods of the fuel element. |
abstract | A device for protection of a body from radiation includes at least one flexible garment. Each section of the flexible garment is configured to shield a region of a surface of the body. Each section complementarily attenuates self-shielding by internal structure between the region and an interior region of the body such that radiation at the interior region is attenuated to a predefined attenuation level. |
|
043943454 | abstract | An ultrasonic transducer apparatus and method for examining nuclear reactor jet pump beams for cracking. Examination is conducted in situ. An operator lowers a carriage portion of the apparatus into the reactor vessel with a pole. Ultrasonic signals are transmitted through suitable wiring from an external source to the transducer apparatus, which may employ a pitch-catch or pulse-echo mode of ultrasonic examination to test the beams. The carriage holds oppositely disposed pairs of ultrasonic transducers and positions them suitably near the beam to be examined in a proper orientation thereto. The mode of examination is selected by a switching mechanism. The apparatus includes a signal generator, receiver, and visual display. |
summary | ||
summary | ||
059303158 | summary | FIELD OF THE INVENTION This invention relates generally to the management and control of an operating system carrying out a nuclear, chemical or other process and is particularly directed to the detection and identification of a faulty component in an operating system and the analysis and determination of optimum response to manage of the system following failure of a system component. BACKGROUND OF THE INVENTION Complex engineering systems such as nuclear power plants are often subjected to off-normal situations that arise from component malfunctions, operational transients or external events. As system complexity increases, the demands on plant operators for fast, accurate responses to these events also increase, to the point where a computer-based advisory system to supplement operator training is highly desirable. Operation of these systems, especially those with the potential for severe consequences in the event of an off-normal occurrence, requires the assimilation and processing of large amounts of data from system monitoring equipment. Installations such as power plants, chemical processing plants and fuel fabrication plants can all benefit from the application of computer-based expert systems to provide input to the operators as an aid in the diagnosis of plant faults and transient recovery. Such a system could extend the expertise of the operators to situations beyond their training envelope and provide rapid assistance during low-probability events requiring an extended time for the operators to diagnose and develop a response. Research and development efforts in the area of operator-assistance systems for the diagnosis and management of plant transients, especially in nuclear power plants, have been in progress for many years, with various approaches and varying degrees of success. Typical systems are based on signal pattern recognition and simulator engines, or expert systems, that incorporate automated reasoning and neural network algorithms. It is highly probable that as the availability of low-cost, high-performance computers increases, operator advisory systems will be a standard feature of future generation plants. The systems will be used to aid in the diagnosis of component failures or off-normal events, as well as in the management of the plant transients that often follow such events. Prior expert system approaches are limited to the use of predetermined sets of malfunction and associated operator actions which do not account for unanticipated malfunctions. Examples of this type of process fault diagnosis in control systems can be found in U.S. Pat. Nos. 5,265,035 and 5,442,555, assigned to the assignee of the present application. The disclosures of these two patents are hereby incorporated by reference in the present application. The present invention takes into account both anticipated and unanticipated system component malfunctions to provide realignment procedures and operator actions at on-line speeds to allow the system to be either safely shut down or to continue operation at full or partial capacity. OBJECTS AND SUMMARY OF INVENTION Accordingly, it is an object of the present invention to automatically determine the optimum recovery actions and configuration state of an operating system following a component malfunction in the system. It is another object of the present invention to provide rapid on-line process management of an operating system following the malfunction of a component within the system by selecting new system operating configurations to maintain system operation at full, or partial, capacity, or to safely shut down the system. A further object of the present invention is to take into account anticipated as well as unanticipated component malfunctions in an operating system to allow the system to be realigned or reconfigured so as to, for example, by-pass or isolate the malfunctioning component at on-line speeds so that the system may be safely shut down or may be allowed to continue operation at full or partial capacity. A still further object of the present invention is to provide a process management system which can be applied to virtually any type of operating plant, such as of the nuclear, chemical or fuel fabrication type, without the need for major revisions of the system's computer code or the associated requirements for verification and validation of a modified code. Specific plant operating parameters and configurations are contained in a separate module that is linked to the main body of the code. This invention contemplates a method for managing the operation of a system having a plurality of components following a malfunction of a component, wherein each component is assigned a thermal-hydraulic function in terms of mass, momentum or energy, the method comprising the steps of: assigning each component to a loop in the system, wherein each component in a given loop is connected to the other components in the given loop and each loop has a characteristic set of thermal-hydraulic parameters and each component be a set of thermal-hydraulic component characteristics; identifying a first malfunctioning component in a first loop and classifying the malfunction in terms of a mass, momentum or energy thermal hydraulic function; searching the loops for components connected to said first malfunctioning component; searching the first loop for a second component of the loop of the same thermal-hydraulic function type as the first malfunctioning component; generating a first modified loop structure wherein the second component having the same thermal-hydraulic function type as the malfunctioning component replaces the first malfunctioning component; calculating and comparing thermal-hydraulic parameters of the first modified loop incorporating the second component with the thermal-hydraulic parameters of the loop incorporating the first component prior to the malfunction; and reconfiguring the system to include the second component in the first modified loop if the thermal-hydraulic parameters of the modified loop and the loop incorporating the first component prior to the malfunction match; or generating a second modified loop structure incorporating a third component having the same thermal-hydraulic function type as the malfunctioning component for replacing the first malfunctioning component if the thermal hydraulic parameters of the first loop and of the first modified loop do not match; calculating and comparing thermal-hydraulic parameters of the second modified loop structure incorporating the third component with the thermal-hydraulic parameters of the loop incorporating the first component prior to the malfunction; and reconfiguring the system to include the third component in the second modified loop structure if the thermal-hydraulic parameters of the second modified loop match the thermal-hydraulic parameters of the first loop. This loop construction procedure is repeated until all possible loops with parameters matching the failed loop have been constructed and their replacement potential analyzed. |
description | This application claims the benefit of Korean Patent Application No. 10-2015-0095303, filed on Jul. 3, 2015, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein in its entirety by reference. 1. Field One or more embodiments relate to an apparatus for detecting a position of a control rod, and more particularly, to an apparatus for precisely and easily detecting a position of a control rod that moves vertically. 2. Description of the Related Art In a pressurized water nuclear reactor, a control element drive mechanism (CEDM) adjusts a reactivity of a reactor core by controlling a control rod to move vertically in the reactor core according to a control signal. Accordingly, position information of the control rod is very important in designing a reactor core protection system. In particular, for stability and reliability of all devices mounted in an integrated nuclear reactor, a CEDM or a position indicator of a control rod has to operate in very harsh environmental conditions in the integrated nuclear reactor. In a conventional nuclear reactor, a position indicator including a reed switch is used to detect a position of a control rod. In general, the control rod is coupled to a lower end portion of a control rod driving shaft and vertically moves in a reactor core at the same time as the control rod driving shaft moves vertically. The reed switch is turned on/off by a permanent magnet mounted on an upper end of the control rod driving shaft. As shown in FIG. 1, a reed switch 1 used in a conventional position indicator includes one pair of flexible magnetic bodies hermetically sealed in a glass tube 2 filled with inert gas. The reed switch 1 operates so that mechanical contact points are generally separated from each other and contact each other only when a magnetic field formed by a permanent magnet mounted on an upper end of a control rod driving shaft is applied to the reed switch 1. A plurality of the reed switches 1 are arranged on a non-magnetic plate 3, and a circuit is formed by connecting each of the plurality of reed switches 1 to a resistor. A structure and an operation of the reed switch 1 are well known, and thus, a detailed explanation thereof will not be given. When the conventional position indicator using the reed switch 1 is employed, since the reed switches 1 are aligned, a resolution equal to or less than a length of the reed switches 1 may not be obtained. Thus, it is difficult to obtain precise position information required by a small nuclear reactor that operates by using a load following method. Also, in an integrated nuclear reactor, the inside of an upper pressure vessel is filled with high-temperature primary water. A lot of efforts and attempts to secure or develop permanent magnets and reed switches that may withstand such high temperature are necessary. One or more embodiments include an apparatus for detecting a position of a control rod by precisely and easily detecting position information of a control rod driving shaft. Additional aspects will be set forth in part in the description which follows and, in part, will be apparent from the description, or may be learned by practice of the presented embodiments. According to one or more embodiments, an apparatus for detecting a position of a control rod includes: a control rod driving shaft having an outer circumferential surface on which position information is marked; a mirror configured to reflect the position information; and a detector configured to detect a position of the control rod driving shaft from the position information reflected by the mirror, when the control rod driving shaft moves vertically. The detector may include: an optical system including a lens configured to receive an image of the position information reflected from the mirror; an optical fiber connected to the optical system and configured to transmit the image; a storage unit configured to store the image transmitted from the optical fiber; and a display unit configured to display the image stored in the storage unit to the outside. The mirror may be disposed along the outer circumferential surface of the control rod driving shaft. The mirror may have a hollow truncated cone shape with a through-hole through which the control rod driving shaft passes. A plurality of grooves for vertically moving the control rod driving shaft may be formed in the outer circumferential surface of the control rod driving shaft, and the position information may be formed between the grooves. The apparatus may further include an optical connector configured to transmit the image to the outside of a nuclear reactor. The inventive concept now will be described more fully hereinafter with reference to the accompanying drawings, in which elements are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to one of ordinary skill in the art. The technical scope of the inventive concept is defined by the accompanying claims. Throughout the specification, the same reference numerals denote the same elements. The terminology used herein is for the purpose of describing embodiments only and is not intended to be limiting of embodiments. As used herein, the singular forms “a”, “an”, and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising” used herein specify the presence of stated members, but do not preclude the presence or addition of one or more other members. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which embodiments belong. A direction mentioned to describe a structure in an embodiment is based on that in the drawings. When a reference point in a direction and a position relationship are not clearly mentioned, related drawings should be referred to. The inventive concept relates to an apparatus for detecting a position of a control rod. In particular, the apparatus according to the inventive concept may be applied to a small and medium-sized nuclear reactor in which it is crucial to precisely detect a position of a control rod according to reactor characteristics. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. The inventive concept will now be described more fully with reference to the accompanying drawings, in which embodiments are shown. FIG. 3 is a side view of FIG. 2. FIG. 4 is a cross-sectional view of a optical fiber 32 employed by according to an embodiment of the inventive concept. FIG. 5 is a block diagram of an apparatus for detecting a position of a control rod according to an embodiment. FIG. 6 is a block diagram of an apparatus for detecting a position of a control rod according to another embodiment. As shown in FIG. 3, an apparatus for detecting a position of a control rod (referred to as the apparatus) according to an embodiment includes a control rod driving shaft 10, a mirror 20, and a detector 30. The control rod driving shaft 10 is configured to insert or remove a control rod into or from a reactor core in order to control an output of a nuclear reactor, and position information 11 is marked on an outer circumferential surface of the control rod driving shaft 10. The position information 11 may be marked as a number or a bar code in order to determine an absolute position of the control rod driving shaft 10. However, a display type of the position information 11 is not limited thereto. Since the control rod is coupled to a lower end of the control rod driving shaft 10 and moves along with the control rod driving shaft 10, when a position of the control rod driving shaft 10 is accurately detected, it also means that a position of the control rod is accurately detected. According to the present embodiment, a plurality of grooves 12 are formed in the outer circumferential surface of the control rod driving shaft 10 in order to vertically move the control rod driving shaft 10. The position information 11 is formed between the grooves 12. The apparatus according to the present embodiment may be applied to a control rod driving device for vertically moving the control rod driving shaft 10 by using a magnetic jack. The control rod driving device using the magnetic jack may be a 4-coil control rod driving device provided with four coils. In detail, the 4-coil control rod driving device includes an upper lift (UL) coil, an upper gripper (UG) coil, a lower lift (LL) coil, and a lower gripper (LG) coil. The 4-coil control rod driving device controls a vertical movement of the control rod driving shaft 10 by controlling a magnetic force generated from the four coils. In this case, the grooves 12 are formed in the outer circumferential surface of the control rod driving shaft 10, and the 4-coil control rod driving device controls a vertical movement of the control rod driving shaft 10 by causing a latch to be inserted into or removed from any of the grooves 12. The 4-coil control rod driving device moves the latch by controlling a magnetic force generated from the four coils. The magnetic jack is well known and is not directly related to the inventive concept, and thus a detailed explanation thereof will not be given. Since the position information 11 is formed between the grooves 12 of the control rod driving shaft 10, when the control rod driving shaft 10 is moved by using the magnetic jack or the like, the position information 11 is not damaged. Even when the position information 11 is slightly damaged while the apparatus is continuously used, loss of the position information 11 due to abrasion during use may be prevented by continuously tracking damaged image information by using an image analysis technique. The mirror 20 is provided in order to reflect the position information 11. According to the present embodiment, the mirror 20 is disposed along the outer circumferential surface of the control rod driving shaft 10. In detail, as shown in FIGS. 2 and 3, the mirror 20 is formed to have a hollow truncated cone shape having a through-hole 21 through which the control rod driving shaft 10 may pass. In detail, the mirror 20 according to the present embodiment is formed to have a right triangular prism-like cross-section and is formed of quartz, and an inclined plane of the mirror 20 is silver-plated. It is preferable that the mirror 20 is formed of quartz in order to be used at a high temperature and a high pressure in the nuclear reactor. The inclined plane of the mirror 20 having the right triangular prism-like cross-section is disposed to reflect the position information 11 to the detector 30. Since the mirror 20 has a ring shape to surround the control rod driving shaft 10, a wide field of view may be obtained as if a fisheye lens is used. An outer surface of the control rod driving shaft 10 may be widely and easily observed even in a narrow space of the nuclear reactor. However, a shape of the mirror 20 is not limited thereto. For example, the mirror 20 may be a flat mirror having a prism-like cross-section that does not surround the control rod driving shaft 10 at a position corresponding to the position information 11. When the control rod driving shaft 10 moves upward or downward, the detector 30 detects a position of the control rod driving shaft 10 from the position information 11 reflected from the mirror 20. In detail, the detector 30 includes an optical system 31, an optical fiber 32, a storage unit 33, and a display unit 34. The optical system 31 includes a lens 311 configured to receive an image of the position information 11 reflected from the mirror 20. The optical system 31 is coupled to the mirror 20. The optical system 31 may be a well-known fiberscope. Although only one optical system 31 is provided in FIGS. 2 and 3, the number of the optical systems 31 is not limited thereto. For example, when two mirrors 20 are disposed to be symmetric with each other about the control rod driving shaft 10, the optical system 31 may be provided on each of the mirrors 20. In this case, one optical system 31 may be used to detect a position of the control rod driving shaft 10 and the remaining optical system 31 may be used as a backup system. The remaining optical system 31 may also be used as a unit for observing a degree of damage to the outer surface of the control rod driving shaft 10. The optical fiber 32 is connected to the optical system 31 and transmits the image of the position information 11. The optical fiber 32 according to the present embodiment is an optical fiber that may be used at a high temperature and a high pressure. In detail, as shown in FIG. 4, an inner fiber 321 is surrounded and protected by a high-temperature gel 322, an inner stainless tube 323, an aluminum tube 324, and an outer stainless tube 325. Since the optical fiber 32 may be used at a temperature equal to or higher than 300° C. and a pressure equal to or higher than 20000 psi (e.g., 1400 bar), the optical fiber 32 may be used in an integrated nuclear reactor. The storage unit 33 stores the image transmitted from the optical fiber 32. For example, the storage unit 33 may include a charge-coupled device (CCD) camera. The display unit 34 displays the image stored in the storage unit 33 to the outside. The display unit 34 may be provided so that an operator outside the nuclear reactor may observe the image. The detector 30 according to the present embodiment may further include an optical connector 35. The optical connector 35 is configured to transmit the image of the position information 11 to the outside of the nuclear reactor. As shown in FIG. 2, the optical connector 35 may be coupled to a nuclear reactor head 40. As such, since the apparatus according to the inventive concept detects an actual position of the control rod driving shaft 10 by obtaining an image of the position information 11 marked on the outer circumferential surface of the control rod driving shaft 10, the apparatus may precisely and easily obtain a position of the control rod driving shaft 10. That is, while a conventional method using a reed switch is difficult to precisely detect a position due to a resolution limitation, the apparatus according to the inventive concept detects a position of the control rod driving shaft 10 by using an optical image, and thus may have a very high resolution. Accordingly, the apparatus according to the inventive concept may be applied to a small and medium-sized nuclear reactor in which it is crucial to precisely detect a position of the control rod driving shaft 10. Also, since an image of the inside of the nuclear reactor may be observed in real time, a degree of abrasion of the outer surface of the control rod driving shaft 10 may be monitored. The apparatus according to the one or more embodiment may precisely and easily detect a position of a control rod. Since the apparatus uses an image processing technique for detecting a position by using an optical image, a very high resolution may be ensured. Also, since the apparatus may observe an image of a control rod driving shaft in a nuclear reactor in real time, damage to or abrasion of an outer surface of the control rod driving shaft may be continuously monitored. While one or more embodiments have been described with reference to the figures, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the inventive concept as defined by the following claims. |
|
050283804 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention pertains to a method and device for the identification of leakiness flaws in a neutron capturing (or absorbing) pencil (or rod) of a nuclear reactor. 2. Description of the Prior Art In pressurized-water nuclear reactors used in nuclear power plants for the production of electrical power, the core of the nuclear reactor is formed by an assembly of enriched uranium rods between which neutron-capturing pencils are inserted in order to control the activity of the reactor. As a rule, as shown in FIG. 1a, the pencils are formed by hollow tubes made of stainless steel, the inside of each tube being filled with a metallic alloy formed by 80% silver, 50% indium and 5% cadmium. The tubes, in the case of a 900 MW power reactor for example, have a length of the order of 3.60 m. and are assembled in a cluster by means of a spider-support formed by a metallic part enabling the neutron-capturing pencils to be fastened by one of their ends. Thus, as shown schematically in FIG. 1b, in order to check the activity of the nuclear reactor, the neutron-capturing pencils, set up in a cluster, are introduced into the passage tubes made in the core of the reactor. The degree of penetration of the neutron-capturing pencils determines the instantaneous power of the nuclear reactor. The total penetration of the pencils corresponds to the shutdown of the reactor. During the working of the reactor, depending on the electrical power called up by the electrical power distributing network, the neutron-capturing pencils forming the cluster are, on the contrary, moved out of the passage tubes along about three-quarters of their length. The core of the reactor and the neutron-capturing pencils are then subjected to a very great flow of pressurized water, of the order of 3000 m3/s, in order to heat this water in contact with the core of the reactor. Owing to the flow of fluid to which they are subjected, the neutron-absorbing pencils should be held by guiding plates on their unoccupied part which is not engaged in the guiding tubes. The many swirls of pressurized water subject the neutron-capturing pencils, notably at the guiding plates and guiding tubes, to repeated shocks which, in the long run, cause a phenomenon of local wearing out of the corresponding pencils with the risk of loss of imperviousness of the steel tube forming each neutron-capturing pencil. The silver contained in the tubes forming the neutron-capturing pencils may become radioactive by neutron capture, notably at the lower part of these neutron-capturing pencils where the flow of neutrons is never negligible, and the risks of loss of imperviousness of the steel tubes forming each neutron-capturing pencil entails major risks of contamination of the environment and of people during the handling of the clusters outside the core of the reactor. Furthermore, the risk of total breakage of the neutron-capturing pencils at their lower part is not negligible, and there is then the risk that these lower parts will sink to the bottom of the guidance tube. The effect of this, owing to the risk of jamming, is to create an additional risk of preventing the shutdown of the nuclear reactor. Systematic studies have shown that a rate of total breakage of 50% of at least of a neutron-capturing pencil is reached after a lifetime of four to five years. SUMMARY OF THE INVENTION An object of the method and device for the identification of the leakiness of a neutron-capturing pencil according to the invention is enabling the identification of the untimely leakiness of the neutron-capturing pencils of a nuclear reactor. A noteworthy feature of the method used to identify the leakiness of a neutron-capturing pencil of a nuclear reactor according to the invention is that the pencil is placed in an impervious chamber filled with an aggressive solution called an analyzing solution. The analyzing solution is put under pressure in order to make it penetrate the pencil through the leakiness of the presumably defective pencil, the pressure being then relaxed in order to enable the solution to come out of the presumably defective pencil. An analysis of the solution is then done in order to make it possible to show up, in the solution, chemical derivatives of the constituent elements of the core of the pencil. The device for identifying the leakiness of a neutron-capturing pencil of a nuclear reactor according to the invention is noteworthy in that it comprises an impervious chamber capable of receiving at least one neutron-capturing pencil to be analyzed. The impervious chamber is provided with a circuit for the supply of analyzing solutions and an analysis circuit connected to the impervious chamber, comprising an analyzing solution circulating pump, a radioactive particle counting vessel, radioactive particle counting means and a valve for taking samples of the analyzing solution. The method and the device according to the invention can be applied to making checks on the imperviousness of neutron-capturing pencils of nuclear reactors pressurized-water nuclear power plants. |
summary | ||
abstract | A method is presented for compensating for the effects of charge neutralization in calculating the xe2x80x98truexe2x80x99 ion dose, i.e., the dose assuming no changes of charge state of ions during an implantation process. An ion beam is generated under normal operating conditions, e.g., stable vacuum exists, and no target is being implanted. At least one additional detector would be positioned in the target chamber, and a dose measurement conducted simultaneously with a measurement of the beam current with the Faraday, which is located outside of the charge neutralization region, to establish a reference ratio. A wafer is then placed at the target location, and simultaneous measurements made with the additional detector and Faraday, as before, to determine the ratio between the beam current and the detector during wafer implantation. Any drift from the reference ratio indicates the dose error due to charge neutralization from wafer outgassing during implantation. Software for controlling various parameters could be configured to use the ratio drift data to change the dose counter to compensate for the dose error due to charge neutralization. |
|
claims | 1. A nuclear fission reactor comprising:a reactor vessel;a fission region within the reactor vessel;a fertile blanket region in the reactor vessel, the fertile blanket region being in hydraulic communication with the fission region and neutronic communication with the fission region;a solution, received in the fission region and the fertile blanket region, the solution including a first fissile nuclear fission fuel material dissolved in neutronically translucent liquid carrier material; anda solid, undissolved fertile nuclear fission fuel material in the fertile blanket region and in direct physical contact with the solution, the fertile nuclear fission fuel material being transmutable into a second fissile nuclear fission fuel material, the second fissile nuclear fission fuel material being diffusible directly into the solution within the reactor vessel. 2. The nuclear fission reactor of claim 1, wherein solubility of the second fissile nuclear fission fuel material in the neutronically translucent liquid carrier material is greater than solubility of the fertile nuclear fission fuel material in the neutronically translucent liquid carrier material. 3. The nuclear fission reactor of claim 1, wherein the fertile nuclear fission fuel material is substantially insoluble in the neutronically translucent liquid carrier material. 4. The nuclear fission reactor of claim 1, wherein the fertile nuclear fission fuel material is provided in a form chosen from granular form, wire form, plate form, and foam form. 5. The nuclear fission reactor of claim 1, wherein the solution and the fertile nuclear fission fuel material are distributed homogeneously in the reactor vessel. 6. The nuclear fission reactor of claim 1, wherein the solution and the fertile nuclear fission fuel material are distributed heterogeneously in the reactor vessel. 7. The nuclear fission reactor of claim 1, further comprising a plurality of nuclear fission fuel pins received in the reactor vessel, each of the plurality of nuclear fission fuel pins having a first axial end and a second axial end. 8. The nuclear fission reactor of claim 7, wherein a first portion of at least one nuclear fission fuel pin is disposed in a fission region of the reactor vessel and at least a second portion of the at least one nuclear fission fuel pin is disposed in a fertile blanket region of the reactor vessel. 9. The nuclear fission reactor of claim 7, wherein:the solution is distributed throughout each of the plurality of nuclear fission fuel pins; andthe fertile nuclear fission fuel material is received in first and second fertile blanket zones disposed toward the first and second axial ends, respectively, of each of the plurality of nuclear fission fuel pins. 10. The nuclear fission reactor of claim 1, further comprising:a plurality of fertile blanket modules disposed in the fertile blanket region, the fertile nuclear fission fuel material being received in the plurality of fertile blanket modules. 11. The nuclear fission reactor of claim 1, further comprising:at least one heat exchanger element in thermal communication with the solution. 12. The nuclear fission reactor of claim 11, wherein the at least one heat exchanger element is immersed in the solution. 13. The nuclear fission reactor 11, further comprising:an annulus disposed in the reactor vessel adjacent the at least one heat exchanger element such that natural circulation of the solution is establishable through the at least one heat exchanger element and around the annulus. 14. The nuclear fission reactor of claim 1, wherein a first concentration of the second fissile nuclear fission fuel material in the fertile nuclear fission fuel material is greater than a second concentration of the first fissile nuclear fission fuel material in the neutronically translucent liquid carrier material. 15. The nuclear fission reactor of claim 1, wherein thefission region is located toward a centralized region of the reactor vessel;and the fertile blanket region is located toward a peripheral region of the reactor vessel. 16. The nuclear fission reactor of claim 15, wherein the reactor vessel is cylindrical. 17. The nuclear fission reactor of claim 16, wherein the peripheral region of the reactor vessel includes a radially peripheral region. 18. The nuclear fission reactor of claim 15, wherein the peripheral region of the reactor vessel includes an axially peripheral region. 19. The nuclear fission reactor of claim 15, further comprising:a plurality of fertile blanket modules disposed in the fertile blanket region toward a radial periphery of the reactor vessel, the fertile nuclear fission fuel material being received in the plurality of fertile blanket modules. 20. The nuclear fission reactor of claim 1, wherein the fission region does not contain the undissolved fertile nuclear fission fuel material. 21. The nuclear fission reactor of claim 1, wherein diffusion of the second fissile nuclear fission fuel material directly into the solution occurs at the point of direct physical contact of the solution and the fertile nuclear fission fuel material and without the second fissile nuclear fission fuel material leaving the nuclear reactor. |
|
043483399 | description | DETAILED DESCRIPTION OF THE INVENTION In accordance with the invention, sintering additives with grain-growth-promoting action are added to the nuclear fuel powder with arbitrary oxygen-to-metal ratio to adjust the micro structure, and the powder is pressed into blanks. The blanks are subsequently sintered in a continuous sintering furnace in an oxidizing atmosphere and are then treated in a reducing atmosphere. The oxidizing gas consists of technically pure carbon dioxide and is conducted through the furnace space in the same direction as the blanks, i.e. concurrent flow. The reducing gas, on the other hand, which may be mixed with a neutral protective gas i.e. a gas which is inert under the conditions of operation, such as nitrogen, is conducted through the furnace against the direction of motion of the blanks, i.e. counter-flow. The reducing gas may be provided with an appropriate degree of moisture for adjusting the residual fluorine content in the nuclear fuel. This method can, therefore, be called a two-stage process having an oxidative-sintering stage in a carbon-dioxide atmosphere and a reducing stage in a hydrogen-containing atmosphere. Both steps are carried out in a single sintering furnace. The furnace has two zones, i.e., the sintering zone and the reduction zone with the zones separated by a gas lock which also serves as a means for discharging the two gases, i.e. the oxidizing gas from the oxidative-sintering zone and the reducing gas from the reduction zone. The micro structure of the sintered bodies produced in this manner at low temperatures of 1000.degree. to 1400.degree. C. consists of finer grains and coarser grains, which are distributed homogeneously. This is achieved by adding sintering additives, to be discussed. The starting powder to be pressed into blanks to be sintered for manufacture of nuclear fuel does not consistently have the same oxygen-to-metal ratio but generally varies, sometimes quite frequently and to a considerable extent, i.e. from a ratio of 2.0 to 2.2 and higher. Such variable starting powders have been termed nuclear fuel powder with "arbitrary" oxygen-to-metal ratio. The method of the present invention overcomes the difficulty of starting powders with arbitrary oxygen-to-metal ratios and reliably produces uniform end products even with starting powders with arbitrary oxygen-to-metal ratios. The present method will now be described in detail with the aid of FIGS. 1 and 2, and compared with the state of the art. FIG. 1 shows schematically the construction of a high-temperature sintering furnace such as is in use at the present time. This furnace, designated by numeral 1, contains a reduction zone R, in which a temperature of 500.degree. to 600.degree. C. prevails. Adjoining the latter is a sintering zone S with a temperature of 1700.degree. C. On the output side of the furnace is a cooling zone A. The material to be sintered is moved, i.e. pushed or pulled into this furnace in the direction of the arrow 3. The reducing atmosphere 4 which contains hydrogen flows through the furnace against the travel direction of the material to be sintered. FIG. 2, on the other hand, shows, likewise schematically, a low-temperature sintering furnace for carrying out the method according to the invention. This furnace is designated by numeral 2. The arrow 3 indicates the entering direction of the material to be sintered. The latter first gets into the sintering zone S with a temperature of 1100.degree. C. and the CO.sub.2 -gas flows over it in the same direction. This gas is discharged through the adjacent lock 7, which in addition is flushed with a stream of nitrogen. Gas-locks are known in the art. The material to be sintered then travels through the lock into the reduction zone R, in which the same temperature of 1100.degree. C. prevails, and subsequently, into the cooling zone A. A reducing gas, which consists, for instance, of 94% nitrogen and 6% hydrogen, flows against the travel direction of the material to be sintered through zones A and R and is likewise discharged through the lock 7. An over-stoichiometry required for the sintering process at temperatures from 100.degree. C. on is adjusted through the use of carbon dioxide of technical purity. This stoichiometry occurs when the blanks pressed from oxide powders are heated up, remains constant during the sintering and is completely independent of the ratio of starting oxygen to metal of the metal powder used. In this process, the same sintering density is achieved as with the sintering process in the high-temperature furnace as per FIG. 1. This density is .gtoreq.94% of theoretical density and is reached after very short sintering times. The following table shows the relationship between the sintering time and the sintering temperature according to this method: ______________________________________ Sintering Time: Sintering Temperature: ______________________________________ 30 min. 1000.degree. C. 20 1100 10 1200 10 1300 5 1400 ______________________________________ Longer sintering times or higher temperatures increase the sintering density only inappreciably and can therefore be used to adjust the microstructure variables. In the reduction zone R, hydrogen, hydrogen/inert gas or hydrogen/nitrogen mixtures are used dry or moistened. As shown in the figure, gas mixtures with only 6% by volume of hydrogen are sufficient to obtain an oxygen-to-metal ratio of 2.0.+-.0.02 after 30 minutes at the temperatures give. Humidification of the reduction gas leads to lower fluorine contents in the sintered bodies. The fluorine contents are safely below 10 ppm. Also with this method, microstructure variables are obtained such as have been optimized with the high-temperature method. The desired pores in the range of 1 to 10 .mu.m are generated by the addition of U.sub.3 O.sub.8. The mean grain size is 4 to 10 .mu.m, depending on the sintering temperature and time. Controlled adjustment of this microstructure via the addition of U.sub.3 O.sub.8 yields a matrix grain size of about 2 .mu.m, in which a grain fraction of 20 to 50 .mu.m is embedded. This bimodal grain structure exhibits better plasticity because the fine-grain regions form the skeleton of the sintered bodies and take up the mechanical load. In addition, the fission gas liberation during the operation of the reactor is lowered by the coarse and growth-stable bodies. This grain structure therefore is an optimum compromise with respect to the fuel properties, plasticity and fission gas retaining capacity. The mentioned addition of up to 25% U.sub.3 O.sub.8 allows the use of dry-processed revert material from the pellet production, which is converted into U.sub.3 O.sub.8 by annealing. In this manner, it is possible to recycle nuclear fuel scrap into the production and to adjust at the same time the microstructure, as already mentioned. These U.sub.3 O.sub.8 additions remain stable during the sintering and are converted to UO.sub.2 only in the reduction stage. Thereby, this additive acts as a pore former and thus lowers the density. This lowering of the density is directly proportional to the amount of U.sub.3 O.sub.8 additive (obtained from scrap). From this discussion it is evident that in spite of substantially lower temperatures than with the previous high-temperature sintering method, products of equal quality are obtained. The lower temperatures, however, make possible substantially lower furnace heating power and in addition, the wear of the materials is substantially lower, which is reflected particularly advantageously in the operating costs. These are also affected advantageoulsy by the protective gases used in comparison with pure reduction gases. The results obtained with this method will be demonstrated with the aid of the following embodiment examples. The pressed bodies were prepared by directly pressing UO.sub.2 powder or powder mixtures of UO.sub.2 with gadolinium oxide or with PuO.sub.2. The UO.sub.2 -powder had the following powder data: Specific surface: 5 to 6 m.sup.2 /g PA1 Bulk density: 2 g/cm.sup.2 PA1 Average particle size: 6 .mu.m No lubricants, binders or pore formers of any kind were added to the powders or powder mixtures. In part of the samples, the only addition was recycled sintered material annealed to form U.sub.3 O.sub.8. The density of the blanks was always 5.6 g/cm.sup.3. The powder composition, sintering conditions and pellet properties can be seen from the examples 1 to 7 of the following Table. TABLE __________________________________________________________________________ Example 1 Example 2 Example 3 Example 4 Example 5 Example 6 Example 7 __________________________________________________________________________ Powder compo- 100% UO.sub.2 100% UO.sub.2 100% UO.sub.2 100% UO.sub.2 100% UO.sub.2 100% UO.sub.2 100% UO.sub.2 sition Powder O/U 2.11 2.11 2.08 2.15 2.10 2.10 2.08 U.sub.3 O.sub.8 addition -- 10% -- -- -- -- 8% Sintering- CO.sub.2 CO.sub.2 CO.sub.2 CO.sub.2 CO.sub.2 CO.sub.2 CO.sub.2 gas Sintering- 1100.degree. C. 1100.degree. C. 1100.degree. C. 1100.degree. C. 1000.degree. C. 1400.degree. C. 1100.degree. C. temperatures Sintering- 1 h 1 h 1 h 1 h 5 min 1 h 2 h time Reducing gas H.sub.2 H.sub.2 H.sub.2 H.sub.2 H.sub.2 H.sub.2 94% N.sub.2 / 6% H.sub.2 Reduction- 1100.degree. C. 1100.degree. C. 1100.degree. C. 1100.degree. C. 1000.degree. C. 1400.degree. C. 1100.degree. C. temperature Reduction- 15 min 15 min 15 min 15 min 15 min 15 min 2 h time Pellet pro- perties: Sintering density (g/cm.sup.3) 10.51 10.32 10.51 10.52 10.27 10.64 10.44 % of theoret. 95.9 94.2 95.9 96 93.7 97 95 density Fluorine <5 ppm <5 ppm <5 ppm <5 ppm 3 ppm O/U 2.00 2.00 2.00 2.00 2.00 2.00 2.00 __________________________________________________________________________ |
041558090 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates a segment of an annular region 10 of a condenser-type nuclear reactor containment bounded by an inner wall 12 and an outer wall 14 of concrete or steel. Shown within the annulus 10 is the outline of a lattice 16 which supports a fusible material, such as ice. It is to be understood that although a lattice 16 is shown at one elevation, a plurality exist at various elevations within the annular region 10. Also shown is a cooling duct 18 through which is circulated a cooling fluid, such as air, to maintain the fusible material at an acceptable temperature and in a solid state. The cooling fluid is circulated upwardly through a first duct section 20 and downwardly through a second duct section 22, in heat exchange relation with apparatus not shown. Shown in FIGS. 1 and 2 is a lattice support structure 30. The support structure 30 includes a horizontally positioned generally rigid support member 32 and a flexible member 34 positioned generally with the support member 32. The cross-sectional configuration of the members 32 and 34 can take many forms. As shown best in FIG. 2, the support member 32 can be an open channel, such as a U-shape, and the flexible member 34 can be of a generally rectangular cross section. The support member 32 can abut against, but preferably is spaced from the inner concrete wall 12. It is, however, rigidly affixed to the wall 12, preferably at the support member 32 extremities, such as by angles 36 and 38 and mounting means such as a stud 40 and plate 42. Lattice 16 loading can therefore be transmitted through the mounting means to the inner concrete wall 12. It will be recognized that the inner side 44 of the inner concrete wall 12 is exposed to a high temperature environment (approximately 120.degree. F.) relative to the fusible material and the circulating cooling duct fluid. It is therefore desirable to insulate the lattice support structure 30. This can be accomplished by placing insulation 46 between the lattice support structure 30 and the inner wall 12. Further, an insulating barrier is formed by positioning compressible insulation 48 between the flexible 34 and support 32 members, as well as utilization of insulated bushings 50 and rigid insulation slabs 52 about flexibility adjustment bolts 54, discussed below. The lattice 16 is movably coupled to the flexible member 34 at one point by means such as a spherical bushing and plate structure 56 which allows multi-directional motion of the lattice 16 relative to the flexible member 34. At another point the lattice 16 is slidably affixed to an adjacent lattice such as through a sliding dowel pin connection allowing free horizontal motion over a preselected distance. Any loads transmitted between the lattice 16 and the inner wall 12 are transferred, for example, from the lattice 16, through the movable coupling 56 to the flexible member 34; the flexible member 34 communicates with the support member 32 as discussed below, thereby transferring the load to the support member 32, through the means rigidly affixing the support member 32 to the wall 12, and finally to the wall 12. With this arrangement, a portion of the load can be taken by the flexible member 34; by proper adjustment of the resiliency of the flexible member 34, critical frequencies transmitted to the lattice 16 under, for example, seismic loading, can be avoided. This preselected adjustment can be accomplished by affixing the flexible member 34 to the generally rigid support member 32 at preselected positions. The flexible member 34 acts as a resilient beam, the flexibility of which is adjustable by preselecting the support points. The figures show utilization of two flexibility adjustment bolts 54, although other quantities and types of fastening means may be utilized. It should further be noted that the flexibility can also be adjusted by shaping the flexible member so as to provide a desired moment of inertia, or by fabricating the member as a laminated structure. With the lattice support structure 30 described, the cooling ducts 18 can be independently affixed directly to the inner wall 12 through structure placed at elevations above or below the lattice support 30. If desired, the ducts 18 can also be supported through the angle-stud-plate (36-40-42) connection, or otherwise connected directly to the support member. In either case, the lattice support need not be unnecessarily stiffened to compensate for the high duct pressure loads under accident conditions. There has therefore been described a variable stiffness support structure for a fusible material containing lattice of a condenser type nuclear reactor containment building. It will be apparent that many modifications and additions are possible in view of the above teachings. It therefore is to be understood that within the scope of the appended claims the invention may be practiced other than as specifically described. |
044420650 | claims | 1. A passive system for the prevention of the escape of radioactive material to the biosphere from a nuclear reactor plant suffering a major core-destructive accident with melt-down of the reactor, said nuclear reactor plant having a main above-ground structural containment shell, said system comprising: an isolation conduit or tube extending downward from the floor of the nuclear reactor plant immediately below the reactor core, said isolation conduit or tube including an outer reinforced concrete shell, refractory lining material within the concrete shell and an inner layer of a high strength, high temperature resistant metal; said isolation tube having a diameter which is the same order of magnitude as said core, and a depth at least two times greater than its diameter; a relatively thin sealing layer extending over the upper end of said isolation tube; means mounted within said isolation tube for delaying the descent of said core through said isolation tube following melt-down; a core-catcher heat exchanger having a relatively large surface area mounted below said isolation tube to receive melted core material flowing through said isolation tube; said isolation conduit and said core-catcher heat exchanger being formed as a single long sustantially vertically extending channel, said heat exchanger including an inner structural liner of good heat transfer material forming an inner metal wall surrounding said channel and a thin enclosing water jacket having upper and lower conduits and said core-catcher heat exchanger having a sufficiently small cross-section for containing the core material to preclude a critical assembly for the particular core material employed in the reactor, said core-catcher heat exchanger being of a size and shape for sufficiently cooling the molten core material to provide a solid liner formed from said molten core material on the inner wall of said core-catcher heat exchanger, wherein an outer reinforced concrete shell extends the length of said core-catcher heat exchanger and the cross-section of said channel at its lower end being sufficiently small so as to preclude said critical assembly, said water jacket having an outer wall spaced a short distance from said inner metal wall to provide said thin water jacket, supporting means in said space for maintaining said inner and outer walls in said spaced relation, means mounted within said core-catcher heat exchanger for delaying the descent of said molten core material through said core-catcher heat exchanger; a passive body of cooling water positioned adjacent the main nuclear reactor plant, said body of cooling water being open to the atmosphere to permit the boiling off of water; means for coupling said upper and lower conduits to said body of cooling water, to facilitate the flow of heat between said core-catcher heat exchanger and said body of cooling water; and additional passive heat exchanger means coupled between the space within said reactor plant containment shell and said body of cooling water. 2. A system as defined in claim 1 wherein the transverse cross-sectional area of said isolation conduit is less than five percent of the area of the base of said containment shell. 3. A system as defined in claim 1 wherein the transverse cross-sectional area of said isolation conduit is less than three percent of the area of the base of said containment shell. 4. A system as defined in claim 1 wherein said isolation conduit is in the order of two meters in diameter. 5. A system as defined in claim 1 wherein said reactor is a fast breeder reactor, and wherein said core-catcher heat exchanger has at least one transverse dimension at its lower end in the order of 30 centimeters or less. 6. A system as defined in claim 1 wherein said core-catcher heat exchanger includes branching structure means at its lower end to separate the reactor core material and avoid criticality. 7. A system as defined in claim 1 including cooling tower means located adjacent said plant for containing said body of cooling water. |
052316556 | summary | CROSS REFERENCE TO RELATED APPLICATIONS This application is related to the application of R. F. Kwasnick and C. Y. Wei entitled "Radiation Imager Collimator," Ser. No. 07/802,797, filed concurrently with this application, and assigned to the assignee of the present application. FIELD OF THE INVENTION This invention relates generally to radiation imagers, and in particular to focused collimators used in conjunction with radiation detection equipment. BACKGROUND OF THE INVENTION Collimators are used in a wide variety of equipment in which it is desired to permit only beams of radiation emanating along a particular path to pass a selected point or plane. Collimators are frequently used in radiation imagers to ensure that only radiation beams passing along a direct path from the known radiation source strike the detector, thereby minimizing detection of beams of scattered or secondary radiation. Collimator design affects the field-of-view, spatial resolution, and sensitivity of the imaging system. Particularly in radiation imagers used for medical diagnostic analyses or for non-destructive evaluation procedures, it is important that only radiation emanating from a known source and passing along a direct path from that source through the subject of examination be detected and processed by the imaging equipment. If the detector is struck by undesired radiation, i.e., radiation passing along non-direct paths to the detector, such as rays that have been scattered or generated in secondary reactions in the object under examination, performance of the imaging system is degraded. Performance is degraded by lessened spatial resolution and lessened energy resolution, both of which result from noise in the signal processing circuits generated by the detection of the scattered or secondary radiation rays. Collimators are positioned to substantially absorb the undesired radiation before it reaches the detector. The collimator comprises a relatively high atomic number material placed so that undesired radiation strikes the body of the collimator and is absorbed before being able to strike the detector. In a typical detector system, the collimator includes barriers extending outwardly from the detector surface in the direction of the radiation source so as to form channels through which the radiation must pass in order to strike the detector surface. Some radiation imaging systems, such as computerized tomography (CT) systems used in medical diagnostic work, use a point (i.e. a relatively small, such as 1 mm in diameter or smaller) source of x-ray radiation to expose the subject under examination. The radiation passes through the subject and strikes a radiation detector positioned on the side of the subject opposite the radiation source. In a CT system the radiation detector typically comprises a number of one-dimensional arrays of detector elements. Each array is disposed on a flat panel or module, and the flat panels are typically arranged end to end along a curved surface to form a radiation detector arm. The distance to a given position, typically the center of the panel, on any one of the separate panels is the same, i.e., each panel is at substantially the same radius from the radiation source. On any given panel there is a difference from one end of the panel to the other end in the angle of incidence of the radiation beams arriving from the point source. In any system using a "point source" of radiation and panels or modules of detector elements, some the radiation beams that are desired to be detected, i.e., those traveling directly from the radiation source to the detector surface, strike the detector surface at some angle offset from vertical. For example, in a common medical CT device, the detector arm is made up of a number of panels or modules, each of which has dimensions of about 32 mm by 16 mm, positioned along a curved surface having a radius of about 1 meter from the radiation point source. Each panel has about 16 separate detector elements about 32 mm long by 1 mm wide arranged in a one-dimensional array, with collimator plates situated between adjoining elements and extending outwardly from the panel to a height above the surface of the panel of about 8 mm. As the conventional CT device uses only a one-dimensional array (i.e., the detector elements are aligned along only one axis), the collimator plates need only be placed along one axis, lengthwise between each adjoining detector element. Even in an arrangement with a panel of sixteen 1 mm-wide detector elements adjoining one another (making the panel about 16 mm across), if the collimator plates extend perpendicularly to the detector surface there can be significant "shadowing" of the detector element by the collimator plates towards the ends of the panel. This shadowing results from some of the beams of incident radiation arriving along a path such that they strike the collimator before reaching the detector surface. Even in small arrays as mentioned above (i.e. detector panels about 32 mm long), when the source is about 1 meter from the panel and the panel is positioned with respect to the point source so that a ray from the source strikes the middle of the panel at right angles, over 7.5% of the area at the end detector elements is shadowed by collimator plates that extend 8 mm vertically from the detector surface. Even shadowing of this extent can cause significant degradation in imager performance as it results in nonuniformity in the x-ray intensity and spectral distribution across the detector module. In a one-dimensional array, the collimator plates can be adjusted to be slightly offset from vertical to compensate for this variance in the angle of incidence of radiation from the point source. Advanced CT technology requires use of two-dimensional arrays, i.e., arrays of detector elements on each panel that are typically arranged in rows and columns. In such an array, a collimator must separate each detector element along both axes of the array. The radiation rays from the point source to each detector on the array have different orientations, varying both in magnitude of the angle and direction of offset from the center of the array. Setting up collimator plates along two axes between each of the detector elements in two dimensional arrays would be extremely time consuming and difficult. Additionally, arrays larger than the one-dimensional array discussed above may be advantageously used in imaging applications. As the length of any one panel supporting detector elements increases, the problem of the collimator structure shadowing large areas of the detector surface becomes more important. Accordingly, one object of the present invention is to provide a highly focused collimator for use in imagers having point radiation sources and an efficient method to readily fabricate such a collimator. Another object is to provide a readily-fabricated collimator for use with two-dimensional detector arrays used in conjunction with a point radiation source. SUMMARY OF THE INVENTION In a radiation detection system in which the radiation desired to be detected is emitted from a single point source, a collimator is provided which comprises a plurality of relatively thin collimator plates stacked together to form a collimator body. Each collimator plate has a number of passages arranged corresponding to a selected pattern. The collimator plates are stacked together to form a collimator body and so that the passages, which extend between openings in opposite surfaces of each plate, form channels that extend through the collimator body. These channels allow radiation traveling along a direct path from the point source to pass through to underlying radiation detectors while substantially all other radiation beams striking the collimator are absorbed. The axis of each channel has a selected orientation angle so that it is substantially aligned with the direct beam path between the radiation point source and the underlying radiation detector element. The collimator plate may comprise relatively thin sheets of radiation absorbent material, such as tungsten, or alternatively may comprise a patterned substrate, the surfaces of which are coated with a radiation absorbent material. The radiation absorbent material is selected to absorb radiation of the energy level and wavelength emitted by the radiation source and typically comprises a material having a relatively large atomic number (i.e., about 72 or larger). Such a collimator is advantageously used in an x-ray imager having a two-dimensional radiation detector array. A method of forming a collimator is also provided, including the steps of selectively removing material from each of a plurality of collimator plates to form passages corresponding to a respective selected pattern for each of the plates, and stacking the plates together to form a collimator body, with the adjoining passages in the collimator plates forming channels through the collimator body. The axis of each channel is aligned along a respective orientation angle which corresponds to a direct path between the radiation source and a radiation detector element underlying the collimator channel. Photolithographic techniques may be used in forming passages in the collimator plates, and can include wet etching of thin sheets of radiation absorbent material or alternatively exposing and etching a photosensitive substrate material, and then coating the substrate with a layer of photosensitive material. |
047117605 | claims | 1. A nuclear reactor having a pressure vessel and a first element comprising a core barrel situated within the pressure vessel, the core barrel having a baffle former secured in and to said core barrel by bolted connections, and a second element comprising a plurality of baffle plates secured to the inner surface of said baffle former by bolted connections, with a locking device to prevent loosening of bolted connections between the baffle former and at least one of said elements, where the baffle former and said at least one element are held together by a headed, threaded bolt engaged in a bore coaxially extending in said baffle former and said at least one element and threadedly engaged in a threaded section in at least said baffle former, said threaded section having first threaded of a first direction, with the head of the bolt engaged with a shoulder about the bore in said at least one element to hold said baffle former and said at least one element together, the head of said bolt having a first diameter and a cavity, having an unsymmetrical wall thereabout, in the end surface thereof, comprising: a recess in said at least one element coaxial with said bore forming a wall thereabout and extending inwardly from the outer surface of said at least one element, said recess having a second diameter greater than said first diameter, with said at least one element having second threads in said wall of a direction opposite the direction of the first threads of said threaded bore; a locking nut having a base with a downwardly depending cylindrical wall thereabout, the outer surface of the cylindrical wall having threads engageable with the second threads on the wall of said recess and of the same direction thereof; the base having a central aperture therethrough and downwardly extendable projections on said base adjacent said aperture, such that said downwardly extendable projections, when the base of said lock nut is positioned flush with the end surface of said bolt, engage with the unsymmetrical walls of the cavity in the head of said bolt. a recess in said second component coaxial with said bore forming a wall thereabout and extending inwardly from the outer surface of said second component, said recess having a second diameter greater than said first diameter, with said second component having second threads in said wall of a direction opposite the direction of the first threads of said threaded bore; a locking nut having a base with a downwardly depending cylindrical wall thereabout, the outer surface of the cylindrical wall having threads engageable with the second threads on the wall of said recess and of the same direction thereof; the base having a central aperture therethrough and downwardly extendable projections on said base adjacent said aperture, such that said downwardly extendable projections, when the base of said lock nut is positioned flush with the end surface of said bolt, engage with the unsymmetrical walls of the cavity in the head of said bolt. 2. The nuclear reactor as defined in claim 1 wherein said at least one element comprises said core barrel. 3. The nuclear reactor as defined in claim 1 wherein said at least one element comprises said plurality of baffle plates. 4. The nuclear reactor as defined in claim 1 wherein both said first and second elements have said locking device to prevent loosening of bolted connections thereof with said baffle former. 5. The nuclear reactor as defined in claim 1 wherein radially outwardly extending slots are formed in said base extending outwardly from said central aperture towards, and terminating at a location spaced from, said cylindrical wall to form axially deformable sections in said base as said downwardly extending projections. 6. The nuclear reactor as defined in claim 5 wherein the threads on the outer surface of said cylindrical wall are threadedly engageable with the second threads in the wall of said recess in said at least one element. 7. The nuclear reactor as defined in claim 5 wherein said unsymmetrical wall about the cavity in the head of said bolt is of polygonal shape having a predetermined number of straight sides. 8. The nuclear reactor as defined in claim 7 wherein the base of said locking nut has an equal number of deformable sections as a number of straight sides of the unsymmetrical wall of said cavity. 9. The nuclear reactor as defined in claim 8 wherein the end surface of the head of said bolt is downwardly and inwardly directed from the outer edge thereof to said cavity. 10. The nuclear reactor as defined in claim 1 wherein a plurality of slits are formed in the downwardly depending cylindrical wall about the base of said locking nut extending from the terminus of said cylindrical wall towards said base. 11. The nuclear reactor as defined in claim 1 wherein said downwardly extending projections, adjacent said apertures, have downwardly depending legs thereon. 12. A locking device to prevent loosening of a bolted connection between first and second components where the two components are held together by a headed, threaded bolt engaged in a bore coaxially extending in said components and threadedly engaged in a threaded section in at least said first component, said threaded section having first threads of a first direction, with the head of the bolt engaged with a shoulder about the bore in the second said component to hold said components together, the head of said bolt having a first diameter and a cavity, having an unsymmetrical wall thereabout, in the end surface thereof, comprising: 13. The locking device as defined in claim 12 wherein radially outwardly extending slots are formed in said base extending outwardly from said central aperture towards, and terminating at a location spaced from, said cylindrical wall to form axially deformable sections in said base as said downwardly extending projections. 14. The locking device as defined in claim 13 wherein the threads on the outer surface of said cylindrical wall are threadedly engageable with the second threads in the wall of said recess. 15. The locking device as defined in claim 13 wherein said unsymmetrical wall about the cavity in the head of said bolt is of polygonal shape having a predetermined number of straight sides. 16. The locking device as defined in claim 15 wherein the base of said locking nut has an equal number of deformable sections as a number of straight sides of the unsymmetrical wall of said cavity. 17. The locking device as defined in claim 16 wherein the end surface of the head of said bolt is downwardly and inwardly directed from the outer edge thereof to said cavity. 18. The locking device as defined in claim 12 wherein a plurality of slits are formed in the downwardly depending cylindrical wall about the base of said locking nut extending from the terminus of said cylindrical wall towards said base. 19. The locking device as defined in claim 12 wherein said downwardly extending projections, adjacent said aperture, having downwardly depending legs thereon. |
abstract | A waveguide liner for an analyzer magnet chamber having three interlocking pieces of graphite, the liner pieces having sufficient size to allow them to stand freely without being secured, said liner pieces providing case of interchange and replacement in a Kestrel analyzer magnet chamber. |
|
052609847 | abstract | An x-ray diagnostics installation having a primary radiation diaphragm disposed in the beam path of an x-ray tube includes a control unit for the primary radiation diaphragm having an operator-manipulable setting element and associated circuitry which cause the primary radiation diaphragm to move in directions corresponding to the direction of movement of the setting element. Rotary motion of the setting element causes rotation of the primary radiation diaphragm, and pivoting of the setting element cause the primary radiation diaphragm to be moved in the corresponding direction of the pivot. |
062597582 | abstract | A metal cooling tube of a water-cooled nuclear reactor, having an inner surface thereof exposed to an aqueous cooling medium containing hydrogen peroxide. The cooling tube has its inner surface coated with matter selected from the group consisting of the element manganese, molybdenum, zinc, copper, cadmium for absorbing such hydrogen peroxide and then affecting decomposition of the hydrogen peroxide in the aqueous medium. In preferred embodiment such coating is manganese and oxides thereof. A method for lowering the electrochemical corrosion potential of a metal allow cooling tube exposed to an aqueous medium in a water-cooled nuclear reactor is also disclosed. Such method comprises the step of coating an inner surface of such tube with matter selected from the group of elements comprising manganese, molybdenum, zinc, copper, cadmium, so as to permit absorption and hydrogen peroxide in such aqueous medium and effect decomposition of hydrogen peroxide in such aqueous medium. |
063209365 | claims | 1. A beam limiting apparatus for reducing the emission of off-focus radiation from an x-ray tube assembly, wherein the x-ray tube assembly comprises a housing including an x-ray port for the passage of x-rays therethrough, a first mounting surface formed adjacent to the x-ray port, an x-ray tube mounted within the housing and including an evacuated envelope, an anode mounted within the envelope, and a cathode spaced relative to the anode within the envelope, wherein the anode defines a target surface, the cathode projects onto the target surface a focal spot defining a first size and shape, the x-ray port is spaced relative to the focal spot for receiving x-radiation emitted therefrom, and the x-ray port and envelope define a first predetermined depth therebetween, the beam limiting apparatus comprising: a peripheral flange defining a second mounting surface locatable over the first mounting surface of the housing for fixedly securing the beam limiting apparatus to the housing; a radiation-absorbing body projecting outwardly from the peripheral flange and receivable through the x-ray port, the radiation-absorbing body defining a base surface, an x-ray entrance aperture formed through the base surface, an x-ray exit aperture spaced relative to the x-ray entrance aperture, and an x-ray transmissive beam conduit formed between the entrance and exit apertures, wherein the base surface extends into the housing a second depth less than the first depth with the base surface spaced closely adjacent to the evacuated envelope of the x-ray tube and defining a predetermined gap therebetween, the radiation-absorbing body is formed of a substantially electrically nonconductive, filled polymeric material, the x-ray entrance aperture defines a second size and shape, the x-ray exit aperture defines a third size and shape, and the second and third sizes and shapes are selected to define an x-ray beam of predetermined corresponding size and shape. a plurality of walls defining interior and exterior sides, wherein a plurality of the interior sides of the walls define a hermetically-sealed cavity for receiving and enclosing an x-ray tube therein, at least the interior side of each of the plurality of walls of the housing defining the hermetically-sealed cavity is formed of a radiopaque, substantially electrically non-conductive, filled polymeric material, and wherein the plurality of walls defining the hermetically-sealed cavity thereby define a radiopaque enclosure for receiving the x-ray tube, and the interior sides of the plurality of walls defining the hermetically-sealed cavity are spaced relative to an x-ray tube received therein to allow the passage of oil between the x-ray tube and walls; an x-ray port formed through at least one of the housing walls and defining a radiolucent aperture extending into the hermetically-sealed, radiopaque enclosure for allowing the transmission of x-rays therethrough; and an electrically conductive surface located on the exterior sides of a plurality of the housing walls. 2. A beam limiting apparatus as defined in claim 1, further comprising an x-ray transmissive window extending across the beam conduit. 3. A beam limiting apparatus as defined in claim 2, wherein the x-ray transmissive window is molded integral with the radiation-absorbing body. 4. A beam limiting apparatus as defined in claim 1, wherein the x-ray transmissive window is made of an epoxy resin. 5. A beam limiting apparatus as defined in claim 4, wherein the x-ray transmissive window is optically transparent. 6. A beam limiting apparatus as defined in claim 4, wherein the x-ray transmissive window is metallic. 7. A beam limiting apparatus as defined in claim 1, wherein the x-ray port defines a recess for receiving the peripheral flange, the recess is defined by a first dimension, the peripheral flange is defined by a second dimension less than the first dimension to allow the flange to move within the recess, and further comprising at least one adjusting member coupled between the x-ray port and the peripheral flanges, and movable relative to at least one of the peripheral flange and x-ray port for adjusting the position of the peripheral flange relative to the x-ray port and, in turn, adjusting the position of the entrance aperture relative to the focal spot. 8. A beam limiting apparatus as defined in claim 1, wherein the entrance aperture defines approximately the same shape as the focal spot. 9. A beam limiting apparatus as defined in claim 8, wherein the second size and shape of the entrance aperture is approximately equal to the projection thereon of the first size and shape of the focal spot. 10. A beam limiting apparatus as defined in claim 8, wherein the exit aperture defines approximately the same shape as the entrance aperture and focal spot. 11. A beam limiting apparatus as defined in claim 1, further comprising a flexible, substantially radiolucent material extending across the predetermined gap between the evacuated envelope and base surface of the body, and substantially preventing the passage of oil therethrough and the accumulation of any foreign materials therein. 12. A beam limiting apparatus as defined in claim 1, wherein the predetermined gap between the evacuated envelope and base surface defines a width sufficient to allow differential thermal expansion between the x-ray tube and beam limiting device without contacting each other during operation of the x-ray tube. 13. A beam limiting apparatus as defined in claim 12, wherein the width of the predetermined gap is within the range of approximately 0.040 to approximately 0.080 inches. 14. A beam limiting apparatus as defined in claim 1, in combination with an x-ray tube housing comprising: 15. A beam limiting apparatus and x-ray tube housing as defined in claim 14, wherein the housing includes first and second castings of said filled polymeric material, the first casting defines the x-ray port, the beam limiting device formed within the x-ray port, the hermetically-sealed cavity, and at least one aperture extending into the hermetically-sealed cavity for receiving an x-ray tube therethrough, and the second casting defines a cover attachable to the first casting for hermetically sealing an x-ray tube therein. 16. A beam limiting apparatus and x-ray tube housing as defined in claim 14, wherein the electrically conductive surface is formed by a conductive coating applied to the exterior surfaces of a plurality of the filled polymeric walls of the housing. 17. A beam limiting apparatus as defined in claim 15, wherein the first casting further defines an anode plug cavity, a cathode plug cavity, and at least one oil cavity coupled in fluid communication with the hermetically-sealed cavity for receiving at least one of an oil pump and a reservoir of oil. 18. A beam limiting apparatus as defined in claim 1, further comprising a beam-adjusting mechanism for adjusting at least one of the size and shape of the image forming x-ray beam, and including a substantially radiopaque wall and a beam limiting aperture formed through the radiopaque wall, wherein the beam limiting aperture overlies and is in registration with the x-ray entrance aperture, and is movable radially relative to the x-ray entrance aperture and focal spot to, in turn, adjust at least one of the size and shape of the image forming beam. 19. A beam limiting apparatus as defined in claim 18, wherein the radiopaque wall of the beam adjusting mechanism is made of a substantially electrically non-conductive, filled polymeric material. 20. A beam limiting apparatus as defined in claim 18, further comprising means for moving the beam limiting aperture of the beam adjusting mechanism between at least first and second positions relative to the x-ray entrance aperture and focal spot for adjusting the size of the image forming beam. |
abstract | A control cluster for a pressurized water nuclear reactor comprising a bundle of neutron-absorbing rods each of which comprises a metal tube called cladding which is sealed off by a top end plug at its top end and by a bottom end plug at its bottom end and has a support, or spider, of radiating shape to which the absorber rods are attached through their upper end plugs, characterized in that the cladding of at least some of the absorber rods is weld-free hafnium tubes, the top end plugs of the absorber rods having hafnium cladding being of titanium-based alloy and being welded to the top end part of the hafnium cladding of the absorber rod, the bottom end plugs being of massive hafnium and being welded to the bottom. |
|
description | This application is a continuation of U.S. Ser. No. 10/328,674, filed Dec. 23, 2002, which is a continuation of U.S. Ser. No. 10/066,424, filed Jan. 31, 2002, now U.S. Pat. No. 6,664,740, which claims the benefit of provisional U.S. application Ser. No. 60/266,074, filed Feb. 1, 2001, and provisional U.S. application Ser. No. 60/297,086, filed on Jun. 8, 2001, which applications are fully incorporated herein by reference. The invention relates generally to the field of plasma physics, and, in particular, to methods and apparati for confining plasma. Plasma confinement is particularly of interest for the purpose of enabling a nuclear fusion reaction. Fusion is the process by which two light nuclei combine to form a heavier one. The fusion process releases a tremendous amount of energy in the form of fast moving particles. Because atomic nuclei are positively charged—due to the protons contained therein—there is a repulsive electrostatic, or Coulomb, force between them. For two nuclei to fuse, this repulsive barrier must be overcome, which occurs when two nuclei are brought close enough together where the short-range nuclear forces become strong enough to overcome the Coulomb force and fuse the nuclei. The energy necessary for the nuclei to overcome the Coulomb barrier is provided by their thermal energies, which must be very high. For example, the fusion rate can be appreciable if the temperature is at least of the order of 104 eV—corresponding roughly to 100 million degrees Kelvin. The rate of a fusion reaction is a function of the temperature, and it is characterized by a quantity called reactivity. The reactivity of a D-T reaction, for example, has a broad peak between 30 keV and 100 keV. Typical fusion reactions include:D+D→He3(0.8 MeV)+n(2.5 MeV),D+T→α(3.6 MeV)+n(14.1 MeV),D+He3→α(3.7 MeV)+p(14.7 MeV), andp+B11→3α(8.7 MeV),where D indicates deuterium, T indicates tritium, α indicates a helium nucleus, n indicates a neutron, p indicates a proton, He indicates helium, and B11 indicates Boron-11. The numbers in parentheses in each equation indicate the kinetic energy of the fusion products. The first two reactions listed above—the D-D and D-T reactions—are neutronic, which means that most of the energy of their fusion products is carried by fast neutrons. The disadvantages of neutronic reactions are that (1) the flux of fast neutrons creates many problems, including structural damage of the reactor walls and high levels of radioactivity for most construction materials; and (2) the energy of fast neutrons is collected by converting their thermal energy to electric energy, which is very inefficient (less than 30%). The advantages of neutronic reactions are that (1) their reactivity peaks at a relatively low temperature; and (2) their losses due to radiation are relatively low because the atomic numbers of deuterium and tritium are 1. The reactants in the other two equations—D-He3 and p-B11—are called advanced fuels. Instead of producing fast neutrons, as in the neutronic reactions, their fusion products are charged particles. One advantage of the advanced fuels is that they create much fewer neutrons and therefore suffer less from the disadvantages associated with them. In the case of D-He3, some fast neutrons are produced by secondary reactions, but these neutrons account for only about 10 percent of the energy of the fusion products. The p-B11 reaction is free of fast neutrons, although it does produce some slow neutrons that result from secondary reactions but create much fewer problems. Another advantage of the advanced fuels is that the energy of their fusion products can be collected with a high efficiency, up to 90 percent. In a direct energy conversion process, their charged fusion products can be slowed down and their kinetic energy converted directly to electricity. The advanced fuels have disadvantages, too. For example, the atomic numbers of the advanced fuels are higher (2 for He3 and 5 for B11). Therefore, their radiation losses are greater than in the neutronic reactions. Also, it is much more difficult to cause the advanced fuels to fuse. Their peak reactivities occur at much higher temperatures and do not reach as high as the reactivity for D-T. Causing a fusion reaction with the advanced fuels thus requires that they be brought to a higher energy state where their reactivity is significant. Accordingly, the advanced fuels must be contained for a longer time period wherein they can be brought to appropriate fusion conditions. The containment time for a plasma is Δt=r2/D, where r is a minimum plasma dimension and D is a diffusion coefficient. The classical value of the diffusion coefficient is Dc=ai2/τie, where ai is the ion gyroradius and τie is the ion-electron collision time. Diffusion according to the classical diffusion coefficient is called classical transport. The Bohm diffusion coefficient, attributed to short-wavelength instabilities, is DB=(1/16)ai2Ωi, where Ωi is the ion gyrofrequency. Diffusion according to this relationship is called anomalous transport. For fusion conditions, DB/DC=(1/16)Ωiτie≅108, anomalous transport results in a much shorter containment time than does classical transport. This relation determines how large a plasma must be in a fusion reactor, by the requirement that the containment time for a given amount of plasma must be longer than the time for the plasma to have a nuclear fusion reaction. Therefore, classical transport condition is more desirable in a fusion reactor, allowing for smaller initial plasmas. In early experiments with toroidal confinement of plasma, a containment time of Δt≅r2/DB was observed. Progress in the last 40 years has increased the containment time to Δt≅1000 r2/DB. One existing fusion reactor concept is the Tokamak. The magnetic field of a Tokamak 68 and a typical particle orbit 66 are illustrated in FIG. 5. For the past 30 years, fusion efforts have been focussed on the Tokamak reactor using a D-T fuel. These efforts have culminated in the International Thermonuclear Experimental Reactor (ITER), illustrated in FIG. 7. Recent experiments with Tokamaks suggest that classical transport, Δt≅r2/Dc, is possible, in which case the minimum plasma dimension can be reduced from meters to centimeters. These experiments involved the injection of energetic beams (50 to 100 keV), to heat the plasma to temperatures of 10 to 30 keV. See W. Heidbrink & G. J. Sadler, 34 Nuclear Fusion 535 (1994). The energetic beam ions in these experiments were observed to slow down and diffuse classically while the thermal plasma continued to diffuse anomalously fast. The reason for this is that the energetic beam ions have a large gyroradius and, as such, are insensitive to fluctuations with wavelengths shorter than the ion gyroradius (λ<ai). The short-wavelength fluctuations tend to average over a cycle and thus cancel. Electrons, however, have a much smaller gyroradius, so they respond to the fluctuations and transport anomalously. Because of anomalous transport, the minimum dimension of the plasma must be at least 2.8 meters. Due to this dimension, the ITER was created 30 meters high and 30 meters in diameter. This is the smallest D-T Tokamak-type reactor that is feasible. For advanced fuels, such as D-He3 and p-B11, the Tokamak-type reactor would have to be much larger because the time for a fuel ion to have a nuclear reaction is much longer. A Tokamak reactor using D-T fuel has the additional problem that most of the energy of the fusion products energy is carried by 14 MeV neutrons, which cause radiation damage and induce reactivity in almost all construction materials due to the neutron flux. In addition, the conversion of their energy into electricity must be by a thermal process, which is not more than 30% efficient. Another proposed reactor configuration is a colliding beam reactor. In a colliding beam reactor, a background plasma is bombarded by beams of ions. The beams comprise ions with an energy that is much larger than the thermal plasma. Producing useful fusion reactions in this type of reactor has been infeasible because the background plasma slows down the ion beams. Various proposals have been made to reduce this problem and maximize the number of nuclear reactions. For example, U.S. Pat. No. 4,065,351 to Jassby et al. discloses a method of producing counterstreaming colliding beams of deuterons and tritons in a toroidal confinement system. In U.S. Pat. No. 4,057,462 to Jassby et al., electromagnetic energy is injected to counteract the effects of bulk equilibrium plasma drag on one of the ion species. The toroidal confinement system is identified as a Tokamak. In U.S. Pat. No. 4,894,199 to Rostoker, beams of deuterium and tritium are injected and trapped with the same average velocity in a Tokamak, mirror, or field reversed configuration. There is a low density cool background plasma for the sole purpose of trapping the beams. The beams react because they have a high temperature, and slowing down is mainly caused by electrons that accompany the injected ions. The electrons are heated by the ions in which case the slowing down is minimal. In none of these devices, however, does an equilibrium electric field play any part. Further, there is no attempt to reduce, or even consider, anomalous transport. Other patents consider electrostatic confinement of ions and, in some cases, magnetic confinement of electrons. These include U.S. Pat. No. 3,258,402 to Farnsworth and U.S. Pat. No. 3,386,883 to Farnsworth, which disclose electrostatic confinement of ions and inertial confinement of electrons; U.S. Pat. No. 3,530,036 to Hirsch et al. and U.S. Pat. No. 3,530,497 to Hirsch et al. are similar to Farnsworth; U.S. Pat. No. 4,233,537 to Limpaecher, which discloses electrostatic confinement of ions and magnetic confinement of electrons with multipole cusp reflecting walls; and U.S. Pat. No. 4,826,646 to Bussard, which is similar to Limpaecher and involves point cusps. None of these patents consider electrostatic confinement of electrons and magnetic confinement of ions. Although there have been many research projects on electrostatic confinement of ions, none of them have succeeded in establishing the required electrostatic fields when the ions have the required density for a fusion reactor. Lastly, none of the patents cited above discuss a field reversed configuration magnetic topology. The field reversed configuration (FRC) was discovered accidentally around 1960 at the Naval Research Laboratory during theta pinch experiments. A typical FRC topology, wherein the internal magnetic field reverses direction, is illustrated in FIG. 8 and FIG. 10, and particle orbits in a FRC are shown in FIG. 11 and FIG. 14. Regarding the FRC, many research programs have been supported in the United States and Japan. There is a comprehensive review paper on the theory and experiments of FRC research from 1960-1988. See M. Tuszewski, 28 Nuclear Fusion 2033, (1988). A white paper on FRC development describes the research in 1996 and recommendations for future research. See L. C. Steinhauer et al., 30 Fusion Technology 116 (1996). To this date, in FRC experiments the FRC has been formed with the theta pinch method. A consequence of this formation method is that the ions and electrons each carry half the current, which results in a negligible electrostatic field in the plasma and no electrostatic confinement. The ions and electrons in these FRCs were contained magnetically. In almost all FRC experiments, anomalous transport has been assumed. See, e.g., Tuszewski, beginning of section 1.5.2, at page 2072. To address the problems faced by previous plasma containment systems, a system and apparatus for containing plasma are herein described in which plasma ions are contained magnetically in stable, large orbits and electrons are contained electrostatically in an energy well. A major innovation of the present invention over all previous work with FRCs is the simultaneous electrostatic confinement of electrons and magnetic confinement of ions, which tends to avoid anomalous transport and facilitate classical containment of both electrons and ions. In this configuration, ions may have adequate density and temperature so that upon collisions they are fused together by the nuclear force, thus releasing fusion energy. In a preferred embodiment, a plasma confinement system comprises a chamber, a magnetic field generator for applying a magnetic field in a direction substantially along a principle axis, and an annular plasma layer that comprises a circulating beam of ions. Ions of the annular plasma beam layer are substantially contained within the chamber magnetically in orbits and the electrons are substantially contained in an electrostatic energy well. In one aspect of one preferred embodiment a magnetic field generator comprises a current coil. Preferably, the system further comprises mirror coils near the ends of the chamber that increase the magnitude of the applied magnetic field at the ends of the chamber. The system may also comprise a beam injector for injecting a neutralized ion beam into the applied magnetic field, wherein the beam enters an orbit due to the force caused by the applied magnetic field. In another aspect of the preferred embodiments, the system forms a magnetic field having a topology of a field reversed configuration. Also disclosed is a method of confining plasma comprising the steps of magnetically confining the ions in orbits within a magnetic field and electrostatically confining the electrons in an energy well. An applied magnetic field may be tuned to produce and control the electrostatic field. In one aspect of the method the field is tuned so that the average electron velocity is approximately zero. In another aspect, the field is tuned so that the average electron velocity is in the same direction as the average ion velocity. In another aspect of the method, the method forms a field reversed configuration magnetic field, in which the plasma is confined. In another aspect of the preferred embodiments, an annular plasma layer is contained within a field reversed configuration magnetic field. The plasma layer comprises positively charged ions, wherein substantially all of the ions are non-adiabatic, and electrons contained within an electrostatic energy well. The plasma layer is caused to rotate and form a magnetic self-field of sufficient magnitude to cause field reversal. In other aspects of the preferred embodiments, the plasma may comprise at least two different ion species, one or both of which may comprise advanced fuels. Having a non-adiabatic plasma of energetic, large-orbit ions tends to prevent the anomalous transport of ions. This can be done in a FRC, because the magnetic field vanishes (i.e., is zero) over a surface within the plasma. Ions having a large orbit tend to be insensitive to short-wavelength fluctuations that cause anomalous transport. Magnetic confinement is ineffective for electrons because they have a small gyroradius—due to their small mass—and are therefore sensitive to short-wavelength fluctuations that cause anomalous transport. Therefore, the electrons are effectively confined in a deep potential well by an electrostatic field, which tends to prevent the anomalous transport of energy by electrons. The electrons that escape confinement must travel from the high density region near the null surface to the surface of the plasma. In so doing, most of their energy is spent in ascending the energy well. When electrons reach the plasma surface and leave with fusion product ions, they have little energy left to transport. The strong electrostatic field also tends to make all the ion drift orbits rotate in the diamagnetic direction, so that they are contained. The electrostatic field further provides a cooling mechanism for electrons, which reduces their radiation losses. The increased containment ability allows for the use of advanced fuels such as D-He3 and p-B11, as well as neutronic reactants such as D-D and D-T. In the D-He3 reaction, fast neutrons are produced by secondary reactions, but are an improvement over the D-T reaction. The p-B11 reaction, and the like, is preferable because it avoids the problems of fast neutrons completely. Another advantage of the advanced fuels is the direct energy conversion of energy from the fusion reaction because the fusion products are moving charged particles, which create an electrical current. This is a significant improvement over Tokamaks, for example, where a thermal conversion process is used to convert the kinetic energy of fast neutrons into electricity. The efficiency of a thermal conversion process is lower than 30%, whereas the efficiency of direct energy conversion can be as high as 90%. Other aspects and features of the present invention will become apparent from consideration of the following description taken in conjunction with the accompanying drawings. An ideal fusion reactor solves the problem of anomalous transport for both ions and electrons. The anomalous transport of ions is avoided by magnetic confinement in a field reversed configuration (FRC) in such a way that the majority of the ions have large, non-adiabatic orbits, making them insensitive to short-wavelength fluctuations that cause anomalous transport of adiabatic ions. For electrons, the anomalous transport of energy is avoided by tuning the externally applied magnetic field to develop a strong electric field, which confines them electrostatically in a deep potential well. Moreover, the fusion fuel plasmas that can be used with the present confinement process and apparatus are not limited to neutronic fuels only, but also advantageously include advanced fuels. (For a discussion of advanced fuels, see R. Feldbacher & M. Heindler, Nuclear Instruments and Methods in Physics Research, A271(1988)JJ-64 (North Holland Amsterdam).) The solution to the problem of anomalous transport found herein makes use of a specific magnetic field configuration, which is the FRC. In particular, the existence of a region in a FRC where the magnetic field vanishes makes it possible to have a plasma comprising a majority of non-adiabatic ions. Background Theory Before describing the system and apparatus in detail, it will be helpful to first review a few key concepts necessary to understand the concepts contained herein. Lorentz Force and Particle Orbits in a Magnetic Field A particle with electric charge q moving with velocity {right arrow over (v)} in a magnetic field {right arrow over (B)} experiences a force {right arrow over (F)}L given by F -> L = q v -> × B -> c . ( 1 ) The force {right arrow over (F)}L is called the Lorentz force. It, as well as all the formulas used in the present discussion, is given in the gaussian system of units. The direction of the Lorentz force depends on the sign of the electric charge q. The force is perpendicular to both velocity and magnetic field. FIG. 1A shows the Lorentz force 30 acting on a positive charge. The velocity of the particle is shown by the vector 32. The magnetic field is 34. Similarly, FIG. 1B shows the Lorentz force 30 acting on a negative charge. As explained, the Lorentz force is perpendicular to the velocity of a particle; thus, a magnetic field is unable to exert force in the direction of the particle's velocity. It follows from Newton's second law, {right arrow over (F)}=m{right arrow over (a)}, that a magnetic field is unable to accelerate a particle in the direction of its velocity. A magnetic field can only bend the orbit of a particle, but the magnitude of its velocity is not affected by a magnetic field. FIG. 2A shows the orbit of a positively charged particle in a constant magnetic field 34. The Lorentz force 30 in this case is constant in magnitude, and the orbit 36 of the particle forms a circle. This circular orbit 36 is called a Larmor orbit. The radius of the circular orbit 36 is called a gyroradius 38. Usually, the velocity of a particle has a component that is parallel to the magnetic field and a component that is perpendicular to the field. In such a case, the particle undergoes two simultaneous motions: a rotation around the magnetic field line and a translation along it. The combination of these two motions creates a helix that follows the magnetic field line 40. This is indicated in FIG. 2B. A particle in its Larmor orbit revolves around a magnetic field line. The number of radians traveled per unit time is the particle's gyrofrequency, which is denoted by Ω and given by Ω = qB m c , ( 2 ) where m is the mass of the particle and c is the speed of light. The gyroradius aL of a charged particle is given by a L = v ⊥ Ω , ( 3 ) where v⊥ is the component of the velocity of the particle perpendicular to the magnetic field. {right arrow over (E)}×{right arrow over (B)} Drift and Gradient Drift Electric fields affect the orbits of charged particles, as shown in FIG. 3. In FIG. 3, the magnetic field 44 points toward the reader. The orbit of a positively charged ion due to the magnetic field 44 alone would be a circle 36; the same is true for an electron 42. In the presence of an electric field 46, however, when the ion moves in the direction of the electric field 46, its velocity increases. As can be appreciated, the ion is accelerated by the force q{right arrow over (E)}. It can further be seen that, according to Eq. 3, the ion's gyroradius will increase as its velocity does. As the ion is accelerated by the electric field 46, the magnetic field 44 bends the ion's orbit. At a certain point the ion reverses direction and begins to move in a direction opposite to the electric field 46. When this happens, the ion is decelerated, and its gyroradius therefore decreases. The ion's gyroradius thus increases and decreases in alternation, which gives rise to a sideways drift of the ion orbit 48 in the direction 50 as shown in FIG. 3. This motion is called {right arrow over (E)}×{right arrow over (B)} drift. Similarly, electron orbits 52 drift in the same direction 50. A similar drift can be caused by a gradient of the magnetic field 44 as illustrated in FIG. 4. In FIG. 4, the magnetic field 44 points towards the reader. The gradient of the magnetic field is in the direction 56. The increase of the magnetic field's strength is depicted by the denser amount of dots in the figure. From Eqs. 2 and 3, it follows that the gyroradius is inversely proportional to the strength of the magnetic field. When an ion moves in the direction of increasing magnetic field its gyroradius will decrease, because the Lorentz force increases, and vice versa. The ion's gyroradius thus decreases and increases in alternation, which gives rise to a sideways drift of the ion orbit 58 in the direction 60. This motion is called gradient drift. Electron orbits 62 drift in the opposite direction 64. Adiabatic and Non-Adiabatic Particles Most plasma comprises adiabatic particles. An adiabatic particle tightly follows the magnetic field lines and has a small gyroradius. FIG. 5 shows a particle orbit 66 of an adiabatic particle that follows tightly a magnetic field line 68. The magnetic field lines 68 depicted are those of a Tokamak. A non-adiabatic particle has a large gyroradius. It does not follow the magnetic field lines and is usually energetic. There exist other plasmas that comprise non-adiabatic particles. FIG. 6 illustrates a non-adiabatic plasma for the case of a betatron. The pole pieces 70 generate a magnetic field 72. As FIG. 6 illustrates, the particle orbits 74 do not follow the magnetic field lines 72. Radiation in Plasmas A moving charged particle radiates electromagnetic waves. The power radiated by the particle is proportional to the square of the charge. The charge of an ion is Ze, where e is the electron charge and Z is the atomic number. Therefore, for each ion there will be Z free electrons that will radiate. The total power radiated by these Z electrons is proportional to the cube of the atomic number (Z3). Charged Particles in a FRC FIG. 8 shows the magnetic field of a FRC. The system has cylindrical symmetry with respect to its axis 78. In the FRC, there are two regions of magnetic field lines: open 80 and closed 82. The surface dividing the two regions is called the separatrix 84. The FRC forms a cylindrical null surface 86 in which the magnetic field vanishes. In the central part 88 of the FRC the magnetic field does not change appreciably in the axial direction. At the ends 90, the magnetic field does change appreciably in the axial direction. The magnetic field along the center axis 78 reverses direction in the FRC, which gives rise to the term “Reversed” in Field Reversed Configuration (FRC). In FIG. 9A, the magnetic field outside of the null surface 94 is in the direction 96. The magnetic field inside the null surface is in the direction 98. If an ion moves in the direction 100, the Lorentz force 30 acting on it points towards the null surface 94. This is easily appreciated by applying the right-hand rule. For particles moving in the direction 102, called diamagnetic, the Lorentz force always points toward the null surface 94. This phenomenon gives rise to a particle orbit called betatron orbit, to be described below. FIG. 9B shows an ion moving in the direction 104, called counterdiamagnetic. The Lorentz force in this case points away from the null surface 94. This phenomenon gives rise to a type of orbit called a drift orbit, to be described below. The diamagnetic direction for ions is counterdiamagnetic for electrons, and vice versa. FIG. 10 shows a ring or annular layer of plasma 106 rotating in the ions' diamagnetic direction 102. The ring 106 is located around the null surface 86. The magnetic field 108 created by the annular plasma layer 106, in combination with an externally applied magnetic field 110, forms a magnetic field having the topology of a FRC (The topology is shown in FIG. 8). The ion beam that forms the plasma layer 106 has a temperature; therefore, the velocities of the ions form a Maxwell distribution in a frame rotating at the average angular velocity of the ion beam. Collisions between ions of different velocities lead to fusion reactions. For this reason, the plasma beam layer 106 is called a colliding beam system. FIG. 11 shows the main type of ion orbits in a colliding beam system, called a betatron orbit 112. A betatron orbit 112 can be expressed as a sine wave centered on the null circle 114. As explained above, the magnetic field on the null circle 114 vanishes. The plane of the orbit 112 is perpendicular to the axis 78 of the FRC. Ions in this orbit 112 move in their diamagnetic direction 102 from a starting point 116. An ion in a betatron orbit has two motions: an oscillation in the radial direction (perpendicular to the null circle 114), and a translation along the null circle 114. FIG. 12A is a graph of the magnetic field 118 in a FRC. The field 118 is derived using a one-dimensional equilibrium model, to be discussed below in conjunction with the theory of the invention. The horizontal axis of the graph represents the distance in centimeters from the FRC axis 78. The magnetic field is in kilogauss. As the graph depicts, the magnetic field 118 vanishes at the null circle radius 120. As shown in FIG. 12B, a particle moving near the null circle will see a gradient 126 of the magnetic field pointing away from the null surface 86. The magnetic field outside the null circle is 122, while the magnetic field inside the null circle is 124. The direction of the gradient drift is given by the cross product {right arrow over (B)}×∇B, where ∇B is the gradient of the magnetic field; thus, it can be appreciated by applying the right-hand rule that the direction of the gradient drift is in the counterdiamagnetic direction, whether the ion is outside or inside the null circle 128. FIG. 13A is a graph of the electric field 130 in a FRC. The field 130 is derived using a one-dimensional equilibrium model, to be discussed below in conjunction with the theory of the invention. The horizontal axis of the graph represents the distance in centimeters from the FRC axis 78. The electric field is in volts/cm. As the graph depicts, the electric field 130 vanishes close to the null circle radius 120. As shown if FIG. 13B, the electric field for ions is deconfining; it points away from the null surface 132,134. The magnetic field, as before, is in the directions 122,124. It can be appreciated by applying the right-hand rule that the direction of the {right arrow over (E)}×{right arrow over (B)} drift is in the diamagnetic direction, whether the ion is outside or inside the null surface 136. FIGS. 14A and 14B show another type of common orbit in a FRC, called a drift orbit 138. Drift orbits 138 can be outside of the null surface, as shown in FIG. 14A, or inside it, as shown in FIG. 14B. Drift orbits 138 rotate in the diamagnetic direction if the {right arrow over (E)}×{right arrow over (B)} drift dominates or in the counterdiamagnetic direction if the gradient drift dominates. The drift orbits 138 shown in FIGS. 14A and 14B rotate in the diamagnetic direction 102 from starting point 116. A drift orbit, as shown in FIG. 14C, can be thought of as a small circle rolling over a relatively bigger circle. The small circle 142 spins around its axis in the sense 144. It also rolls over the big circle 146 in the direction 102. The point 140 will trace in space a path similar to 138. FIGS. 15A and 15B show the direction of the Lorentz force at the ends of a FRC. In FIG. 15A, an ion is shown moving in the diamagnetic direction 102 with a velocity 148 in a magnetic field 150. It can be appreciated by applying the right-hand rule that the Lorentz force 152 tends to push the ion back into the region of closed field lines. In this case, therefore, the Lorentz force 152 is confining for the ions. In FIG. 15B, an ion is shown moving in the counterdiamagnetic direction with a velocity 148 in a magnetic field 150. It can be appreciated by applying the right-hand rule that the Lorentz force 152 tends to push the ion into the region of open field lines. In this case, therefore, the Lorentz force 152 is deconfining for the ions. Magnetic and Electrostatic Confinement in a FRC A plasma layer 106 (see FIG. 10) can be formed in a FRC by injecting energetic ion beams around the null surface 86 in the diamagnetic direction 102 of ions. (A detailed discussion of different methods of forming the FRC and plasma ring follows below.) In the circulating plasma layer 106, most of the ions have betatron orbits 112 (see FIG. 11), are energetic, and are non-adiabatic; thus, they are insensitive to short-wavelength fluctuations that cause anomalous transport. While studying a plasma layer 106 in equilibrium conditions as described above, it was discovered that the conservation of momentum imposes a relation between the angular velocity of ions ωi and the angular velocity of electrons ωe. (The derivation of this relation is given below in conjunction with the theory of the invention.) The relation is ω e = ω i [ 1 - ω i Ω 0 ] , where Ω 0 = ZeB 0 m i c . ( 4 ) In Eq. 4, Z is the ion atomic number, mi is the ion mass, e is the electron charge, B0 is the magnitude of the applied magnetic field, and c is the speed of light. There are three free parameters in this relation: the applied magnetic field B0, the electron angular velocity ωe, and the ion angular velocity ωi. If two of them are known, the third can be determined from Eq. 4. Because the plasma layer 106 is formed by injecting ion beams into the FRC, the angular velocity of ions ωi is determined by the injection kinetic energy of the beam Wi, which is given by W i = 1 2 m i V i 2 = 1 2 m i ( ω i r o ) 2 . Here, Vi=ωir0, where Vi is the injection velocity of ions, ωi is the cyclotron frequency of ions, and r0 is the radius of the null surface 86. The kinetic energy of electrons in the beam has been ignored because the electron mass me is much smaller than the ion mass mi. For a fixed injection velocity of the beam (fixed ωi), the applied magnetic field B0 can be tuned so that different values of ωe are obtainable. As will be shown, tuning the external magnetic field B0 also gives rise to different values of the electrostatic field inside the plasma layer. This feature of the invention is illustrated in FIGS. 16A and 16B. FIG. 16A shows three plots of the electric field (in volts/cm) obtained for the same injection velocity, ωi=1.35×107 s−1, but for three different values of the applied magnetic field B0: PlotApplied magnetic field (B0)electron angular velocity (ωe)154B0 = 2.77 kGωe = 0156B0 = 5.15 kGωe = 0.625 × 107 s−1158B0 = 15.5 kGωe = 1.11 × 107 s−1The values of ωe in the table above were determined according to Eq. 4. One can appreciate that ωe>0 means that Ω0>ωi in Eq. 4, so that electrons rotate in their counterdiamagnetic direction. FIG. 16B shows the electric potential (in volts) for the same set of values of B0 and ωe. The horizontal axis, in FIGS. 16A and 16B, represents the distance from the FRC axis 78, shown in the graph in centimeters. The analytic expressions of the electric field and the electric potential are given below in conjunction with the theory of the invention. These expressions depend strongly on ωe. The above results can be explained on simple physical grounds. When the ions rotate in the diamagnetic direction, the ions are confined magnetically by the Lorentz force. This was shown in FIG. 9A. For electrons, rotating in the same direction as the ions, the Lorentz force is in the opposite direction, so that electrons would not be confined. The electrons leave the plasma and, as a result, a surplus of positive charge is created. This sets up an electric field that prevents other electrons from leaving the plasma. The direction and the magnitude of this electric field, in equilibrium, is determined by the conservation of momentum. The relevant mathematical details are given below in conjunction with the theory of the invention. The electrostatic field plays an essential role on the transport of both electrons and ions. Accordingly, an important aspect of this invention is that a strong electrostatic field is created inside the plasma layer 106, the magnitude of this electrostatic field is controlled by the value of the applied magnetic field B0 which can be easily adjusted. As explained, the electrostatic field is confining for electrons if ωe>0. As shown in FIG. 16B, the depth of the well can be increased by tuning the applied magnetic field B0. Except for a very narrow region near the null circle, the electrons always have a small gyroradius. Therefore, electrons respond to short-wavelength fluctuations with an anomalously fast diffusion rate. This diffusion, in fact, helps maintain the potential well once the fusion reaction occurs. The fusion product ions, being of much higher energy, leave the plasma. To maintain charge quasi-neutrality, the fusion products must pull electrons out of the plasma with them, mainly taking the electrons from the surface of the plasma layer. The density of electrons at the surface of the plasma is very low, and the electrons that leave the plasma with the fusion products must be replaced; otherwise, the potential well would disappear. FIG. 17 shows a Maxwellian distribution 162 of electrons. Only very energetic electrons from the tail 160 of the Maxwell distribution can reach the surface of the plasma and leave with fusion ions. The tail 160 of the distribution 162 is thus continuously created by electron-electron collisions in the region of high density near the null surface. The energetic electrons still have a small gyroradius, so that anomalous diffusion permits them to reach the surface fast enough to accommodate the departing fusion product ions. The energetic electrons lose their energy ascending the potential well and leave with very little energy. Although the electrons can cross the magnetic field rapidly, due to anomalous transport, anomalous energy losses tend to be avoided because little energy is transported. Another consequence of the potential well is a strong cooling mechanism for electrons that is similar to evaporative cooling. For example, for water to evaporate, it must be supplied the latent heat of vaporization. This heat is supplied by the remaining liquid water and the surrounding medium, which then thermalize rapidly to a lower temperature faster than the heat transport processes can replace the energy. Similarly, for electrons, the potential well depth is equivalent to water's latent heat of vaporization. The electrons supply the energy required to ascend the potential well by the thermalization process that re-supplies the energy of the Maxwell tail so that the electrons can escape. The thermalization process thus results in a lower electron temperature, as it is much faster than any heating process. Because of the mass difference between electrons and protons, the energy transfer time from protons is about 1800 times less than the electron thermalization time. This cooling mechanism also reduces the radiation loss of electrons. This is particularly important for advanced fuels, where radiation losses are enhanced by fuel ions with atomic number Z>1. The electrostatic field also affects ion transport. The majority of particle orbits in the plasma layer 106 are betatron orbits 112. Large-angle collisions, that is, collisions with scattering angles between 90° and 180°, can change a betatron orbit to a drift orbit. As described above, the direction of rotation of the drift orbit is determined by a competition between the {right arrow over (E)}×{right arrow over (B)} drift and the gradient drift. If the {right arrow over (E)}×{right arrow over (B)} drift dominates, the drift orbit rotates in the diamagnetic direction. If the gradient drift dominates, the drift orbit rotates in the counterdiamagnetic direction. This is shown in FIGS. 18A and 18B. FIG. 18A shows a transition from a betatron orbit to a drift orbit due to a 180° collision, which occurs at the point 172. The drift orbit continues to rotate in the diamagnetic direction because the {right arrow over (E)}×{right arrow over (B)} drift dominates. FIG. 18B shows another 180° collision, but in this case the electrostatic field is weak and the gradient drift dominates. The drift orbit thus rotates in the counterdiamagnetic direction. The direction of rotation of the drift orbit determines whether it is confined or not. A particle moving in a drift orbit will also have a velocity parallel to the FRC axis. The time it takes the particle to go from one end of the FRC to the other, as a result of its parallel motion, is called transit time; thus, the drift orbits reach an end of the FRC in a time of the order of the transit time. As shown in connection with FIG. 15A, the Lorentz force at the ends is confining only for drift orbits rotating in the diamagnetic direction. After a transit time, therefore, ions in drift orbits rotating in the counterdiamagnetic direction are lost. This phenomenon accounts for a loss mechanism for ions, which is expected to have existed in all FRC experiments. In fact, in these experiments, the ions carried half of the current and the electrons carried the other half. In these conditions the electric field inside the plasma was negligible, and the gradient drift always dominated the {right arrow over (E)}×{right arrow over (B)} drift. Hence, all the drift orbits produced by large-angle collisions were lost after a transit time. These experiments reported ion diffusion rates that were faster than those predicted by classical diffusion estimates. If there is a strong electrostatic field, the {right arrow over (E)}×{right arrow over (B)} drift dominates the gradient drift, and the drift orbits rotate in the diamagnetic direction. This was shown above in connection with FIG. 18A. When these orbits reach the ends of the FRC, they are reflected back into the region of closed field lines by the Lorentz force; thus, they remain confined in the system. The electrostatic fields in the colliding beam system may be strong enough, so that the {right arrow over (E)}×{right arrow over (B)} drift dominates the gradient drift. Thus, the electrostatic field of the system would avoid ion transport by eliminating this ion loss mechanism, which is similar to a loss cone in a mirror device. Another aspect of ion diffusion can be appreciated by considering the effect of small-angle, electron-ion collisions on betatron orbits. FIG. 19A shows a betatron orbit 112; FIG. 19B shows the same orbit 112 when small-angle electron-ion collisions are considered 174; FIG. 19C shows the orbit of FIG. 19B followed for a time that is longer by a factor of ten 176; and FIG. 19D shows the orbit of FIG. 19B followed for a time longer by a factor of twenty 178. It can be seen that the topology of betatron orbits does not change due to small-angle, electron-ion collisions; however, the amplitude of their radial oscillations grows with time. In fact, the orbits shown in FIGS. 19A to 19D fatten out with time, which indicates classical diffusion. Theory of the Invention For the purpose of modeling the invention, a one-dimensional equilibrium model for the colliding beam system is used, as shown in FIG. 10. The results described above were drawn from this model. This model shows how to derive equilibrium expressions for the particle densities, the magnetic field, the electric field, and the electric potential. The equilibrium model presented herein is valid for a plasma fuel with one type of ions (e.g., in a D-D reaction) or multiple types of ions (e.g., D-T, D-He3, and p-B11). Vlasov-Maxwell Equations Equilibrium solutions for the particle density and the electromagnetic fields in a FRC are obtained by solving self-consistently the Vlasov-Maxwell equations: ∂ f j ∂ t + ( v -> · ∇ ) f j + e j m j [ E -> + v -> c × B -> ] · ∇ v f j = 0 ( 5 ) ∇ × E -> = - 1 c ∂ B -> ∂ t ( 6 ) ∇ × B -> = 4 π c ∑ j e j ∫ v -> f j ⅆ v -> + 1 c ∂ E -> ∂ t ( 7 ) ∇ · E -> = 4 π ∑ j e j ∫ f j ⅆ v -> ( 8 ) ∇·{right arrow over (B)}=0, (9) where j=e, i and i=1, 2, . . . for electrons and each species of ions. In equilibrium, all physical quantities are independent of time (i.e., ∂/∂t=0). To solve the Vlasov-Maxwell equations, the following assumptions and approximations are made: (a) All the equilibrium properties are independent of axial position z (i.e., ∂/∂z=0). This corresponds to considering a plasma with an infinite extension in the axial direction; thus, the model is valid only for the central part 88 of a FRC. (b) The system has cylindrical symmetry. Hence, all equilibrium properties do not depend on θ (i.e., ∂/∂θ=0). (c) The Gauss law, Eq. 8, is replaced with the quasi-neutrality condition: Σjnjej=0. By assuming infinite axial extent of the FRC and cylindrical symmetry, all the equilibrium properties will depend only on the radial coordinate r. For this reason, the equilibrium model discussed herein is called one-dimensional. With these assumptions and approximations, the Vlasov-Maxwell equations reduce to: ( v -> · ∇ ) f j + e j m j E -> · ∇ v f j + e j m j c [ v -> × B -> ] · ∇ v f j = 0 ( 10 ) ∇ × B -> = 4 π c ∑ j e j ∫ v -> f j ⅆ v -> ( 11 ) ∑ α n j e j = 0. ( 12 ) Rigid Rotor Distributions To solve Eqs. 10 through 12, distribution functions must be chosen that adequately describe the rotating beams of electrons and ions in a FRC. A reasonable choice for this purpose are the so-called rigid rotor distributions, which are Maxwellian distributions in a uniformly rotating frame of reference. Rigid rotor distributions are functions of the constants of motion: f j ( r , v -> ) = ( m j 2 π T j ) 3 2 n j ( 0 ) exp [ - ɛ j - ω j P j T j ] , ( 13 ) where mj is particle mass, {right arrow over (v)} is velocity, Tj is temperature, nj(0) is density at r=0, and ωj is a constant. The constants of the motion are ɛ j = m j 2 v 2 + e j Φ ( for energy ) and P j = m j ( xv y - yv x ) + e j c Ψ ( for canonical angular momentum ) , where Φ is the electrostatic potential and Ψ is the flux function. The electromagnetic fields are E r = ∂ Φ ∂ r ( electric field ) and B z = 1 r ∂ Ψ ∂ r ( magnetic field ) . Substituting the expressions for energy and canonical angular momentum into Eq. 13 yields f j ( r , v -> ) = ( m j 2 π T j ) 3 2 n j ( r ) exp { - m j 2 T j v -> - ω -> j × r -> 2 } , where v -> - ω -> j × r -> 2 = ( v x + y ω j ) 2 + ( v y - x ω j ) 2 + v z 2 and ( 14 ) n j ( r ) = n j ( 0 ) exp { - 1 T j [ e j ( Φ - ω j c Ψ ) - m j 2 ω j 2 r 2 ] } . ( 15 ) That the mean velocity in Eq. 14 is a uniformly rotating vector gives rise to the name rigid rotor. One of skill in the art can appreciate that the choice of rigid rotor distributions for describing electrons and ions in a FRC is justified because the only solutions that satisfy Vlasov's equation (Eq. 10) are rigid rotor distributions (e.g., Eq. 14). A proof of this assertion follows: Proof We require that the solution of Vlasov's equation (Eq. 10) be in the form of a drifted Maxwellian: f j ( r -> , v -> ) = ( m j 2 π T j ( r ) ) 3 2 n j ( r ) exp [ - m α 2 T j ( r ) ( v -> - u -> j ( r ) ) 2 ] , ( 16 ) i.e., a Maxwellian with particle density nj(r), temperature Tj(r), and mean velocity uj(r) that are arbitrary functions of position. Substituting Eq. 16 into the Vlasov's equation (Eq. 10) shows that (a) the temperatures Tj(r) must be constants; (b) the mean velocities {right arrow over (u)}j(r) must be uniformly rotating vectors; and (c) the particle densities nj(r) must be of the form of Eq. 15. Substituting Eq. 16 into Eq. 10 yields a third-order polynomial equation in {right arrow over (v)}: v -> · ∇ ( ln n j ) + ( m j v -> - u -> j ) T j · ( v -> · ∇ ) u -> j + m j ( v -> - u -> j ) 2 2 T j 2 ( v -> · ∇ ) T j … + e j T j E -> · ( v -> - u -> j ) - e j T j c [ v -> × B -> ] · ( v -> - u -> j ) = 0.Grouping terms of like order in {right arrow over (v)} yields m j 2 T j 2 v -> 2 ( v -> · ∇ T j ) … + m j T j ( v -> · ∇ u -> j · v -> ) - m j T j 2 ( v -> · u -> j ) ( v -> · ∇ T j ) … + v -> · ∇ ( ln n j ) + ( m j ) 2 T j 2 u -> j 2 ( v -> · ∇ T j ) - m j T j ( v -> · ∇ u -> j · u -> j ) - e j T j v -> · E -> + e j cT j ( v -> × B -> ) · u -> j … + e j T j E -> · u -> j = 0. For this polynomial equation to hold for all {right arrow over (v)}, the coefficient of each power of {right arrow over (v)} must vanish. The third-order equation yields Tj(r)=constant. The second-order equation gives v -> · ∇ u -> j · v -> = ( v x v y v z ) ( ∂ u x ∂ x ∂ u y ∂ x ∂ u z ∂ x ∂ u x ∂ y ∂ u y ∂ y ∂ u z ∂ y ∂ u x ∂ z ∂ u y ∂ z ∂ u z ∂ z ) ( v x v y v z ) = v x 2 ∂ u x ∂ x + v y 2 ∂ u y ∂ y + v z 2 ∂ u z ∂ z + v x v y ( ∂ u y ∂ x + ∂ u x ∂ y ) … + v x v z ( ∂ u z ∂ x + ∂ u x ∂ z ) + v y v z ( ∂ u z ∂ y + ∂ u y ∂ z ) = 0. For this to hold for all {right arrow over (v)}, we must satisfy ∂ u x ∂ x = ∂ u y ∂ y = ∂ u z ∂ z = 0 and ( ∂ u y ∂ x + ∂ u x ∂ y ) = ( ∂ u z ∂ x + ∂ u x ∂ z ) = ( ∂ u z ∂ y + ∂ u y ∂ z ) = 0 , which is solved generally by{right arrow over (u)}j({right arrow over (r)})=({right arrow over (ω)}j×{right arrow over (r)})+{right arrow over (u)}0j (17)In cylindrical coordinates, take {right arrow over (u)}0j=0 and {right arrow over (ω)}j=ωj{circumflex over (z)}, which corresponds to injection perpendicular to a magnetic field in the {circumflex over (z)} direction. Then, {right arrow over (u)}j({right arrow over (r)})=ωjr{circumflex over (θ)}. The zero order equation indicates that the electric field must be in the radial direction, i.e., {right arrow over (E)}=Er{circumflex over (r)}. The first-order equation is now given by v -> · ∇ ( ln n j ) - m j T j ( v -> · ∇ u -> j · u -> j ) - e j T j v -> · E -> + e j cT j ( v -> × B -> ) · u -> j = 0. ( 18 ) The second term in Eq. 18 can be rewritten with ∇ u -> j · u -> j = ( ∂ u r ∂ r ∂ u θ ∂ r ∂ u z ∂ r 1 r ∂ u r ∂ θ 1 r ∂ u θ ∂ θ 1 r ∂ u z ∂ θ ∂ u r ∂ z ∂ u θ ∂ z ∂ u z ∂ z ) ( u r u θ u z ) = ( 0 ω j 0 0 0 0 0 0 0 ) ( 0 ω j r 0 ) = ω j 2 r r ^ . ( 19 ) The fourth term in Eq. 18 can be rewritten with ( v -> × B -> ) · u -> j = v -> · ( B -> × u -> j ) = v -> · ( ( ∇ × A -> ) × u -> j ) = v -> · [ ( 1 r ∂ ∂ r ( rA θ ) z ^ ) × ( - ω j r θ ^ ) ] = v -> · ω j ∂ ∂ r ( rA θ ) r ^ ( 20 ) Using Eqs. 19 and 20, the first-order Eq. 18 becomes ∂ ∂ r ( ln n j ) - m j T j ω j 2 r - e j T j E r + e j ω j cT j ∂ ∂ r ( rA θ ( r ) ) = 0. The solution of this equation is n j ( r ) = n j ( 0 ) exp [ m j ω j 2 r 2 2 T j - e j Φ ( r ) T j - e j ω j rA θ ( r ) cT j ] , ( 21 ) where Er=−dΦ/dr and nj(0) is given by n j ( 0 ) = n j0 exp [ - m j ω j 2 r 0 2 2 T j + e j Φ ( r 0 ) T j + e j ω j r 0 A θ ( r 0 ) cT j ] . ( 22 ) Here, nj0 is the peak density at r0. Solution of Vlasov-Maxwell Equations Now that it has been proved that it is appropriate to describe ions and electrons by rigid rotor distributions, the Vlasov's equation (Eq. 10) is replaced by its first-order moments, i.e., - n j m j r ω j 2 = n j e j [ E r + r ω j c B z ] - T j ⅆ n j ⅆ r , ( 23 ) which are conservation of momentum equations. The system of equations to obtain equilibrium solutions reduces to: - n j m j r ω j 2 = n j e j [ E r + r ω j c B z ] - T j ⅆ n j ⅆ r j = e , i = 1 , 2 , … ( 24 ) - ∂ ∂ r 1 r ∂ Ψ ∂ r = - ∂ B z ∂ r = 4 π c j θ = 4 π c r ∑ j n j e j ω j ( 25 ) ∑ j n j e j ≅ 0. ( 26 ) Solution for Plasma with One Type of Ion Consider first the case of one type of ion fully stripped. The electric charges are given by ej=−e,Ze. Solving Eq. 24 for Er with the electron equation yields E r = m e r ω e 2 - r ω e c B z - T e en e ⅆ n e ⅆ r , ( 27 ) and eliminating Er from the ion equation yields 1 r ⅆ log n i ⅆ r = Z i e c ( ω i - ω e ) T i B z - Z z T e T i 1 r ⅆ log n e ⅆ r + m i ω i 2 T i + mZ i ω e 2 T i . ( 28 ) Differentiating Eq. 28 with respect to r and substituting Eq. 25 for dBz/dr yields - ⅆ B z ⅆ r = 4 π c n e er ( ω i - ω e ) and Z i n i = n e ,with Te=Ti=constant, and ωi, ωe, constants, obtaining 1 r ⅆ ⅆ r 1 r ⅆ log n i ⅆ r = 4 π n e Z i ⅇ 2 T i ( ω i - ω e ) 2 c 2 - Z i T e T i 1 r ⅆ ⅆ r 1 r ⅆ log n e ⅆ r . ( 29 ) The new variable ξ is introduced: ξ = r 2 2 r 0 2 ⇒ 1 r ⅆ ⅆ r 1 r ⅆ ⅆ r = 1 r 0 4 ⅆ 2 ⅆ 2 ξ . ( 30 ) Eq. 29 can be expressed in terms of the new variable ξ: ⅆ 2 log n i ⅆ 2 ξ = - 4 π n e Z i ⅇ 2 r 0 4 T i ( ω i - ω e ) 2 c 2 - Z i T e T i ⅆ 2 log n e ⅆ 2 ξ . ( 31 ) Using the quasi-neutrality condition, n e = Z i n i ⇒ ⅆ 2 log n e ⅆ 2 ξ = ⅆ 2 log n i ⅆ 2 ξ , yields ⅆ 2 log n i ⅆ 2 ξ = - r 0 4 ( T i + Z i T e ) 4 π Z i 2 e 2 c 2 ( ω i - ω e ) 2 n i = - r 0 4 ( T e + T i Z i ) 4 π n e0 e 2 c 2 ( ω i - ω e ) 2 n i n i0 = - 8 ( r 0 Δ r ) 2 n i n i0 . ( 32 ) Here is defined r 0 Δ r ≡ 2 2 { T e + T i Z i 4 π n e0 e 2 } 1 2 c ω i - ω e , ( 33 ) where the meaning of Δr will become apparent soon. If Ni=ni/ni0, where ni0 is the peak density at r=r0, Eq. 32 becomes ⅆ 2 log N i ⅆ 2 ξ = - 8 ( r 0 Δ r ) 2 N i . ( 34 ) Using another new variable, χ = 2 r 0 Δ r ξ , yields ⅆ 2 N i ⅆ 2 χ = - 2 N i ,the solution to which is N i = 1 cosh 2 ( χ - χ 0 ) ,where χ0=χ(r0) because of the physical requirement that Ni(r0)=1. Finally, the ion density is given by n i = n i0 cosh 2 2 ( r 0 Δ r ) ( ξ - 1 2 ) = n i0 cosh 2 ( r 2 - r 0 2 r 0 Δ r ) . ( 35 ) The significance of r0 is that it is the location of peak density. Note that ni(0)=ni(√{square root over (2)}r0). With the ion density known, Bz can be calculated using Eq. 11, and Er can be calculated using Eq. 27. The electric and magnetic potentials are Φ = - ∫ r ′ = 0 r ′ = r E r ( r ′ ) ⅆ r ′ and A θ = 1 r ∫ r ′ = 0 r ′ - r r ′ B z ( r ′ ) ⅆ r ′ Ψ = rA θ ( flux function ) ( 36 ) Taking r=√{square root over (2)}r0 to be the radius at the wall (a choice that will become evident when the expression for the electric potential Φ(r) is derived, showing that at r=√{square root over (2)}r0 the potential is zero, i.e., a conducting wall at ground), the line density is N e = Z i N i = ∫ r = 0 r = 2 r 0 n e0 2 π r ⅆ r cosh 2 ( r 2 - r 0 2 r 0 Δ r ) = 2 π n e0 r 0 Δ r tanh r 0 Δ r … ≅ 2 π n e0 r 0 Δ r ( because r 0 >> Δ r ) ( 37 ) Thus, Δr represents an “effective thickness.” In other words, for the purpose of line density, the plasma can be thought of as concentrated at the null circle in a ring of thickness Δr with constant density ne0. The magnetic field is B z ( r ) = B z ( 0 ) - 4 π c ∫ r ′ = 0 r ′ = r ⅆ r ′ n e er ′ ( ω i - ω e ) . ( 38 ) The current due to the ion and electron beams is I θ = ∫ 0 2 r 0 j θ ⅆ r = N e e ( ω i - ω e ) 2 π j θ = n 0 er ( ω i - ω e ) . ( 39 ) Using Eq. 39, the magnetic field can be written as B z ( r ) = B z ( 0 ) - 2 π c I θ - 2 π c I θ tanh r 2 - r 0 2 r 0 Δ r = - B 0 - 2 π c I θ tanh r 2 - r 0 2 r 0 Δ r . In Eq . 40 , B z ( 0 ) = - B 0 + 2 π c I θ and B z ( 2 r 0 ) = - B 0 - 2 π c I θ . ( 40 ) If the plasma current Iθ vanishes, the magnetic field is constant, as expected. These relations are illustrated in FIGS. 20A through 20C. FIG. 20A shows the external magnetic field {right arrow over (B)}0 180. FIG. 20B shows the magnetic field due to the ring of current 182, the magnetic field having a magnitude of (2π/c)Iθ. FIG. 20C shows field reversal 184 due to the overlapping of the two magnetic fields 180,182. The magnetic field is B z ( r ) = - B 0 [ 1 + 2 π I θ cB 0 tanh r 2 - r 0 2 r 0 Δ r ] = - B 0 [ β tanh ( r 2 - r 0 2 r 0 Δ r ) ] , ( 41 ) using the following definition for β: 2 π c I θ B 0 = N e e ( ω i - ω e ) cB 0 = 2 π c n e0 r 0 Δ re ( ω i - ω e ) B 0 … = 2 π c 2 2 [ T e + ( T i / Z i ) 4 π n e0 ⅇ 2 ] 1 2 cn e0 ω i - ω e e ( ω i - ω e ) B 0 … = [ 8 π ( n e0 T e + n i0 T i ) B 0 2 ] 1 2 ≡ β . ( 42 ) With an expression for the magnetic field, the electric potential and the magnetic flux can be calculated. From Eq. 27, E r = - r ω e c B z - T e e ⅆ ln n e ⅆ r + m e r ω e 2 = - ⅆ Φ ⅆ r ( 43 ) Integrating both sides of Eq. 28 with respect to r and using the definitions of electric potential and flux function, Φ ≡ - ∫ r ′ = 0 r ′ = r E r ⅆ r ′ and Ψ ≡ ∫ r ′ = 0 r ′ = r B z ( r ′ ) r ′ ⅆ r ′ , ( 44 ) which yields Φ = ω e e Ψ + T e e ln n e ( r ) n e ( 0 ) - m e r 2 ω e 2 2 . ( 45 ) Now, the magnetic flux can be calculated directly from the expression of the magnetic field (Eq. 41): Ψ = ∫ r ′ = 0 r ′ = r - B 0 [ 1 + β tanh r 2 - r 0 2 r 0 Δ r ] r ′ ⅆ r ′ … = - B o r 2 2 - B 0 β 2 r 0 Δ r [ log ( cosh r 2 - r 0 2 r 0 Δ r ) - log ( cosh r o Δ r ) ] … = - B 0 r 2 2 + B 0 β r 0 Δ r 4 log n e ( r ) n e ( 0 ) . ( 46 ) Substituting Eq. 46 into Eq. 45 yields Φ = ω e c B 0 β r 0 Δ r 4 log n e ( r ) n e ( 0 ) + T e e ln n e ( r ) n e ( 0 ) - ω e c B 0 r 2 2 - m e r 2 ω e 2 2 . ( 47 ) Using the definition of β, ω e c B 0 β r 0 Δ r = ω e c 8 π ( n e0 T e + n i0 T i ) 2 ( T e + T i / 2 ) 1 2 4 π n e0 ⅇ 2 c ( ω i - ω e ) … = 4 ω e ω i - ω e ( n e0 T e + n i0 T i ) n e0 e . ( 48 ) Finally, using Eq. 48, the expressions for the electric potential and the flux function become Ψ ( r ) = - B 0 r 2 2 + c ω i - ω e ( n e0 T e + n i0 T i n e0 e ) ln n e ( r ) n e ( 0 ) and ( 49 ) Φ ( r ) = [ ω e ω i - ω e ( n e0 T e + n i0 T i ) n e0 e + T e e ] ln n e ( r ) n e ( 0 ) - ω e c B 0 r 2 2 - m e r 2 ω e 2 c . ( 50 ) Relationship Between ωi and ωe An expression for the electron angular velocity ωe can also be derived from Eqs. 24 through 26. It is assumed that ions have an average energy ½mi(rωi)2, which is determined by the method of formation of the FRC. Therefore, ωi is determined by the FRC formation method, and ωe can be determined by Eq. 24 by combining the equations for electrons and ions to eliminate the electric field: - [ n e mr ω e 2 + n i m i r ω i 2 ] = n e er c ( ω i - ω e ) B z - T e ⅆ n e ⅆ r - T i ⅆ n i ⅆ r . ( 51 ) Eq. 25 can then be used to eliminate (ωi−ωe) to obtain [ n e mr ω e 2 + n i m i r ω i 2 ] = ⅆ ⅆ r ( B z 2 8 π + ∑ j n j T j ) . ( 52 ) Eq. 52 can be integrated from r=0 to rB=√{square root over (2)}r0. Assuming r0/Δr>>1, the density is very small at both boundaries and Bz=−B0(1±√{square root over (β)}). Carrying out the integration shows [ n e0 m ω e 2 + n i0 m i ω i 2 ] r 0 Δ r = B 0 2 π [ 8 π ( n e0 T e + n i0 T i ) ] 1 2 . ( 53 ) Using Eq. 33 for Δr yields an equation for ωe: ω i 2 + Zm m i ω e 2 = Ω 0 ( ω i - ω e ) , where Ω 0 = ZeB 0 m i c . ( 54 ) Some limiting cases derived from Eq. 54 are: 1. ω i = 0 and ω e = - eB 0 mc ; 2. ω e = 0 and ω i = Ω 0 ; and 3. Zm m i ω e 2 << ω i 2 and ω e ≅ ω i ( 1 - ω i Ω 0 ) . In the first case, the current is carried entirely by electrons moving in their diamagnetic direction (ωe<0). The electrons are confined magnetically, and the ions are confined electrostatically by E r = T i Zen i ⅆ n i ⅆ r ≤ 0 for r ≥ r 0 ≥ 0 for r ≤ r 0 . ( 55 ) In the second case, the current is carried entirely by ions moving in their diamagnetic direction (ωi>0). If ωi is specified from the ion energy ½mi(rωi)2, determined in the formation process, then ωe=0 and Ω0=ωi identifies the value of B0, the externally applied magnetic field. The ions are magnetically confined, and electrons are electrostatically confined by E r = - T e en e ⅆ n e ⅆ r ≥ 0 for r ≥ r 0 ≤ 0 for r ≤ r 0 . ( 56 ) In the third case, ωe>0 and Ω0>ωi. Electrons move in their counter diamagnetic direction and reduce the current density. From Eq. 33, the width of the distribution ni(r) is increased; however, the total current/unit length is I θ = ∫ r = 0 r B j θ ⅆ r = N e 2 π e ( ω i - ω e ) , where ( 57 ) N e = ∫ r = 0 r B 2 π r ⅆ rn e = 2 π r 0 Δ rn e0 . ( 58 ) Here, rB=√{square root over (2)}r0 and r0Δr∝(ωi−ωe)−1 according to Eq. 33. The electron angular velocity ωe can be increased by tuning the applied magnetic field B0. This does not change either Iθ or the maximum magnetic field produced by the plasma current, which is B0√{square root over (β)}=(2π/c)Iθ. However, it does change Δr and, significantly, the potential Φ. The maximum value of Φ is increased, as is the electric field that confines the electrons. Tuning the Magnetic Field In FIGS. 21A-D, the quantities ne/ne0 186, Bz/(B0√{square root over (β)}) 188, Φ/Φ0 190, and Ψ/Ψ0 192 are plotted against r/r0 194 for various values of B0. The values of potential and flux are normalized to Φ0=20(Te+Ti)/e and Ψ0=(c/ωi)Φ0. A deuterium plasma is assumed with the following data: ne0=ni0=1015 cm−3; r0=40 cm; ½mi(r0ωi)2=300 keV; and Te=Ti=100 keV. For each of the cases illustrated in FIG. 21, ωi=1.35×107 s−1, and ωe is determined from Eq. 54 for various values of B0: Plotapplied magnetic field (B0)electron angular velocity (ωe)154B0 = 2.77 kGωe = 0156B0 = 5.15 kGωe = 0.625 × 107 s−1158B0 = 15.5 kGωe = 1.11 × 107 s−1 The case of ωe=−ωi and B0=1.385 kG involves magnetic confinement of both electrons and ions. The potential reduces to Φ/Φ0=mi(rωi)2/[80(Te+Ti)], which is negligible compared to the case ωe=0. The width of the density distribution Δr is reduced by a factor of 2, and the maximum magnetic field B0√{square root over (β)} is the same as for ωe=0. Solution for Plasmas of Multiple Types of Ions This analysis can be carried out to include plasmas comprising multiple types of ions. Fusion fuels of interest involve two different kinds of ions, e.g., D-T, D-He3, and H-B11. The equilibrium equations (Eqs. 24 through 26) apply, except that j=e, 1, 2 denotes electrons and two types of ions where Z1=1 in each case and Z2=Z=1, 2, 5 for the above fuels. The equations for electrons and two types of ions cannot be solved exactly in terms of elementary functions. Accordingly, an iterative method has been developed that begins with an approximate solution. The ions are assumed to have the same values of temperature and mean velocity Vi=rωi. Ion-ion collisions drive the distributions toward this state, and the momentum transfer time for the ion-ion collisions is shorter than for ion-electron collisions by a factor of an order of 1000. By using an approximation, the problem with two types of ions can be reduced to a single ion problem. The momentum conservation equations for ions are - n 1 m 1 r ω 1 2 = n 1 e [ E r + r ω 1 c B z ] - T 1 ⅆ n 1 ⅆ r and ( 59 ) - n 2 m 2 r ω 2 2 = n 2 Ze [ E r + r ω 2 c B z ] - T 2 ⅆ n 2 ⅆ r . ( 60 ) In the present case, T1=T2 and ω1=ω2. Adding these two equations results in - n i 〈 m i 〉 ω i 2 = n i 〈 Z 〉 e [ E r + r ω i c B z ] - T i ⅆ n i ⅆ r , ( 61 ) where ni=n1+n2; ωi=ω1=ω2; Ti=T1=T2; nimi=n1m1+n2m2; and niZ=n1+n2Z. The approximation is to assume that mi and Z are constants obtained by replacing n1(r) and n2(r) by n10 and n20, the maximum values of the respective functions. The solution of this problem is now the same as the previous solution for the single ion type, except that Z replaces Z and mi replaces mi. The values of n1 and n2 can be obtained from n1+n2=ni and n1+Zn2=ne=Zni. It can be appreciated that n1 and n2 have the same functional form. Now the correct solution can be obtained by iterating the equations: ⅆ log N 1 ⅆ ξ = m 1 r 0 2 Ω 1 ( ω i - ω e ) T i B z ( ξ ) B 0 - T e T i ⅆ log N e ⅆ ξ + m 1 ( ω i r 0 ) 2 T i and ( 62 ) ⅆ log N 2 ⅆ ξ = m 2 r 0 2 Ω 2 ( ω i - ω e ) T i B z ( ξ ) B 0 - ZT e T i ⅆ log N e ⅆ ξ + m 2 ( ω i r 0 ) 2 T i , where N 1 = n 1 ( r ) n 10 , N 2 = n 2 ( r ) n 20 , ξ = r 2 2 r 0 2 , Ω 1 = ⅇ B 0 m 1 c , and Ω 2 = Z ⅇ B 0 m 2 c . ( 63 ) The first iteration can be obtained by substituting the approximate values of Bz(ξ) and Ne(ξ) in the right hand sides of Eqs. 62 and 63 and integrating to obtain the corrected values of n1(r), n2(r), and Bz(r). Calculations have been carried out for the data shown in Table 1, below. Numerical results for fusion fuels are shown in FIGS. 22A-D through 24A-D wherein the quantities n1/n10 206, Φ/Φ0 208, and Ψ/Ψ0 210 are plotted against r/r0 204. FIGS. 22A-D shows the first approximation (solid lines) and the final results (dotted lines) of the iterations for D-T for the normalized density of D 196, the normalized density of T 198, the normalized electric potential 200, and the normalized flux 202. FIGS. 23A-D show the same iterations for D-He3 for the normalized density of D 212, the normalized density of He3 214, the normalized electric potential 216, and the normalized flux 218. FIGS. 24A-D show the same iterations for p-B11 for the normalized density of p 220, the normalized density of B11 222, the normalized electric potential 224, and the normalized flux 226. Convergence of the iteration is most rapid for D-T. In all cases the first approximation is close to the final result. TABLE 1Numerical data for equilibrium calculations for different fusion fuelsQuantityUnitsD-TD-He3p-B11ne0cm−3101510151015n10cm−3 0.5 × 1015 ⅓ × 1015 0.5 × 1015n20cm−3 0.5 × 1015 ⅓ × 10151014 v1 = v2 cm sec0.54 × 1090.661 × 109 0.764 × 109 1 2 m 1 v 1 2 keV300450300 1 2 m 2 v 2 2 keV4506753300 ωi = ω1 = ω2rad/s1.35 × 1071.65 × 1071.91 × 107r0cm404040B0kG5.888.2515.3 〈 Z i 〉None1 3/21.67 〈 m i 〉mp 5/2 5/22.67 Ω 0 = 〈 Z i 〉 eB 0 〈 m i 〉 c rad/s2.35 × 1074.95 × 1079.55 × 107 ω e = ω i [ 1 - ω i Ω 0 ] rad/s0.575 × 107 1.1 × 1071.52 × 107 TekeV9617082TikeV100217235r0Δrcm2114203313βNone22818738.3Structure of the Containment System FIG. 25 illustrates a preferred embodiment of a containment system 300 according to the present invention. The containment system 300 comprises a chamber wall 305 that defines therein a confining chamber 310. Preferably, the chamber 310 is cylindrical in shape, with principle axis 315 along the center of the chamber 310. For application of this containment system 300 to a fusion reactor, it is necessary to create a vacuum or near vacuum inside the chamber 310. Concentric with the principle axis 315 is a betatron flux coil 320, located within the chamber 310. The betatron flux coil 320 comprises an electrical current carrying medium adapted to direct current around a long coil, as shown, which preferably comprises parallel winding multiple separate coils, and most preferably parallel windings of about four separate coils, to form a long coil. Persons skilled in the art will appreciate that current through the betatron coil 320 will result in a magnetic field inside the betatron coil 320, substantially in the direction of the principle axis 315. Around the outside of the chamber wall 305 is an outer coil 325. The outer coil 325 produce a relatively constant magnetic field having flux substantially parallel with principle axis 315. This magnetic field is azimuthally symmetrical. The approximation that the magnetic field due to the outer coil 325 is constant and parallel to axis 315 is most valid away from the ends of the chamber 310. At each end of the chamber 310 is a mirror coil 330. The mirror coils 330 are adapted to produce an increased magnetic field inside the chamber 310 at each end, thus bending the magnetic field lines inward at each end. (See FIGS. 8 and 10.) As explained, this bending inward of the field lines helps to contain the plasma 335 in a containment region within the chamber 310 generally between the mirror coils 330 by pushing it away from the ends where it can escape the containment system 300. The mirror coils 330 can be adapted to produce an increased magnetic field at the ends by a variety of methods known in the art, including increasing the number of windings in the mirror coils 330, increasing the current through the mirror coils 330, or overlapping the mirror coils 330 with the outer coil 325. The outer coil 325 and mirror coils 330 are shown in FIG. 25 implemented outside the chamber wall 305; however, they may be inside the chamber 310. In cases where the chamber wall 305 is constructed of a conductive material such as metal, it may be advantageous to place the coils 325, 330 inside the chamber wall 305 because the time that it takes for the magnetic field to diffuse through the wall 305 may be relatively large and thus cause the system 300 to react sluggishly. Similarly, the chamber 310 may be of the shape of a hollow cylinder, the chamber wall 305 forming a long, annular ring. In such a case, the betatron flux coil 320 could be implemented outside of the chamber wall 305 in the center of that annular ring. Preferably, the inner wall forming the center of the annular ring may comprise a non-conducting material such as glass. As will become apparent, the chamber 310 must be of sufficient size and shape to allow the circulating plasma beam or layer 335 to rotate around the principle axis 315 at a given radius. The chamber wall 305 may be formed of a material having a high magnetic permeability, such as steel. In such a case, the chamber wall 305, due to induced countercurrents in the material, helps to keep the magnetic flux from escaping the chamber 310, “compressing” it. If the chamber wall were to be made of a material having low magnetic permeability, such as plexiglass, another device for containing the magnetic flux would be necessary. In such a case, a series of closed-loop, flat metal rings could be provided. These rings, known in the art as flux delimiters, would be provided within the outer coils 325 but outside the circulating plasma beam 335. Further, these flux delimiters could be passive or active, wherein the active flux delimiters would be driven with a predetermined current to greater facilitate the containment of magnetic flux within the chamber 310. Alternatively, the outer coils 325 themselves could serve as flux delimiters. As explained above, a circulating plasma beam 335, comprising charged particles, may be contained within the chamber 310 by the Lorentz force caused by the magnetic field due to the outer coil 325. As such, the ions in the plasma beam 335 are magnetically contained in large betatron orbits about the flux lines from the outer coil 325, which are parallel to the principle axis 315. One or more beam injection ports 340 are also provided for adding plasma ions to the circulating plasma beam 335 in the chamber 310. In a preferred embodiment, the injector ports 340 are adapted to inject an ion beam at about the same radial position from the principle axis 315 where the circulating plasma beam 335 is contained (i.e., around the null surface). Further, the injector ports 340 are adapted to inject ion beams 350 (See FIG. 28) tangent to and in the direction of the betatron orbit of the contained plasma beam 335. Also provided are one or more background plasma sources 345 for injecting a cloud of non-energetic plasma into the chamber 310. In a preferred embodiment, the background plasma sources 345 are adapted to direct plasma 335 toward the axial center of the chamber 310. It has been found that directing the plasma this way helps to better contain the plasma 335 and leads to a higher density of plasma 335 in the containment region within the chamber 310. Formation of the FRC Conventional procedures used to form a FRC primarily employ the theta pinch-field reversal procedure. In this conventional method, a bias magnetic field is applied by external coils surrounding a neutral gas back-filled chamber. Once this has occurred, the gas is ionized and the bias magnetic field is frozen in the plasma. Next, the current in the external coils is rapidly reversed and the oppositely oriented magnetic field lines connect with the previously frozen lines to form the closed topology of the FRC (see FIG. 8). This formation process is largely empirical and there exists almost no means of controlling the formation of the FRC. The method has poor reproducibility and no tuning capability as a result. In contrast, the FRC formation methods of the present invention allow for ample control and provide a much more transparent and reproducible process. In fact, the FRC formed by the methods of the present invention can be tuned and its shape as well as other properties can be directly influenced by manipulation of the magnetic field applied by the outer field coils 325. Formation of the FRC by methods of the present inventions also results in the formation of the electric field and potential well in the manner described in detail above. Moreover, the present methods can be easily extended to accelerate the FRC to reactor level parameters and high-energy fuel currents, and advantageously enables the classical confinement of the ions. Furthermore, the technique can be employed in a compact device and is very robust as well as easy to implement—all highly desirable characteristics for reactor systems. In the present methods, FRC formation relates to the circulating plasma beam 335. It can be appreciated that the circulating plasma beam 335, because it is a current, creates a poloidal magnetic field, as would an electrical current in a circular wire. Inside the circulating plasma beam 335, the magnetic self-field that it induces opposes the externally applied magnetic field due to the outer coil 325. Outside the plasma beam 335, the magnetic self-field is in the same direction as the applied magnetic field. When the plasma ion current is sufficiently large, the self-field overcomes the applied field, and the magnetic field reverses inside the circulating plasma beam 335, thereby forming the FRC topology as shown in FIGS. 8 and 10. The requirements for field reversal can be estimated with a simple model. Consider an electric current IP carried by a ring of major radius r0 and minor radius a<<r0. The magnetic field at the center of the ring normal to the ring is Bp=2πIP/(cro). Assume that the ring current IP=Npe(Ω0/2π) is carried by Np ions that have an angular velocity Ω0. For a single ion circulating at radius r0=V0/Ω0, Ω0=eB0/mic is the cyclotron frequency for an external magnetic field B0. Assume V0 is the average velocity of the beam ions. Field reversal is defined as B p = N p ⅇ Ω 0 r . 0 c ≥ 2 B 0 , ( 64 ) which implies that Np>2r0/αi, and I p = ≥ ⅇ V 0 πα i ( 65 ) where αi=e2/mic2=1.57×10−16 cm and the ion beam energy is 1 2 m i V 0 2 . In the one-dimensional model, the magnetic field from the plasma current is Bp=(2π/c)ip, where ip is current per unit of length. The field reversal requirement is ip>eV0/πr0αi=0.225 kA/cm, where B0=69.3 G and 1 2 m i V 0 2 = 100 eV . For a model with periodic rings and Bz is averaged over the axial coordinate Bz=(2π/c)(Ip/s) (s is the ring spacing), if s=r0, this model would have the same average magnetic field as the one dimensional model with ip=Ip/s. Combined Beam/Betatron Formation Technique A preferred method of forming a FRC within the confinement system 300 described above is herein termed the combined beam/betatron technique. This approach combines low energy beams of plasma ions with betatron acceleration using the betatron flux coil 320. The first step in this method is to inject a substantially annular cloud layer of background plasma in the chamber 310 using the background plasma sources 345. Outer coil 325 produces a magnetic field inside the chamber 310, which magnetizes the background plasma. At short intervals, low energy ion beams are injected into the chamber 310 through the injector ports 340 substantially transverse to the externally applied magnetic field within the chamber 310. As explained above, the ion beams are trapped within the chamber 310 in large betatron orbits by this magnetic field. The ion beams may be generated by an ion accelerator, such as an accelerator comprising an ion diode and a Marx generator. (see R. B. Miller, An Introduction to the Physics of Intense Charged Particle Beams, (1982)). As one of skill in the art can appreciate, the externally applied magnetic field will exert a Lorentz force on the injected ion beam as soon as it enters the chamber 310; however, it is desired that the beam not deflect, and thus not enter a betatron orbit, until the ion beam reaches the circulating plasma beam 335. To solve this problem, the ion beams are neutralized with electrons and directed through a substantially constant unidirectional magnetic field before entering the chamber 310. As illustrated in FIG. 26, when the ion beam 350 is directed through an appropriate magnetic field, the positively charged ions and negatively charged electrons separate. The ion beam 350 thus acquires an electric self-polarization due to the magnetic field. This magnetic field may be produced by, e.g., a permanent magnet or by an electromagnet along the path of the ion beam. When subsequently introduced into the confinement chamber 310, the resultant electric field balances the magnetic force on the beam particles, allowing the ion beam to drift undeflected. FIG. 27 shows a head-on view of the ion beam 350 as it contacts the plasma 335. As depicted, electrons from the plasma 335 travel along magnetic field lines into or out of the beam 350, which thereby drains the beam's electric polarization. When the beam is no longer electrically polarized, the beam joins the circulating plasma beam 335 in a betatron orbit around the principle axis 315, as shown in FIG. 25. When the plasma beam 335 travels in its betatron orbit, the moving ions comprise a current, which in turn gives rise to a poloidal magnetic self-field. To produce the FRC topology within the chamber 310, it is necessary to increase the velocity of the plasma beam 335, thus increasing the magnitude of the magnetic self-field that the plasma beam 335 causes. When the magnetic self-field is large enough, the direction of the magnetic field at radial distances from the axis 315 within the plasma beam 335 reverses, giving rise to a FRC. (See FIGS. 8 and 10). It can be appreciated that, to maintain the radial distance of the circulating plasma beam 335 in the betatron orbit, it is necessary to increase the applied magnetic field from the outer coil 325 as the plasma beam 335 increases in velocity. A control system is thus provided for maintaining an appropriate applied magnetic field, dictated by the current through the outer coil 325. Alternatively, a second outer coil may be used to provide the additional applied magnetic field that is required to maintain the radius of the plasma beam's orbit as it is accelerated. To increase the velocity of the circulating plasma beam 335 in its orbit, the betatron flux coil 320 is provided. Referring to FIG. 28, it can be appreciated that increasing a current through the betatron flux coil 320, by Ampere's Law, induces an azimuthal electric field, E, inside the chamber 310. The positively charged ions in the plasma beam 335 are accelerated by this induced electric field, leading to field reversal as described above. When ion beams are added to the circulating plasma beam 335, as described above, the plasma beam 335 depolarizes the ion beams. For field reversal, the circulating plasma beam 335 is preferably accelerated to a rotational energy of about 100 eV, and preferably in a range of about 75 eV to 125 eV. To reach fusion relevant conditions, the circulating plasma beam 335 is preferably accelerated to about 200 keV and preferably to a range of about 100 keV to 3.3 MeV. In developing the necessary expressions for the betatron acceleration, the acceleration of single particles is first considered. The gyroradius of ions r=V/Ωi will change because V increases and the applied magnetic field must change to maintain the radius of the plasma beam's orbit, r0=V/Ωc ∂ r ∂ t = 1 Ω [ ∂ V ∂ t - V Ω i ∂ Ω i ∂ t ] = 0 , where ( 66 ) ∂ V ∂ t = r 0 e m i c ∂ B c ∂ t = ⅇ E θ m i = - e m i c 1 2 π r 0 ∂ Ψ ∂ t , ( 67 ) and Ψ is the magnetic flux: Ψ = ∫ 0 r0 B z 2 π r ⅆ r = π r 0 2 〈 B z 〉 , where ( 68 ) 〈 B z 〉 = - B F ( r a r 0 ) 2 - B c [ 1 - ( r a r 0 ) 2 ] . ( 69 ) From Eq. 67, it follows that ∂ 〈 B z 〉 ∂ t = - 2 ∂ B c ∂ t , ( 70 ) and Bz=−2Bc+B0, assuming that the initial values of BF and Bc are both B0. Eq. 67 can be expressed as ∂ V ∂ t = - ⅇ 2 m i c r 0 ∂ 〈 B z 〉 ∂ t . ( 71 ) After integration from the initial to final states where 1 2 mV 0 2 = W 0 and 1 2 mV 2 = W ,the final values of the magnetic fields are: B c = B 0 W W 0 = 2.19 kG and ( 72 ) B F = B 0 [ W W 0 + ( r 0 r a ) 2 ( W W 0 - 1 ) ] = 10.7 kG , ( 73 ) assuming B0=69.3 G, W/W0=1000, and r0/ra=2. This calculation applies to a collection of ions, provided that they are all located at nearly the same radius r0 and the number of ions is insufficient to alter the magnetic fields. The modifications of the basic betatron equations to accommodate the present problem will be based on a one-dimensional equilibrium to describe the multi-ring plasma beam, assuming the rings have spread out along the field lines and the z-dependence can be neglected. The equilibrium is a self-consistent solution of the Vlasov-Maxwell equations that can be summarized as follows: (a) The density distribution is n = n m cosh 2 ( r 2 - r 0 2 r 0 Δ r ) , ( 74 ) which applies to the electrons and protons (assuming quasi neutrality); r0 is the position of the density maximum; and Δr is the width of the distribution; and (b) The magnetic field is B z = - B c - 2 π I p c tanh ( r 2 - r 0 2 r 0 Δ r ) , ( 75 ) where Bc is the external field produced by the outer coil 325. Initially, Bc=B0. This solution satisfies the boundary conditions that r=ra and r=rb are conductors (Bnormal=0) and equipotentials with potential Φ=0. The boundary conditions are satisfied if r02=(ra2+rb2)/2. ra=10 cm and r0=20 cm, so it follows that rb=26.5 cm. Ip is the plasma current per unit length. The average velocities of the beam particles are Vi=r0ωi and Ve=r0ωe, which are related by the equilibrium condition: ω e = ω i ( 1 - ω i Ω i ) , ( 76 ) where Ωi=eBc/(mic). Initially, it is assumed Bc=B0, ωi=Ωi, and ωe=0. (In the initial equilibrium there is an electric field such that the {right arrow over (E)}×{right arrow over (B)} and the ∇B×{right arrow over (B)} drifts cancel. Other equilibria are possible according to the choice of Bc.) The equilibrium equations are assumed to be valid if ωi and Bc are slowly varying functions of time, but r0=Vi/Ωi remains constant. The condition for this is the same as Eq. 66. Eq. 67 is also similar, but the flux function Ψ has an additional term, i.e., Ψ=πr02Bz where 〈 B z 〉 = B _ z + 2 π c I p ( r b 2 - r a 2 r b 2 + r a 2 ) and ( 77 ) B _ z = - B F ( r a r 0 ) 2 - B c [ 1 - ( r a r 0 ) 2 ] . ( 78 ) The magnetic energy per unit length due to the beam current is ∫ r a r b 2 π r ⅆ r ( B z - B c 8 π ) 2 = 1 2 L p I p 2 , from which ( 79 ) L p = r b 2 - r a 2 r b 2 + r a 2 2 π 2 r 0 2 c 2 and 〈 B z 〉 = B _ z + c π r 0 2 L p I p . ( 80 ) The betatron condition of Eq. 70 is thus modified so that ∂ B _ z ∂ t = - 2 ∂ B c ∂ t - L p c π r 0 2 ∂ I p ∂ t , ( 81 ) and Eq. 67 becomes: ∂ V i ∂ t = e m i r 0 c ∂ B c ∂ t = - e 2 m i c r 0 ∂ B _ z ∂ t - e m i L p 2 π r 0 ∂ I p ∂ t . ( 82 ) After integrating, Δ B _ z = - 2 B 0 [ 1 + r b 2 - r a 2 r 0 2 ] [ W W 0 - 1 ] . ( 83 ) For W0=100 eV and W=100 keV, Δ Bz=−7.49 kG. Integration of Eqs. 81 and 82 determines the value of the magnetic field produced by the field coil: B c = B 0 W W 0 = 2.19 kG and ( 84 ) B F = B F0 - ( r 0 r a ) 2 Δ B _ z - ( r 0 2 - r a 2 r a 2 ) Δ B c = 25 kG . ( 85 ) If the final energy is 200 keV, Bc=3.13 kG and BF=34.5 kG. The magnetic energy in the flux coil would be B F 2 8 π π r F 2 l = 172 kJ . The plasma current is initially 0.225 kA/cm corresponding to a magnetic field of 140 G, which increases to 10 kA/cm and a magnetic field of 6.26 kG. In the above calculations, the drag due to Coulomb collisions has been neglected. In the injection/trapping phase, it was equivalent to 0.38 volts/cm. It decreases as the electron temperature increases during acceleration. The inductive drag, which is included, is 4.7 volts/cm, assuming acceleration to 200 keV in 100 μs. The betatron flux coil 320 also balances the drag from collisions and inductance. The frictional and inductive drag can be described by the equation: ∂ V b ∂ t = - V b [ 1 t be + 1 t bi ] - e m b L 2 π r 0 ∂ I b ∂ t , ( 86 ) where (Ti/mi)<Vb<(Te/m). Here, Vb is the beam velocity, Te and Ti are electron and ion temperatures, Ib is the beam ion current, and L = 0.01257 r 0 [ ln ( 8 r 0 a ) - 7 4 ] = 0.71 µH is the ring inductance. Also, r0=20 cm and a=4 cm. The Coulomb drag is determined by t be = 3 4 2 π ( m i m ) T e 3 / 2 n ⅇ 4 ln Λ = 195 µ sec t b i = 2 2 m i W b 3 / 2 4 π n ⅇ 4 ln Λ = 54.8 µsec ( 87 ) To compensate the drag, the betatron flux coil 320 must provide an electric field of 1.9 volts/cm (0.38 volts/cm for the Coulomb drag and 1.56 volts/cm for the inductive drag). The magnetic field in the betatron flux coil 320 must increase by 78 Gauss/μs to accomplish this, in which case Vb will be constant. The rise time of the current to 4.5 kA is 18 μs, so that the magnetic field BF will increase by 1.4 kG. The magnetic field energy required in the betatron flux coil 320 is B F 2 8 π × π r F 2 l = 394 Joules ( l = 115 cm ) . ( 88 ) Betatron Formation Technique Another preferred method of forming a FRC within the confinement system 300 is herein termed the betatron formation technique. This technique is based on driving the betatron induced current directly to accelerate a circulating plasma beam 335 using the betatron flux coil 320. A preferred embodiment of this technique uses the confinement system 300 depicted in FIG. 25, except that the injection of low energy ion beams is not necessary. As indicated, the main component in the betatron formation technique is the betatron flux coil 320 mounted in the center and along the axis of the chamber 310. Due to its separate parallel windings construction, the coil 320 exhibits very low inductance and, when coupled to an adequate power source, has a low LC time constant, which enables rapid ramp up of the current in the flux coil 320. Preferably, formation of the FRC commences by energizing the external field coils 325, 330. This provides an axial guide field as well as radial magnetic field components near the ends to axially confine the plasma injected into the chamber 310. Once sufficient magnetic field is established, the background plasma sources 345 are energized from their own power supplies. Plasma emanating from the guns streams along the axial guide field and spreads slightly due to its temperature. As the plasma reaches the mid-plane of the chamber 310, a continuous, axially extending, annular layer of cold, slowly moving plasma is established. At this point the betatron flux coil 320 is energized. The rapidly rising current in the coil 320 causes a fast changing axial flux in the coil's interior. By virtue of inductive effects this rapid increase in axial flux causes the generation of an azimuthal electric field E (see FIG. 29), which permeates the space around the flux coil. By Maxwell's equations, this electric field is directly proportional to the change in strength of the magnetic flux inside the coil, i.e.: a faster betatron coil current ramp-up will lead to a stronger electric field. The inductively created electric field couples to the charged particles in the plasma and causes a ponderomotive force, which accelerates the particles in the annular plasma layer. Electrons, by virtue of their smaller mass, are the first species to experience acceleration. The initial current formed by this process is, thus, primarily due to electrons. However, sufficient acceleration time (around hundreds of micro-seconds) will eventually also lead to ion current. Referring to FIG. 29, this electric field accelerates the electrons and ions in opposite directions. Once both species reach their terminal velocities, current is carried about equally by ions and electrons. As noted above, the current carried by the rotating plasma gives rise to a self magnetic field. The creation of the actual FRC topology sets in when the self magnetic field created by the current in the plasma layer becomes comparable to the applied magnetic field from the external field coils 325, 330. At this point magnetic reconnection occurs and the open field lines of the initial externally produced magnetic field begin to close and form the FRC flux surfaces (see FIGS. 8 and 10). The base FRC established by this method exhibits modest magnetic field and particle energies that are typically not at reactor relevant operating parameters. However, the inductive electric acceleration field will persist, as long as the current in the betatron flux coil 320 continues to increase at a rapid rate. The effect of this process is that the energy and total magnetic field strength of the FRC continues to grow. The extent of this process is, thus, primarily limited by the flux coil power supply, as continued delivery of current requires a massive energy storage bank. However, it is, in principal, straightforward to accelerate the system to reactor relevant conditions. For field reversal, the circulating plasma beam 335 is preferably accelerated to a rotational energy of about 100 eV, and preferably in a range of about 75 eV to 125 eV. To reach fusion relevant conditions, the circulating plasma beam 335 is preferably accelerated to about 200 keV and preferably to a range of about 100 keV to 3.3 MeV. When ion beams are added to the circulating plasma beam 335, as described above, the plasma beam 335 depolarizes the ion beams. Beam propagation and trapping were successfully demonstrated at the following parameter levels: Vacuum chamber dimensions: about 1 m diameter, 1.5 m length. Betatron coil radius of 10 cm. Plasma beam orbit radius of 20 cm. Mean kinetic energy of streaming beam plasma was measured to be about 100 eV, with a density of about 1013 cm−3, kinetic temperature on the order of 10 eV and a pulse-length of about 20 μs. Mean magnetic field produced in the trapping volume was around 100 Gauss, with a ramp-up period of 150 μs. Source: Outer coils and betatron coils. Neutralizing background plasma (substantially Hydrogen gas) was characterized by a mean density of about 1013 cm−3, kinetic temperature of less than 10 eV. The beam was generated in a deflagration type plasma gun. The plasma beam source was neutral Hydrogen gas, which was injected through the back of the gun through a special puff valve. Different geometrical designs of the electrode assembly were utilized in an overall cylindrical arrangement. The charging voltage was typically adjusted between 5 and 7.5 kV. Peak breakdown currents in the guns exceeded 250,000 A. During part of the experimental runs, additional pre-ionized plasma was provided by means of an array of small peripheral cable guns feeding into the central gun electrode assembly before, during or after neutral gas injection. This provided for extended pulse lengths of above 25 μs. The emerging low energy neutralized beam was cooled by means of streaming through a drift tube of non-conducting material before entering the main vacuum chamber. The beam plasma was also pre-magnetized while streaming through this tube by means of permanent magnets. The beam self-polarized while traveling through the drift tube and entering the chamber, causing the generation of a beam-internal electric field that offset the magnetic field forces on the beam. By virtue of this mechanism it was possible to propagate beams as characterized above through a region of magnetic field without deflection. Upon further penetration into the chamber, the beam reached the desired orbit location and encountered a layer of background plasma provided by an array of cable guns and other surface flashover sources. The proximity of sufficient electron density caused the beam to loose its self-polarization field and follow single particle like orbits, essentially trapping the beam. Faraday cup and B-dot probe measurements confirmed the trapping of the beam and its orbit. The beam was observed to have performed the desired circular orbit upon trapping. The beam plasma was followed along its orbit for close to ¾ of a turn. The measurements indicated that continued frictional and inductive losses caused the beam particles to loose sufficient energy for them to curl inward from the desired orbit and hit the betatron coil surface at around the ¾ turn mark. To prevent this, the losses could be compensated by supplying additional energy to the orbiting beam by inductively driving the particles by means of the betatron coil. FRC formation was successfully demonstrated utilizing the combined beam/betatron formation technique. The combined beam/betatron formation technique was performed experimentally in a chamber 1 m in diameter and 1.5 m in length using an externally applied magnetic field of up to 500 G, a magnetic field from the betatron flux coil 320 of up to 5 kG, and a vacuum of 1.2×10−5 torr. In the experiment, the background plasma had a density of 1013 cm−3 and the ion beam was a neutralized Hydrogen beam having a density of 1.2×1013 cm−3, a velocity of 2×107 cm/s, and a pulse length of around 20 μs (at half height). Field reversal was observed. An attempt to form an FRC utilizing the betatron formation technique has been performed experimentally in a chamber 1 m in diameter and 1.5 m in length using an externally applied magnetic field of up to 500 G, a magnetic field from the betatron flux coil 320 of up to 5 kG, and a vacuum of 5×10−6 torr. In the experiment, the background plasma comprised substantially Hydrogen with of a density of 1013 cm−3 and a lifetime of about 40 μs. Field reversal was observed. Fusion Significantly, these two techniques for forming a FRC inside of a containment system 300 described above, or the like, can result in plasmas having properties suitable for causing nuclear fusion therein. More particularly, the FRC formed by these methods can be accelerated to any desired level of rotational energy and magnetic field strength. This is crucial for fusion applications and classical confinement of high-energy fuel beams. In the confinement system 300, therefore, it becomes possible to trap and confine high-energy plasma beams for sufficient periods of time to cause a fusion reaction therewith. To accommodate fusion, the FRC formed by these methods is preferably accelerated to appropriate levels of rotational energy and magnetic field strength by betatron acceleration. Fusion, however, tends to require a particular set of physical conditions for any reaction to take place. In addition, to achieve efficient burn-up of the fuel and obtain a positive energy balance, the fuel has to be kept in this state substantially unchanged for prolonged periods of time. This is important, as high kinetic temperature and/or energy characterize a fusion relevant state. Creation of this state, therefore, requires sizeable input of energy, which can only be recovered if most of the fuel undergoes fusion. As a consequence, the confinement time of the fuel has to be longer than its burn time. This leads to a positive energy balance and consequently net energy output. A significant advantage of the present invention is that the confinement system and plasma described herein are capable of long confinement times, i.e., confinement times that exceed fuel burn times. A typical state for fusion is, thus, characterized by the following physical conditions (which tend to vary based on fuel and operating mode): Average ion temperature: in a range of about 30 to 230 keV and preferably in a range of about 80 keV to 230 keV Average electron temperature: in a range of about 30 to 100 keV and preferably in a range of about 80 to 100 keV Coherent energy of the fuel beams (injected ion beams and circulating plasma beam): in a range of about 100 keV to 3.3 MeV and preferably in a range of about 300 keV to 3.3 MeV. Total magnetic field: in a range of about 47.5 to 120 kG and preferably in a range of about 95 to 120 kG (with the externally applied field in a range of about 2.5 to 15 kG and preferably in a range of about 5 to 15 kG). Classical Confinement time: greater than the fuel burn time and preferably in a range of about 10 to 100 seconds. Fuel ion density: in a range of about 1014 to less than 1016 cm−3 and preferably in a range of about 1014 to 1015 cm−3. Total Fusion Power: preferably in a range of about 50 to 450 kW/cm (power per cm of chamber length). To accommodate the fusion state illustrated above, the FRC is preferably accelerated to a level of coherent rotational energy preferably in a range of about 100 keV to 3.3 MeV, and more preferably in a range of about 300 keV to 3.3 MeV, and a level of magnetic field strength preferably in a range of about 45 to 120 kG, and more preferably in a range of about 90 to 115 kG. At these levels, high energy ion beams can be injected into the FRC and trapped to form a plasma beam layer wherein the plasma beam ions are magnetically confined and the plasma beam electrons are electrostatically confined. Preferably, the electron temperature is kept as low as practically possible to reduce the amount of bremsstrahlung radiation, which can, otherwise, lead to radiative energy losses. The electrostatic energy well of the present invention provides an effective means of accomplishing this. The ion temperature is preferably kept at a level that provides for efficient burn-up since the fusion cross-section is a function of ion temperature. High direct energy of the fuel ion beams is essential to provide classical transport as discussed in this application. It also minimizes the effects of instabilities on the fuel plasma. The magnetic field is consistent with the beam rotation energy. It is partially created by the plasma beam (self-field) and in turn provides the support and force to keep the plasma beam on the desired orbit. Fusion Products The fusion products are born predominantly near the null surface from where they emerge by diffusion towards the separatrix 84 (see FIG. 8). This is due to collisions with electrons (as collisions with ions do not change the center of mass and therefore do not cause them to change field lines). Because of their high kinetic energy (product ions have much higher energy than the fuel ions), the fusion products can readily cross the separatrix 84. Once they are beyond the separatrix 84, they can leave along the open field lines 80 provided that they experience scattering from ion-ion collisions. Although this collisional process does not lead to diffusion, it can change the direction of the ion velocity vector such that it points parallel to the magnetic field. These open field lines 80 connect the FRC topology of the core with the uniform applied field provided outside the FRC topology. Product ions emerge on different field lines, which they follow with a distribution of energies; advantageously in the form of a rotating annular beam. In the strong magnetic fields found outside the separatrix 84 (typically around 100 kG), the product ions have an associated distribution of gyro-radii that varies from a minimum value of about 1 cm to a maximum of around 3 cm for the most energetic product ions. Initially the product ions have longitudinal as well as rotational energy characterized by ½M(vpar)2 and ½M(vperp)2. vperp is the azimuthal velocity associated with rotation around a field line as the orbital center. Since the field lines spread out after leaving the vicinity of the FRC topology, the rotational energy tends to decrease while the total energy remains constant. This is a consequence of the adiabatic invariance of the magnetic moment of the product ions. It is well known in the art that charged particles orbiting in a magnetic field have a magnetic moment associated with their motion. In the case of particles moving along a slow changing magnetic field, there also exists an adiabatic invariant of the motion described by ½M(vperp)2/B. The product ions orbiting around their respective field lines have a magnetic moment and such an adiabatic invariant associated with their motion. Since B decreases by a factor of about 10 (indicated by the spreading of the field lines), it follows that vperp will likewise decrease by about 3.2. Thus, by the time the product ions arrive at the uniform field region their rotational energy would be less than 5% of their total energy; in other words almost all the energy is in the longitudinal component. While the invention is susceptible to various modifications and alternative forms, a specific example thereof has been shown in the drawings and is herein described in detail. It should be understood, however, that the invention is not to be limited to the particular form disclosed, but to the contrary, the invention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the appended claims. |
|
049903020 | claims | 1. An apparatus for measuring nuclear reactor power distribution including a neutron detector of virtually the same length as the height of a core of a nuclear reactor installed on the outside of the nuclear reactor and adapted such that the reactor power distribution in the axial direction is calculated from detected outputs of small size detectors provided by cutting said neutron detector across its axis into segments, said apparatus for measuring nuclear reactor power distribution comprising: Fourier coefficient calculation means for calculating, during the course of calibration, a first set of Fourier coefficients from the outputs of said neutron detectors and a second set of Fourier coefficients from the integrated values of the measured reactor power for each height interval of the core divided into virtually the same height intervals as those of said small size detectors; and transformation means utilizing said first and said sets of Fourier coefficients for deriving a transformation operator for transforming said first set of Fourier coefficients of the outputs of said neutron detectors into said second set of Fourier coefficients of the integrated values of the measured reactor power for each height interval, whereby data for calibration is obtained. Fourier coefficient calculation means for calculating, during the course of calibration, a first set of Fourier coefficients from the outputs of said neutron detectors and a second set of Fourier coefficients from the integrated values of the measured reactor power for each height interval of the core divided into virtually the same height intervals as those of said small size detectors; abnormal data deletion means for detecting and deleting abnormal data, after said first set of Fourier coefficients of said neutron detector outputs and said second set of Fourier coefficients of integrated values of the reactor power on each interval have been calculated by said Fourier coefficient calculation means, by use of correlation between both sets of Fourier coefficients; and transformation means utilizing said first and second sets of Fourier coefficients for deriving a transformation operator for transforming, after the abnormal data are deleted by said abnormal data deletion means said first set of Fourier coefficients of the outputs of said neutron detector into said second set of Fourier coefficients of the integrated values of the measured reactor power for each height interval, whereby data for calibration is obtained. counting number of data; deciding whether or not the number of data is larger than 5; processing K=[.sqroot.N]-1; preliminarily determining said transformation operator, [A.sub.ii ], [B.sub.i]; eliminating K pieces of erroneous data from those of larger error; and determining [A.sub.ii ], [B.sub.i ] for the second time with remaining data. [A.sub.ii ]: constant coefficient diagonal matrix [B.sub.i ]: constant coefficient vector [CP.sub.i ]: Fourier coefficients of integrated values of the reactor power for each interval [CD.sub.i ]: Fourier coefficients of neutron detector outputs [A.sub.ii ]: constant coefficient diagonal matrix [B.sub.i ]: constant coefficient vector [CP.sub.i ]: Fourier coefficients of integrated values of the reactor power for each height interval [CD.sub.i ]: Fourier coefficients of neutron detector outputs. 2. An apparatus for measuring nuclear reactor power distribution including a neutron detector of virtually the same length as the height of a core of a nuclear reactor installed on the outside of the nuclear reactor and adapted such that the reactor power distribution in the axial direction is calculated from detected outputs of small size detectors provided by cutting said neutron detector across its axis into segments, said apparatus for measuring nuclear reactor power distribution comprising: 3. An apparatus for measuring nuclear reactor power distribution according to claim 2, wherein the deletion of abnormal data is performed manually by the use of a display of a planar plotting. 4. An apparatus for measuring nuclear reactor power distribution according to claim 2, wherein the deletion of abnormal data is performed automatically through algorithm established to include the steps of: 5. An apparatus for measuring nuclear reactor power distribution according to claim 1, wherein said transformation means performs the transformation according to EQU [CP.sub.i ]=[A.sub.ii ] [CD.sub.i ]+[B.sub.i ] 6. An apparatus for measuring nuclear reactor power distribution according to claim 2, wherein said transformation means performs the transformation according to said derived transformation operator as defined by: EQU [CP.sub.i ]=[A.sub.ii ] [CD.sub.i ]+[B.sub.i ] |
045591709 | abstract | Bead ion exchange resin wastes are disposed of by a process which involves spray-drying a bead ion exchange resin waste in order to remove substantially all of the water present in such waste, including the water on the surface of the ion exchange resin beads and the water inside the ion exchange resin beads. The resulting dried ion exchange resin beads can then be solidified in a suitable solid matrix-forming material, such as a polymer, which solidifies to contain the dried ion exchange resin beads in a solid monolith suitable for disposal by burial or other conventional means. |
summary | ||
054266815 | claims | 1. A boiling water reactor comprising: a primary containment vessel; a reactor pressure vessel surrounded by said primary containment vessel; a nuclear fuel core arranged inside said reactor pressure vessel; a pool of water located inside said primary containment vessel and outside said reactor pressure vessel; a condenser pool of water located outside and above said primary containment vessel; a residual heat removal condenser submerged in said condenser pool and having an input and an output; a first flowpath from said reactor pressure vessel to said input of said residual heat removal condenser; a release valve placed in said first flowpath for selectively opening said first flowpath to the flow of steam from said reactor pressure vessel to said residual heat removal condenser, said release valve being designed to constrict the flow of high-pressure steam from said reactor pressure vessel to said residual heat removal condenser; and a second flowpath for carrying condensed steam from said residual heat removal condenser to said pool located inside said primary containment vessel, said second flowpath having an output submerged in said pool located inside said primary containment, wherein the operating pressure of said residual heat removal condenser is maintained in the range of 100-200 psi. a primary containment vessel; a reactor pressure vessel surrounded by said primary containment vessel; a nuclear fuel core arranged inside said reactor pressure vessel; a suppression pool of water located inside said primary containment vessel and outside said reactor pressure vessel; a gravity-driven cooling system pool of water located inside said primary containment vessel and outside said reactor pressure vessel at an elevation above said nuclear fuel core; a condenser pool of water located outside and above said primary containment vessel; a residual heat removal condenser submerged in said condenser pool and having an input which is not in flow communication with the interior of said primary containment vessel; a first flowpath from said reactor pressure vessel to said input of said residual heat removal condenser; a release valve placed in said first flowpath for selectively opening said first flowpath to the flow of steam from said reactor pressure vessel to said residual heat removal condenser, said release valve being designed to constrict the flow of high-pressure steam from said reactor pressure vessel to said residual heat removal condenser; and a second flowpath for carrying condensed steam from said residual heat removal condenser to said gravity-driven cooling system pool, wherein the operating pressure of said residual heat removal condenser is maintained in the range of 100-200 psi. a fourth flowpath for carrying water from said suppression pool to said reactor pressure vessel; a fifth flowpath for carrying fluid from an ultimate heat sink external to said primary containment vessel and back to the ultimate heat sink; means for conducting heat from said water flowing along said fourth flowpath to said fluid flowing along said fifth flowpath; and a pump for pumping water from said suppression pool along said fourth flowpath. a primary containment vessel; a reactor pressure vessel surrounded by said primary containment vessel; a nuclear fuel core arranged inside said reactor pressure vessel; a pool of water located inside said primary containment vessel and outside said reactor pressure vessel; a condenser pool of water located outside and above said primary containment vessel; a residual heat removal condenser submerged in said condenser pool and having an input and an output; a first flowpath from said reactor pressure vessel to said input of said residual heat removal condenser; a release valve placed in said first flowpath for selectively opening said first flowpath to the flow of steam from said reactor pressure vessel to said residual heat removal condenser; means for constricting the flow of high-pressure steam from said reactor pressure vessel to said residual heat removal condenser; and a second flowpath for carrying condensed steam from said residual heat removal condenser to said pool located inside said primary containment vessel, said second flowpath having an output submerged in said pool located inside said primary containment, wherein the operating pressure of said residual heat removal condenser is maintained in the range of 100-200 psi. 2. The boiling water reactor as defined in claim 1, wherein said first flowpath comprises a portion of a main steam line from said reactor pressure vessel. 3. The boiling water reactor as defined in claim 1, wherein said pool located inside said primary containment vessel is a gravity-driven cooling system pool of water located at an elevation above said nuclear fuel core. 4. A boiling water reactor comprising: 5. The boiling water reactor as defined in claim 4, wherein said first flowpath comprises a portion of a main steam line from said reactor pressure vessel. 6. The boiling water reactor as defined in claim 4, further comprising a third flowpath for carrying noncondensed gases from said residual heat removal condenser to said suppression pool. 7. The boiling water reactor as defined in claim 6, further comprising a pump for pumping water from said suppression pool to said reactor pressure vessel. 8. The boiling water reactor as defined in claim 6, further comprising: 9. A boiling water reactor comprising: 10. The boiling water reactor as defined in claim 9, wherein said constricting means is incorporated in said release valve. 11. The boiling water reactor as defined in claim 9, wherein said first flowpath comprises a portion of a main steam line from said reactor pressure vessel. 12. The boiling water reactor as defined in claim 9, wherein said pool located inside said primary containment vessel is a gravity-driven cooling system pool of water located at an elevation above said nuclear fuel core. |
summary | ||
abstract | A fuel assembly handling tool that can be lowered onto the top nozzle of a fuel assembly, positively latch the top nozzle, unlatch from the top nozzle, and be raised off the top nozzle of the fuel assembly. The tool head, that interfaces with the top nozzle has load bearing grippers that latch onto the fuel assembly, that are located in a storage position up within the tool while the tool is lowered onto the fuel assembly. The gripper fingers are then lowered into position during the latching process, and are raised back to the storage position during the unlatching process. In the storage position, the gripping fingers are spaced above the fuel assembly top nozzle when the tool head is resting on the nozzle. |
|
040173585 | summary | BACKGROUND OF THE INVENTION This invention pertains to boron thermal regeneration systems, and more particularly to an ion exchanger for such a system and a method for operating same so as to allow rapid changes in the boric acid concentration in the reactor coolant system for load follow operations. Presently contemplated chemical shim nuclear reactor systems will use ion exchangers to store or release an amount of borate ions which correspond to the change in boric acid concentration in the reactor coolant system during a load follow operation. The process removes boric acid from the reactor coolant system after a load reduction and stores it on the ion exchangers at relatively low temperatures. When needed, the stored boric acid can be returned to the reactor coolant system by raising the temperature of the anion exchangers. Because the amount of resin in the system is sized to accommodate the maximum required changes, the resin will therefore become saturated at the new temperature level after only a maximum change in concentration. For smaller changes in the reactor coolant boron concentration, the resin bed will not become completely saturated. Incomplete saturation of the resin results in a slow response of the system, after a change in operating temperatures of the resin, which makes the system unsuitable to follow load cycles other than the specific cycle for which it was designed. In accordance with the prior art, dilution of the boric acid concentration in the reactor coolant was accomplished by sending the let-down flow, at relatively low temperatures, to the thermally regenerable ion exchangers. The water loses its content of boric acid which becomes stored on the resin. Water haivng a low concentration of boric acid thus leaves the ion exchangers and is sent back to the volume control tank from which the existing charging system returns the water to the reactor coolant system. The boration flow path through the ion exchanges was the same as during the dilution operation except that the temperature of the flow sent to the thermally regenerable ion exchangers was at a higher level. The water flowing through the ion exchangers would remove boron from the resins and the boron enriched water was returned to the reactor coolant system via the volume control tank and charging pumps. The difficulty with the undirectional flow system of the prior art, as described above, was that the system was of extremely slow response where incomplete saturation of the resins resulted from a previous change in boron concentration. The system was thus unsuitable to follow loads other than load follow operations resulting in equilibration at a saturation level for the column. Moreover, this problem could not be solved by simply allowing flow reversal within the usual vertical column resin bed since a migration of the particles due to separation of the bed on flow reversal would make the results of any particular temperature change extremely unpredictable. SUMMARY OF THE INVENTION The slow response of unidirectional ion exchanges for use with a boron thermal regeneration system can be minimized by the use of resin beds which allow flow in both directions along a chosen flow-path. The resin column is utilized such that hot flow will always enter at one side of the resin bed and cold flow will always enter at the other side of the bed. This will, after reversal in flow direction, immediately result in a low effluent concentration at cold operating conditions and high effluent concentrations at hot operating conditions. A resin tank suitable for practicing the above method of operating a boron thermal regeneration system could include a separator plate which vertically divides the ion exchanger tank into two chambers each of which has a flow conduit affixed in the bottom thereof. The separator plate does not extend to the top of the tank such that flow may pass over the top of this plate in either direction. Such a design minimizes particle migration during reversal of flow. |
042343836 | claims | 1. A nuclear reaction process which comprises establishing, in an inert, refractory reactor vessel, a critical fuel system made of at least a mass comprising at least one nitride of an actinide fuel metal in solid form and, in contact therewith, a solution of the actinide fuel metal in a molten tin solvent, said solid actinide nitride fuel being disposed at the bottom portion of the reactor vessel, maintaining the critical fuel system so that fission continuously occurs, dissolving the metal fission products in said tin solution at a concentration below a critical level, maintaining the fuel system under an atmosphere of nitrogen under conditions of nitrogen pressure ranging from about 0.02 to about 2 atmospheres and of temperatures ranging from about 300.degree. C. to 2000.degree. C. sufficient to precipitate from solution an amount of actinide fuel nitride substantially equal to the loss of actinide fuel metal nitride from fission occurrence. 2. The process of claim 1 wherein the fuel system is in graphite containment and wherein a portion of the molten tin solution so contained is withdrawn from the reactor vessel as the fission process continues, is freed of metal fission product contaminants present therein and is then returned to the reactor vessel. 3. The process of claim 2 wherein removal of the metal fission product impurities include the additional steps of separating said at least one actinide fuel nitride from the residual molten tin solution; further subjecting the latter solution to nitrification under conditions of temperature and nitrogen pressure to effect separation, in solid nitride form, of substantially all nitride-forming metal fission products present in the solution; removing said solid fission product nitrides from the solution; and returning the remaining treated molten tin solution and the separated actinide fuel nitrides as a mixture to the reactor vessel. 4. The process of claim 1 wherein the last-mentioned molten tin actinide fuel nitride mixture is maintained at temperatures ranging between about 1485.degree. C. and 2000.degree. C. and under a low nitrogen pressure to convert the mixture to a solution of actinide metal fuel in the molten tin before being returned to the reactor vessel. 5. The process of claim 1 wherein the actinide is uranium. 6. The process of claim 1 in which the weight ratio of tin solution to actinide nitride is at least three to one. 7. The process of claim 1 in which the dissolved actinide fuel metal is at a concentration no greater than 20% of the tin solution. |
description | The present invention relates to a lower end fitting for reducing flow resistance due to an in-core instrument in a nuclear fuel assembly. An in-core instrument (ICI) is a device for measuring the output of a nuclear reactor by measuring the density and temperature of neutron flux in a core of the nuclear reactor. In the related art, in-core instruments were inserted into a core through the bottom of a reactor vessel, but there was a problem that the substances in the core of a reactor may leak through the hole formed through the bottom of the reactor vessel. In order to solve this problem, all in-core instruments have been disposed close to a core through a hole at the top of a reactor vessel instead of the way of inserting them through the bottom of a reactor vessel. In-core instruments inserted through the closure head reach the inside the nuclear fuel assembly through instrumentation tubes and their ends block cooling holes in the lower end fitting for the nuclear fuel assembly, depending on the insertion positions, so the flow rate of cooling water that flows into the instrumentation tubes may not be sufficiently secured. 1. Korean Patent No. 10-0984018 (registered on Sep. 17, 2010) 2. Korean Patent Application Publication No. 10-2011-0103392 (published on Sep. 20, 2011) 3. Korean Patent Application Publication No. 10-2000-0061665 (published on Oct. 25, 2000) In order to solve the problems in the related art, an object of the present invention is to provide a lower end fitting for reducing flow resistance due to an in-core instrument in a nuclear fuel assembly. In order to accomplish the above object, the present invention provides a lower end fitting for a nuclear fuel assembly of the present invention that has flow holes for cooling an in-core instrument for a nuclear fuel assembly, in which at least two or more flow holes are formed at a predetermined distance from the central axis of an instrumentation tube. In the present invention, the flow holes may be arranged symmetrically around the central axis of the instrumentation tube. According to the lower end fitting of the present invention, a plurality of flow holes for supplying cooling water to an instrumentation tube is circumferentially symmetrically arranged at a predetermined distance from the central axis of an instrumentation tube, so even if an end of the instrumentation tube comes in contact with a flow channel plate, the flow holes are not blocked, so smooth flow of cooling water can be secured and it is possible to reduce vibration of an in-core instrument and prevent frictional damage to the in-core instrument. Specific structures and functions stated in the following embodiments of the present invention are exemplified to illustrate embodiments according to the spirit of the present invention and the embodiments according to the spirit of the present invention can be achieved in various ways. Further, the present invention should not be construed as being limited to the following embodiments and should be construed as including all changes, equivalents, and replacements included in the spirit and scope of the present invention. Further, in the specification, terms including “first” and/or “second” may be used to describe various components, but the components are not limited to the terms. The terms are used to distinguish one component from another component, and for instance, a first component may be referred to as a second component, and similarly, a second component may be referred to as a first component without being depart from the scope according to the spirit of the present invention. It should be understood that when one element is referred to as being “connected to” or “coupled to” another element, it may be connected directly to or coupled directly to another element or be connected to or coupled to another element, having the other element intervening therebetween. On the other hand, it is to be understood that when one element is referred to as being “connected directly to” or “contact directly with” another element, it may be connected to or coupled to another element without the other element intervening therebetween. Expressions for describing relationships between components, that is, “between”, “directly between”, “adjacent to”, and “directly adjacent to” should be construed in the same way. Hereinafter, embodiments of the present invention will be described hereafter in detail with reference to the accompanying drawings. Referring to FIGS. 1, 2A, and 2B, a lower end fitting 100 of a nuclear fuel assembly includes a flow channel plate 120 having a plurality of flow holes 122 on an enclosure 110 and is disposed under a plurality of fuel rods supported a plurality of support grids. According to the present invention, at least two or more flow holes 121 are formed under an assembly groove 131a in which an instrumentation tube 131 is inserted in the flow channel plate 120, and said at least two or more flow holes 121 are formed at a predetermined distance from the central axis C of the instrumentation tube 131. The flow holes 121 may be symmetrically arranged (at 360°/n, n is the number of the flow holes) around the central axis C of the instrumentation tube 131 and may be spaced at the same distance from the central axis C of the instrumentation tube 131. For example, when three flow holes 121 are provided, they may be arranged with an angle of 120° therebetween. Reference numeral ‘131a’ in FIGS. 2A and 2B indicates the assembly groove formed on which the flow channel plate 120 to insert an instrument tube. According to the configuration of the lower end fitting of the present invention, since a plurality of holes is formed at a predetermined distance from the central axis C of the instrumentation tube 131 inside the assembly groove in which the instrumentation tube 131 is inserted, even if an end of an in-core instrument 132 comes in contact with the flow channel plate 120, the flow holes are not blocked, so smooth flow of cooling water can be secured. Further, by the structure in which a plurality of flow holes is arranged symmetrically around the central axis of an instrumentation tube, cooling water can smoothly flow, so it is possible to reduce vibration of the in-core instrument and prevent frictional damage to the in-core instrument. It will be apparent to those skilled in the art that the foregoing present invention is not limited by the foregoing embodiments and the accompanying drawings, and various modifications and changes may be made without departing from the scope and spirit of the invention. <Description of the Reference Numerals in the Drawings>100: Lower end fitting110: Enclosure120: Flow channel plate121: Flow hole131: Instrumentation tube132: In-core instrument |
|
summary | ||
claims | 1. An x-ray system with one or more conditioning filters comprising:an x-ray tube containing:a cathode which supplies electrons; andan anode which can be maintained at high positive electrical potential to the cathode and has a target area which is impacted by electrons from the cathode when the positive potential is maintained generating x-rays;a radiation resistant casing which encloses the x-ray tube; anda window in the radiation resistant casing which allows some of the x-rays generated at the target area to exit the system, with the window having a vapor deposited layer of a filtering metal of sufficient thickness to effectively condition the x-rays passing through the window and located to intercept the x-rays passing through the window; wherein the window is constructed of high purity aluminum; and wherein the vapor deposited layer of filtering metal is selected from the group consisting of high purity copper, molybdenum, tin, titanium, tungsten, and zirconium. 2. The x-ray tube of claim 1 wherein the window is of sufficient thickness to effectively condition the x-rays passing through it. 3. The x-ray system of claim 1 wherein the layer of filtering metal is created by physical vapor deposition. 4. The x-ray system of claim 1 wherein the layer of filtering metal is created by chemical vapor deposition. 5. The x-ray system of claim 1 wherein the layer of deposited filtering metal is at least about 10 microns thick. 6. The x-ray system of claim 1 further including an additional layer over the vapor deposited layer of filtering material that is a second material different from the filtering material. 7. The x-ray system of claim 1 wherein the layer of deposited filtering metal is on the exterior surface of the window. 8. The x-ray system of claim 1 wherein the exterior surface of the window is inset from the exterior surface of the radiation resistant casing. 9. The x-ray system of claim 1 wherein the window and the layer of filtering metal together condition the x-rays passing through them to be suitable for use in the medical imaging of human patients. 10. An x-ray system which generates conditioned x-rays suitable for the medical imaging of human patients comprising:an x-ray tube containing:a cathode which supplies electrons; andan anode which can be maintained at high negative electrical potential to the cathode and has a target area which is impacted by electrons from the cathode when the negative potential is maintained generating x-rays;a radiation resistant casing which encloses the x-ray tube; anda high purity aluminum window in the radiation resistant casing which allows some of the x-rays generated at the target area to exit the system, the window being of sufficient thickness to partially condition the exiting x-rays and having a vapor deposited layer of high purity copper of between about 50 and 150 microns in thickness to complete the conditioning of the x-rays passing through the window and located to intercept the x-rays passing through the window. 11. A process for the construction of an x-ray system with one or more conditioning filters having:an x-ray tube containing:a cathode which supplies electrons; andan anode which can be maintained at high positive electrical potential to the cathode and has a target area which is impacted by electrons from the cathode when the positive potential is maintained generating x-rays;a radiation resistant casing which encloses the x-ray tube; anda window in the radiation resistant casing which allows some of the x-rays generated at the target area to exit the system, with the window having a layer of a filtering metal of sufficient thickness to effectively condition the x-rays passing through the window and located to intercept the x-rays passing through the window, the process comprising vapor depositing the layer of filtering metal on a surface of the window; wherein the window is constructed of high purity aluminum; and wherein the layer of filtering metal is selected from the group consisting of high purity copper, molybdenum, tin, titanium, tungsten, and zirconium. 12. The process of claim 11 wherein the layer of filtering metal is created by physical vapor deposition. 13. The process of claim 12 wherein the physical vapor deposition involves the use of a magnetron. 14. The process of claim 11 wherein the layer of filtering metal is deposited on the exterior surface of the window. 15. The process of claim 11 wherein the layer of filtering metal is created by chemical vapor deposition. 16. The process of claim 11 wherein an additional layer of material is deposited over the layer of filtering metal. 17. The process of claim 16 wherein the additional layer is not the same material as the vapor deposited layer of filtering metal. |
|
summary | ||
044877382 | abstract | A method of producing carrier-free .sup.67 Cu by proton spallation combined with subsequent chemical separation and purification is disclosed. A target consisting essentially of pressed zinc oxide is irradiated with a high energy, high current proton beam to produce a variety of spallogenic nuclides, including .sup.67 Cu and other copper isotopes. The irradiated target is dissolved in a concentrated acid solution to which a palladium salt is added. In accordance with the preferred method, the spallogenic copper is twice coprecipitated with palladium, once with metallic zinc as the precipitating agent and once with hydrogen sulfide as the precipitating agent. The palladium/copper precipitate is then dissolved in an acid solution and the copper is separated from the palladium by liquid chromatography on an anion exchange resin. |
042253896 | claims | 1. A nuclear reactor construction comprising a wall and thermal insulation cladding a surface of said wall, the thermal insulation comprising a plurality of spaced layers of material lying substantially parallel to the wall surface, each layer of material comprising a plurality of rectilinear panels secured to the wall surface in spaced array in vertical and horizontal rows, and closure members for the spaces between the panels, said closure members being cruciform in shape, the edges of adjacent panels being received in elongate channels formed by the longitudinal edges of the arms of the cruciform-shaped closure members whereby peripheral face regions of both sides of each panel in an array are overlapped by the sides of the channels, the cruciform members and panels being stacked and arranged so that successive superposed arms of a stack of cruciform members overlap peripheral regions of both faces of successive panels in an adjacent stack, the panels being spaced from the walls and bases of the channels thereby to create labyrinth barriers to fluid flow through the spaced layers. 2. A nuclear reactor construction according to claim 1 wherein each closure member comprises a pair of superposed cruciform sealing strips and a cruciform spacer disposed intermediate the sealing strips, the sealing strips of each closure member being disposed such that they form the sides of the channels to overlap the peripheral face regions of both sides of complementary panels, and each arm of the cruciform member co-operating with an arm of a neighbouring cruciform member to extend along and overlap adjacent sides of adjacent panels. 3. A nuclear reactor construction according to claim 1 wherein the vertical rows of panels in one layer are displaced relative to the vertical rows of panels in an adjacent layer, the displacement being one half of the pitch of the rows in both horizontal and vertical directions. 4. A nuclear reactor construction according to claim 3 wherein the thermal insulation comprises stainless steel. 5. A nuclear reactor construction according to claim 4 wherein the panels each comprise two opposed membranes sealed together along their edges to define a sachet, and an inert gas enclosed within the sachet. 6. A nuclear reactor construction according to claim 4 wherein the nuclear reactor is of the liquid metal cooled fast breeder kind comprising a fast breeder fuel assembly submerged in a pool of liquid metal coolant within a primary vessel, a barrier surrounding the fuel assembly thereby defining inner and outer regions of the pool and a coolant pump in the outer region for circulating coolant through the sub-assembly and through a heat exchanger disposed in the inner region and wherein the inner wall surface of the barrier is clad with said thermal insulation. 7. A nuclear reactor construction according to claim 5 wherein the nuclear reactor is of the liquid metal cooled fast breeder kind comprising a fast breeder fuel assembly submerged in a pool of liquid metal coolant within a primary vessel, a barrier surrounding the fuel assembly thereby defining inner and outer regions of the pool and a coolant pump in the outer region for circulating coolant through the sub-assembly and through a heat exchanger disposed in the inner region and wherein the inner wall surface of the barrier is clad with said thermal insulation. |
046801669 | description | In the drawings, details and devices which are not required for the purposes of understanding the invention are omitted for the sake of improved clarity. DESCRIPTION OF THE PREFERRED EMBODIMENTS OF THE INVENTION Referring to FIG. 1, two conduit ends 7 and 9 of respective conduit lengths 8 and 10 are bent through 90.degree. and terminate in end flanges 11 and 13, respectively, welded thereto. The conduit ends 7 and 9 extend from a rack or frame structure 5 mounted in a large-area cell 40 for reprocessing irradiated nuclear fuel. The frame structure 5 accommodates process components (not shown herein) for the chemical reprocessing of nuclear fuels. The two end flanges 11 and 13 are disposed in a common horizontal plane and are connected together by a conduit connecting unit 15 having a conduit portion 17 thereby forming a continuous conduit made up of conduit portion 17 and conduit lengths 8 and 10. which carries the medium to be processed. The conduit connecting unit 15 is of a bent configuration for the purposes of giving a better position for the center of gravity thereof and which has end flanges 19 and 21 which are welded thereon at the respective ends thereof. The two end flanges 19 and 21 are each connected to a respective end flanges 11 and 13 of the conduits ends 7 and 9 on the rack side, by threaded bolts 23. A bracket 25 is attached to the conduit connecting unit 15 in an aligned position relative to the center of gravity. At its center the bracket 25 has a carrying eye or lug 27 which is welded thereto and which can be engaged by a load hook of a lifting device. At their sides, the end flanges 19 and 21 have respective guide pins 29 and 31 welded thereto. The guide pins 29 and 31 are parallel to the respective axes of the throughflow bores of the end flanges 19 and 21. Each guide pin 29 and 31 lies in respective guide cradles 33 which are suitably mounted laterally on the respective end flanges 11 and 13. At the rearward end, towards the rack 5, the guide cradles 33 terminate in respective catch rings 35. Each guide pin 29 and 31 lies in the corresponding guide cradle 33 and also projects through the corresponding catch ring 35 and beyond the same. FIG. 2 shows that the pair of flanges 13 and 21 couples a conduit end 9 and conduit portion 17 which extend from the center point of the pair of flanges 13 and 21. Arranged around the conduit portion 17 are three threaded bolts 23 which have their actuating hexagon away from the end flange side of the conduit connecting unit. Provided in the end flange 21 are through bores while the corresponding threaded bores are provided in the end flange 13. The threaded bolts 23 are secured on the back of the end flange 13 by means of suitable nuts 41. FIG. 3 shows the guide pin 31 with the threaded bolts 23 removed. The modified embodiment shown in FIG. 4 is used for connecting conduit connections which are not arranged in parallel-axial relationship to each other. By means of the centering and manipulating arrangement of which FIG. 4 only shows the parts provided on the respective end flanges 51 and 53 of the conduit connecting unit 59, namely the guide pins 55 and 57 respectively, it is possible for such conduit connections which are inclined relative to each other to be connected together. This conduit connecting unit 59 also carries, at its center of gravity, a carrying lug 61 which can be engaged by a load hook. The guide pins 55 and 57 respectively are provided on their surface with barb-like notches 63 which, when the flange pairs are in the condition of being threadably disengaged from each other, effectively prevent the conduit connecting unit 59 from falling out because the notches 63 hook onto the upper edge of the respective catch ring at the end of the guide cradle. Dismantling of the conduit connecting unit 15 (FIGS. 1 to 3) takes place in the fashion described below. Using a lifting device, the operator brings a remotely controllable impact wrench to the end flange side of the conduit connecting unit 15 of one of the two pairs of flanges (11, 19). The three threaded bolts 23 are threadably disengaged and entirely removed from the threaded bores in the end flange 11, The pins or bolts 23 remain secured in position in the through bores in the end flange 19. The operator then moves the impact wrench to the other pair of flanges (13, 21) and there repeats the above-described operation. Although the threaded fastener connections between the conduit connecting unit 15 and the fixed flanges 11 and 13 are now disengaged, the conduit connecting unit is secured against dropping down by virtue of the guide pins 29 and 31 which are still in the inserted condition. The operator moves the impact wrench to a storage position by means of the lifting device and now uses the same lifting device for engaging the conduit connecting unit 15 at its carrier lug 27. The guide pins 29 and 31 come out of the catch rings by movement away from the fixed flanges 11 and 13 and the conduit connecting unit 15 can now be removed. A new conduit connecting unit 15 is brought up to the point of connection by picking it up at its carrying lug 27 by means of a lifting device which has a load hook. The operator places the conduit connecting unit 15 with the guide pins 29 and 31 substantially centrally on the periphery of the end flanges 11 and 13 and, by lowering the conduit connecting unit 15, permits the guide pins 29 and 31 to slide down on the outside periphery of the flanges so that the guide pins positively engage into the guide cradles. After that, a forward movement is performed in the direction towards the end flanges 11 and 13 by means of the lifting device, so that the guide pins 29 and 31 pass into the respective catch rings 35 and are secured therein. The crane hook of the lifting device is removed from the lug 27 and the lifting device is used to pick up an impact wrench and move it to the end flange side of the conduit connecting unit 15 of a pair of flanges (11, 19). The threaded fasteners 23 are screwed into the end flange 11 and then the same operation is carried out on the other pair of flanges (13, 21). The conduit connecting unit 15 has been quickly and safely replaced. It is understood that the foregoing descripton is that of the preferred embodiments of the invention and that various changes and modifications may be made thereto without departing from the spirit and scope of the invention as defined in the appended claims. |
050013513 | description | An object holder as shown in the FIG. 1 comprises a supporting plate 2 on which an X-guide 6 is mounted, preferably by way of a bracket 4 connected to the rear side. An X-transporter 8 is displaceable along the X-guide, for example in known manner by means of rollers 9, over a distance of up to, for example 50 mm or more. The X-transporter 8 is driven by a motor 10 via a transmission 12. In order to save space, the motor 10 is arranged parallel to the X-guide, but this is irrelevant for the invention. On a side which faces the supporting plate 2, FIG. 1A the X-transporter 8 is provided with preferably three magnets 16 (for kinematic reasons), which magnets bear on the supporting plate via intermediate spacers 18 and are pressed against the supporting plate by the magnetic force produced by the magnets. It is thus achieved that the X-transporter always moves exactly parallel to the supporting face 14. The flatness of the supporting plate 2 at the area of the supporting face determines the accuracy of displacement. Such a plate, being made of a magnetic material in order to realize the magnetic pressing force, can be worked so as to be flat in an extremely accurate as well as comparatively inexpensive manner. A layer against corrosion of notably the supporting face can be locally provided. If desirable, in order to achieve an adjustable pressing force use can also be made of magnets to be energized by coils, a minimum energization being substained in order to prevent the transporter from coming loose from the supporting plate; this can alternatively be ensured by a permanent sub-magnet. The X-transporter supports an Y-guide 20 for guiding an Y-transporter 22 which is displaceable along the Y-guide, via wheels (not shown), in exactly the same way as the X-transporter. The Y-transporter is also pressed against the supporting face 14 of the supporting plate 2 by way of magnets 16 and spacers 18 (not separately shown). Therefore, also the Y-transporter will always move parallel to the supporting face 14 and rotation or tilting errors will not be summed. Rotations about an axis transversely of the supporting plate are determined as a function of the degree of straightness of the guides; such straightness can also be comparatively simply realized. The Y-transporter is driven by a motor 24 which drives a spindle 28 via a transmission 26. The Y-transporter supports an object table 30 on which an object, for example a wafer can be mounted, for example on supporting points 32. In the embodiment shown the object table 30 is rotatable about an axis 36 extending transversely of the supporting face 14. To this end, the supporting plate supports a gearwheel 38 which is driven by a motor 40, via a spindle 42 which is supported by a holder 44 and which realizes a rotation of, for example up to 360.degree.. As a result of this construction, an object arranged on the object table can be rotated into any desirable X-Y position in the radiation beam path. To this end, the rotary shaft 34 of the supporting plate is connected to a support 46 for the object holder. Via this support, the object holder can be mounted on or in an apparatus, for example an X-ray analysis apparatus, a wafer inspection apparatus and the like. When the object table is mounted so as to be tiltable about an axis 48 parallel to the supporting face as shown, the rotary shaft 34 is coupled via a bracket 50. Using a drive motor not shown, the bracket 50 is tiltable, together with the supporting table and the X-Y translation mechanism, through an angle of, for example plus and minus 10.degree. with respect to a vertical zero position of the supporting plate. In order to offer free passage to a radiation beam, bushes 52 for tilting are provided with lateral apertures 54. An object carrier in accordance with the invention can be successively used in X-ray analysis apparatus, in wafer inspection apparatus and the like where an object carrier of the described kind can be mounted on or in such an apparatus by means of the support 46. An object to be examined may then also be accommodated in a space to be evacuated. |
abstract | A method of constructing a nuclear reactor module includes providing formwork defining a chamber in which is mounted a nuclear reactor comprising a nuclear reactor pressure vessel configured to contain nuclear fuel when in use, the formwork being housed within a containment structure configured to contain an internal pressure generated by an escape of coolant from a reactor coolant circuit. The method further includes filling one or more voids within the formwork with concrete through at least one concrete supply pipe that extends from outside of the containment structure, through the containment structure, and to the formwork; and venting the one or more voids within the formwork through one or more vent pipes, thereby forming a concrete support structure for the nuclear reactor. |
|
description | This disclosure relates to mask making for manufacturing integrated circuits (ICs). More specifically, this disclosure relates to manufacturing ICs using a hessian free calculation to determine the product of a hessian matrix and vector. Lithography, also termed as “optical lithography” or simply “lithography,” is a process used in semiconductor manufacturing. Desired ICs are designed as “design patterns” that include geometric features (e.g., lines, dots, and shapes) representing the circuits, and the design patterns can be transferred onto a substrate (e.g., a silicon wafer) in an optical process followed by physical and chemical processes (e.g., exposure, development, or etching) to turn the transferred patterns (referred to as “substrate patterns”) into a manufactured circuit. The optical process and the following physical and chemical processes can be integrally referred to as a “pattern transfer process.” In the pattern transfer process, the design patterns can be transferred to a “photomask” (or “mask”) before being transferred to the substrate. The process of transferring the design patterns onto the mask can be termed as “mask making” or “mask writing.” The transferred patterns on the mask (referred to as “mask patterns”) can be transferred to the substrate by illuminating the same using a light source (e.g., an ultraviolet or UV light, a deep ultraviolet or DUV light, or an extreme ultraviolet or EUV light) and projecting the transmitted light onto the substrate for exposure using an optical system Disclosed herein are aspects, features, elements, implementations, systems, and embodiments for adjusting parameters of a binarization optimization method using Hessian free computations. An aspect of the disclosed embodiments is a method for optimizing a binary mask pattern. The method includes determining, by a processor, an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on the binary mask pattern. The method also includes, based on the evaluation value, using, by the processor, a gradient-based optimization method to generate a first adjusted binary mask pattern. The method also includes determining, by the processor, a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern. The method also includes, based on the first updated evaluation value, using, by the processor, a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern. The method also includes simulating, by the processor, a second updated substrate pattern based on the second adjusted binary mask pattern. Another aspect of the disclosed embodiments is an apparatus for optimizing a binary mask pattern. The apparatus includes a processor and a memory coupled to the processor. The memory is configured to store instructions which, when executed by the processor, become operational with the processor to: determine an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on a binary mask pattern; based on the evaluation value, use a gradient-based optimization method to generate a first adjusted binary mask pattern; determine a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern; based on the first updated evaluation value, use a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern; and simulate a second updated substrate pattern based on the second adjusted binary mask pattern. Another aspect of the disclosed embodiments is a non-transitory computer-readable storage medium. The non-transitory computer-readable storage medium includes instructions for optimizing a binary mask pattern, which instructions, when executed by a processor, become operational with the processor to: determine an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on a binary mask pattern; based on the evaluation value, use a gradient-based optimization method to generate a first adjusted binary mask pattern; determine a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern; based on the first updated evaluation value, use a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern; and simulate a second updated substrate pattern based on the second adjusted binary mask pattern. Variations in these and other aspects, features, elements, implementations, systems, and embodiments of the methods, apparatus, procedures, and algorithms disclosed herein are described in further detail hereafter. FIG. 1 shows an example lithography system 100. The light source 102 emits light that passes through a lens system 104 (e.g., a condenser lens system). The lens system 104 can transform the light as collinear. The collinear light illuminates a mask 106. The mask 106 can include patterns or shapes (referred to as “mask patterns”) representing desired shapes to be transferred onto a substrate (e.g., a silicon wafer). The material (e.g., quartz) of the mask 106 can be made to have different transmittances in different regions to represent the mask patterns. The light passing through the mask 106 carries the information of the mask patterns, which can form an image near the surface of a downstream side of the mask 106, which can be referred to as a “mask image.” After passing through an aperture 108 and an objective lens system 110, the mask image can be focused to form an aerial image 112 (represented as intensity distributions in FIG. 2) above a photoresist 114 coated upon a substrate 116. The incident light can expose regions of the photoresist 114 that modify their chemical properties. The modified photoresist 114 can be removed by applying a chemical solution (referred to as a “developer”) to the exposed regions of the photoresist 114, which exposes regions of the substrate underneath. The exposed regions of the substrate 116 can be etched (e.g., by an acid), while the unexposed regions of the substrate 116 can be protected by the unmodified regions of the photoresist 114. After the etching, the unmodified regions of the photoresist 114 can be removed by a chemical (referred to as a “resist stripper”), after which the mask patterns are transferred to the substrate (referred to as “substrate patterns”). The mask 106 can be used in optical lithography (referred to as an “optical mask”). A mask writing process can convert design patterns (e.g., including polygons) into the mask patterns. During the mask writing process, the design patterns can be transferred onto a photoresist layer of a mask blank (e.g., a quartz substrate covered with a layer of chromium) using a light beam (e.g., in a laser writer) or an e-beam (e.g., in an e-beam writer). The beam can be controlled to move across the surface of the photoresist layer in a predetermined scan manner (e.g., a raster scan manner). The photoresist layer on the mask can be modified. In a process similar to developing, etching, and resist stripping, the chromium layer can be etched to have the transferred design patterns that are able to transmit light. Optical proximity correction (OPC) is one of the resolution enhancement techniques (RET) used for mask making to improve the fidelity of the substrate patterns during pattern transfer, especially for small-dimension mask patterns. Due to diffraction of light, the mask image can be different from the design patterns, which can be propagated to the aerial image. OPC can pre-compensate for such optical effects in addition to following physical and chemical effects in the pattern transfer process. OPC can modify the polygons of the mask patterns by fragmenting (or “bisecting”) edges of the polygons (e.g., rectangles) into segments. For example, an edge of a polygon can be bisected into 5 or 6 segments, or a polygon can be bisected into 20 to 30 segments in total. Each segment can be a variable (referred to as an “optimization variable”) for mask image optimization. The segments can be added, subtracted, moved, merged, or rotated, independently or interdependently, such as by lengthening an edge, displacing an edge (referred to as “edge-biasing”), creating serifs at corners, or adding sub-resolution assistant features (SRAF) to the main patterns. As used herein, the term “main pattern” refers to the mask patterns to be transferred onto the substrate. The SRAFs can modify the substrate patterns in the optical process but are not transferrable to the substrate. After adjusting the segments, simulations (e.g., rule-based or model based simulations) can be performed to simulate the substrate patterns transferred from the OPC-optimized mask patterns. For example, the polygons in the mask pattern can be rendered (also referred to as “dithered”) to form a mask image, and the mask image can be transform to the substrate pattern in following simulations. The OPC-based mask pattern optimization can be repeated until the substrate patterns are deemed as sufficiently similar to the design patterns (e.g., the difference between them falls under a threshold). The polygons of the mask patterns typically have angles of 0° and 90° (referred to as “Manhattanized polygons”). As the semiconductor manufacturing approaches smaller nodes, free-form shapes are introduced to the design patterns for representing IC structures with small dimensions. As used herein, the term “free-form” refers to an arbitrary form of a shape, which can include curves and have no limitation on the angles of the shape. Due to limitations of manufacturing techniques, free-form shapes are difficult to be transferred to the mask in mask making. To represent the free-form shape in the mask patterns, curve-linear shapes are introduced for approximating the free-form shapes. As used herein, the term “curve-linear” refers to feature of a set of linear segments assembled with arbitrary angles for approximating a curve of a free-form shape (e.g., approximating a circle using a set of short segments connected head-to-tail). In addition to curve-linear shapes, to cope with the optical proximity effects caused by the shrinking dimensions of the mask patterns, a first co-optimization can be performed in which the main patterns and the SRAFs are simultaneously adjusted in the OPC process. The main patterns and the SRAFs, alone or in combination, can be curve-linear. The efficiency of OPC can deteriorate due to the introduced curve-linear shapes and the increasing complexity of the mask patterns, such as an increasing number of the optimization variables (e.g., the segments), or an increasing degrees of freedom (DOF) of each variable (e.g., due to the introduction of curve-linear shapes). In addition, interdependency of the optimization variables (e.g., optical proximity effects becoming more significant due to reduced distances between the segments in an area) can increase as the dimensions of the mask pattern shrink, therefore, a second co-optimization process can be performed to simultaneously optimize the interdependent optimization variables in an area. Due to the aforementioned reasons, it can be difficult for the OPC to perform the massive-variable optimization, the first co-optimization, and the second co-optimization effectively and efficiently. To cope with the aforementioned difficulties, alternative computational techniques are implemented. Inverse lithography technology (ILT) is one of such techniques. ILT is a process window based optimization method that can be used to improve substrate pattern fidelity. As used herein, the term “process window” refers to a range of values of parameters (referred to as “process parameters”) that allows an IC to be manufactured and operate under designed specifications. ILT can be used to optimize mask image for controlling process parameters of a pattern transfer process to be within tolerance allowance. The ILT process can be implemented herein as a software or hardware module. Design patterns can be inputted to an ILT module to perform an image-based optimization for generating an optimized mask image (e.g., a high-resolution mask image). ILT can be implemented based on modeling (e.g., transformation functionals) of a lithography process. For example, the modeling can simulate electromagnetic, optical, chemical, and/or lithographic transfer effects. Because the forward transfer is many-to-one (e.g., many different mask images can produce the same substrate patterns), the ILT can also be considered an optimization technique. ILT can be used to optimize the mask patterns on a pixel level, in which the dithering of the polygons in the OPC-based optimization can be omitted. The physical size of the pixels can be determined based on a domain (e.g., a size) and fineness (i.e., resolution) of the mask image. Each pixel can be an optimization variable. By controlling the fineness of the mask image, the optimization variables (e.g., a total number of pixels) used in ILT can be fewer than the optimization variables (e.g., a total number of segments of polygons) used in OPC, by which the efficiency of mask pattern optimization can be improved. However, for mask making (e.g., in a mask shop), the polygons of the mask patterns are still needed as an input. To provide the polygons to the mask shop, the pixelated mask image optimized using ILT can be binarized to extract the polygons. During the extraction, the polygons can be extracted as curve-linear shapes. The extracted polygons can include any generic geometric shapes, such as the main patterns and SRAFs. The binarized mask image can include binary (e.g., black and white) mask patterns. The binary mask patterns (e.g., main patterns and/or SRAFs) can be curve-linear. For example, the mask pattern can be determined as pixels at a boundary determined between a bright region and a dark region of the optimized mask image. The binarization can be implemented as an optimization process based on a fast marching method (FMM), a level-set based optimization method, or other suitable optimization method. The binary mask patterns can be extracted with high efficiency and high accuracy, and provided to the mask shop for mask making. The extracted mask patterns can include any combination of any number of main patterns, SRAFs, or any geometric shape. The optimization process can automatically adjust parameters for the binarization, by which the difference can be minimized between the optimized mask image and an image simulated from the binary mask patterns (referred to as a “simulated substrate image”). In some implementations, as will be described, after a substrate pattern is generated using the optimized mask image, the substrate pattern is compared to the design pattern corresponding to the optimized mask image. The substrate pattern is compared to the design pattern to determine an evaluation value. The evaluation value can represent the similarity between the substrate pattern and the design pattern. The evaluation value may be compared to a threshold value. If the evaluation value is less than the threshold value, the substrate pattern can be determined as being sufficiently similar to the design pattern. Conversely, if the evaluation value is greater than or equal to the design pattern, the substrate can be determined as being not sufficiently similar to the design pattern. If the substrate pattern is not sufficiently similar to the design pattern, one or more parameters of the binarization optimization process may be adjusted and a subsequent, adjusted, optimized mask image is generated. Methods, apparatuses, and systems are disclosed herein for adjusting parameters of the binarization optimization process for generating optimized mask images. For example, the parameters of the binarization optimization process may be adjusted such that a subsequent binary mask pattern generated using the binarization optimization process results in a binary mask pattern having adjusted parameters corresponding to the adjusted parameters of the binarization optimization process. In some implementations, the one or more parameters of the binarization optimization process may be adjusted using any suitable optimization method. For example, the one or more parameters of the binarization optimization process may be adjusted using a gradient-based optimization method. The gradient-based optimization method can include Newton's method, a quasi-Newton method, a gradient decent method, a conjugate gradient method, other suitable gradient methods, or any combination of any optimization methods that use a gradient of the optimization variables of the binarization optimization process. In some implementations, the one or more parameters of the binarization optimization method can be adjusted using a quasi-Newton method, such as a Broyden-Fletcher-Goldfarb-Shanno (BFGS) method, as will be described in detail, to generate an adjusted binarization optimization method. The adjusted binarization optimization method can be used to generate an adjusted optimized mask image based on the corresponding design pattern. An adjusted substrate pattern is generated using the adjusted optimized mask image. In some implementations, the adjusted substrate pattern is compared to the design pattern corresponding to the adjusted optimized mask image to determine an adjusted evaluation value. In some implementations, the adjust evaluation value is compared to the threshold value, described above. If the adjusted evaluation value is less than the threshold value, the adjusted substrate pattern can be determined as being sufficiently similar to the design pattern. Conversely, if the adjusted evaluation value is greater than or equal to the threshold value, the adjusted substrate pattern can be determined as being not sufficiently similar to the design pattern. If the adjusted substrate pattern is not sufficiently similar to the design pattern, one or more parameters of the adjusted binarization optimization method may be adjusted in order to reduce the adjusted evaluation value (e.g., to make the resulting adjusted substrate pattern more similar to the corresponding design pattern). In some implementations, the one or more parameters of the adjusted binarization optimization method can be adjusted by subsequently using the Broyden-Fletcher-Goldfarb-Shanno (BFGS) method. In some implementations, the one or more parameters of the adjusted binarization optimization method can be adjusted using a Hessian computation (e.g., a second-order differential computation). However, Hessian computations can be time and resource consuming. Accordingly, methods, apparatuses, and systems are disclosed herein for adjusting parameters of the adjusted binarization optimization method using Hessian free computations. FIG. 2 is a diagram of an example apparatus 200 for generating a dose map according to implementations of this disclosure. The apparatus 200 can include any number of any configurations of computing devices, such as a microcomputer, a mainframe computer, a supercomputer, a general-purpose computer, a special-purpose/dedicated computer, an integrated computer, a database computer, a remote server computer, a personal computer, or a computing service provided by a computing service provider, for example, a web host, or a cloud service provider. In some implementations, the computing devices can be implemented in the form of multiple groups of computers that are at different geographic locations and can communicate with one another, such as by a network. While certain operations can be shared by multiple computers, in some implementations, different computers can be assigned to different operations. In some implementations, the apparatus 200 can be implemented using general-purpose computers/processors with a computer program that, when executed, carries out any of the respective methods, algorithms and/or instructions described herein. In addition, for example, special-purpose computers/processors, which can contain specialized hardware for carrying out any of the methods, algorithms, or instructions described herein, can be utilized. The apparatus 200 can have an internal configuration of hardware including a processor 202 and a memory 204. The processor 202 can be any type of device capable of manipulating or processing information. In some implementations, the processor 202 can include a central processing unit (CPU). In some implementations, the processor 202 can include a graphics processor (e.g., a graphics processing unit or GPU). For example, the GPU can provide additional graphical processing capability for at least one of pattern rendering, dose map optimization, mask-making simulation, substrate-manufacturing simulation, mask image generation, and binarization optimization parameter adjusting. Although the examples herein are described with a single processor as shown, advantages in speed and efficiency can be achieved using multiple processors. For example, the processor 202 can be distributed across multiple machines or devices (in some cases, each machine or device can have multiple processors) that can be coupled directly or connected to a network. The memory 204 can be any transitory or non-transitory device capable of storing codes and data that can be accessed by the processor (e.g., via a bus). For example, the memory 204 can be accessed by the processor 202 via a bus 212. Although a single bus is shown in the apparatus 200, multiple buses can be utilized. The memory 204 herein can be a random-access memory device (RAM), a read-only memory device (ROM), an optical/magnetic disc, a hard drive, a solid-state drive, a flash drive, a security digital (SD) card, a memory stick, a compact flash (CF) card, or any combination of any suitable types of storage devices. In some implementations, the memory 204 (e.g., a network-based or cloud-based memory) can be distributed across multiple machines or devices. The memory 204 can store data 2042, an operating system 2046, and an application 2044. The data 2042 can be any data for processing (e.g., computerized data files or database records). The application 2044 can include programs that permit the processor 202 to implement instructions to perform functions described in this disclosure. For example, when the application 2044 is run, a set of algorithms, processes, or steps can be executed for dose map creation, dose map optimization, mask-making process simulation, and substrate-manufacturing simulation mask image generation, and binarization optimization parameter adjusting. In some implementations, in addition to the processor 202 and the memory 204, the apparatus 200 can include a secondary (e.g., additional or external) storage device 206. The secondary storage device 206 can provide additional storage capacity for high processing needs. The secondary storage device 206 can be a storage device in the form of any suitable transitory or non-transitory computer-readable media, such as a memory card, a hard disk drive, a solid-state drive, a flash drive, or an optical drive. Further, the secondary storage device 206 can be a component of the apparatus 200 or can be a shared device that can be accessed via a network. In some implementations, the application 2044 can be stored in whole or in part in the secondary storage device 206 and loaded into the memory 204. For example, the secondary storage device 206 can be used for a database. In some implementations, in addition to the processor 202 and the memory 204, the apparatus 200 can include an output device 208. The output device 208 can be, for example, a display coupled to the apparatus 200 for displaying graphics data. If the output device 208 is a display, for example, it can be a liquid crystal display (LCD), a cathode-ray tube (CRT) display, or any other output device capable of providing a visible output to an individual. The output device 208 can also be any device transmitting visual, acoustic, or tactile signals to a user, such as a touch-sensitive device (e.g., a touchscreen), a speaker, an earphone, a light-emitting diode (LED) indicator, or a vibration motor. In some implementations, the output device 208 can also function as an input device (e.g., a touch screen display configured to receive touch-based input). For example, the output device 208 can include a display that can display images, simulation results, simulation parameters, or a combination thereof. The output device 208 can enable a user (e.g., a mask design engineer) to assess the current status of the optimization of the binarization optimization and/or a corresponding evaluation value. In some implementations, the output device 208 can also function as a communication device for transmitting signals and/or data. For example, the output device 208 can include a wired means for transmitting signals or data from the apparatus 200 to another device. For another example, the output device 208 can include a wireless transmitter using a protocol compatible with a wireless receiver to transmit signals from the apparatus 200 to another device. In some implementations, in addition to the processor 202 and the memory 204, the apparatus 200 can include an input device 210. The input device 210 can be, for example, a keyboard, a numerical keypad, a mouse, a trackball, a microphone, a touch-sensitive device (e.g., a touchscreen), a sensor, or a gesture-sensitive input device. Any type of input device not requiring user intervention is also possible. For example, the input device 210 can be a communication device, such as a wireless receiver operating according to any wireless protocol for receiving signals. The input device 210 can output signals or data, indicative of the inputs, to the apparatus 200, for example, via the bus 212. For example, a user or operator can provide simulation-related information to the apparatus 200 via the input device 210. For another example, the input device 210 can also be an interface (e.g., a scanner) that can enable a user to provide images to the apparatus 200 related to the mask image generate. In some implementations, in addition to the processor 202 and the memory 204, the apparatus 200 can optionally include a communication device 214 to communicate with another device. Optionally, the communication can occur via a network 216. The network 216 can include one or more communications networks of any suitable type in any combination, including, but not limited to, Bluetooth networks, infrared connections, near-field connections (NFC), wireless networks, wired networks, local area networks (LAN), wide area networks (WAN), virtual private networks (VPN), cellular data networks, or the Internet. The communication device 214 can be implemented in various ways, such as a transponder/transceiver device, a modem, a router, a gateway, a circuit, a chip, a wired network adapter, a wireless network adapter, a Bluetooth adapter, an infrared adapter, an NFC adapter, a cellular network chip, or any suitable type of device in any combination that can communicate with the network 216. For example, the communication device 214 can connect to a mask maker via the network 216 to send the generated or optimized dose map to a multi-beam mask writer. For another example, the communication device 214 can also be connected to another computing device including an Electronic Design Automation (EDA) tool that can generate a target substrate design based on one or more layers of the desired IC design. For another example, remote control instructions can be received by the communication device 214 from another computing device connected to the network 216 for remote control of the apparatus 200. The apparatus 200 (and algorithms, methods, instructions, etc., stored thereon and/or executed thereby) can be implemented as hardware modules, such as, for example, intellectual property (IP) cores, application-specific integrated circuits (ASICs), programmable logic arrays, optical processors, programmable logic controllers, microcode, firmware, microcontrollers, servers, microprocessors, digital signal processors, or any other suitable circuit. Further, portions of the apparatus 200 do not necessarily have to be implemented in the same manner. FIG. 3 is a diagram of an example process 300 for determining a binary mask pattern according to implementations of this disclosure. In the process 300, design patterns 302 can be used to determine an optimized mask image that includes optimized mask patterns 304. The optimized mask patterns 304 can be binarized to extract binary mask patterns 306. The binary mask patterns 306 can be used (e.g., provided to a mask shop) as an input for mask making. In some implementations, the design patterns 302 can be retrieved from a database (e.g., stored in the storage device 206 of the apparatus 200). For example, the design patterns 302 can include symbolic or vectorized data (e.g., in Graphic Data System or GDS, or GDSII data format). The design patterns 302 can include any geometric shape having any geometric features (e.g., points, lines, or curves). For example, the design patterns 302 can include a set of rectangles, as shown in FIG. 3. In some implementations, an ILT process can be used to determine the optimized mask image. The design patterns 302 can be used as input of the ILT process. The optimized mask patterns 304 can be pixelated. The optimized mask patterns 304 can be represented by black-and-white pixels, grayscale pixels, or color pixels. For example, the optimized mask patterns 304 can include a set of grayscale ellipses corresponding to the design patterns 302, as shown in FIG. 3. For a negative mask image, the brightness of pixels is negatively correlated with the luminosity of a region illuminated by light passing through the mask. For example, dark (e.g., black or deep gray) pixels represent regions through which light passes the mask fully or partially, and bright (e.g., white or light gray) pixels represent regions in which the light is fully or partially blocked by the mask. The darker a pixel is, the brighter the point represented by the pixel is illuminated by the light. For a positive mask image, the brightness of pixels is positively correlated with the luminosity of the region illuminated by the light passing through the mask. In FIG. 3, the optimized mask image is a negative mask image, as partially shown by the optimized mask patterns 304. For ease of explanation without causing ambiguity, the mask image will be described as a negative image hereinafter unless explicitly stated otherwise. In some implementations, the extracted binary mask patterns 306 can be curve-linear. As shown in FIG. 3, the binary mask patterns 306 can include a binary mask pattern 308. The arrow in the binary mask patterns 306 points to an enlarged diagram of the binary mask pattern 308, which shows that the binary mask pattern 308 is curve-linear. The binary mask patterns 306 can affect the fidelity of pattern transfer. To achieve a high-fidelity pattern transfer for production-level lithography, the binary mask patterns 306 can be adjusted (e.g., by changing numbers, lengths, angles, or relative positions of segments) to pre-compensate (e.g., to cancel out as much as possible) errors caused by optical, chemical, and physical effects in the pattern transfer process. The adjustment can be implemented as an optimization process. During the optimization process, a substrate image that includes substrate patterns can be simulated using the binary mask patterns 306. The substrate patterns can be compared with the design patterns 302, and an evaluation value can be determined to represent similarity between the two. If the similarity between the design patterns 302 and the substrate patterns is deemed as insufficient (e.g., the evaluation value is below a threshold), the binary mask patterns 306 can be adjusted with the aim of increasing the similarity. The adjusted binary mask patterns 306 can be used to re-simulate the substrate image, and the optimization process can be iterated until the similarity is deemed as sufficient (e.g., the evaluation value is above or equal to a threshold), as will be described. By that time, the optimized binary mask patterns 306 can be outputted for mask making. According to the methods, apparatuses, and systems disclosed herein, the adjustment of the binary mask patterns 306 can be implemented with or without using OPC. The optimization process of the binary mask patterns 306 will be described as follows. FIG. 4 is a flowchart of an example process 400 for determining a binary mask pattern from a pixelated mask pattern according to implementations of this disclosure. The process 400 can be implemented in hardware or software. For example, the process 400 can be implemented in software stored as instructions and/or data in the memory 204 and executable by the processor 202 of the apparatus 200. For another example, the process 400 can be implemented in hardware as a specialized chip storing instructions executable by the specialized chip. At operation 402, arrival values for pixels of a portion of the pixelated mask pattern are determined based on an optimization method, such as a fast marching method (FMM), or other suitable method as described above. The optimization method can be applied to the pixelated mask pattern to determine arrival values for points (e.g., pixels) of the pixelated mask pattern. In some implementations, the optimization method can be implemented similarly to the optimization method operation 602, as will be described in details below in FIGS. 6 and 8. The portion can be a partial or whole region of the pixelated mask pattern. For example, the arrival values can be the arrival times 604, as will be described in details below in FIG. 6. For another example, the pixelated mask pattern can be the optimized mask patterns 304 or the optimized mask pattern 502, as will be described in details below in FIGS. 3-5. In some implementations a ridge point can be determined as the brightest pixel of the portion or the darkest pixel of the portion. Speed values associated with the pixels of the portion can be determined based on image characteristics of the pixels of the portion and distances between the ridge point and the pixels of the portion. The arrival values can be determined based on the speed values and the distances. For example, the ridge point can be the ridge point 802, as will be described in details below in FIG. 8. The image characteristics can include at least one of brightness of a pixel, an intensity of the pixel, a color of the pixel, and an image gradient associated with the pixel. The image gradients can be indicative of changes associated with the pixels in at least one of the brightness of the pixels, the intensities of the pixels, and the colors of the pixels. In some implementations, the pixelated mask pattern can be determined based on the design pattern using the ILT. For example, the pixelated mask pattern can be determined using the process 700, as will be described in details below in FIG. 7. At operation 404, the binary mask pattern can be determined based on the arrival values determined based on the optimization method. In some implementations, the operation 404 can be implemented similarly to the binarization operation 504, as will be described in details below FIGS. 5-7. For example, the binary mask pattern can be the binary mask pattern 308 or the binary mask pattern 506, as will be described in details below in FIGS. 3-5. The binary mask pattern can be curve-linear. In some implementations, the binary mask pattern can include at least one of a main pattern and an SRAF. In some implementations, a segment of the binary mask pattern can be determined as pixels of the portion having the same predetermined value as the arrival values. For example, the segment of the binary mask pattern can be determined as the contour 808, as will be described in details below in FIG. 8. At operation 406, at least one of the arrival values is updated based on a comparison between a design pattern corresponding to the pixelated mask pattern and a substrate pattern simulated based on the binary mask pattern. In some implementations, the simulation can be implemented as the simulation operation 508, as will be described in details below in FIG. 5. For example, the comparison can be implemented similarly to the comparison operation 512, as will be described in details below in FIG. 5. The design pattern corresponding to the pixelated mask pattern can be one of the design patterns 302 or the design pattern 702, as will be described in details below in FIGS. 3 and 7. For another example, the substrate pattern can be the substrate pattern 510, as will be described in details below in FIGS. 5 and 9. In some implementations, the comparison between the design pattern and the substrate pattern can include determining an evaluation value based on at least one of an EPE (edge placement error) of the substrate pattern (e.g., EPEs), a nominal process window condition, a MEEF, and a side-lobe printing indication value indicative of a possibility of side-lobe printing in the substrate pattern. For example, the evaluation value can be the EPEs, as will be described in details below in Eqs. (2)-(5) and FIGS. 8-11. In some implementations, when the evaluation value is greater than or equal to a predetermined threshold, the at least one of the arrival values, or other suitable parameters, can be updated. When the evaluation value is smaller than the predetermined threshold, the binary mask pattern can be outputted for mask making. For example, the predetermined threshold can include zero or a non-zero number. In some implementations, the process 400 can be implemented as a software module. For example, the instructions and codes of the software module can be stored in a non-transitory computer-readable storage medium. The instructions can be executed by a processor (e.g., the processor 202 in the apparatus 200). In FIGS. 5-7, a cylinder shape represents an operable object (e.g., data as input or output of an operation), and a box shape represents an operation or step. FIG. 5 is a diagram of an example process 500 for determining a binary mask pattern from a pixelated mask pattern according to implementations of this disclosure. In the process 500, an optimized mask pattern 502 can be binarized through a binarization operation 504 to extract a binary mask pattern 506. For example, the optimized mask pattern 502 can be one of the optimized mask patterns 304 in FIG. 3. The binary mask pattern 506 can be one of the binary mask patterns 306 in FIG. 3. The binary mask pattern 506 can be simulated in a simulation operation 508 to form a simulated substrate pattern (hereinafter referred to as a “substrate pattern” for simplicity) 510. The substrate pattern 510 can be compared with a design pattern (not shown) corresponding to the optimized mask pattern 502 in a comparison operation 512 to generate an evaluation value 514. The evaluation value 514 can be used for determining whether the substrate pattern 510 and the design pattern are sufficiently similar. If the substrate pattern 510 and the design pattern are deemed as not sufficiently similar, a parameter of the binarization operation 504 can be adjusted (e.g., by updating a value of the parameter), and the binary mask pattern 506 can be re-generated. The elements 504-514 can be iterated as an optimization process until a condition is met (e.g., the substrate pattern 510 and the design pattern are deemed as sufficiently similar). When the condition is met, the binary mask pattern 506 generated in the last iteration can be outputted for mask making. In some implementations, the optimized mask pattern 502 can be generated using the design pattern. FIG. 7 is a diagram of an example process 700 for generating the optimized mask pattern 502 from a design pattern 702 according to implementations of this disclosure. The design pattern 702 can correspond to the optimized mask pattern 502. For example, the design pattern 702 can be one of the design patterns 302 in FIG. 3. The design pattern 702 can be used as input for an ILT operation 704 to generate the optimized mask pattern 502. A mask image can be generated and optimized by the ILT operation 704. The mask image can be optimized on a pixel level (i.e., the optimized mask image is pixelated, such as a bitmap image). The optimized mask image can include the optimized mask pattern 502. It should be noted that the process 700 is optional for the process 500. In some implementations, the process 500 and the process 700 can be combined as an integral process. In some implementations, the process 700 can be independently implemented by a third party that can provide the optimized mask patterns 502 as an input to the process 500. Referring back to FIG. 5, in some implementations, the binarization operation 504 can use an optimization method, such as FMM or other suitable optimization method, for binary mask pattern extraction. FIG. 6 is a diagram of an example process 600 for binarizing a pixelated mask pattern according to implementations of this disclosure. The pixelated mask pattern can be the optimized mask pattern 502. As shown in FIG. 6, elements 602-606 of the process 600 can be an example implementation of the binarization operation 504. In FIG. 6, an optimization method operation 602 can be applied to the optimized mask pattern 502 to determine arrival times 604 for points (e.g., pixels) of the optimized mask pattern 502. The arrival times 604 can be determined for some or all of the points of the optimized mask pattern 502. In some implementations, an arrival time map can be generated based on the arrival times 604. For example, the arrival time map can be an aggregated set of the arrival times that can be represented in a 3D space. A threshold can be applied to the arrival time map at a thresholding operation 606 to generate the binary mask pattern 506. While the process 600 is disclosed herein, it should be understood that the process 600 is used for illustrative purposes and that the binary mask pattern 506 can be generated using other suitable processes other than the process 600. The binarization operation 504 in FIG. 5 can be illustrated in FIG. 8. FIG. 8 is a diagram of an example arrival time map generated based on the arrival times 604. To start the optimization method operation 602, a ridge point 802 can be determined in the optimized mask pattern 502. The ridge point 802 can have the maximum or minimum value (e.g., grayscale values) within a considered region. The ridge point 802 can include one or more pixels. For example, the ridge point 802 can be the darkest pixel or pixels for a negative mask image. The ridge point 802 can be the brightest pixel or pixels for a positive mask image. The considered region can be a portion of the optimized mask pattern. The considered region can also be a whole region of the optimized mask pattern. In some implementations, one ridge point can be determined for one optimized mask pattern (i.e., the optimized mask pattern includes only one considered region). In some implementations, multiple ridge points can be determined for one optimized mask pattern (i.e., the optimized mask pattern can include multiple considered regions). For an optimized mask image (not shown) including multiple optimized mask patterns, multiple ridge points can be determined. After the ridge point 802 is determined, the optimization method can be implemented to generate an arrival time map 804 associated with the ridge point 802. The arrival time map 804 can be illustrated in a 3D coordinate system. In FIG. 8, the x-y plane represents the 2D pixelated optimized mask pattern 502. Each pixel of the optimized mask pattern 502 can have a coordinate value pair (x, y) that represents its location in the x-y plane. The ridge point 802 is on the x-y plane. The z-axis represents arrival times associated with the pixels of the optimized mask pattern 502. For example, for each pixel on the x-y plane, an arrival time can be determined (“tagged”) for that pixel using the optimization method. For example, the speed for the pixel can be determined based on an image characteristic (e.g., brightness). The image characteristics can include at least one of brightness of the pixel, an intensity of the pixel, a color of the pixel, and an image gradient associated with the pixel. The image gradients can be indicative of a change (e.g., a change rate) associated with the pixel in at least one of the brightness of the pixel, the intensity of the pixel, and the color of the pixel. The arrival time can be determined using a relationship between the speed of the pixel and a distance between the pixel and the ridge point 802. For example, if the speed is determined based on the image gradient, speeds of the pixels on the actual edges or boundaries of the optimized mask pattern can be determined as zeroes or very small values, and the arrival times for those pixels can be determined as infinity or very large values. The value of the arrival time can be plotted in the x-y-z space, which can form the arrival time map 804. For any point in the arrival time map 804, the coordinates (x, y) represent a point (x, y) in the optimized mask pattern 502, and the coordinate z represents the arrival time associated with the point (x, y) determined using the optimization method. After the arrival time map 804 is determined, an arrival-time threshold (hereinafter referred to as a “threshold” for simplicity) 806 can be applied to determine the binary mask pattern 506. The application of the threshold 806 can be an example implementation of the thresholding operation 606. The binary mask pattern 506 can be deemed as an interface that separates the optimized mask pattern 502 from the remaining portions of the optimized mask image. For example, when the speeds of the pixels are determined based on their image gradients of brightness, the arrival times can be deemed as indicative of rates of brightness changes of the pixels. That is, the arrival times can be indicative of probabilities of the pixels residing on an actual edge or boundary of the optimized mask pattern. The application of the threshold 806 to the arrival time map 804 can be deemed as an operation of selecting pixels having a specific rate of brightness change as the pixels in the binary mask pattern 506. The threshold 806 can be represented as an intersecting plane shown in dashed lines in FIG. 8. The intersecting plane can be parallel to the x-y plane. The threshold 806 can intersect the arrival time map 804 at a contour 808 (not fully shown). The binary mask pattern 506 can be determined as the contour 808. For example, the arrival times in the arrival time map 804 can have values ranging from a first number (e.g., 0) to a second number (e.g., 1), and the threshold 806 can be selected as a third number (e.g., 0.5). Any pixel in the optimized mask pattern 502 having an arrival time smaller than or equal to the third number can be deemed as being within the optimized mask pattern 502. Any pixel having an arrival time greater than the third number can be deemed as being outside the optimized mask pattern 502. The binary mask pattern 506 can be determined as pixels having an arrival time equal to the third number. Referring back to FIG. 5, after the binary mask pattern 506 is extracted from the optimized mask pattern 502, the simulation operation 508 can be performed to generate the substrate pattern 510. For example, the binary mask pattern 506 can be dithered to generate a pixelated mask pattern. The dithering process can convert a 2D polygon into a pixelated pattern, and can be used to simulate the mask image from polygonal mask patterns (e.g., the binary mask pattern 506) in a lithography process. The pixelated mask pattern can be used for simulating the substrate pattern 510. The substrate pattern 510 can be pixelated. In some implementations, the simulation operation 508 can be performed using a model. The model can receive inputs that can include the binary mask pattern 506 and one or more process parameters. The process parameters can depend on different semiconductor fabrication plants and/or different lithography machines. The model can be used to simulate the optical, physical, and chemical effects of the pattern transfer process. For example, an optical transfer function can be used to simulate transforming the binary mask pattern 506 to an aerial image (e.g., the aerial image 112), and a physical and chemical simulation can be performed to transform the aerial image to the substrate pattern 510. For example, the physical and chemical simulation can simulate at least one of developing, etching, and resist stripping. The process parameters can be inputted to the model (e.g., the optical transfer function) for simulating optical distortions and/or physical limitations (e.g., resolution loss due to high-order diffractions) in the pattern transfer process. Different kinds of models can be used for the simulation operation 508 depending on different circumstances. For example, when available, a model that simulates some or all aspects of the IC manufacturing process (e.g., optical processes, aerial image formation, and resist image formation) can be used. When such a model is not available (e.g., during an early development stage of a new manufacturing process), a substrate-manufacturing model that mainly or only simulates optical processes can be used (e.g., as a tradeoff for investigating the feasibility of the new manufacturing process). For another example, as the development progresses and models with higher accuracy become available, those models can be used for the simulation operation 508 to improve performance. After the substrate pattern 510 is generated, it can be compared with the design pattern corresponding to the optimized mask pattern 502 (e.g., the design pattern 702 in FIG. 7) at the comparison operation 512 to determine the evaluation value 514. The evaluation value 514 can represent the similarity between the substrate pattern 510 and the design pattern. In some implementations, the evaluation value can be determined based on an edge placement error (EPE) of the substrate pattern (referred to as EPEs). The EPE is a shape error that measures normal distances (i.e., distances measured in directions perpendicular to edges) from edges of a design pattern to a point on the substrate pattern. The normal distances can have positive values or negative values. For example, a positive or negative value of a normal distance can represent that the corresponding point on the substrate pattern is outside or inside the design pattern, respectively, or vice versa. The EPE can be a scalar value determined based on values of the normal distances. The EPE can indicate similarity between the design pattern and the substrate pattern. For example, the smaller the EPE value is, the greater the similarity is between the substrate pattern and the design pattern. FIG. 9 is an example diagram of determining the evaluation value 514 based on EPEs. The substrate pattern 510 is compared with the design pattern 702. The design pattern 702 can correspond to the optimized mask pattern 502. The substrate pattern 510 is simulated using the optimized mask pattern 502. The normal distances are shown as arrows in FIG. 9. EPEs can be determined based on the normal distances. For example, EPEs can be determined as a sum of squares of the values of the normal distances. For another example, EPEs can be determined as a sum of absolute values of the values of the normal distances. The value of EPE can depend on a sampling scheme. The sampling scheme can indicate numbers and distributions of control points on a design pattern for computation of the EPE. A control point can be a sampling point that is selected for measuring a normal distance. For example, as shown in FIG. 9, three control points are used for each edge of the design pattern 702 to determine EPEs. It should be noted that, for any edge of a design pattern (e.g., the design pattern 702), the sampling scheme can be various (e.g., the edge can include any number of control points, or the control points of the edge can have non-equal spacing in between) and is not limited to the examples described herein. In some implementations, EPEs can include contributions from multiple process conditions, such as, for example, a nominal process window condition or a mask error enhancement factor (MEEF). It should be noted that the evaluation value can be determined based on other parameters in addition to EPE. For example, the evaluation value can also be determined based on a side-lobe printing indication value indicative of a possibility of side-lobe printing in the substrate pattern. Side-lobe printing is a physical effect of the pattern transfer caused by the Gibbs phenomenon. The transferred main pattern can have a surrounding ring-shape pattern, which is termed as “side-lobe printing.” One of the goals of high-fidelity pattern transfer is to prevent or minimize side-lobe printing. The side-lobe printing indication value can be used as a basis for adjusting the evaluation value. In some implementations, the evaluation value can be a weighted sum of EPEs and the side-lobe printing indication value. Referring back to FIG. 5, after the evaluation value is determined, it can be determined whether the substrate pattern 510 and the design pattern are sufficiently similar. For example, if the evaluation value is selected as EPEs, it can be compared with a predetermined EPE threshold value. If EPEs is greater than or equal to the predetermined EPE threshold value, the substrate pattern 510 can be determined as being not sufficiently similar to the design pattern, and the process 500 can return to the binarization operation 504 for adjusting a parameter thereof. This iteration can be performed until a termination condition is met. For example, if EPEs is smaller than the predetermined EPE threshold value, the process 500 can be terminated, and the binary mask pattern 506 generated in the last iteration can be outputted for mask making. For another example, if the iteration is performed for a duration exceeding a predetermined time threshold, the process 500 can be terminated, and no binary mask pattern will be outputted. In some implementations, if the binarization operation 504 is implemented as the process 600, the process 500 can proceed to adjusting a value of the arrival times 604, as shown in FIG. 6. In the process 600, the optimization method operation 602 can be skipped. If the process 700 is integrated with the process 600, the ILT operation 704 can also be skipped. By skipping the optimization method operation 602 and/or the ILT operation 704 and directly adjusting the arrival times 604, some operations of the binarization operation 504 can be bypassed, which can reduce computation time and resources and increase the overall optimization efficiency. In the optimization process disclosed herein, the arrival-time threshold (e.g., the threshold 806) can be a predetermined constant value (e.g., a constant value), and the arrival time map (e.g., the arrival time map 804) can be adjusted. While only arrival times are described as being adjusted, it should be understood that any suitable parameter of the optimization process can be adjusted in order to improve the EPE (e.g., to make the substrate pattern more similar to the design pattern). That is, the arrival times are the subjects of the optimization. For example, the optimization process can be deemed as holding the threshold 806 constant and adjusting the arrival time map 804 (e.g., by moving it up and down or changing its profile). Compared with an optimization process that holds the arrival times as constants and adjusts the arrival-time threshold (e.g., by moving it up and down), in which only the arrival-time threshold is used as the optimization variable, the disclosed optimization process can use many more optimization variables (e.g., each pixel can be an optimization variable). By using more optimization variables, the optimization results can be greatly improved (e.g., EPEs can be minimized to a greater extent). The arrival times (e.g., the arrival times 604) can be updated using any optimization method. In some implementations, the arrival times can be optimized using a gradient-based optimization method. The gradient-based optimization method can include Newton's method, a quasi-Newton method, a gradient descent method, a conjugate gradient method, or any combination of any optimization methods that use a gradient of the optimization variables. In some implementations, the arrival times can be updated using a quasi-Newton method, such as a Broyden-Fletcher-Goldfarb-Shanno (BFGS) method. For example, to optimize the arrival times for a minimized EPEs, a termination condition can be used: ∂ EPE s ∂ T x , y = 0 , for any T x , y ∈ { T x , y } Eq . ( 2 ) In Eq. (2), {Tx,y} is the arrival time map (e.g., the arrival time map 804). Tx,y belongs to {Tx,y} and is the arrival time associated with the pixel having a coordinate ( x , y ) · ∂ EPE s ∂ T x , y can be referred to as a sensitivity of EPEs with respect to Tx,y (or “sensitivity” hereinafter for simplicity). Using the BFGS method, Tx,y can be iteratively determined as: T x , y i + 1 = T x , y i - a · ∂ EPE s ∂ T x , y i / ∂ 2 EPE s ∂ ( T x , y i ) 2 Eq . ( 3 ) In Eq. (3), Tx,yi represents a value of Tx,y in the ith iteration (e.g., i=1, 2, 3, . . . ), and Tx,yi+1 represents a value of Tx,y in the (i+1)th iteration ∂ 2 EPE s ∂ ( T x , y i ) 2 is the second-order derivative of EPEs with respect to Tx,yi, or a first-order derivative of the sensitivity. In some implementations, using the BFGS method, ∂ 2 EPE s ∂ ( T x , y i ) 2 can be determined based on an approximation of the sensitivity without performing the second-order differential computation (e.g., Hessian computation). In some implementations, as will be described, the arrival times can be further updated using the second-order differential computation, such as a Hessian computation or a Hessian free computation. In Eq. (3), a is a “slack variable” used for controlling the iteration, which can be an inputted parameter. The value of a can be updated during the iteration (e.g., depending on the precision of Tx,y). The sensitivity can be difficult to compute directly; it is easier to determine using an indirect method. The sensitivity can be deemed as an aggregated error that includes errors occurring in the process from the binarization to the formation of the substrate pattern. The sensitivity can have several sources of contributions. For example, in some implementations, the sources of contributions can be categorized into errors occurring in the binarization process (e.g., from the thresholding of the arrival time map), deformation occurring in the dithering process (e.g., from the dithering of the binary mask pattern), and distortion occurring in the optical process (e.g., from the transferring of the dithered pixelated mask pattern onto the substrate through an optical system). For example, the sensitivity can be broken down into the following computable terms according to a chain rule: ∂ EPE s ∂ T x , y = ∂ EPE s ∂ MI · ∂ MI ∂ EPE m · ∂ EPE m ∂ T x , y Eq . ( 4 ) In Eq. (4), MI represents the pixelated mask pattern determined by dithering the binary mask pattern 506, and ∂ EPE s ∂ MI can represent the contribution from the distortion occurring in the optical process, which can be termed as an “optical filter.” The optical filter can be computable, such as, for example, based on the optical transfer function. ∂ MI ∂ EPE m can represent the contribution from the deformation occurring in the dithering process, which can be termed as a “linear filter,” a “dithering filter,” or a “smoothing filter.” ∂ EPE m ∂ T x , y can represent the contribution from the binarization process, which can be termed as a “binarization filter.” It should be noted that the optical filter, the linear filter, and the binarization filter are computable terms that can have various implementations and are not limited to the example forms shown in Eq. (4). In addition, the sensitivity can be broken into various combinations of computable terms, which are not limited to the three terms shown in Eq. (4). In Eq. (4), EPEm is the EPE of the binary mask pattern 506. FIG. 10 is an example diagram of the EPE of the binary mask pattern 506 with respect to a design pattern 702 according to implementations of this disclosure. Compared with FIG. 9, the determination of EPEm can be similar to that of EPEs, in which the substrate pattern 510 is substituted for the binary mask pattern 506. As shown in FIG. 10, the binary mask pattern 506 can be curve-linear. In some implementations, due to the fact that the binary mask pattern 506 is determined from thresholding the arrival time map 804, EPEm can be determined without actually measuring the normal distances. For example, as shown in FIG. 10, a control point 1002 on the design pattern 702 is shown. To determine EPEm, a normal distance 1004 associated with the control point 1002 is to be determined. The normal distance 1004 D can be determined as: D = - T int - T th T int ′ Eq . ( 5 ) In Eq. (5), Tth is the arrival-time threshold (e.g., the threshold 806), Tint is an arrival time of an interpolation point at the arrival time map (e.g., the arrival time map 804) interpolated from a control point (e.g., the control point 1002), and Tint′ is the slope of a tangential plane crossing the interpolation point. Eq. (5) can be illustrated in FIG. 11. FIG. 11 is an example diagram of determining EPEm according to implementations of this disclosure. FIG. 11 can be seen as a cross-section of the arrival time map 804. The cross-sectional plane is perpendicular to the y-axis. Tth is shown as the threshold 806. An interpolation point 1102 is interpolated from the control point 1002 to the arrival time map 804, which has the arrival time Tint. Tint−Tth is shown as a dashed line 1104 between the control point 1002 and the interpolation point 1102. Tint′ is the slope of a tangential plane 1106 (perpendicular to the x-z plane) crossing the interpolation point 1102. The normal distance 1004 in FIG. 11 can be determined using Eq. (5). Similar techniques can be used to determine other normal distances, as shown in FIG. 10, which can be further used to determine EPEm. As shown in Eq. (5) and FIGS. 9-11, EPEm can be determined without measuring the normal distances. According to the above descriptions, the sensitivity ∂ EPE s ∂ T x , y can be determined based on Eq. (4) and Eq. (5). Based on the sensitivity, the arrival times can be updated in an iteration using Eq. (3). In some implementations, the iteration can be terminated when the termination condition Eq. (2) is met. In some implementations, the iteration can be terminated when the sensitivity is minimized to a non-zero value. By implementing the above processes, errors of the pattern transfer process (e.g., numerical errors in the dithering process and/or the simulation process) can be integrated into the optimization process for the arrival times, which can be pre-compensated (e.g., canceled out) when the arrival times are optimized. In some implementations, the binarization optimization method (e.g., the binarization operation 504 implemented as process 600), can be optimized using process 1200, generally illustrated in FIG. 12. At operation 1202, the EPE for a substrate pattern, such as the substrate pattern 501, described above, is determined. For example, as described, an evaluation value can be selected as the EPE of the substrate pattern 510. At operation 1204, the EPE is compared to an EPE threshold, as described. If the EPE is less than the EPE threshold, as described, the process 1200 exits (e.g., because the substrate pattern 510 is determined to be sufficiently similar to the corresponding design pattern). If the EPE is less than the threshold, as described, the process 1200 continues at operation 1206. At operation 1206, parameters of the binarization optimization method are adjusted using the BFGS method, as described. At operation 1208, an adjusted substrate pattern is generated. For example, as described, an adjusted optimized mask image is generated based on the design pattern (e.g., using the updated parameters computed using the BFGS method). An adjusted substrate pattern is generated using the adjusted optimized mask image. At operation 1210, an adjusted EPE, corresponding to the adjusted substrate pattern, is determined and compared to the EPE threshold. If the adjusted EPE is less than the EPE threshold, the process 1200 exits. If the adjusted EPE is greater than or equal to the EPE threshold, the process 1200 continues at operation 1212. At operation 1212, the parameters of the binarization optimization method are further adjusted using a Hessian free method. As described, in some implementations, the parameters of the binarization optimization method may be adjusted using a second-order derivative computation of the EPE, such as a Hessian computation or method. The Hessian computation includes computing a Hessian matrix which is a square matrix of the second-order partial derivatives of a scalar-valued function. However, computing the second-order derivative using a Hessian method can be time-consuming, require large amounts of computing resources, and require large amounts of memory or storage space. Accordingly, a method for determining the second-order derivative of the binarization optimization parameters without having to compute the Hessian computation may be desirable. In some implementations, the Hessian free method includes using a Taylor expression on one or more parameters of the binarization optimization method to build a product chain of the Hessian matrix. Additionally, or alternatively, an arbitrary vector is determined without explicitly calculating the Hessian matrix. The product chain applies a differential operator to the equation of calculating the product of the Hessian matrix. Using the Hessian free method may require similar time and computing resources as a backward propagation of the gradient calculation. In order to perform the Hessian free method, variables of the Hessian (H) are calculated. For example, a mask image variable, an optical field variable, an aerial image variable, and a resist image variable are calculated according to the follow:cost=Σiwti·EPEip Eq. (6) In Eq. (6), p=2, 4 and wt is a weight constant. Accordingly, the Hessian matrix elements are defined as: H ij = ∂ 2 cost ∂ m i ∂ m j , or Eq . ( 7 ) H ij = ∂ 2 cost ∂ x i ∂ x j . Eq . ( 8 ) In Eq. (7), mi is the mask image pixel intensity and in Eq. (8) xi is the segment location. For an image based Hessian matrix (e.g., with image pixels as a variable), for an arbitrary vector v and a variable A, define the variable A(r) parameterized by a scalar r: A ( r ) = A + r · v Eq . ( 9 ) ( H · v ) k = ∂ ∂ r ( ∂ cost ( r ) ∂ m ( r ) k ) r = 0 Eq . ( 10 ) R v ( … ) = ∂ ∂ r ( … ) Eq . ( 11 ) Eq. (11) defines an operator to build a forward chain of Rv, where the ( . . . ) is understood to be parameterized although without an explicit (r), where:Rv(ml)=vl Eq. (12) The optical field can be defined as:ELn=Σlml·hL−ln Eq. (13) Where hL−ln is the TCC kernel, which is a linear filter and does not depend on the mask image:Rv(ELn)=ΣlRv(ml)·hL−ln=Σlvl·hL−ln Eq. (14) The aerial image can be defined as: AI l = ∑ n = 1 Ntcc λ n E l n 2 Eq . ( 15 ) R v ( AI l ) = ∑ n = 1 Ntcc λ n [ R v ( E l n ) ] * · E l n + C . C . Eq . ( 16 ) To define the resist model, first a per-pixel thresholding function is applied to the aerial image:RIl=σ(AIl) Eq. (17) Next, a linear filter is applied RIl′ to obtain the resist intensity:RIi=ΣlTilRIl Eq. (18)Rv(RIi)=ΣlTilRv(RIl)=ΣlTil·dσ/dAIl·Rv(AIl) Eq. (19) Next, using the mask image variable, the optical field variable, the aerial image variable, and the resist image variable, the Hessian-vector product can be determined (e.g., using the elements built up in the forward procedure (Eq. (6)-Eq. (19)). The Hessian free computation can be defined as: ( H · v ) k = R v ( ∂ cost ∂ m k ) r = 0 Eq . ( 20 a ) ( H · v ) k = ( I ) + ( II ) + ( III ) Eq . ( 20 b ) Where: ( I ) = p · [ FFT - 1 ( ∑ n , r h ~ - r n · U ~ r ) ] k Eq . ( 21 ) U l = [ R v ( E l n ) ] * · ∑ i wt i · ( EPE i p - 1 RI i ′ · T il - RI i RI i ′ 2 · EPE i p - 1 · T il ′ ) Eq . ( 22 ) U ′ ~ = FFT ( U ) Eq . ( 23 ) h ~ = FFT ( h ) Eq . ( 24 ) Where FFT is the Fourier Transform and FFT−1 is the inverse Fourier Transform. Where: ( II ) = p · [ FFT - 1 ( ∑ n , r h ~ - r n · W ~ r ) ] k Eq . ( 25 ) W l = E l n * · ∑ i wt i · { ( p - 1 ) EPE i p - 2 · R v ( EPE i ) · ( 1 RI i ′ · T il - RI i RI i ′2 · T il ′ ) + EPE i p - 1 · [ - T il RI i ′ 2 · R v ( RI i ′ ) - T il ′ RI i ′ 2 · R v ( RI i ) + 2 · RI i RI i ′ 3 · T il ′ · R v ( RI i ′ ) ] } Eq . ( 26 ) W ~ = FFT ( W ) Eq . ( 27 ) R v ( EPE i ) = R v ( RI i ) RI i ′ - RI i RI i ′2 R v ( RI i ′ ) Eq . ( 28 ) Where RIi′=ΣlTil′RIl is the spatial derivative of RIi. Where:(III)=p·[FFT−1(Σn,r{tilde over (h)}−rn·{tilde over (V)}r)]k Eq. (29){tilde over (V)}=FFT(V) Eq. (30)Vl=Eln*·σ″(AIl)·Rv(AIl)·Σlwtl·RIip−1·Til Eq. (31) Where σ″(x)=d2σ/dx2. Referring again to FIG. 12, at operation 1212, the result of the Hessian free computation method is used to adjust parameters of the binarization optimization method, as described. An adjusted mask image can be generated using the adjusted parameters of the binarization optimization method, as described. An adjusted substrate pattern can be generated using the adjusted mask image, as described. An EPE can be determined for the adjusted substrate pattern, as described. At operation 1214, the EPE is compared to the EPE threshold. If the EPE less than the EPE threshold, the process 1200 exits. If the EPE is greater than or equal to the EPE threshold, the process 1200 continues at 1206. The process 1200 may continue to iterate through the operation 1206 through operation 1214 until the determined EPE is less than the EPE threshold, as described above. In some implementations, the process 1200 may continue at operation 1212 if the EPE is greater than or equal to the EPE threshold at operation 1214. In some implementations, a method for optimizing a binary mask pattern includes determining, by a processor, an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on the binary mask pattern. The method also includes, based on the evaluation value, using, by the processor, a gradient-based optimization method to generate a first adjusted binary mask pattern. The method also includes determining, by the processor, a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern. The method also includes, based on the first updated evaluation value, using, by the processor, a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern. The method also includes simulating, by the processor, a second updated substrate pattern based on the second adjusted binary mask pattern. In some implementations, the evaluation value is based on at least one of an edge placement error (EPE) of the substrate pattern, a nominal process window condition, a mask error enhancement factor (MEEF), and a side-lobe printing indication value indicative of a possibility of side-lobe printing in the substrate pattern. In some implementations, the method also includes: based on a determination that the evaluation value is greater than or equal to a predetermined threshold, using, by the processor, the gradient-based optimization method to generate the first adjusted binary mask pattern; and based on a determination that the evaluation value is less than the predetermined threshold, outputting the binary mask pattern for mask making. In some implementations, the method also includes: based on a determination that the first updated evaluation value is greater than or equal to a predetermined threshold, using, by the processor, the product of the Hessian matrix and the arbitrary vector to generate the second adjusted binary mask pattern; and based on a determination that the first updated evaluation value is less than the predetermined threshold, outputting the first adjusted binary mask pattern for mask making. In some implementations, the method also includes determining, by the processor, a second updated evaluation value based on a comparison between the design pattern and the second updated substrate pattern. In some implementations, the method also includes: based on a determination that the second updated evaluation value is greater than or equal to a predetermined threshold, using, by the processor, the product of the gradient-based optimization method to generate a third adjusted binary mask pattern; and based on a determination that the second updated evaluation value is less than the predetermined threshold, outputting the second adjusted binary mask pattern for mask making. In some implementations, the evaluation value corresponds to a similarity between the design pattern and the substrate pattern. In some implementations, an apparatus for optimizing a binary mask pattern includes a processor and a memory coupled to the processor. The memory is configured to store instructions which, when executed by the processor, become operational with the processor to: determine an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on a binary mask pattern; based on the evaluation value, use a gradient-based optimization method to generate a first adjusted binary mask pattern; determine a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern; based on the first updated evaluation value, use a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern; and simulate a second updated substrate pattern based on the second adjusted binary mask pattern. In some implementations, the evaluation value is based on at least one of an edge placement error (EPE) of the substrate pattern, a nominal process window condition, a mask error enhancement factor (MEEF), and a side-lobe printing indication value indicative of a possibility of side-lobe printing in the substrate pattern. In some implementations, the memory comprises instructions operational with the processor to: based on a determination that the evaluation value is greater than or equal to a predetermined threshold, use the gradient-based optimization method to generate the first adjusted binary mask pattern; and based on a determination that the evaluation value is less than the predetermined threshold, output the binary mask pattern for mask making. In some implementations, the memory comprises instructions operational with the processor to: based on a determination that the first updated evaluation value is greater than or equal to a predetermined threshold, use the product of the Hessian matrix and the arbitrary vector to generate the second adjusted binary mask pattern; and based on a determination that the first updated evaluation value is less than the predetermined threshold, output the first adjusted binary mask pattern for mask making. In some implementations, the memory comprises instructions operational with the processor to determine a second updated evaluation value based on a comparison between the design pattern and the second updated substrate pattern. In some implementations, the memory comprises instructions operational with the processor to: based on a determination that the second updated evaluation value is greater than or equal to a predetermined threshold, use the product of the gradient-based optimization method to generate a third adjusted binary mask pattern; and based on a determination that the second updated evaluation value is less than the predetermined threshold, output the second adjusted binary mask pattern for mask making. In some implementations, the evaluation value corresponds to a similarity between the design pattern and the substrate pattern. In some implementations, a non-transitory computer-readable storage medium, comprising instructions for optimizing a binary mask pattern, which instructions, when executed by a processor, become operational with the processor to: determine an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on a binary mask pattern; based on the evaluation value, use a gradient-based optimization method to generate a first adjusted binary mask pattern; determine a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern; based on the first updated evaluation value, use a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern; and simulate a second updated substrate pattern based on the second adjusted binary mask pattern. In some implementations, the evaluation value is based on at least one of an edge placement error (EPE) of the substrate pattern, a nominal process window condition, a mask error enhancement factor (MEEF), and a side-lobe printing indication value indicative of a possibility of side-lobe printing in the substrate pattern. In some implementations, the instructions operational with the processor to: based on a determination that the evaluation value is greater than or equal to a predetermined threshold, use the gradient-based optimization method to generate the first adjusted binary mask pattern; and based on a determination that the evaluation value is less than the predetermined threshold, output the binary mask pattern for mask making. In some implementations, the instructions operational with the processor to: based on a determination that the first updated evaluation value is greater than or equal to a predetermined threshold, use the product of the Hessian matrix and the arbitrary vector to generate the second adjusted binary mask pattern; and based on a determination that the first updated evaluation value is less than the predetermined threshold, output the first adjusted binary mask pattern for mask making. In some implementations, the instructions operational with the processor to determine a second updated evaluation value based on a comparison between the design pattern and the second updated substrate pattern. In some implementations, the instructions operational with the processor to: based on a determination that the second updated evaluation value is greater than or equal to a predetermined threshold, use the product of the gradient-based optimization method to generate a third adjusted binary mask pattern; and based on a determination that the second updated evaluation value is less than the predetermined threshold, output the second adjusted binary mask pattern for mask making. As described above, it should be noted that all or a portion of the aspects of the disclosure described herein can be implemented using a general-purpose computer/processor with a computer program that, when executed, carries out any of the respective techniques, algorithms, and/or instructions described herein. In addition, or alternatively, for example, a special-purpose computer/processor, which can contain specialized hardware for carrying out any of the techniques, algorithms, or instructions described herein, can be utilized. The implementations of apparatuses as described herein (and the algorithms, methods, instructions, etc., stored thereon and/or executed thereby) can be realized in hardware, software, or any combination thereof. The hardware can include, for example, computers, intellectual property (IP) cores, application-specific integrated circuits (ASICs), programmable logic arrays, optical processors, programmable logic controllers, microcode, microcontrollers, servers, microprocessors, digital signal processors, or any other suitable circuit. In the claims, the term “processor” should be understood as encompassing any of the foregoing, either singly or in combination. The terms “signal” and “data” are used interchangeably. Further, portions of the apparatuses do not necessarily have to be implemented in the same manner. The aspects of the disclosure described herein can be described in terms of functional block components and various processing operations. The disclosed processes and sequences can be performed individually or in any combination. Functional blocks can be realized by any number of hardware and/or software components that perform the specified functions. For example, the described aspects can employ various integrated circuit components (e.g., memory elements, processing elements, logic elements, look-up tables, and the like), which can carry out a variety of functions under the control of one or more microprocessors or other control devices. Similarly, where the elements of the described aspects are implemented using software programming or software elements, the disclosure can be implemented with any programming or scripting languages, such as C, C++, Java, assembler, or the like, with the various algorithms being implemented with any combination of data structures, objects, processes, routines, or other programming elements. Functional aspects can be implemented in algorithms that execute on one or more processors. Furthermore, the aspects of the disclosure could employ any number of techniques for electronics configuration, signal processing and/or control, data processing, and the like. The words “mechanism” and “element” are used broadly and are not limited to mechanical or physical implementations or aspects, but can include software routines in conjunction with processors, etc. Implementations or portions of implementations of the disclosure can take the form of a computer program product accessible from, for example, a computer-usable or computer-readable medium. A computer-usable or computer-readable medium can be any device that can, for example, tangibly contain, store, communicate, or transport a program or data structure for use by or in connection with any processor. The medium can be, for example, an electronic, magnetic, optical, electromagnetic, or semiconductor device, such as a hard disk drive, a memory device, a solid-state drive, a flash drive, or an optical drive. Other suitable mediums are also available. Such computer-usable or computer-readable media can be referred to as non-transitory memory or media. Unless otherwise specified, a memory of an apparatus described herein does not have to be physically contained in the apparatus, but can be a memory that can be accessed remotely by the apparatus, and does not have to be contiguous with other memory that might be physically contained by the apparatus. Any of the individual or combined functions described herein as being performed as examples of the disclosure can be implemented using machine-readable instructions in the form of code for the operation of any or any combination of the aforementioned computational hardware. The computational code can be implemented in the form of one or more modules by which individual or combined functions can be performed as a computational tool, the input and output data of each module being passed to/from one or more further modules during operation of the methods, apparatuses, and systems described herein. Information, data, and signals can be represented using a variety of different technologies and techniques. For example, any data, instructions, commands, information, signals, bits, symbols, and chips referenced herein can be represented by voltages, currents, electromagnetic waves, magnetic fields or particles, optical fields or particles, other items, or a combination of the foregoing. The particular aspects shown and described herein are illustrative examples of the disclosure and are not intended to otherwise limit the scope of the disclosure in any way. For the sake of brevity, electronics, control systems, software development, and other functional aspects of the systems (and components of the individual operating components of the systems) cannot be described in detail herein. Furthermore, the connecting lines or connectors shown in the various figures presented are intended to represent exemplary functional relationships and/or physical or logical couplings between the various elements. Many alternative or additional functional relationships, physical connections, or logical connections can be present in a practical device. The word “example” is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “example” is not necessarily to be construed as being preferred or advantageous over other aspects or designs. Rather, use of the word “example” is intended to present concepts in a concrete fashion. As used in this disclosure, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or” for the two or more elements it conjoins. That is, unless specified otherwise or clearly indicated otherwise by the context, “X includes A or B” is intended to mean any of the natural inclusive permutations thereof. In other words, if X includes A; X includes B; or X includes both A and B, then “X includes A or B” is satisfied under any of the foregoing instances. The term “and/or” as used in this disclosure is intended to mean an “and” or an inclusive “or.” That is, unless specified otherwise or clearly indicated otherwise by the context, “X includes A, B, and/or C” is intended to mean that X can include any combinations of A, B, and C. In other words, if X includes A; X includes B; X includes C; X includes both A and B; X includes both B and C; X includes both A and C; or X includes all of A, B, and C, then “X includes A and/or B” is satisfied under any of the foregoing instances. Similarly, “X includes at least one of A, B, and C” is intended to be used as an equivalent of “X includes A, B, and/or C.” In addition, the articles “a” and “an” as used in this application and the appended claims should generally be construed to mean “one or more” unless specified otherwise or clear from context to be directed to a singular form. Moreover, use of the term “an aspect” or “one aspect” throughout this disclosure is not intended to mean the same aspect or implementation unless described as such. The use of “including” or “having” and variations thereof herein is meant to encompass the items listed thereafter and equivalents thereof as well as additional items. Unless specified or limited otherwise, the terms “mounted,” “connected,” “supported,” “coupled,” and variations thereof are used broadly and encompass both direct and indirect mountings, connections, supports, and couplings. Further, “connected” and “coupled” are not restricted to physical or mechanical connections or couplings. The use of the terms “a” and “an” and “the” and similar referents in the context of describing the disclosure (especially in the context of the following claims) should be construed to cover both the singular and the plural. Furthermore, recitation of ranges of values herein is merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. Finally, the operations of all methods described herein are performable in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by the context. The use of any and all examples, or exemplary language (e.g., “such as”) provided herein, is intended merely to better illuminate the disclosure and does not pose a limitation on the scope of the disclosure unless otherwise claimed. It should be understood that although this disclosure uses terms such as first, second, third, etc., the disclosure should not be limited to these terms. These terms are used only to distinguish similar types of information from each other. For example, without departing from the scope of this disclosure, a first information can also be referred to as a second information; and similarly, a second information can also be referred to as a first information. Depending on the context, the word “if” as used herein can be interpreted as “when,” “while,” or “in response to.” While the disclosure has been described in connection with certain implementations, it is to be understood that the disclosure is not to be limited to the disclosed implementations but, on the contrary, is intended to cover various modifications and equivalent arrangements included within the scope of the appended claims, which scope is to be accorded the broadest interpretation as is permitted under the law so as to encompass all such modifications and equivalent arrangements. |
|
summary | ||
summary | ||
claims | 1. A method of shutting down a nuclear reactor, comprising:compressing a scram gas that is in fluid communication with a scram accumulator, the scram accumulator defining a chamber therein and containing bellows within the chamber, the bellows configured to hold a scram liquid in isolation of the scram gas, the scram gas exerting a compressive force on the bellows in a form of stored energy; andreleasing the stored energy in response to a scram signal such that the scram gas expands into the chamber of the scram accumulator to compress the bellows and expel the scram liquid from the scram accumulator to insert control rods into a core of the nuclear reactor. 2. The method of claim 1, wherein the compressing includes filling a gas supply vessel with the scram gas, the gas supply vessel being in fluid communication with the chamber of the scram accumulator. 3. The method of claim 1, wherein the compressing is performed to a pressure of at least 10 MPa. 4. The method of claim 1, wherein the compressing includes the bellows forming a fluid-tight partition between the scram gas and the scram liquid. 5. The method of claim 1, wherein the releasing includes opening a scram valve that is attached to the scram accumulator so that the bellows is able to yield to the compressive force exerted by the scram gas. 6. The method of claim 1, wherein the releasing includes the scram gas entering the scram accumulator from a first end while the scram liquid exits from an opposing second end of the scram accumulator. 7. The method of claim 1, wherein the releasing includes collapsing the bellows along a lengthwise direction of the chamber. 8. The method of claim 1, wherein the releasing includes the scram gas decreasing a volume of the bellows by 80% or more. |
|
044406738 | description | SPECIFIC DESCRIPTION The apparatus shown in the drawing comprises an evaporator 1 surmounted upon a storage tank 2 and receiving waste water containing dissolved solids, especially boric acid, from nuclear electricity-generating power plant N. The outlet 4 of the evaporator 1 which may be fluid powered or electrically energized as represented by the coil 11, opens into the storage tank 2 at the head 5 thereof. In the upper half 6 of this storage tank, there is provided an outlet 7a through which the liquid (decantate) is recirculated vial line 7 to the inlet 8 of the evaporator 1. A pump 7b can displace the liquid along this line. The sludge 12 sedimenting from the clear liquid 13 in tank 2 can be discharged via an immersion pump 9 for packaging it at a station 14 in which the sludge is mixed with portland cement and allowed to set in sealable receptacles. A pipe 10 feeds solid radioactive wastes, e.g. diatomaceous earth or other filter aids, in the form of a slurry or sludge to the tank 2. In operation, the radioactive waste water containing dissolved boric acid is fed via line 3 to the evaporator 1 in which the solution is concentrated, the vapor phase being discharged at 15. The concentration of the water admitted to the storage vessel 2 is below the saturation level at the evaporation temperature and the temperature at which the solution is passed into the storage vessel (at least 50.degree. C.) but above the saturation level at room temperature. Thus in the evaporator 1 and in the connecting duct 4 no solids pass out of the solution. In the storage vessel 2 the waste water concentrate is cooled to room temperature and solids deposit and sediment so that clear liquid can be decanted and recycled to the evaporator with renewed concentration in the manner described. When the tank is more or less filled with the sludge it can be discharged via the pump 9 for processing as described, the sludge having in the interim undergone the long-term storage described. |
description | 1. Field of the Invention The present invention relates to a microscope examination method, an optical stimulation apparatus, and a microscope examination apparatus employed in optical marking. Optical marking utilizes a substance that produces fluorescence in response to an optical stimulus, such as a fluorescent protein or a caged compound, or that activates another fluorescent substance. This application is based on Japanese Patent Application No. 2004-152994, the content of which is incorporated herein by reference. 2. Description of Related Art A conventionally known microscope examination apparatus including this type of optical stimulation apparatus is the microscope examination apparatus disclosed, for example, in Atsushi Miyawaki, et al., “Special Review, Optical techniques using the new fluorescent protein kaede”, Cell Technology, Vol. 22, No. 3, 2003, pp 316-326 (hereinafter referred to as reference 1). This microscope examination apparatus is an inverted-type incident-light fluorescence microscope having an observation light source formed of a xenon lamp and a fluorescence filter for carrying out fluoroscopy. In addition, this microscope is also provided with a xenon lamp, an excitation filter, and a field stop for forming a spot of ultraviolet light serving as an optical stimulus. The optical stimulus is made incident on the specimen along the same optical axis by means of a dichroic mirror disposed in the light path of the observation light source. With this microscope examination apparatus, the optical system, including the field stop, the focusing lens, the objective lens, and so forth, can be precisely adjusted, thus making it possible to precisely position the spot of ultraviolet light at the center of the field of view used for fluoroscopy. Therefore, the location in the object under examination, such as a cell, where the optical stimulus is to be applied can be aligned with the center of the field of view and irradiated with the spot of ultraviolet light. Thus, the optical stimulus can be accurately applied to the target cell, which allows optical marking to be carried out. In such a case, the optical stimulus location in the object under examination is restricted to a single point at the center of the field of view in the microscope apparatus in reference 1. Therefore, when an optical stimulus is to be accurately applied to that location, it is essential to shift the relative positional relationship in directions orthogonal to the optical axis of the objective lens and the object under examination. When examining cells and so forth, in order to maintain the viability of the cells, it is customary to carry out examination of the cells while they are disposed in a predetermined amount of liquid, such as a culture medium or the like. However, one drawback with this technique is that moving the object under examination while keeping the objective lens fixed causes the cells to move around in the liquid, thus changing the examination conditions. Also, when moving the objective lens while keeping the object under examination fixed, it is necessary to move the entire optical system including the objective lens. In order to move it with accuracy, the apparatus inevitably becomes larger and the cost is also increased. This is another drawback. Another possible method is that in which a field stop is moved in directions orthogonal to the optical axis. This method does not suffer from the drawbacks mentioned above. However, the irradiation position of the spot of ultraviolet light is arbitrarily moved in the optical field, which differs from the methods described above in which the spot is fixed at the center of the field of view. Therefore, this method suffers from a problem in that it is difficult to accurately specify the irradiation position. In light of the circumstances described above, and an object of the present invention is to provide a microscope examination method, an optical stimulation apparatus, and a microscope examination apparatus in which a position in the field of view to be irradiated with optical stimulus light can be specified and the optical stimulus can be accurately applied to the specified irradiation position while carrying out microscope examination. In order to achieve the object described above, the present invention provides the following solutions. According to a first aspect, the present invention provides a microscope examination method including a step of introducing into a specimen a substance in which a structural change is caused by irradiation with light of a first wavelength; a step of specifying an optical stimulation site in the specimen by irradiating the specimen with visible light of a second wavelength that does not cause a structural change in the substance, while examining the specimen in which the substance is introduced using a microscope examination apparatus; and a step of irradiating the specified optical stimulus site with the light of the first wavelength. With the microscope examination method according to the first aspect, the specimen in which the substance is introduced is irradiated with visible light of the second wavelength while observing it. When the specimen is irradiated with the visible light of the second wavelength, the visible light of the second wavelength is located at the center of the observed field of view by the microscope examination apparatus. Therefore, it is possible to easily specify the optical stimulus site while viewing the examination image. Since the visible light of the second wavelength is light that does not cause a structural change in the substance introduced in the specimen, it is possible to specify the optical stimulus site without carrying out optical marking. Then, after specifying the optical stimulus site, the specified optical stimulus site is irradiated with the light of the first wavelength, thus allowing optical marking to be performed by accurately applying an optical stimulus to the optical stimulus site. In the aspect of the invention described above, the microscope examination apparatus is preferably formed of a fluorescence microscope that irradiates the specimen with excitation light of a third wavelength different from the first wavelength and the second wavelength to observe the fluorescence produced thereby. By making the wavelength of the excitation light different from the first and second wavelengths, it is possible to independently carry out specification of the optical stimulus site, optical stimulation, and fluoroscopy of the specimen. Furthermore, in the aspect of the invention described above, the microscope examination apparatus is preferably a stereomicroscope. With a stereomicroscope, since the field of view is large and there is a high degree of freedom in positioning the optical stimulus site, it is necessary to accurately specify the optical stimulus site before applying the optical stimulus. With this configuration, it is therefore possible to accurately apply the optical stimulus to an optical stimulus site within a large field of view. According to a second aspect, the present invention provides an optical stimulation apparatus including a first light source that emits light of a first wavelength that irradiates a substance introduced into a specimen to cause a structural change thereof; a second light source that emits visible light of a second wavelength, different from the first wavelength, the second wavelength not causing a structural change in the substance; an optical-axis combining unit that aligns the optical axis of the first light source and the optical axis of the second light source; and a focusing optical system that focuses the light from the first and second light sources. With the optical stimulation apparatus according to the second aspect, when the second light source is switched on to radiate visible light of the second wavelength, which is irradiated so as to form a spot on the specimen via the focusing optical system, it is possible to form a marker on the specimen without causing any structural change in the substance introduced in the specimen. Also, the optical-axis combining unit aligns the optical axis of the light from the first light source and the optical axis of the visible light from the second light source. Therefore, when light is radiated from the first light source after specifying the optical stimulus position using the visible light from the second light source, the light from the first light source is made incident with high accuracy on the optical stimulus site specified with the second light source. The aspect of the invention described above preferably also includes optical fibers that connect the optical-axis combining unit with the first and second light sources, respectively; and focus adjusting mechanisms that can adjust the tip positions of the optical fibers at the connection points between the optical-axis combining unit and the optical fibers. Since the first light source and the second light source produce light with different wavelengths, the focal position changes due to chromatic aberration. Thus, by adjusting the positions of the tips of the optical fibers by operating the focus adjusting mechanisms, whenever the focal position of either of the light sources is adjusted, the focal position of the other light source can also be adjusted to match it. Furthermore, in the aspect of the invention described above, the focusing optical system is preferably capable of being removably attached to the optical-axis combining unit. By forming the focusing optical system and the optical-axis combining unit as two separate detachable units, the focusing optical system can be separated from the optical-axis combining unit, which allows the focusing optical system to be replaced with another one having a different focal length. Furthermore, the aspect of the invention described above preferably also includes an optical fiber that guides the light beams whose optical axes are aligned by the optical-axis combining unit to the focusing optical system; a focus adjusting mechanism that can adjust the distance between an exit face of the optical fiber and each light source; and a chromatic-aberration correcting member, provided in the focusing optical system, for correcting aberration of the light of the first wavelength and the second wavelength. The light beams whose optical axes are combined by the optical-axis combining unit are imaged onto one end of the optical fiber, are transmitted by the optical fiber, and are then emitted from the other end. Since the distance between each light source and the other end of the fiber, that is to say, the exit face, is adjusted by operating the focus adjusting mechanism, the light from the first and second light sources is emitted such that the exit face of the optical fiber effectively serves as an emission point. Chromatic aberration occurs due to the difference between the first wavelength and the second wavelength, causing a shift in the focal position of the focusing optical system, which is corrected by the chromatic-aberration correcting member. Correcting this aberration allows the light from the first light source and the light from the second light source to be accurately aligned and imaged at the same position. According to a third aspect, the present invention provides an optical stimulation apparatus including a first light source that emits light of a first wavelength that irradiates a substance introduced into a specimen to cause a change in the structure thereof; a second light source that emits light of a second wavelength different from the first wavelength, the second wavelength not causing a change in the structure of the substance; and a focusing optical system that focuses the light from the first and second light sources. The first and second light sources are formed of a light source unit and filters that selectively transmit light of the first wavelength or light of the second wavelength among light from the light source unit. With the optical stimulation apparatus according to the third aspect, light emitted from the same light source unit can be made to pass through the filters, thereby radiating light of the first wavelength and light of the second wavelength. Therefore, it is possible to specify the optical stimulus position and to carry out optical stimulation using a common light source. According to a fourth aspect, the present invention provides a microscope examination apparatus having the optical stimulation apparatus described above, wherein the microscope examination apparatus includes an objective optical system disposed adjacent to the specimen; an illumination optical system that introduces illumination light to the objective optical system; and an eyepiece optical system that allows observation of return light from the specimen. In addition, the objective optical system includes a light introducing member that introduces light from the first and second light sources whose optical axes are aligned; an aperture that restricts the image of the first and second light sources formed on the specimen; and an aperture moving mechanism that moves the aperture in directions orthogonal to the optical axis. With the microscope examination apparatus according to the fourth aspect, when the illumination light emitted by the illumination optical system enters the objective optical system, it is imaged onto the specimen by the objective optical system. Returning light then returns via the objective optical system and can be observed with the eyepiece optical system. On the other hand, in the objective optical system, the light beams from the first and second light sources whose optical axes are aligned are introduced by means of the light introducing member and form an image of the first and second light sources on the specimen. This image of the light sources is limited by the aperture to form a light spot. Also, moving the aperture in directions orthogonal to the optical axis by operating the aperture moving mechanism allows the light spot to be moved to any position on the specimen. In such a case, since the light from the second light source is visible light that does not cause a structural change in the substance, return light from the specimen and reflected light of the spot formed by the visible light can be observed with the eyepiece optical system. Therefore, it is possible to move the light spot to a desired stimulus position by means of the aperture moving mechanism, while visually confirming the position of the light spot. Then, once the light spot position has been set, the light of the first wavelength from the first light source, which causes a structural change in the substance, is introduced, thereby accurately radiating optical stimulus light onto the optical stimulus position indicated by the light spot, which allows detailed examination to be carried out. Accordingly, the present invention provides an optical stimulation apparatus that can specify an optical stimulus position and accurately radiate the specified optical stimulus position with optical stimulus light without causing a structural change in the substance introduced into the specimen. An optical stimulation apparatus according to an embodiment of the present invention will be described below with reference to FIGS. 1 and 2. As shown in FIG. 1, an optical stimulation apparatus according to this embodiment includes a first light source 2 that emits light of a first wavelength; a second light source 3 that emits light of a second wavelength; optical fibers 4 and 5 that transmit light from these light sources 2 and 3, respectively; an optical-axis combining unit 6 that joins the optical fibers 4 and 5 and combines the optical axes of the optical fibers 4 and 5; and a focusing optical system 7 that focuses the light beams emitted from the optical fibers 4 and 5 along the optical axis combined by the optical-axis combining unit 6 to image them at a light spot. The first light source 2 emits light of a wavelength that causes a structural change in a substance introduced into a specimen A, namely, a substance that produces fluorescence in response to an optical stimulus, such as a fluorescent protein or a caged compound, or that causes another fluorescent substance to be activated. On the other hand, the second light source 3 emits light of a wavelength that does not cause a structural change in these substances. The optical-axis combining unit 6 is provided with a dichroic mirror 8 that transmits light from the first light source 2 and that reflects light from the second light source 3, thus aligning the optical axis of the light from the first light source 2 and the optical axis of the light from the second light source 3. Position adjusting mechanisms 9A and 9B that enable positional adjustment of exit faces 4a and 5a of the optical fibers 4 and 5, respectively, are also provided in the optical-axis combining unit 6. More concretely, as shown in FIG. 2, the position adjusting mechanism 9A is constructed by attaching a sliding tube 60, which can slide in the longitudinal direction, to the outer surface of a cylindrical apparatus main body 13 constituting the focusing optical system 7 and by fixing a connector 61, which connects the optical fiber 4, to the sliding tube 60. The position adjusting mechanism 9B is constructed by attaching, by means of a screw 63, a bracket 64 to an intermediate base 62 that is fixed to the apparatus main body 13 and by fixing a connector 65, which connects the optical fiber 5, to the bracket 64. Position adjustment of the exit face 4a of the optical fiber 4 in the optical axis direction by the first position adjusting mechanism 9A is carried out by sliding the sliding tube 60 with respect to the apparatus main body 13. Also, position adjustment of the exit face 5a of the optical fiber 5 in the optical axis direction by the second position adjusting mechanism 9B is carried out by loosening the screw 63 and inserting shims (not shown in the drawing) of specified thickness into the gap between the intermediate base 62 and the bracket 64. The inclination of the optical axis of the optical fiber 5 can be adjusted by making the thicknesses of the shims disposed on either side of the optical axis different. Furthermore, when the screw 63 is loosened, it is possible to adjust the position of the bracket 64 in a direction orthogonal to the optical axis relative to the intermediate base 62 within a range allowed by the gap between the screw 63 and a through-hole (not shown) for the screw 63, which is provided in the bracket 64. The connectors 61 and 65 are attached at an angle with respect to the sliding tube 60 or the bracket 64. As shown in FIG. 3, this inclination angle is approximately 4°, and the exit faces 4a and 5a of the optical fibers 4 and 5 are cut at an angle of approximately 8°. With this configuration, part of the light transmitted in the optical fibers 4 and 5 can be prevented from returning back along the optical fibers 4 and 5 due to reflection at the exit faces 4a and 5a. Since exit faces 4a and 5a of the optical fibers 4 and 5 and the focal point of the focusing optical system 7 are disposed at mutually conjugate positions, the exit faces 4a and 5a of the optical fibers 4 and 5 can be moved in their optical-axis directions by operating the position adjusting mechanisms 9A and 9B, which allows a focal position 10 disposed in front of the focusing optical system 7 to be adjusted in the optical axis direction for each of the optical fibers 4 and 5. Also, by operating the position adjusting mechanism 9B, the exit face 5a of the optical fiber 5 can be moved in directions orthogonal to the optical axis, which enables the position of the focal point 10 of the light from the light source 3 to be adjusted in directions orthogonal to the optical axis. Likewise, the position adjusting mechanism 9A may also be provided with a structure that enables position adjustment in directions orthogonal to the optical axis. The focusing optical system 7 includes a collimator lens 11 that converts the light beams from the exit faces 4a and 5a of the optical fibers 4 and 5 on the optical axis combined in the optical-axis combining unit 6 into collimated beams; and an objective lens 12 that forms an image at a predetermined position. The collimator lens 11 and the objective lens 12 are contained inside the cylindrical apparatus body 13. By fixing the optical stimulation apparatus 1 according to this embodiment to a manipulator 15 disposed adjacent to a microscope examination apparatus, such as a stereomicroscope 14, as shown in FIG. 4 for example, and operating the manipulator 15, the position and orientation thereof can be set as desired. The stereomicroscope 14 shown in FIG. 2 is a Galilean stereomicroscope 14 including an illumination device 16, a binocular eyepiece optical system 17, a large objective lens 18, and a zoom mechanism 19. A dichroic mirror 20 that reflects excitation light from the illumination device 16 and that transmits fluorescence from the specimen A is provided in the light path running from the eyepiece optical system 17 to the zoom mechanism 19. The specimen A is mounted on a stage 21. The operation of the optical stimulation apparatus 1 according to this embodiment, having such a configuration, will be described below. When performing optical stimulation of the specimen A with the optical stimulation apparatus 1 of this embodiment, first, a substance whose structure changes in response to light of the first wavelength is introduced into the specimen A. This material is a substance that produces fluorescence in response to an optical stimulus, such as, for example, a fluorescent protein or caged compound, or alternatively, a substance that activates another fluorescent material. Next, before irradiating the specimen A with light, the position adjusting mechanisms 9A and 9B are adjusted to align the image position at which an image of the first light source 2 is formed via the optical fiber 4 from the first light source 2, the optical-axis combining unit 6, and the focusing optical system 7 and the image position at which an image of the second light source 3 is formed via the optical fiber 5 from the second light source 3, the optical-axis combining unit 6, and the focusing optical system 7. The first light source 2 is then switched off and only the second light source 3 is operated to emit light of the second wavelength. In this state, the manipulator 15 is operated to position the image of the second light source 3 in the field of view of the stereomicroscope 14. Since the light from the second light source 3 is visible light, the operator can easily position it within the field of view of the stereomicroscope 14. By doing so, the condition of the specimen A and the light spot formed on the specimen A can both be observed using the stereomicroscope 14. Thus, the operator manipulates the manipulator 15 so that the image of the second light source 3 is formed within the field of view of the stereomicroscope 14 and positions the light spot formed of the image of the second light source 3 at a desired stimulus position within the field of view. Since the light from the second light source 3 is visible light, the operator can easily position the light spot at the desired stimulus position. Also, the light from the second light source 3 has a wavelength that does not cause a structural change of the substance introduced into the specimen A. Therefore, even though it is imaged onto the specimen A, it does not cause any structural change in the substance, and it is thus possible to specify the optical stimulus position without producing fluorescence and without activating another substance. Next, the second light source 3 is switched off and light from the first light source 2 is imaged onto the specimen A. By operating the position adjusting mechanisms 9A and 9B, the image position of the light from the first light source 2 can be aligned with the previous image position of the light from the second light source 3. In addition, since the optical axes of the light from both light sources 2 and 3 are aligned by the optical-axis combining unit 6, the light from the first light source 2 is imaged at an accurately aligned point at the optical stimulus position where the spot of light from the second light source 3 was positioned. As a result, it is possible to accurately radiate light from the first light source 2 at the optical stimulus position indicated by the light from the second light source 3. Since the light emitted from the first light source 2 has a wavelength that causes a structural change in the substance introduced into the specimen A, the structure of the substance changes in response to the light emitted from the first light source 2, and in examination carried out thereafter by a fluorescence microscope, the substance emits fluorescence in response to excitation light irradiated thereon. Alternatively, another substance may be activated to emit fluorescence. For example, if the substance introduced into the specimen A is the fluorescent protein kaede, by adjusting the irradiation time of the light of the first wavelength emitted from the first light source 2, during subsequent observation using the fluorescence microscope, it is possible to change the color of the fluorescence produced from green to yellow and from yellow to red. If the substance introduced into the specimen A is the fluorescent protein PA-GFP, by irradiating the light of the first wavelength, green fluorescence is produced during the subsequent observation with the fluorescence microscope. Furthermore, if the substance introduced into the specimen A is caged calcium, by irradiating it with the light of the first wavelength emitted from the first light source 2, calcium ions are released from the caged compound and are discharged, and the surrounding cells thus receive a stimulus by means of the calcium ions. As a result, during subsequent observation with the fluorescent microscope, the surrounding cells, or likewise, a calcium indicator, produce fluorescence. If the substance introduced into the specimen A is a caged NO compound, by irradiating it with light of the first wavelength emitted from the first light source 2, NO is discharged from the caged NO compound and can stimulate the surrounding cells. In other words, it is possible to accurately conduct optical marking, using the light from the first light source 2, at any position in the specimen A specified by the visible light from the second light source 3. In the embodiment described above, the collimator lens 11 and the objective lens 12 functioning as the focusing optical system 7 are contained inside the apparatus main body 13; however, instead of this, as shown in FIG. 5, the collimator lens 11 may be disposed inside the apparatus main body 13 while the objective lens 12 may be attachable to and detachable from the apparatus main body 13, as an objective lens unit 22. With this configuration, the objective lens unit 22 can be replaced with another one having a different focal length. As shown in FIG. 6, the optical-axis combining unit 6 and the focusing optical system 7 including the collimator lens 11 and the objective lens 12 may be separated from each other and coupled by an optical fiber 23. A collimator lens 24 and a focusing lens 25 are disposed inside the optical-axis combining unit 6, and light from the light sources 2 and 3 is focused onto an end 23b of the optical fiber 23. In this case, since the end of the optical fiber 23 serves as a common image plane for the first light source 2 and the second light source 3, the focal position shifts due to chromatic aberration in the same collimator lens 11 and objective lens 12. As a result, chromatic-aberration correcting members 26 and 27 for correcting the chromatic aberration are provided in the focusing optical system 7. The chromatic-aberration correcting member 26 is, for example, a moving mechanism that moves the collimator lens 11 or the exit face 23a in the optical axis direction depending on the wavelength of the radiated light. With this configuration, an additional component, namely, the chromatic-aberration correction member 26, is required, but on the other hand, it is possible to use only a single optical fiber 23 to connect the light sources 2 and 3 with the focusing optical system 7. Therefore, the focusing optical system 7 can be easily repositioned, which affords an advantage in that it is possible to apply an optical stimulus to the specimen A from any angle and position. As shown in FIG. 7, a single light source (light source unit) 28 and filters 55 that can selectively emit light of a first wavelength and light of a second wavelength may be used. Reference numeral 29 in the figure represents a focusing lens. By selecting the filters 55 to emit light of the second wavelength when specifying the optical stimulus position and to emit light of the first wavelength when applying the optical stimulus, it is possible to achieve the same results as described above. Using a single light source allows the apparatus to be made more compact. Next, a microscope examination apparatus 30 according to an embodiment of the present invention will be described with reference to FIG. 8. As shown in FIG. 8, the microscope examination apparatus 30 according to this embodiment is a Galilean fluorescence stereoscopic microscope examination apparatus like that shown in FIG. 4. It has a construction in which an optical stimulation apparatus 33 is connected to the light path running from an illumination device 31 to a dichroic mirror 32. As shown in FIG. 8, the illumination device 31 includes a xenon lamp 34, a focusing lens 35, an excitation filter turret 36, and a collimator lens 37. Light emitted from the xenon lamp 34 is transmitted through the excitation filter turret 36, and is incident on the collimator lens 37 as excitation light having a desired wavelength. The wavelength of the excitation light is different from the first wavelength and the second wavelength of the optical stimulation apparatus 33, which is described later, and does not cause any structural change in the substance. Also, a through-hole (not shown) for introducing visible light to the collimator lens 37 is included in the excitation filter turret 36 for allowing light from the xenon lamp 34 to pass directly therethrough. The optical stimulation apparatus 33 according to this embodiment includes a first light source 38 that emits light of a first wavelength; a second light source 39 that emits light of a second wavelength; optical fibers 40 and 41 that transmit light from these light sources 38 and 39, respectively; an optical-axis combining unit 44 including a dichroic mirror 42 that joins the optical fibers 40 and 41 and that couples the optical axes from both optical fibers 40 and 41, and a focusing lens 43 that focuses light emitted from the optical fibers 40 and 41 along the axis combined by the dichroic mirror 42; a collimator lens 45 that collimates the light focused by the focusing lens 43; and a dichroic mirror 46 that makes the collimated light coincident with the optical axis of the illumination device 31. The first light source 38 emits light of a wavelength that causes a structural change in the substance introduced into the specimen A, namely, a substance that produces fluorescence in response to an optical stimulus, such as a fluorescent protein or a caged compound, or that causes another fluorescent substance to be activated. On the other hand, the second light source 39 emits light of a wavelength that does not cause a structural change in these substances. The optical-axis combining unit 44 includes a focus adjusting mechanism 47 that can adjust the positions of the exit faces 40a and 41a of the optical fibers 40 and 41 in the directions of the optical axes thereof and in directions orthogonal to the optical axes; and a spot-position adjusting mechanism 48 that moves the optical-axis combining unit 44 in directions orthogonal to the combined optical axis thereof. Since the spot-position adjusting mechanism 48 two-dimensionally moves an aperture member 49 disposed between the focusing lens 43 and the collimating lens 45 in directions orthogonal to the optical axis, it is linear motion mechanism having two orthogonal axes and may be constructed of any mechanism such as a ball screw or linear guide. A microscope examination method using the microscope examination apparatus 30 according to this embodiment, having such a configuration, will be described below. First, before mounting the specimen A on the stage 21, the focal positions formed in front of the objective lens 18 are adjusted so as to be aligned with respect to each other by operating the focus adjusting mechanisms 47 to move the exit faces 40a and 41a of the optical fibers 40 and 41 along the optical axes thereof. Also, a substance whose structure changes in response to light of the first wavelength, for example, a fluorescent protein or a caged compound, is introduced into the specimen A. Next, to carry out examination using the microscope examination apparatus 30 according to this embodiment, the through-hole of the excitation filter turret 36 of the illumination apparatus 31 is selected to allow light from the xenon lamp 34 to be directly incident on the collimator lens 37. The light then passes through the dichroic mirror 32, the zoom mechanism 19, and the objective lens 12 and irradiates the specimen A, and then reflected light from the specimen A is observed in the eyepiece optical system 17. In this state, while the first light source 38 is switched off, visible light of the second wavelength is emitted only from the second light source 39. Accordingly, a stereo image of the specimen A and the light spot formed by the second light source 39 are observed by the operator, who looks through the eyepiece optical system 17. The operator then operates the spot-position adjusting mechanism 48 while looking through the eyepiece optical system 17 and moves the optical-axis combining unit 44 in directions orthogonal to the combined optical axis thereof. Thus, since the light spot in the field of view of the eyepiece optical system 17 is moved on the stereo image of the specimen A, the operator can position the light spot at a point on the stereo image where the optical stimulus is to be applied, and then stops adjusting the spot-position adjusting mechanism 48 at that position. Since the visible light of the second wavelength is light that does not cause a structural change in the substance introduced into the specimen A, the position where the optical stimulus is to be applied can be specified without causing optical marking in the substance. Next, the second light source 39 is switched off and the first light source 38 is operated. The light of the first wavelength is radiated from the first light source 38. The light spot position formed by the first light source 38 and the light spot position formed by the second light source 39 are aligned by operating the focus adjusting mechanisms 47 and the spot-position adjusting mechanism 48. Therefore, the light of the first wavelength can be made to accurately irradiate a point indicated by the visible light of the second wavelength to apply an optical stimulus to the specimen A. After applying the optical stimulus for a predetermined duration, depending on the type of substance introduced into the specimen A, the first light source 38 and the second light source 39 are switched off, and the fluorescence filter in the fluorescence filter turret 36 in the illumination device 31 is selected to irradiate the specimen A with excitation light from the illumination device 31. Accordingly, fluorescence can be produced from the point where the optical stimulus is applied. In other words, with the microscope examination apparatus 30 according to this embodiment, an advantage is afforded in that it is possible to carry out examination of that optical stimulus point or the surrounding region without moving the specimen A from the state in which the optical stimulus is applied. In the microscope examination apparatus 30 according to this embodiment, a stereomicroscope examination apparatus is employed and the optical stimulation apparatus 33 is connected between the illumination device 31 and the objective lens 12 thereof. Instead of this, however, as shown in FIG. 9, a configuration including the same optical stimulation device 33 in an inverted microscope examination apparatus 30′ is also possible. In this figure, reference numeral 50 in the drawing represents a focusing lens, reference numeral 51 represents a fluorescence filter, reference numeral 52 represents a CCD camera, reference numeral 53 represents an objective lens, and reference numeral 54 represents a slide glass. |
|
summary | ||
053435087 | description | DETAIL DESCRIPTION Referring now to the drawings there is shown in FIG. 1 a nuclear reactor fuel assembly 10 comprising an array of fuel rods 12 held in spaced relationship with each other by fuel rod spacer grids 14 and 16 spaced along the fuel assembly length. The grid assembly includes upper spacer grids 14 and a lower spacer grid 16. Each fuel rod 12 comprises a hermetically sealed elongated tube, known in the art as the cladding, which contains a fissionable fuel material, such as uranium, in the form of pellets. The individual fuel rods 12 are supported in the fuel assembly by means of the spacer grids 14 and 16, such that an upwardly flowing liquid coolant may pass along the fuel rods and thereby prevent overheating and possible melting of the cladding. In a manner well-known in the art, the coolant, after passing through the reactor core and being heated through contact with the fuel rods, will be delivered to a heat exchanger and the heat extracted from the circulating coolant will be employed to generate steam for driving a turbine. The fuel assembly 10 also includes an array of guide tubes 15, having control rods 30 adapted for slidable longitudinal movement therein, which are positioned to extend axially through selected cells in spacer grids 14 and 16. The control rods 30 serve as means for regulating the thermal output power of the reactor. Each spacer grid 14 and 16 includes straps made of a material such as Inconel or other material, interwoven to form two separate grid sections of egg-crate configurations. The openings formed are virtually aligned to form cells, and are of a sufficient size to receive fuel rods 12 or guide tubes 15. Guide tubes 15 are attached to a top nozzle 40 and a bottom nozzle 45 by means of screws or some other affixing means and, along with the spacer grids 14 and 16, form the fuel assembly skeleton structure. The spacer grids 14 are attached to the guide tubes 15 by conventional means such as spot welding. The bottom spacer grid 16 is attached to the guide tubes 15 by a retaining means which is preferably retainer 20. The retainer 20 will be described in more detail with reference to FIGS. 2a, 2b, 2c, 2d, 3, 4a and 4b. FIGS. 2a and 2b show two side views of retainer 20 rotated ninety degrees from each other. The retainer 20 is preferably made by stamping or forming an Inconel or stainless steel tube, but it is recognized that other materials and processes could be used. The retainer 20 includes an upper end 21 for receiving the lower end 17 of the bottom spacer grid 16 and a lower end 29 for receiving the lower end of the guide tubes 15. The upper end 21 of retainer 20 has four leg portions 22 which are fastened to the lower end 17 of the bottom spacer grid 16, preferably by such means as spot welding as shown at 50 in FIG. 4a. FIG. 2d shows a cross-sectional view of the retainer 20 in FIG. 2a. The lower portion 29 of the retainer 20 has an enlarged section 28, a flattened section 27 and two apertures 26. FIG. 2c illustrates the cross-sectional view of the lower portion 29 taken along line A--A. As shown in FIG. 3, the lower end of each guide tube 15 is machined and welded to an end plug 24 to mate with the lower portion 29 of the retainer 20. The end plug 24 of each guide tube 15 has a shoulder portion 13 for mating with the retainer 20. The apertures 26 receive the shoulder 13 of end plug 24. The flattened sectioned 27 mates with the end plug 24 and the enlarged portion 28 is captured between a top surface 46 of the bottom nozzle 45 and the shoulder 13 of end plug 24 so as to axially constrain movement of the retainer 20. Assembly of the skeleton structure will now be described. The legs 22 of the retainer 20 are welded to selected lower end sections 17 of the bottom spacer grid 16 such that each spacer grid cell which will later contain a guide tube 15 is attached to a retainer 20. FIG. 5 illustrates the bottom spacer grid 16 with attached retainers 20. The grid 16 is then clamped during assembly of the skeleton structure. The guide tubes 15 are attached to the top nozzle 40 in the conventional manner, or, alternatively, by means of the retainers 20 of the present invention. The guide tubes 15 pass through the selected sections of lower end 17 of spacer grid 16 and through the retainers 20. The shoulders 13 of the end plugs 24 protrude from the apertures 26 of the retainer 20. End plugs 24 are then attached to the bottom nozzle 45 by means such as attachment screws 18. The lower section 29 of the retainer 20 is thereby captured between the surface 46 of bottom nozzle 45 and shoulder 13 of end plug 24 and axial movement is thereby constrained. Since the grid 16 is clamped during skeleton fabrication, and each retainer 20 is welded to the spacer grid 16, the guide tubes 15 are restrained from rotating during torquing of the attachment screws which connect the bottom nozzle 45 to the guide tubes 15. The twisting of the skeleton is thereby minimized and the integrity of the skeleton increased while maintaining a strong and secure connection between the spacer grid 17, guide tubes 15 and bottom nozzles 45. Further, the retainers of the present invention are economical to produce and thereby provide a low cost means to increase the integrity of the skeleton structure. |
summary | ||
description | This patent application is a continuation of U.S. patent application Ser. No. 13/819,999, which is a national stage filing under 35 U.S.C. 371 of International Application No. PCT/IB2010/002501, filed Sep. 3, 2010, the disclosure of which is incorporated by reference herein in its entirety, and which priority is hereby claimed. The present invention relates to a nuclear fuel bundle containing thorium as a nuclear fuel for use in a nuclear reactor. Nuclear reactors generate energy from a nuclear chain reaction (i.e., nuclear fission) in which a free neutron is absorbed by the nucleus of a fissile atom in a nuclear fuel, such as Uranium-235 (235U). When the free neutron is absorbed, the fissile atom splits into lighter atoms and releases more free neutrons to be absorbed by other fissile atoms, resulting in a nuclear chain reaction, as is well understood in the art. Thermal energy released from the nuclear chain reaction is converted into electrical energy through a number of other processes also well known to those skilled in the art. In some embodiments of the present invention, a fuel bundle for a nuclear reactor is provided, and comprises a first fuel element including thorium dioxide; a second fuel element including uranium having a first fissile content; and a third fuel element including uranium having a second fissile content different from the first fissile content. Some embodiments of the present invention provide methods of manufacturing and using a fuel bundle for a nuclear reactor having a first fuel element including thorium dioxide; a second fuel element including uranium having a first fissile content; and a third fuel element including uranium having a second fissile content different from the first fissile content. Also, some embodiments of the present invention provide a nuclear reactor having at least one fuel bundle having a first fuel element including thorium dioxide; a second fuel element including uranium having a first fissile content; and a third fuel element including uranium having a second fissile content different from the first fissile content. In some embodiments, any of the fuel bundles and methods just described are utilized in a pressurized heavy water reactor, such as fuel bundles having a first fuel element including thorium dioxide; a second fuel element including uranium having a first fissile content; and a third fuel element including uranium having a second fissile content different from the first fissile content, wherein the fuel bundles are located within one or more tubes of pressurized water that flow past the fuel bundles, absorb heat from the fuel bundles, and perform work downstream of the fuel bundles. Other aspects of the present invention will become apparent by consideration of the detailed description and accompanying drawings. Before any embodiments of the invention are explained in detail, it is to be understood that the invention is not limited in its application to the details of embodiment and the arrangement of components set forth in the following description or illustrated in the accompanying drawings. The invention is capable of other embodiments and of being practiced or of being carried out in various ways. FIGS. 1-7 illustrate various embodiments of a nuclear fuel bundle for use in a nuclear reactor, such as a pressurized heavy water reactor 10 (e.g., a Canadian Deuterium Uranium (CANDU) type nuclear reactor), a portion of which is shown schematically in FIG. 8. The following description of various embodiments of the present invention is provided in the context of a pressurized heavy water reactor having pressurized horizontal tubes within which the fuel bundles 14 are positioned. This nuclear reactor environment and application of the fuel bundles according to the present invention is presented by way of example only, it being understood that the present invention is applicable to fuel bundles adapted for use in other types of nuclear reactors. With reference to FIG. 8, the reactor core of the pressured heavy water reactor 10 contains one or more fuel bundles 14. If the reactor 10 includes a plurality of fuel bundles 14, the bundles 14 can be placed end-to-end inside a pressure tube 18. In other types of reactors, the fuel bundles 14 can be arranged in other manners as desired. Each fuel bundle 14 contains a set of fuel elements 22 (sometimes referred to as “pins”), each containing a nuclear fuel and/or other elements or chemicals (e.g., a burnable poison), which will be described in greater detail below in connection with FIGS. 1-7. When the reactor 10 is in operation, a heavy water coolant 26 flows over the fuel bundles 14 to cool the fuel elements and remove heat from the fission process. The coolant 26 can also transfer the heat to a steam generator 30 that drives an prime mover, such as a turbine 34, to produce electrical energy. Canadian Patent Application No. 2,174,983, filed on Apr. 25, 1996, describes other fuel bundles for a nuclear reactor used in a manner similar to the fuel bundles 14 of the present invention described and illustrated herein. The contents of Canadian Patent Application No. 2,174,983 are incorporated herein by reference. FIGS. 1-7 illustrate cross-sectional views of various embodiments of the fuel bundle 14 positioned in the pressure tube 18. Heavy water coolant 26 is contained within the pressure tube 18, and occupies subchannels between the fuel elements 22. The fuel elements 22 can include a central element 38, a first plurality of elements 42 positioned radially outward from the central element 38, a second plurality of elements 46 positioned radially outward from the first plurality of elements 42, and a third plurality of elements 50 positioned radially outward from the second plurality of elements 46. It should be understood that in other embodiments, the fuel bundle can include fewer or more elements, and can include elements in configurations other than those illustrated in FIGS. 1-7. For example, the fuel elements 22 can be positioned parallel to one another in one or more planes, elements arranged in a matrix or array having a block shape or any other shape, and elements in any other patterned or patternless configuration. The pressure tube 18, the fuel bundle 14, and/or the fuel elements 22 can also be configured in various shapes and sizes. For example, the pressure tubes 18, fuel bundles 14, and fuel elements 22 can have any cross-sectional shapes (other than the round shapes shown in FIGS. 1-7) and sizes as desired. As another example, the pressure tubes 18 and fuel bundles 14 can have any relative sizes 600 (other than the uniform size or two-size versions of the pressure tubes 18 and fuel elements 22 shown in FIGS. 1-7). In some embodiments, at least one of the third plurality of tubes is different in cross-sectional size 600 than that of at least one of the second plurality of tubes. In each of the embodiments of FIGS. 1-6, a 43-element fuel bundle 14 is illustrated. The first plurality of elements 42 includes seven elements arranged in parallel with one another in a generally circular pattern. The second plurality of elements 46 includes fourteen elements arranged in parallel with one another in a generally circular pattern. The third plurality of elements 50 includes twenty-one elements arranged in parallel with one another in a generally circular pattern. The central element 38, the first plurality of elements 42, the second plurality of elements 46, and the third plurality of elements 50 are arranged concentrically such that all of the elements 22 are in parallel with one another. The central element 38 and each of the first plurality of elements 42 have a first cross-sectional size (or diameter, in the case of elements having a round cross-sectional shape), and each of the second plurality 46 and third plurality 50 of elements have a second cross-sectional size (or diameter, in the case of elements having a round cross-sectional shape) different from the first cross-sectional size. In particular, the first cross-sectional size is greater than the second cross-sectional size. In this regard, the term “cross-sectional shape” refers to the cross-sectional shape generated by a plane passing through the body referred to in an orientation that is perpendicular to a longitudinal axis of the body. It should also be understood that the lines included in FIGS. 1-6 indicating the generally circular position of the elements 22 is for illustration purposes only and does not necessarily indicate that elements are tethered together or otherwise coupled in a particular arrangement. In the embodiment of FIG. 7, a 37-element fuel bundle is illustrated in which all of the fuel elements 22 have a uniform cross-sectional size (or diameter, in the case of elements having a round cross-sectional shape). The first plurality of elements 42 includes six elements arranged in parallel with one another in a generally circular pattern. The second plurality of elements 46 includes twelve elements arranged in parallel with one another in a generally circular pattern. The third plurality of elements 50 includes eighteen elements arranged in parallel with one another in a generally circular pattern. The central element 38, the first plurality of elements 42, the second plurality of elements 46, and the third plurality of elements 50 are arranged concentrically such that all of the elements 22 are in parallel with one another. It should be understood that the lines included in FIG. 7 indicating the generally circular position of the elements 22 is for illustration purposes only, and does not necessarily indicate that elements are tethered together or otherwise coupled in a particular arrangement. In some embodiments, the uranium included in at least one of second plurality of tubes and the third plurality of tubes is included with a burnable poison. In some embodiments, the thorium dioxide included in the first plurality of tubes is included with a burnable poison In some embodiments, each of the fuel elements 22 includes a tube filled with nuclear fuel. The tube can be made of or include zirconium, a zirconium alloy, or another suitable material or combination of materials that is some cases is characterized by low neutron absorption. The tube can be filled with the one or more materials, such as nuclear fuel alone or in combination with other materials. The material(s) can be in pellet form, powder form, or in another suitable form or combination of forms. In other embodiments, each of the fuel elements 22 includes a rod formed from one or more materials (e.g., nuclear fuel alone or in combination with other materials), such as nuclear fuel contained within a matrix of other material. In yet other embodiments, the fuel elements 22 can include a combination of tubes and rods and/or other configurations, and the fuel elements 22 can take on other configurations suitable for the particular application. As shown in FIGS. 1-7, the fuel elements 22 can include various combinations of nuclear fuels, such as thorium dioxide (ThO2), depleted uranium (DU), natural uranium (NU), recycled uranium (RU), slightly enriched uranium (SEU) and low enriched uranium (LEU), which will be described in greater detail below. As used here and in the appended claims, references to “percentage” of constituent components of material included in a fuel bundle 14, fuel element 22, or other feature refers to percentage weight, unless specified otherwise. As defined herein, DU has a fissile content of approximately 0.2 wt % to approximately 0.5 wt % of 235U (including approximately 0.2 wt % and approximately 0.5 wt %), NU has a fissile content of approximately 0.71 wt % of 235U, RU has a fissile content of approximately 0.72 wt % to approximately 1.2 wt % of 235U (including approximately 0.72 wt % and approximately 1.2 wt %), SEU has a fissile content of approximately 0.9 wt % to approximately 3 wt % of 235U (including approximately 0.9 wt % and approximately 3 wt %), and LEU has a fissile content of approximately 3 wt % to approximately 20 wt % of 235U (including approximately 3 wt % and approximately 20 wt %). In the embodiment of FIG. 1, the central element 38 includes thorium dioxide and/or a burnable poison (BP), such as gadolinium or dysprosium. In some embodiments, 0-10 vol % BP is utilized. In other embodiments, 0-7 vol % BP is utilized. In other embodiments, 0-6 vol % BP is utilized. In yet other embodiments, 0-3 vol % BP is utilized. The first plurality of elements 42 includes thorium dioxide. The second plurality of elements 46 includes LEU having a first fissile content (LEU1), and each of the third plurality of elements 50 includes LEU having a second fissile content (LEU2) that is different from the first fissile content. It is to be understood that the fissile content of the second plurality of elements 46 (LEU1) is chosen from the range defined above, and the fissile content of the third plurality of elements 50 (LEU2) is also chosen from the same range defined, but is different from the fissile content chosen for the second plurality of elements 46. For example, LEU1 may have a fissile content of approximately 4 wt % of 235U and LEU2 may have a fissile content of approximately 4.5 wt % of 235U. In some embodiments of FIG. 1, a BP may be included in any of the fuel elements 22 illustrated in FIG. 1. Also, any of the amounts of BP just described can be included in any or all of the fuel elements of each fuel bundle embodiment described and/or illustrated herein. In other embodiments, one of the outer two pluralities of elements (i.e., either the second plurality of elements 46 or the third plurality of elements 50) can include DU, NU, RU or SEU, instead of LEU, having a second fissile content that is different from the fissile content of LEU in the other of the outer two pluralities of elements. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. In other embodiments, however, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. In the embodiment of FIG. 2, the central element 38 includes thorium dioxide and/or a burnable poison (BP), such as gadolinium or dysprosium. In some embodiments, 0-10 vol % BP by volume is utilized. In other embodiments, 0-7 vol % BP is utilized. In other embodiments, 0-6 vol % BP is utilized. In yet other embodiments, 0-3 vol % BP is utilized. The first plurality of elements 42 includes thorium dioxide. The second plurality of elements 46 includes a first fissile content of a blend (generally designated herein by the use of a slash “/”) of RU and SEU (RU/SEU)1, which are blended using any method known in the art, such as but not limited to using an acid solution or dry mixing. The third plurality of elements 50 includes a second blend of RU and SEU (RU/SEU)2 having a second fissile content different from the first fissile content. It is to be understood that the fissile content of the second plurality of elements 46 (RU/SEU)1 is chosen from the range between and including approximately 0.72 wt % to approximately 3 wt % of 235U. The fissile content of the third plurality of elements 50 (RU/SEU)2 is also chosen from the same range, but is different from the fissile content chosen for the second plurality of elements 46. In some embodiments of FIG. 2, a BP may be included in any of the fuel elements 22. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. However, in other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. It should also be generally noted that RU is not limited to being mixed with SEU. In other embodiments, RU can be mixed with LEU or highly enriched uranium (HEU) in order to result in an average fissile content at a desired level. In the embodiment of FIG. 3, the central element 38 includes thorium dioxide and the first plurality of elements 42 includes thorium dioxide. The second plurality of elements 46 includes RU having a first fissile content (RU1), and the third plurality of elements 50 includes RU having a second fissile content (RU2) different from the first fissile content. It is to be understood that the fissile content of the second plurality of elements 46 (RU1) is chosen from the range defined above, and the fissile content of the third plurality of elements 50 (RU2) is also chosen from the range defined above, but is different from the fissile content chosen for the second plurality of elements 46. In some embodiments of FIG. 3, a BP may be included in any of the fuel elements 22. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. In other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. In the embodiment of FIG. 4, the central element 38 includes thorium dioxide and the first plurality of elements 42 includes thorium dioxide. The second plurality of elements 46 includes a blend of RU and DU and/or includes SEU, and has a first fissile content. If a blend of RU and DU is used, the materials are blended using a method known in the art, such as but not limited to using an acid solution or dry mixing. The third plurality of elements 50 includes a blend of RU and DU and/or includes SEU, and has a second fissile content (RU/DU and/or SEU)2. It is to be understood that the fissile content of the second plurality of elements 46 is chosen from the range between and including approximately 0.2 wt % to approximately 3 wt % 235U. The fissile content of the third plurality of elements 50 is also chosen from the same range, but is different from the fissile content chosen for the second plurality of elements 46. In some embodiments of FIG. 4, a BP may be included in any of the fuel elements 22. In other embodiments, the second plurality of elements 46 each includes RU, DU or SEU within the corresponding fissile content range, and similarly, the third plurality of elements 50 each includes RU, DU, or SEU within the corresponding fissile content range, the first fissile content being different from the second fissile content. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. In other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. In the embodiment of FIG. 5, the central element 38 includes a blend of thorium dioxide and BP (ThO2/BP) or a blend of DU and BP (DU/BP). In some embodiments, 0-10 vol % BP is utilized. In other embodiments, 0-7 vol % BP is utilized. In other embodiments, 0-6 vol % BP is utilized. In still other embodiments, 0-3 vol % BP is utilized. The first plurality of elements 42 includes thorium dioxide. The second plurality of elements 46 includes a blend of RU and DU and/or includes SEU, and has a first fissile content (RU/DU and/or SEU)1. If a blend of RU and DU is used, the materials are blended using a method known in the art, such as but not limited to using an acid solution or dry mixing. The third plurality of elements 50 includes a blend of RU and DU and/or includes SEU, and has a second fissile content different from the first fissile content (RU/DU and/or SEU)2. It is to be understood that the fissile content of the second plurality of elements 46 (RU/DU and/or SEU)1 is chosen from the range between and including approximately 0.2 wt % to approximately 3 wt % 235U. The fissile content of the third plurality of elements 50 (RU/DU and/or SEU)2 is also chosen from the same range, but is different from the fissile content chosen for the second plurality of elements 46. In some embodiments of FIG. 5, a BP may be included in any of the fuel elements 22. Also, in some embodiments, the second plurality of elements 46 each includes RU, DU, or SEU within the corresponding fissile content range, and similarly, the third plurality of elements 50 each includes RU, DU or SEU within the corresponding fissile content range, the first fissile content being different from the second fissile content. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. In other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. In the embodiment of FIG. 6, the central element 38 includes either a blend of thorium dioxide and BP (ThO2/BP) or thorium dioxide. In some embodiments, 0-10 vol % BP is utilized. In other embodiments, 0-7 vol % BP is utilized. In other embodiments, 0-6 vol % BP is utilized. In still other embodiments, 0-3 vol % BP is utilized. The first plurality of elements 42 includes thorium dioxide. The second plurality of elements 46 includes a blend of RU and DU and/or includes SEU, and has a first fissile content (RU/DU and/or SEU)1. If a blend of RU and DU is used, the materials are blended using a method known in the art, such as but not limited to using an acid solution or dry mixing. The third plurality of elements 50 includes a blend of RU and DU and/or includes SEU, and has a second fissile content different from the first fissile content (RU/DU and/or SEU)2. It is to be understood that the fissile content of the second plurality of elements 46 (RU/DU and/or SEU)1 is chosen from the range between and including approximately 0.2 wt % to approximately 3 wt % 235U. The fissile content of the third plurality of elements 50 (RU/DU and/or SEU)2 is also chosen from the same range, but is different from the fissile content chosen for the second plurality of elements 46. In some embodiments of FIG. 6, a BP may be included in any of the fuel elements 22. In other embodiments, the second plurality of elements 46 each includes RU, DU, or SEU within the corresponding fissile content range, and similarly, the third plurality of elements 50 each includes RU, DU, or SEU within the corresponding fissile content range, the first fissile content being different from the second fissile content. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. In other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. The embodiment of FIG. 7 is substantially similar to the embodiment of FIG. 6 described above, except that the fuel bundle 14 is a 37-element fuel bundle having uniformly sized fuel elements 22, as described above. The distribution of nuclear fuel in the central, first, second, and third pluralities of elements 38, 42, 46, 50, respectively, is similar to FIG. 6 and, therefore, is described above. The embodiment of FIG. 7 provides an example of how the particular number of fuel elements, the fuel element arrangement (e.g., rings of elements in the illustrated embodiments), fuel element sizes, and relative fuel element sizes can change while still embodying the present invention. In some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14. In other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. Alternatively, any of the embodiments of FIGS. 4-7 may include a single fissile content of enriched uranium in both outer two pluralities of elements (i.e., in both the second plurality of elements 46 and the third plurality of elements 50). In some embodiments, for example, the single fissile content is chosen from a range greater than 1.8 wt %. As another example, the single fissile content is chosen from a range that is less than 1.7 wt %. In other embodiments, any combination of RU, DU, LEU, NU and SEU (driver fuel) in two different locations in the fuel bundle 14 can be employed in combination with thorium dioxide and/or BP at other locations in the fuel bundle 14 such that the fissile content of a first element of the driver fuel is different from the fissile content of a second element of the driver fuel. The driver fuel provides the neutrons required to convert 232Thorium, which is not fissile, to 233Uranium, which is fissile, such that thorium dioxide effectively burns in a nuclear reactor. BP is used to enhance safety related parameters, most importantly coolant void reactivity (CVR) and fuel temperature coefficient (FTC). As noted above, a BP may be included in any of the elements or locations in the fuel bundle 14, or may be included in an element or location alone (i.e., without being mixed with fuel in a fuel element or otherwise being included with the fuel in a fuel element location). Also, in some embodiments, the fissile content of nuclear fuel decreases in an outward radial direction from the center of the fuel bundle 14, whereas in other embodiments, the fissile content increases in an outward radial direction from the center of the fuel bundle 14. The embodiments and embodiments described herein may also be used with pressure tubes larger or smaller than those used in current pressure tube reactors and may also be used in future heavy water pressure tube reactors. The fuel bundles 14 of the present invention are also applicable to pressure tube reactors with different combinations of liquids/gasses in their heat transport and moderator systems. The present invention can also be employed in fuel bundles having a different number and arrangement of elements, and is not limited to 43-element and 37-element fuel bundle designs, such as those illustrated by way of example in FIGS. 1-7. Fuel bundles utilizing thorium and uranium isotope (heterogeneous or homogeneous) compositions can allow more precise control of the power coefficient, bundle powers, channel power, flux levels, core flux shapes, critical heat flux, and core void reactivity of a nuclear reactor, such that safety requirements can be readily achieved while significantly increasing the resource utilization. Any of the fuels described herein can be provided in inert matrix carriers, and/or can be used in such a way as to increase fuel burn-up and avoid limits of the mechanical properties of the base fuel, thus further increasing the utilization of the fuel resource. Such additions/carriers will also allow more precise control of, for example, fission gas release associated design criteria and heat transfer coefficients. Further, in heavy water cooled reactors, the rate of neutron multiplication increases when coolant voiding occurs. Coolant voiding occurs, for example, when the coolant starts to boil. Coolant void reactivity is a measure of the ability of a reactor to multiply neutrons. This phenomenon is due to positive coolant void reactivity, and is an undesirable occurrence. The present invention can provide a significant reduction in coolant void reactivity, and can also provide a negative fuel temperature coefficient and/or a negative power coefficient. The embodiments described above and illustrated in the figures are presented by way of example only and are not intended as a limitation upon the concepts and principles of the present invention. As such, it will be appreciated by one having ordinary skill in the art that various changes in the elements and their configuration and arrangement are possible without departing from the spirit and scope of the present invention. For example, in various embodiments described and/or illustrated herein, LEU and SEU are blended with different types of nuclear fuel to produce nuclear fuels having desired fissile contents. It should be noted that in other embodiments, highly enriched uranium (HEU) and/or LEU can be blended with different fuel types described herein to produce nuclear fuels having the same fissile content. Such HEU and LEU nuclear fuel blends apply to all embodiments of the present invention. |
|
description | 1,Field of the Invention The present invention relates to a technology for suppressing corrosion of metal components, such as nuclear power plant's structural components, in contact with high-temperature water, and more particularly, relates to a nuclear power plant having a corrosion-resistant coating, a method of making such a corrosion-resistant coating and a method of operating the nuclear power plant. 2,Related Art Metal components exposed to high temperature environment are found in almost all of the modern industrial and commercial plants. For example, in the course of steam reforming in a hydrogen production chemical plant, the reaction is carried out at high temperatures and pressures. Inside a boiler or a metal pipe connected to the boiler, hot water and steam move or travel while causing corrosion. The conventional preventive measures against corrosion of metal components have involved use of expensive, special corrosion-resistant materials, improvements on the environment to which the metal components are exposed, etc. For example, in a thermal power plant, a pH control reagent, a deoxidizer, or the like is added to control water chemistry and to thereby reduce the corrosion. In a boiling-water nuclear power plant, oxygen, hydrogen peroxide, and the like produced by radiolysis of water in the radiation field exist in a state dissolved in the reactor water. It is a well-known fact that stainless steel and nickel-based alloys, which are used for reactor structural components of the nuclear power plant, generate stress corrosion cracking in the presence of oxygen and hydrogen peroxide in a high-temperature environment such as a nuclear reactor. Hydrogen injection of injecting hydrogen into the reactor water has applied to some BWR plants in the world to reduce oxygen and hydrogen peroxide dissolved in the reactor water (refer to GENSHIRO MIZU-KAGAKU HANDBOOK [Handbook of Water Chemistry of Nuclear Reactor System], edited by Atomic Energy Society of Japan, published by Corona Publishing Co., Ltd., on Dec. 27, 2000,p. 210). The effect of the oxygen and hydrogen peroxide reduction by the hydrogen injection is confirmed as the decrease in corrosion potential of the metal components. The generation of stress corrosion cracking and the crack growth rate depends on the corrosion potential. The lower the corrosion potential, more suppressed the generation of stress corrosion cracking and development of cracks. As a result, the lifetime of the metal components can be extended. Other nuclear power plants in and outside Japan employ noble metal injection technology of conducting hydrogen injection after deposition of a noble metal, such as platinum (Pt) or rhodium (Rh), on surfaces of reactor structural components to accelerate reaction with hydrogen, increase the anode current to thereby decrease the corrosion potential (see the specification of Japanese Patent No. 2624906). The meaning of the corrosion potential of the metal components is as follows. When a metal is immersed in an electrolyte, the metal shows a potential inherent to that metal. This potential is called “spontaneous potential” of that metal. A corroded metal material shows a potential different (polarized) from its spontaneous potential due to the corrosion reaction. This difference in potential is referred to as the “corrosion potential”. A continuous measurement of the potential difference will estimate the progression of the corrosion. In a uniformly corroded metal material, the cathode reaction (reduction reaction) and the anode reaction (oxidization reaction) reach an equilibrium at the intersection of the cathode reaction polarization curve and the anode reaction polarization curve. This intersection corresponds to the corrosion potential. Another approach that has recently drawn much attention for decreasing the corrosion potential is to utilize the photocatalytic reaction. By coating surfaces of the metal components with a photocatalyst and irradiating the photocatalyst with light having wavelength near ultraviolet, electrons activated by the photoexcitation reaction cause the corrosion potential to decrease. The photoexcitation reaction can be accelerated with a noble metal disposed nearby. Accordingly, by depositing a photocatalyst or a high-efficiency photocatalyst containing a noble metal onto surfaces of the reactor structural components and inducing photoexcitation reaction by Cerenkov radiation generated in the reactor core, the corrosion potential during operation can be reduced (for example, refer to Japanese Patent Laid-open Publication Nos. 2001-4789 and 2001-276628). As the method of preventing parts of the metal components from corrosion in the absence of light, a technology for decreasing the potential difference by generating thermostimulated current utilizing thermal energy instead of light energy has been suggested (refer to Japanese Patent Laid-open Publication No. 2003-232886). Another corrosion reduction method proposed is to alternately laminate N-type semiconductor coatings and P-type semiconductor coatings onto surfaces of metal components (refer to Japanese Patent Laid-open Publication No. 9-125283). Yet another corrosion method proposed is to provide a coating consisting of three or more alternately stacked layers of an anion-permselective substance and a cation-permselective substance (refer to Japanese Patent Laid-open Publication No. 11-12719). According to the technology disclosed in Japanese Unexamined Patent Application Publication No. 2001-4789,electrons irradiated with light are activated by the photoexcitation reaction, thereby generating electrical current that decreases the corrosion potential. The corrosion prevention effect is, however, rarely expected in parts not exposed to light. In contrast to the corrosion prevention technology utilizing photoexcitation, a technology of decreasing the corrosion potential by utilizing electrical current produced by thermostimulated electrons is disclosed in Japanese Patent Laid-open Publication No. 2003-232886,According to this technology of producing the thermostimulated current, holes generated by thermostimulation cause anode reaction to occur and thereby increase the current. In an actual cases, however, the electrons stimulated by heat recombine with holes generated by the same thermostimulation, and the electric current does not easily flow. In order to efficiently convert the stimulated electrons and holes into a flow of electrical current, charge separation needs to be reliably carried out. Furthermore, the state that allows charge separation needs to be constantly maintained, and the ambient environmental conditions to which the metal components are exposed must be taken into consideration. In addition, nuclear power plants have inside a substantially large number of narrowed parts and parts with complicated shapes. Thus, the corrosion prevention methods utilizing the semiconductor properties disclosed in Japanese Patent Laid-open Publication Nos. 9-125283 and 11-12719 would face difficulty in application. The present invention was conceived in consideration of the circumstances in the prior art mentioned above and has an object to provide a nuclear power plant having a corrosion-resistant coating that can ensure suppression of corrosion due to stress corrosion cracking in various locations of reactor structural components not exposed to light and that can effectively maintain the effect of corrosion suppression for a long time. Another object of the present invention is to provide a method of forming such a corrosion-resistant coating and a method of operating the nuclear power plant at an improved efficiency. These and other objects can be achieved according to the present invention by providing, in one aspect, a nuclear power plant, wherein a corrosion-resistant oxide film is formed on a surface of a metal component of a reactor structure exposed to high-temperature water, the corrosion-resistant oxide film containing an oxide having a property of a P-type semiconductor, and a catalytic substance having an N-type semiconductor is deposited on the corrosion resistant oxide film so that the oxide film maintains the property of the P-type semiconductor. In another aspect, there is also provided a method of forming a corrosion-resistant coating on a surface of a metal component of a reactor structure exposed to high-temperature water, the method comprising: an oxide film forming step of controlling a water chemistry inside a reactor using a hydrogen injection device to deposit and/or form an oxide having a property of a P-type semiconductor in a reducing atmosphere or converting an existing oxide film; and a catalytic substance deposition step of depositing a catalytic substance on the oxide film, the catalytic substance having a property of an N-type semiconductor while retaining the property of the P-type semiconductor. In a further aspect of the present invention, there is also provided a method of operating a nuclear reactor in which a corrosion-resistant coating is formed on a surface of a metal component of a reactor structure exposed to high-temperature water, the method comprising the steps of: monitoring a corrosion potential at the surface of the metal component to examine a property of the oxide film; and controlling a water chemistry in the reactor to maintain and restore a corrosion-resistant oxide film. According to the above aspects of the present invention, the corrosion-resistant oxide film can be formed so as to achieve the functions and effects of the property or performance of the N-type semiconductor while maintaining or retaining the property of the P-type semiconductor. The suppression of corrosion due to stress corrosion cracking of metal components of a reactor structure can be ensured, and the effect of suppressing corrosion of metal components can be maintained for a long period of time. The nature and further characteristic features of the present invention will be made more clear from the following descriptions made with reference to the accompanying drawings. The preferred embodiments of the nuclear power plant, method of forming a corrosion-resistant coating for the nuclear power plant, and method of operating the nuclear power plant according to the present invention will be described hereunder with reference to the attached drawings. Further, it is to be noted that terms “upper”, “lower”, “right”, “left” and the likes terms are used herein with reference to the illustrations on the drawings or actually installing state of a reactor power plant. FIG. 1 is a schematic diagram showing a boiling water reactor (BWR, hereinafter) 11 and a cooling water circulation system 12 of a nuclear power plant 10 according to the present invention. The BWR 11 includes a reactor pressure vessel 13 and a cylindrical shroud 14 inside the reactor pressure vessel 13. A reactor core 15 is disposed inside the cylindrical shroud 14. A lower plenum 16 is disposed below the reactor core 15, and the reactor water inside the reactor pressure vessel 13 is introduced into the lower plenum 16 through a plurality of jet pumps 17, for example, ten jet pumps 17. The jet pumps 17 operate by tracking the operation of recirculation pumps 19 of a pair of reactor recirculation systems 18. Each recirculation pump 19 is provided to a recirculation pipe 20 for recirculating the reactor water inside the reactor pressure vessel 13. The ejection (pump-out) side of the recirculation pipe 20 is opposed to the inlet side of the jet pump 17. In each reactor recirculation system 18, the recirculation pump 19 is driven to discharge recirculation water from the ejection side of the recirculation pipe 20, and the flow of the discharged recirculation water merges with the reactor water around the jet pump 17 to thereby guide the reactor water into the lower plenum 16. The flow of the reactor water is reversed in the lower plenum 16 and heated by means of nuclear reaction as it passes over the reactor core 15, thereby forming a steam-liquid two-phase flow. The steam-liquid two-phase flow is separated by a steam separator, not shown, into a steam component and a liquid component above the reactor core 15. The liquid component returns to reactor water and re-enters a downcomer portion 21 of the reactor pressure vessel 13. The steam component is dried in a steam drier (not shown), and the resulting dry steam (main steam) is fed to a main steam system 25. The main steam fed into the main steam system 25 is then introduced into a steam turbine 27 through a main steam pipe 26 to drive a generator 28. The expanded steam that had been used to drive the steam turbine 27 is led to a condenser 29 where the steam is cooled and condensed to give a steam condensate. The condensate passes through a condensate water supply system 30 and flows back into the reactor pressure vessel 13 via a water supply pipe 31 serving as a water supply line so as to combine with the reactor water inside the reactor pressure vessel 13. A water supply pump 32 and a multistage water supply heater (not shown) are provided to the water supply pipe 31. The water fed into the reactor pressure vessel 13 via the condensate water supply system 30 partially circulates in the recirculation pipes 20 of the reactor recirculation systems 18 by the operation of the recirculation pumps 19. Part of the recirculation water in the recirculation pipe 20 is circulated in a residual heat removal (RHR) system 35 with an RHR-system pump 36 or in a reactor water cleanup (CUW or RWCU) system 40 with a CUW-system pump 41. The RHR-system pump 36 has an RHR pipe 37 diverging from the recirculation pipe 20 of the reactor recirculation system 18. The RHR pipe 37 has the RHR-system pump 36 and a heat exchanger 38. The downstream end of the RHR pipe 37 is connected to the reactor pressure vessel 13 so that part of the recirculation water can be circulated and returned to the reactor pressure vessel 13. The pipe configuration of the RHR system 35 is designed to suit the most typical operation mode for removing decay heat after the reactor shutdown. The circulation water cooled in the RHR system 35 is sprayed from the upper portion or the top of the reactor pressure vessel 13 to cool the head unit of the reactor pressure vessel 13. The pipe arrangement of the RHR system 35 is designed to operate in five modes, namely, a reactor shutdown cooling mode, a low-pressure water injection mode, a reactor container cooling mode, a pressure suppression pool water cooling mode, and a fuel pool cooling mode. The reactor water cleanup (CUW) system 40 has a CUW-system pipe 42 diverging from the recirculation pipe 20 of the reactor recirculation system 18. The CUW-system pipe 42 has a heat exchanger 43, the CUW-system pump 41 and a filter demineralizer 44, and is connected to the water supply pipe 31 of the condensate water supply system 30. The CUW system 40, the RHR system 35, a reactor auxiliary cooling system (not shown), a high-pressure reactor core spray system (not shown), and a fuel pool cooling and cleanup system (not shown) constitute the cooling water circulation system 12. The reactor pressure vessel (RPV) 13, the reactor recirculation systems 18, the main steam system 25, and the condensate water supply system 30 constitute a reactor primary cooling system 45. The nuclear power plant 10 has the reactor primary cooling system 45 and the cooling water circulation system 12, in each of which an austenitic stainless steel, such as SUS304 (18Cr-8Ni-0.06C), SUS304L containing 0.03% or less of C, SUS316 (18Cr-12Ni-2.5Mo) having improved corrosion and acid resistance, or SUS316L containing Mo, having excellent corrosion resistance, workability, formability and weldability, is widely used. The nuclear power plant 10 also has injection points P for connecting with a hydrogen injection system 46. The hydrogen injection system 46 is provided to form corrosion-resistant oxide films having properties of a P-type semiconductor onto surfaces of reactor structural metal components, such as pipes, various devices, and structural materials inside the reactor. The hydrogen injection system 46 can be connected to one or more injection points P located in the water supply pipe 31 of the condensate water supply system 30, the recirculation pipe 20 of the reactor recirculation system 18, the RHR pipe 37, of the RHR system 35, the CUW-system pipe 42 of the CUW system 40, and the like. The amount of injection hydrogen can be controlled from these injection points P. The water chemistry in the reactor can be controlled by adjusting the amount of the injection hydrogen. By controlling the water chemistry inside the reactor as mentioned above, oxide films having the properties of a P-type semiconductor can be formed on the surfaces (inner and outer surfaces) of the reactor structural metal components, such as various pipes, devices, and internal structural materials. These oxide films are corrosion resistant. Referring to FIG. 1, the reactor is also provided with a corrosion potential analyzer 47 including a test piece for monitoring the corrosion potential and shut-off valves 48. The corrosion potential analyzer 47 is installed onto the recirculation pipe 20 of the reactor recirculation system 18. Hereunder, preferred embodiments of the present invention will be described more specifically. A first embodiment of the present invention will be described with reference to FIGS. 2 to 4. In the first embodiment, the austenitic stainless steel widely used in the reactor structural materials of the reactor primary cooling system 45 and the cooling water circulation system 12 of the nuclear power plant 10 is provided with corrosion-resistant oxide films. FIG. 2 is a schematic diagram showing a surface of a metal component having a corrosion-resistant coating on the surface of SUS316L stainless steel, which is one example of the austenitic stainless steel. In this embodiment, a corrosion-resistant, corrosion-protective oxide film (film) 51 composed of an oxide, such as Fe3O4, having the properties of a P-type semiconductor is formed on a metal base material 50 composed of SUS316L stainless steel, and titanium oxide serving as a catalytic substance 52 having the properties of a N-type semiconductor is deposited on the oxide film 51. The catalytic substance 52 may be deposited on the oxide film 51 by forming a layer. The form of the catalytic substance 52 is not limited to the layer form. The catalytic substance 52 may be scattered into a matrix form or may be deposited as lines. The metal base material 50 is exposed to high-temperature water of 150° C. or higher, in particular, to reactor water of about 280° C. Although FIG. 2 shows an example that uses the SUS316L stainless steel as the austenitic stainless steel for the metal base material 50, the metal base material 50 may be made of a stainless steel alloy, iron steel, a non-steel material, or a non-ferrous metal. Although the oxide film for the metal base material 50 described above is composed of Fe3O4, the oxide film may instead be formed of an oxide such as FeO, NiO, PdO, UO2, WO2, Cr2O3, NiCr2O4, ZnCr2O4, CoCr2O4, FeCr2O4, MnO, Mn2O3, Mn3O4, CO3O4, CoO, Cu2O, Ag2O, CoAl2O4, MgCr2O4, NiAl2O4, or PbO, or at least one of them. The oxide film 51 having the properties of a P-type semiconductor should be formed on the surface of the metal base material 50 exposed to high-temperature water. In an actual nuclear power plant, the thickness of the oxide film 51 is 0.001μm or more, preferably 0.01 μm to 5 μm, for example. The oxide having the P-type semiconductor is composed of at least one of Fe3O4, FeO, NiO, PdO, UO2, WO2, Cr2O3, NiCr2O4, ZnCr2O4, CoCr2O4, FeCr2O4, MnO, Mn2O3, Mn3O4, Co3O4, CoO, Cu2O, Ag2O, CoAl2O4, MgCr2O4, NiAl2O4, and PbO. Titanium oxide (TiO2) having the properties of a N-type semiconductor and serving as the catalytic substance 52 is deposited on the oxide film 51 having the properties of a P-type semiconductor. Instead of the titanium oxide (TiO2), BaTiO3, Bi2O3, ZnO, WO3, SrTiO3, Fe2O3, FeTiO3, KTaO3, MnTiO3, SnO2, ZrO2, CeO2, In2O3, Al2O3, MgO, MgFe2O4, NiFe2O4, MnO2, MoO3, Nb2O5, SnO2, SiO2, PbO2, V2O5, ZnFe2O4, ZnAl2O4, ZnCo2O4, or Ta2O5, or at least one of them may be used as the catalytic substance 52 that serves as the N-type semiconductor. The catalytic substance having the property of the N-type semiconductor is at least one of TiO2, BaTiO3, Bi2O3, ZnO, WO3, SrTiO3, Fe2O3, FeTiO3, KTaO3, MnTiO3, SnO2, Zr02, CeO2, In2O3, Al2O3, MgO, MgFe2O4, NiFe2O4, MnO2, MoO3, Nb2O5, SnO2, SiO2, PbO2, V2O5, ZnFe2O4, ZnAl2O4, ZnCo2O4, and Ta2O5. A pn-junction is formed at the junction face between the P-type semiconductor and the N-type semiconductor, as shown in FIG. 3, by depositing the oxide film 51 composed on an oxide having the properties of a P-type semiconductor on the surface of the metal base material 50 and by depositing the catalytic substance 52 having the properties of an N-type semiconductor on the oxide film 51. The change in energy level causes a band 55 to contain a bandgap G. Since the band 55 contains a gap, an electron 56 and a hole 57 produced by thermal excitation E respectively migrate to a conduction band 58 of the N-type semiconductor and a valence band 59 of the P-type semiconductor. The migration of the electron 56 and the hole 57 can suppress recombination of the electron 56 and the hole 57 and allows charge separation to proceed. The electron 56 and the hole 57 contribute to the oxidation-reduction reaction in high-temperature water and thereby change the corrosion potential. FIG. 4 is a graph showing dependence of the corrosion potential on the water chemistry of high-temperature water of, for example, 280° C. In the graph, the corrosion potential of a case in which the oxide film 51 composed of Fe3O4, which is a P-type semiconductor, is disposed onto the surface of the austenitic stainless steel, 316L (metal base material 50) and 10 μg/cm2 or more, in particular, about 50 μg/cm2, of the catalytic substance 52, which is titanium oxide (TiO2) and is an N-type semiconductor, is deposited on the oxide film 51 is plotted (solid line A), and the corrosion potential of a case in which no titanium oxide is deposited is plotted (dotted line B). In the case of the BWR 11, due to the presence of titanium oxide, the corrosion potential does not exceed −0.1 V(SHE) and is about −0.5 V when the circulation water in the recirculation pipe 20 of the reactor recirculation system 18 has a feedwater hydrogen concentration of 0.3 ppm. As is described above, the formation of the pn-junction in the oxide film 51 causes charge separation, decreases the corrosion potential and suppresses corrosion of the metal base material 50. The lower the corrosion potential, the greater the corrosion resistance achieved by the oxide film 51. A second embodiment of the present invention will be described hereunder with reference to FIGS. 5 and 6. In this second embodiment, the structures identical to those of the first embodiment are referred to by adding the same reference numerals and explanation thereof is omitted herein, and the effects identical to those of the first embodiment are also attained, which are not described to avoid redundancy. FIG. 1 is a schematic diagram showing a boiling water reactor (BWR, hereinafter) 11 and a cooling water circulation system 12 of a nuclear power plant 10 according to the present invention. Zone Name: A2,AMD FIG. 5 is a graph showing the influence of the particle diameter of the oxide having the properties of the P-type semiconductor deposited on the surface of the metal base material 50 on the corrosion potential V. The graph shows results of a case in which an Fe3O4 oxide film 51 is formed on the metal component made of austenitic stainless steel, SUS316L, and a case in which an NiO oxide film 51 is formed on the metal base material 50 made of stainless steel alloy, i.e., an Ni-based corrosion-resistant alloy, Alloy 600 (Inconel 600). A solid line C shows the corrosion potential curve of the case in which the Fe3O4 oxide film 51 is formed on the austenitic stainless steel, SUS316L, and a dashed line D shows the corrosion potential curve of the case in which the NiO oxide film 51 is formed on the surface of the Ni-CrFe alloy, i.e., Alloy 600. The catalytic substance 52 deposited on the oxide film 51 is titanium oxide, and the amount of the titanium oxide is 50 μg/cm2 in both the cases. The corrosion potential V is measured under water chemistry conditions of the recirculation water of the reactor recirculation system 18 having a feedwater hydrogen concentration of 0.3 ppm. As is apparent from the results of the test in FIG. 5, the corrosion potential V shows a tendency to decrease as the particle diameter of the oxide having the properties of the P-type semiconductor decreases. As the particle diameter of the oxide (Fe3O4 or NiO) decreases, the area of the pn-junction formed between the oxide and titanium oxide, which is the N-type semiconductor, increases. Presumably, this is advantageous for the charge separation and thus decreases the corrosion potential. The results show that, since the corrosion potential needs to be −0.05 V(SHE) or less for SUS316L and 0.0 V(SHE) or less for Alloy 600,the particle diameter of the oxide needs to be 1 μm or less. FIG. 6 is a graph showing the influence of the thickness of the oxide film 51 having the properties of the P-type semiconductor on the corrosion potential V(SHE). The graph shows the results of a case in which an Fe3O4 oxide film 51 is formed on the metal component made of austenitic stainless steel, SUS316L, and a case in which a NiO oxide film 51 is formed on the metal base material 50 made of stainless steel alloy, i.e., a Ni-based corrosion-resistant alloy, Alloy 600 (Inconel 600). A solid line C1 is a corrosion potential curve of the case in which the Fe3O4 oxide film 51 is formed on the austenitic stainless steel, SUS316L, and a dashed line D1 shows the corrosion potential curve of the case in which the NiO oxide film 51 is formed on the surface of the Ni-based stainless steel alloy of Alloy 600. The corrosion potential curve C1 for SUS316L shows that the corrosion potential does not exceed −0.05 V(SHE) with the oxide film 51 having a thickness of 0.001 to 1 μm. The corrosion potential curve D1 for the Ni-based stainless steel alloy of Alloy 600 shows that the corrosion potential is maintained at 0.0 V or less with an oxide film 51 having a thickness of 0.001 μm to 1 μm. The curves C1 and D1 indicate that the corrosion potential is maintained at a negative value with the oxide film 51 having the properties of a P-type semiconductor and a thickness of 0.001 to 1 μm and that the interaction between the oxide, e.g., titanium oxide, and the P-type semiconductor decreases the corrosion potential. In an actual nuclear power plant 10, deposition of an oxide film in a thickness of 0.01 to 0.05 μm is confirmed. Thus, the corrosion resistance of the oxide film can be expected even in an actual plant. The particles of the Fe3O4 oxide film 51 are small and maintained to about 0.01 μm to about 0.1 μm in diameter. The thickness of the oxide film 51 is not likely to decrease to less than 0.01 μm even when the thin film of the oxide particles is formed as a single layer. Thus, the oxide film 51 will have a thickness of at least 0.01 μm. In an actual plant, the substantial application range of the thickness of the oxide film 51 is from about 0.01 μm to about 5 μm. The longevity of the nuclear power plant 10 is determined by the lifetimes of the metal components (metal materials) used in reactor structural components such as various devices and pipes of the reactor primary cooling system 45 and the cooling water circulation system 12. The corrosion potential is set according to the longevity of the nuclear power plant 10 by adjusting the oxide film 51 deposited onto the surface of the metal base material 50. When a nuclear power plant 10 has a typical longevity, the corrosion potential of the metal components such as various devices and pipes of the reactor primary cooling system 45 and the cooling water circulation system 12 is maintained within regions identified as “Corrosion Potential Area (Less than -0.05V (SHE))” and “Corrosion Potential Area (Less Than -0.0V (SHE))” respectively shown in FIGS. 7A and 7B. When a longer lifetime of the nuclear power plant 10 is needed, the corrosion potential of the metal components of the reactor structural materials is set within regions identified as “Corrosion Potential Area (Less Than -0.1V (SHE))” and “Corrosion Potential Area (Less Than -0.05V (SHE))” respectively shown in FIGS. 8A and 8B. FIG. 7A and FIG. 8A respectively show curves L and L1 each indicating the relationship between the thickness of the oxide film 51 having the properties of the P-type semiconductor and the amount of the deposited catalytic substance 52, i.e., titanium oxide (TiO2) when austenitic stainless steel, SUS316L, is used as the metal base material 50. The solid line L in FIG. 7A is a corrosion potential curve that yields a corrosion potential of -0.05V(SHE), and the solid line L1 in FIG. 8A is a corrosion potential curve that yields a corrosion potential of -0.01 V(SHE). FIG. 7B and FIG. 8B respectively show curves M and M1 each indicating the relationship between the thickness of the oxide film 51 composed of an oxide having the properties of a P-type semiconductor and the amount of the deposited catalytic substance 52, i.e., titanium oxide (TiO2) when a Ni-based stainless steel alloy, Alloy 600,is used in the metal base material 50. Substantially the same results are yielded by using nickel oxide (NiO) instead of titanium oxide (TiO2). Alloy 600 is a Ni-based corrosion-resistant alloy having 0.05C-16Cr-8Fe as the main component. The solid line M in FIG. 7B is a corrosion potential curve that yields a corrosion potential of 0.0 V(SHE), and the solid line M1 in FIG. 8B is a corrosion potential curve that yields a corrosion potential of −0.05 V(SHE). When the metal base material 50 is composed of a Ni-based stainless steel alloy, Alloy 600 (Inconel 600), the use in a region I for a normal lifetime and in a region I1 for an extended lifetime is avoided. FIG. 9 is a graph related to a third embodiment of the present invention. In the description of the third embodiment, the structures identical to those of the first embodiment are referred to by the same reference numerals to omit repeated explanation, and the effects identical to those of the first embodiment are also attained, but omitted in description to avoid redundancy. The graph in FIG. 9 shows the corrosion potential characteristics observed from a test piece in which the metal base material 50 composed of SUS316L is coated with an Fe3O4 oxide film 51 having the properties of a P-type semiconductor and a thickness of 0.05 μm, and from a test piece in which the metal base material 50 composed of a Ni-based stainless steel alloy, i.e., Alloy 600,is coated with a NiO oxide film 51 having a thickness of 0.05 μm, while varying the amount of titanium oxide serving as the catalytic substance 52 deposited on the oxide film 51. The graph shows that as the amount of titanium oxide deposited on the oxide film 51 increases, the corrosion potential of the metal base material 50 decreases. A solid line N is a characteristic curve showing the relationship between the corrosion potential of the metal base material 50 composed of SUS316L and the amount of titanium oxide deposited. A dotted line O is a characteristic curve showing the relationship between the corrosion potential of the metal base material 50 composed of Alloy 600 and the amount of titanium oxide deposited. The curves N and O show that when 10 μg/cm2 of titanium oxide serving as the catalytic substance 52 is deposited, the corrosion potential of the metal base material 50 composed of SUS316L is lower or less than −0.05 V(SHE) and that of the metal base material 50 composed of Alloy 600 is lower or less than 0.0 V(SHE) due to the interaction with the P-type semiconductor. This result shows that stress corrosion cracking can be sufficiently suppressed by depositing the titanium oxide of the amount of 10 μg/cm2 or more serving as the catalytic substance 52. A fourth embodiment of the present invention will now be described with reference to FIGS. 1, 2, and 10 to 17. The fourth embodiments represents a method of forming a corrosion-resistant coating, including a step of oxide film formation of forming an oxide film 51 having the properties of a P-type semiconductor on the surface of the nuclear power plant 10 shown in FIG. 1 and the metal base material 50, which is a structural component of the nuclear power plant 10, and a step of catalytic substance deposition of depositing the catalytic substance 52 on the oxide film 51. There are provided methods possible to form a corrosion-resistant coating having the properties of a P-type semiconductor on the surface of the metal base material 50, the method including a method of preliminarily forming the coating before the metal base material 50 is processed and shipped as the reactor structural materials, a method of forming the coating during a trial run or operation after the structural materials are installed in the nuclear power plant 10, and a method of forming the coating during the operation of the nuclear power plant 10 by controlling the water chemistry. A method of forming the oxide film on the surface of the metal base material 50 according to any of the timing may be employed. The oxide film formed on the surface of the metal base material 50 is known to undergo a significant change due to ambient aquatic environment. In this embodiment, a method of forming an oxide film that takes into account the water chemistry controllable in the BWR 11 and a real plant is described from the viewpoint of preventing the corrosion of peripheral structural components such as those inside the BWR 11 and the recirculation pipe 20. [First Method of Forming the Resistant Oxide Film] A first method of forming the oxide film includes an oxide film forming step of forming the oxide film 51 having the properties of a P-type semiconductor directly from the metal base material 50. This first method is used when a new metal component is installed in the nuclear power plant 10. The oxide film 51 is deposited by controlling the ambient aquatic conditions. The oxide film forming step for forming the oxide film 51 on the surface of the metal base material 50 in a real plant will be described hereunder. For example, in order to improve the reactor water chemistry of an actual plant in which hydrogen injection operation is already carried out, dissolved hydrogen and dissolved oxygen are controlled at an injection amount of 0.4 ppm, and the surfaces of an austenitic stainless steel, SUS316L are oxidized with high-temperature water of 280° C. The dissolved oxygen concentration is about 10 ppb, and the dissolved hydrogen concentration is 30 ppb or more, i.e., about 80 ppb. The hydrogen injection is carried out by connecting the hydrogen injection system 46 to the injection points P of the reactor primary cooling system 45 and the cooling water circulation system 12 of the nuclear power plant 10. In general, there are a large number of methods for forming an oxide film having the properties of a P-type semiconductor conducted in water having reducing properties, in which the reactor water is maintained at a reducing state by hydrogen injection. As far as the water chemistry of an actual plant is concerned, the hydrogen concentration in the feedwater is preferably 1.0 ppm or less, in particular, about 0.3 ppm during the operation of the nuclear power plant 10 since high-concentration hydrogen injection disadvantageously increases the turbine-system dose rate during the operation. In a reducing atmosphere, the corrosion potential of the metal surface is maintained at a low level. As shown in FIG. 10, the pH morphology of the ferrous oxide greatly changes with the change in corrosion potential brought about by controlling the water chemistry. By controlling the chemistry of the reactor water as mentioned above, an oxide film having the properties of a P-type semiconductor can be formed. With respect to the temperature for forming the oxide film, it is possible to choose one from a method forming an oxide film at room temperature which takes a longer time and a method of forming an oxide film at a temperature of reactor water, e.g., about 280° C., which takes into account the actual operation of the reactor. It is possible to choose the timing by taking into account the status of the actual plant, e.g., whether the plant is under inspection or in operation. FIG. 11 is a graph showing the corrosion potential observed under the water chemistry of the recirculation water in the reactor recirculation system 18 in which the hydrogen concentration in the feedwater is 1.0 ppm or less, in particular, about 0.3 ppm. In the observation, a test piece in which an oxide having properties of a P-type semiconductor was formed on the metal surface of SUS316L according to the first method of forming the oxide film was used. Before the corrosion potential testing, the test piece was exposed to high-temperature of 280° C. under the water chemistry of the reactor water at the reactor bottom (lower plenum), i.e., a feedwater hydrogen concentration of 0.4 ppm, for 500 hours. The surface of the resulting test piece was subjected to Raman analysis. The crystal morphology was confirmed to be Fe3O4. Titanium oxide of an amount of 200 μg/cm2 was deposited on the Fe3O4 oxide film 51 by using a sprayer 60 such as shown in FIG. 12. The sprayer 60 has a spray main unit 61 equipped with a solution tank 62 for storing titanium oxide, i.e., the catalytic substance. Deposition of an adequate amount of titanium oxide on the test piece can be carried out by rotating an adjustor knob 64 to adjust the nozzle opening of a spray nozzle 63 attached to the front end of the spray main unit 61, connecting the spray main unit 61 to a gas supply (gas cylinder) 67 containing nitrogen gas or inert gas via a gas feed pipe 66 equipped with a flow adjustor valve 65, and then pulling a spray switch 68 which functions as a control lever. Titanium oxide, which is the catalytic substance, is sucked out by the flow of the inert or nitrogen gas and is sprayed toward the test piece from the nozzle opening of the spray nozzle 63. Using this sprayer 60, titanium oxide serving as the catalytic substance was deposited onto the SUS316L test piece having the Fe3O4 oxide film 51. The test piece provided with a required amount of titanium oxide was subjected to corrosion potential testing in water having recirculation water chemistry in the reactor recirculation system 18, as shown in FIG. 11. FIG. 11 shows that, in corrosion potential analysis of the test piece, a temperature elevation process (heating process) of recirculation water was conducted up to 200 minutes after initiation of the analysis and that the corrosion potential was measured at a constant recirculation water temperature after the temperature elevation process. The recirculation water had a dissolved oxygen concentration of about 10 ppb, a dissolved hydrogen concentration of 30 ppb or more, in particular 31 ppb, and a hydrogen peroxide concentration of 65 ppb. The operation conditions, such as 280° C. high-temperature water and a pressure of 8.5 MPa, applicable to an actual plant were satisfied. As is apparent from the observed results of the corrosion potential, the corrosion potential of the test piece having titanium oxide deposited on the Fe3O4 oxide film 51 could be decreased to −0.1 V(SHE) or less, i.e., about −0.15 V(SHE), under the water chemistry of the recirculation water having a feedwater hydrogen concentration of 0.3 ppm, thereby improving the corrosion resistance. The test piece having no titanium oxide deposited on the Fe3O4 oxide film exhibited a corrosion potential increasing with time. The corrosion potential in this case is expected to further increase if the test is carried out for a longer time. [Second Method of Forming the Oxide Film] A second method of forming the oxide film includes a step of depositing atoms that constitute a P-type semiconductor onto the surface of the metal base material 50 and allowing an oxide having the properties of the P-type semiconductor to form by controlling the ambient aquatic conditions. Although FIG. 1 shows the cooling water circulation system 12 of the nuclear power plant 10 in which the hydrogen injection system 46 is connected through the injection points P. In this method, a solution injection system 70 (shown in FIG. 13) including atoms which constitute the P-type semiconductor should be connected to the injection points P (Pa and Pb) to replace the hydrogen injection system 46. The solution injection system 70 containing atoms constituting the P-type semiconductor has a structure shown in FIG. 13 and is connected to the injection points P of the cooling water circulation system 12. As shown in FIG. 13, the solution injection system 70 includes a hydrogen tank 71 which is connected to the injection point Pa of the cooling water circulation system 12 via a hydrogen feeding pipe 73 equipped with a flow adjustor valve 72. Hydrogen gas inside the hydrogen tank 71 is injected into the cooling water circulation system 12 from the injection point Pa. A solution 75 containing atoms which constitute the P-type semiconductor is stored in a solution tank 76, and the solution tank 76 is connected to the injection point Pb of the cooling water circulation system 12 via a solution injection pipe 78 having an injection pump 77. In this second method of forming the oxide film, the injection pump 77 shown in Fig. 13 is operated to inject atoms constituting the P-type semiconductor into the cooling water circulation system 12. The atoms circulate inside the cooling water circulation system 12 with cooling water and are deposited onto the surface of the metal base material 50 constituting the reactor structural components. The deposition of the atoms constituting the P-type semiconductor may be conducted during the shutdown or running operation of the reactor. In order to form an oxide having properties of a P-type semiconductor from the deposited atoms, the hydrogen gas controlled with the flow adjustor valve 72 in FIG. 13 is injected to change the chemistry of the reactor water. In this manner, the ambient aquatic environment and potential can be controlled, and the atoms deposited on the metal surface can be grown into an oxide having the properties of the P-type semiconductor. As the deposited atoms form an oxide, an oxide film functioning as a corrosion-resistant coating is formed on the surfaces (including inner surfaces) of the metal base material 50. FIG. 14 is a graph showing the corrosion potential of a test piece observed under the water chemistry of the recirculation water having a feedwater hydrogen concentration of 0.3 ppm. The test piece includes an oxide having the properties of the P-type semiconductor formed on the surface of an austenitic stainless steel, SUS316L by the second method of forming the oxide film. In this corrosion potential test, a Zn solution is injected to form the oxide film 51 on the surface of SUS316L. An oxide of ZnCr2O4 is formed on the surface of SUS316L by injecting the Zn solution. Titanium oxide serving as the catalytic substance is deposited in an amount of 70 μg/cm2 on the oxide film 51 on SUS316L of this test piece by using a plasma spraying equipment (not shown in the drawing) in a catalytic substance deposition step. By depositing a required amount of titanium oxide on the ZnCr2O4 oxide film 51, the corrosion potential can be decreased to −0.1 V(SHE) or less under the water chemistry of the recirculation water having a feedwater hydrogen concentration of 0.3 ppm. [Third Method of Forming an Oxide Film] A third method of forming an oxide film includes a step of changing the properties of the existing oxide film 51 on the metal base material 50 by controlling the ambient aquatic environment and a catalytic substance deposition step of depositing a catalytic substance on the oxide film. In an actual plant, the operation is conducted under various water qualities or chemistries, such as those required for operation of a reactor without hydrogen injection or for ultra-low iron operation, depending the type of the nuclear power plant 10. Thus, the properties of the oxide film 51 formed on the metal base material 50 are also different. By converting the oxide film 51 of the metal base material 50 through the controlling of the chemistry of the reactor water and potential, an oxide having the properties of a P-type semiconductor is produced. Alternatively, the metal base material 50 may be, for example, chemically decontaminated to expose the surface, and then an oxide having the properties of a P-type semiconductor may be deposited thereon by the first or second method of forming the oxide film so that a desired oxide film 51 can be formed on the surface of the metal base material 50. FIG. 15 shows corrosion potential of a test piece observed under the water chemistry of recirculation water having a feedwater hydrogen concentration of 0.3 ppm. The test piece had an oxide having the properties of a P-type semiconductor deposited on the surface of an austenitic stainless steel, SUS304L. In this corrosion potential test, a test piece having an Fe2O3 oxide film was treated in water at 280° C. having a dissolved oxygen concentration of about 10 ppb and a dissolved hydrogen concentration of 30 ppb or more, in particular, about 80 ppb, for 100 hours. Subsequently, the test piece was subjected to surface analysis. The results showed that the oxide film was changed to an oxide film mainly composed of Fe3O4. Subsequently, in the catalytic substance deposition step, a required amount, for example, 120 μg/cm2, of titanium oxide was deposited using a titanium oxide water chemistry deposition device 80 shown in FIG. 16. The water chemistry deposition is a method of injecting a titanium oxide solution of a particular concentration into high-temperature water and controlling the temperature, flow rate, titanium oxide concentration, and duration to deposit titanium oxide on the metal surfaces. This corrosion potential test was conducted at 200° C., a flow rate of 9.6 m/s, and a titanium oxide concentration of 10 ppm for 24 hours. The water chemistry was controlled to that of the core bottom water having a feedwater hydrogen concentration of 0.4 ppm. The water chemistry deposition device 80 is an experimental device having a structure shown in FIG. 16. The water chemistry deposition device 80 has a water chemistry (chemistry) control system 82 for maintaining and controlling the chemistry of water inside a water tank 81 and a catalytic substance deposition controlling system 83 for controlling the amount of the catalytic substance deposited on the test piece. Using a resin 86, such as an ion exchange resin, and a hollow fiber membrane filter 87, the water chemistry control system 82 purifies the water fed from the catalytic substance deposition controlling system 83 to the water tank 81 via a heat exchanger 84 and a cooling tower 85 to thereby produce pure water. The property of the resulting water is analyzed with a dissolved hydrogen meter 88, a dissolved oxygen meter 89, and a conductivity meter 90 to control the properties of the water inside the water tank 81 to the target levels. The water (pure water) having its chemistry controlled through the resin 86 and the hollow fiber membrane filter 87 is temporarily stored in the water tank 81 and fed to the catalytic substance deposition controlling system 83 using a high-pressure pump 91 via the heat exchanger 84. The catalytic substance deposition controlling system 83 is a closed circulation cycle 95. An example of injecting a titanium oxide (TiO2) solution as the catalytic substance into the closed circulation cycle 95 will be described below. The closed circulation cycle 95 includes a test piece deposition section 96 containing the test piece, a circulation pump 97 for controlling the amount and flow rate of water circulated, and a heat exchanger 98. These three devices are provided sequentially in this order. The suction side of the circulation pump 97 can in-take pure water fed from the water chemistry control system 82. The discharge side of the circulation pump 97 can discharge the pumped-out water to the water chemistry control system 82. FIG. 17 is a graph showing the change in amount of deposited titanium oxide over time when titanium oxide serving as the catalytic substance 52 is deposited on the oxide film 51 of the test piece using the water chemistry deposition device 80. The graph shows that the amount of the titanium oxide deposited increases with an increase in flow rate in the closed circulation cycle 95. The time required for depositing a target amount of titanium oxide can be easily estimated by controlling the concentration of the titanium oxide injected and the temperature. By converting the test piece deposition section 96, it becomes possible to deposit the catalytic substance, i.e., titanium oxide, onto surfaces of a reactor structural metal component having a different shape. In a case of installing a new reactor structural component, such as replacement of the recirculation pipe 20, it is possible to deposit an adequate amount of titanium oxide serving as the catalytic substance 52 on an existing oxide film 51 exhibiting the properties of a P-type semiconductor. In an actual plant, the deposition of the catalytic substance 52 can be conducted by connecting a titanium oxide injection device, not shown, to the injection points P shown in FIG. 1. The deposition is possible during the reactor shutdown operation or during the running operation. As is apparent from the graph of FIG. 15, titanium oxide deposited on the oxide film 51 by water chemistry deposition using the water chemistry deposition device 80 can decrease the corrosion potential to −0.1 V(SHE) or less, and sufficient corrosion prevention effects can be efficiently exhibited. A method of driving a reactor according to a fifth embodiment of the present invention will be further described hereunder with reference to FIGS. 18 to 21. In consideration of the fact that measurement of the corrosion potential of the structural component surface of the reactor primary cooling system 45 and the cooling water circulation system 12 is difficult in an actual plant, in this embodiment, as shown in FIG. 1, the corrosion potential analyzer 47 accommodating a corrosion potential monitoring test piece 100 is provided to the nuclear power plant 10 so that the corrosion potential of the structural components in the reactor water can be simulated and that the safety of the structural components can be monitored. The corrosion potential monitoring test piece 100 is exposed to high-temperature water from the BWR 11. As shown in FIG. 18, the corrosion potential analyzer 47 is a unit including a main device 101, the corrosion potential monitoring test piece 100, and a reference electrode 102 that can withstand high pressure. The corrosion potential monitoring test piece 100 and the reference electrode 102 are connected to an electrometer 104 via a cable 103. The corrosion potential of the corrosion potential monitoring test piece 100 measured with the electrometer 104 is input to a computer, i.e., a personal computer 105, either via a data cable 106 or by radio transmission, stored, and processed. By monitoring the processed data, the durability of the structural components and the properties of the oxide film can be monitored. The main device 101 having the corrosion potential monitoring test piece 100 and the reference electrode 102 of the corrosion potential analyzer 47 is detachably attached to the recirculation pipe 20 of the reactor recirculation system 18, as shown in FIG. 1. Alternatively, the main device 101 may be attached to the cooling water circulation system 12 or the reactor primary cooling system 45. FIGS. 19 to 21 are graphs showing the change in corrosion potential of the structural component of the nuclear power plant 10 in time elapsing due to changes in water chemistry. The graph in FIG. 19 shows the corrosion potential of a test piece in which an Fe3O4 oxide film 51 is formed on the surface of SUS316L under the water chemistry corresponding to that of the recirculation water having a feedwater hydrogen concentration of 0.3 ppm and in which 70 μg/cm2 of titanium oxide serving as the catalytic substance 52 is deposited onto the oxide film 51 by spraying. The first 200 minutes from the start of corrosion potential testing was spent for adjusting the water chemistry and measurement conditions under elevating temperature. Under the water chemistry corresponding to that of recirculation water having a feedwater hydrogen concentration of 0.3 ppm, a low corrosion potential was maintained due to the presence of titanium oxide, and the corrosion potential of the test piece was not more than −0.1 V(SHE). On the next day, the water chemistry was controlled to that of the recirculation water having a feedwater hydrogen concentration of 0.1 ppm. The results of the corrosion potential measurement are shown in FIG. 20. Under the water chemistry corresponding to a feedwater hydrogen concentration of 0.1 ppm, the corrosion potential increased to a level 0.0 V(SHE) or higher despite the deposition of titanium oxide. These results show that under an oxidizing atmosphere corresponding to a feedwater hydrogen concentration of 0.1 ppm, the metal surface cannot maintain the properties or performances of the P-type semiconductor, and the pn-junction face cannot be utilized. In other words, the effect of suppressing recombination of an electron and a hole is no longer exhibited, and the thermally excited electron recombines with the hole. Two days after, the water chemistry was changed to that of PLR (Primary Loop Recirculation) with a feedwater hydrogen concentration of 0.7 ppm or more, e.g., 0.7 ppm, to monitor the change in corrosion potential. In FIG. 21, the objective is to restore the P-type semiconductor properties in the oxide film in a reducing atmosphere corresponding to a feedwater hydrogen concentration of 0.7 ppm until up to about 450 minutes. As shown in the graph, the corrosion potential of the test piece with titanium oxide deposited thereon significantly decreased to about −0.55 V(SHE). As shown in FIG. 10, it can be assumed that the oxide film 51 changed from Fe2O3 to Fe3O4 due to the change in corrosion potential. After 500 minutes, the water chemistry was controlled to that corresponding to a feedwater hydrogen concentration of 0.3 ppm, thereby decreasing the reducing atmosphere, and the corrosion potential was measured under a steady state. The results shown in FIG. 21 show that a corrosion potential not exceeding −0.1 V(SHE) is maintained even after 1,000 minutes. This shows that even when the oxide film 51 has experienced the oxidizing atmosphere and changed in properties, the P-type semiconductor properties can be restored in the oxide film 51 by decreasing the corrosion potential to, for example, −0.5 V(SHE) or less in a reducing atmosphere, and that this oxide film 51 can still maintain a low potential in a moderately reducing atmosphere corresponding to a feedwater hydrogen concentration of 0.3 ppm. In an actual plant, it is possible to maintain and restore the corrosion-resistant coating and to thereby suppress corrosion of the metals of the reactor structural components by using the hydrogen injection system 46 (see FIG. 1) to change the reactor water chemistry and by monitoring the corrosion potential to set the corrosion potential of the material to the target level. FIG. 22 is a graph for explaining a sixth embodiment of the present invention. The graph shows the relationship between the corrosion potential of the structural components used in the nuclear power plant 10 and the rate at which cracks are developed. The crack development rate indicated by the longitudinal axis is logarithmically plotted. As the corrosion potential decreases, the crack development rate is largely decreased, showing that the development of the cracks is significantly suppressed. It is a well-known fact that the corrosion potential under normal water chemistry (NWC) of a typical BWR 11 is about +100 mV(SHE). The results of the monitoring of the corrosion potential of the reactor structural component indicate that the crack development rate can be reduced by about one order of magnitude by maintaining the oxide film 51 such that the corrosion potential of the austenitic stainless steel (SUS304SS) is −50 mV(SHE) or less. This also shows that sufficient corrosion suppressing effect is exhibited. The corrosion suppressing potential for the Ni-based stainless steel alloy, such as Alloy 600,is also evaluated based on the same concept. In the graph shown in FIG. 22, “K” represents a stress intensity factor indicating susceptibility of reactor structural components to crack, and “μS/cm” is a value indicating the purity of water, such as reactor water. In a typical reactor 11, a purity of about 1.0 μS/cm is observed during the inspection. FIG. 22 shows that the crack development rate observed with reactor water having a purity as high as 0.1 μS/cm is significantly lower than that with reactor water having a purity of 0.3 μS/cm even when the corrosion potentials of the reactor structural components are the same. Note that although the embodiments above concern application of the reactor recirculation system to boiling water reactors having circulation pumps outside the reactor, application to improved boiling water reactors having reactor recirculation pumps inside the reactor pressure vessels is also possible. The present invention can also be applied to nuclear power plants having pressurized-water reactors and CANDU reactors (Canadian deuterium uranium reactors). |
|
claims | 1. A fuel assembly comprising: a plurality of fuel rods placed in a square lattice array of n-rows/n-columns (n: integer); at least one water rod placed in a region in which one or more of said fuel rods can be placed; a lower tie plate for supporting the lower end of a fuel bundle composed of said plurality of fuel rods and said at least one water rod; and a guide post provided for fixing a channel fastener; wherein said integer n is set at n=10; the fuel rod pitch of said plurality of fuel rods is in a range of 12.65 mm to 13.15 mm; and means for offsetting and holding said fuel bundle is provided in such a manner that the center in a cross section of said fuel bundle is offset from the center in a cross section of said lower tie plate toward said channel fastener side, the center in a cross section of said lower tie plate being equal to the center in a cross section of a channel box for covering said fuel bundle. 2. A fuel assembly comprising: a plurality of fuel rods placed in a square lattice array of n-rows/n-columns (n: integer); at least one water rod placed in a region in which one or more of said fuel rods can be placed; a lower tie plate for supporting the lower ends of a fuel bundle composed of said plurality of fuel rods and said at least one water rod; and a guide post provided for fixing a channel fastener; wherein said integer n is set at n=10; the fuel rod pitch of said plurality of fuel rods is in a range of 12.65 mm to 13.15 mm; and means for offsetting and holding said fuel bundle is provided in such a manner that the center in a cross section of said fuel bundle is offset a value Y greater than 2-3/2 mm from the center in a cross section of said lower tie plate toward said channel fastener side, the center in a cross section of said lower tie plate being equal to the center in a cross section of a channel box for covering said fuel bundle. 3. A fuel assembly according to claim 2 , wherein said value Y is in a range of 7xc3x972 xe2x88x921/2 mmxe2x89xa7Yxe2x89xa72 xe2x88x923/2 mm. claim 2 4. A fuel assembly comprising: a plurality of fuel rods placed in a square lattice array of n-rows/n-columns (n: integer); at least one water rod placed in a region in which one or more of said fuel rods can be placed; a lower tie plate for supporting the lower end of a fuel bundle composed of said plurality of fuel rods and said at least one water rod; fuel spacers for holding a plurality of axial positions of said fuel bundle; and a guide post provided for fixing a channel fastener; wherein said integer n is set at n=10; the fuel rod pitch of said plurality of fuel rods is in a range of 12.65 mm to 13.15 mm; each of said fuel spacers includes a plurality of tabs for offsetting and holding said fuel bundle in such a manner that the center in a cross section of said fuel bundle is offset from the center in a cross section of said lower tie plate toward said channel fastener side, the center in a cross section of said lower tie plate being equal to the center in a cross section of a channel box for covering said fuel bundle, said tabs being provided in such a manner as to project outwardly from the outer periphery of said fuel spacer; and a distance L 1 between the leading end of one of said plurality of tabs positioned on the channel fastener side and one of said fuel rods positioned at the outermost periphery of said square lattice array and a distance L 2 between the leading end of one of said plurality of tabs positioned on the anti-channel fastener side and one of said fuel rods positioned at the outer most periphery of said square lattice array are determined in such a manner as to satisfy a relationship of L 2 xe2x88x92L 1 greater than 0.5 mm. 5. A fuel assembly according to claim 4 , wherein said distances L 1 and L 2 are determined in such a manner as to satisfy a relationship of 7.0 mmxe2x89xa7L 2 xe2x88x92L 1 xe2x89xa70.5 mm. claim 4 6. A fuel assembly comprising: a plurality of fuel rods placed in a square lattice array if n-rows/n-columns (n: integer); at least one water rod placed in a region in which one or more of said fuel rods can be placed; a channel box provided in such a manner as to surround a fuel bundle composed of said plurality of fuel rods and said at least one water rod; and a guide post provided for fixing a channel fastener; wherein said integer n is set at n=10; the fuel rod pitch of said plurality of fuel rods is in a range of 12.65 mm to 13.15 mm; the inner width of said channel box is in a range of 133.5 mm to 134.5 mm; and means for offsetting and holding said fuel bundle is provided in such a manner that the center in a cross section of said fuel bundle is offset from the center in a cross section of said channel box toward said channel fastener side, the center in a cross section of said channel box being equal to the center in a cross section of a lower tie plate for supporting the lower end of said fuel bundle. |
|
claims | 1. A method comprising:receiving a first instruction to enter an imaging mode;in response to the first instruction, automatically reducing a focal spot size of a radiation beam, moving a flattening filter out of a path of the radiation beam, moving a first target for photon emission out of the path of the radiation beam and moving a second target for photon emission into the path of the radiation beam, and moving a scatter-reducing filter into the path of the radiation beam;receiving a second instruction to enter a first radiation treatment mode; andin response to the second instruction, automatically increasing a focal spot size of the radiation beam, moving the flattening filter into the path of the radiation beam, moving the second target out of the path of the radiation beam and moving the first target into the path of the radiation beam, and moving the scatter-reducing filter out of the path of the radiation beam. 2. A method according to claim 1, wherein the second instruction comprises an instruction to enter a photon radiation treatment mode, and further comprising:receiving a third instruction to enter an electron radiation treatment mode; andin response to the third instruction, automatically moving the first target out of the path of the radiation beam so that neither the first target or the second target is in the path of the radiation beam. 3. A method according to claim 1, wherein reducing the focal spot size of the radiation beam comprises energizing deflector plates disposed adjacent to the path of the radiation beam to focus the radiation beam. 4. A method according to claim 1, wherein an atomic weight of the second target is less than an atomic weight of the first target. 5. A method according to claim 1, wherein, for a given incident electron beam, photons emitted by the second target exhibit a lower average energy than photons emitted by the first target. 6. A method according to claim 1, further comprising:presenting an interface to receive the first instruction and the second instruction. 7. A method according to claim 1, further comprising:in response to the first instruction, automatically changing dosimetric characteristics of the radiation beam. 8. An apparatus comprising:an input device to receive a first instruction to enter an imaging mode, and a second instruction to enter a first radiation treatment mode;an accelerator waveguide to emit a radiation beam;a first device to reduce a focal spot size of a radiation beam in response to the first instruction, and to increase a focal spot size of the radiation beam in response to the second instruction;a second device to move a flattening filter out of a path of the radiation beam in response to the first instruction, and to move the flattening filter into the path of the radiation beam in response to the second instruction;a third device to move a first target for photon emission out of the path of the radiation beam and to move a second target for photon emission into the path of the radiation beam in response to the first instruction, and to move the second target out of the path of the radiation beam and to move the first target into the path of the radiation beam in response to the second instruction; anda fourth device to move a scatter-reducing filter into the path of the radiation beam in response to the first instruction, and to move the scatter-reducing filter out of the path of the radiation beam in response to the second instruction. 9. An apparatus according to claim 8, the first device comprising:deflector plates disposed adjacent to the path of the radiation beam. 10. An apparatus according to claim 8, wherein an atomic weight of the second target is less than an atomic weight of the first target. 11. An apparatus according to claim 8, wherein, for a given incident electron beam, photons emitted by the second target exhibit a lower average energy than photons emitted by the first target. 12. An apparatus according to claim 8, further comprising:a fifth device to change dosimetric characteristics of the radiation beam in response to the first instruction. 13. A medium storing program code, the program code comprising:code to receive a first instruction to enter an imaging mode;code to, in response to the first instruction, automatically reduce a focal spot size of a radiation beam, move a flattening filter out of a path of the radiation beam, move a first target for photon emission out of the path of the radiation beam and move a second target for photon emission into the path of the radiation beam, and move a scatter-reducing filter into the path of the radiation beam;code to receive a second instruction to enter a first radiation treatment mode; andcode to, in response to the second instruction, automatically perform at least one of: increase a focal spot size of the radiation beam, move the flattening filter into the path of the radiation beam, move the second target out of the path of the radiation beam and move the first target into the path of the radiation beam, and move the scatter-reducing filter out of the path of the radiation beam. 14. A medium according to claim 13. wherein the second instruction comprises an instruction to enter a photon radiation treatment mode, and the program code further comprising:code to receive a third instruction to enter an electron radiation treatment mode; andcode to, in response to the third instruction, automatically move the first target out of the path of the radiation beam so that neither the first target or the second target is in the path of the radiation beam. 15. A medium according to claim 13, wherein the code to reduce the focal spot size of the radiation beam comprises code to energize deflector plates disposed adjacent to the path of the radiation beam to focus the radiation beam. 16. A medium according to claim 13, wherein an atomic weight of the second target is less than an atomic weight of the first target. 17. A medium according to claim 13, wherein, for a given incident electron beam, photons emitted by the second target exhibit a lower average energy than photons emitted by the first target. 18. A medium according to claim 13, the program code further comprising:code to present an interface to receive the first instruction and the second instruction. 19. A medium according to claim 13, the program code further comprising:code to, in response to the first instruction, automatically change dosimetric characteristics of the radiation beam. |
|
claims | 1. A shutdown cooling system for use in a nuclear facility, comprising:a steam line connecting portion branched from a steam line to receive heated secondary fluid through the steam line, the steam line connected to a turbine system and an outlet of a secondary flow path of a steam generator;a shutdown cooling heat exchanger to receive the heated secondary fluid introduced into the shutdown cooling system through the steam line connecting portion, cool the heated secondary fluid, which has been heated up while passing through the secondary flow path of the steam generator, and discharge cooled secondary fluid into a heat exchanger channel connected to a flow path of the shutdown cooling heat exchanger;a shutdown cooling pump configured to perform shutdown cooling of a reactor upon a normal shutdown of the reactor after primary cooling of a reactor coolant system or upon an occurrence of an accident, to form a circulation flow of secondary fluid circulating along the steam generator and the shutdown cooling heat exchanger;a feed water line connecting portion connected to the heat exchanger channel and jointed to a feed water line which is connected to a feed water system and an inlet of the secondary flow path of the steam generator, to supply secondary fluid cooled in the shutdown cooling heat exchanger to the steam generator through the feed water line;a bypass channel branched from a connection channel and connected to a discharge line to control a flow rate of the heated secondary fluid supplied from the shutdown cooling pump to the shutdown cooling heat exchanger; andwherein the connection channel forms a flow path connecting the shutdown cooling pump and the shutdown cooling heat exchanger, and the discharge line is connected to the heat exchanger channel and the feed water line. 2. The system of claim 1, wherein the steam line connecting portion comprises:a suction line connected to the steam line and the shutdown cooling pump; anda first valve installed in the suction line, the first valve closed during a normal operation of the reactor and opened after the primary cooling of the reactor coolant system,wherein the feed water line connecting portion comprises:a second valve installed in the discharge line, the second valve closed during the normal operation of the reactor and opened after the primary cooling of the reactor coolant system. 3. The system of claim 2, further comprising:a check valve installed in the connection channel to prevent a formation of a reverse flow from the shutdown cooling heat exchanger toward the shutdown cooling pump. 4. The system of claim 3, further comprising a recirculation channel branched from the heat exchanger channel and connected to the suction line to form a flow path for resupplying the cooling water discharged from the shutdown cooling heat exchanger to the shutdown cooling pump. 5. The system of claim 1, further comprising a pressure controller connected to a flow path of the circulation flow to pressurize the flow path of the circulation flow, such that operation pressure of the shutdown cooling system is maintained to be higher than preset pressure. 6. The system of claim 5, wherein the pressure controller pressurizes the flow path of the circulation flow using gas. 7. The system of claim 5, wherein the pressure controller pressurizes the flow path of the circulation flow by heating up steam using a heater. 8. The system of claim 1, wherein the steam generator comprises at least one of:a shell-and-tube type steam generator provided with a primary flow path configured as one of a shell and a tube, and a secondary flow path configured as another one;a plate type steam generator configured as at least one of a printed circuit type steam generator and a plate type steam generator; anda mixed type steam generator of the shell-and-tube type steam generator and the plate type steam generator. 9. The system of claim 1, wherein the shutdown cooling heat exchanger comprises at least one of:a shell-and-tube type heat exchanger provided with a secondary flow path configured as one of a shell and a tube, and a tertiary flow path configured as another one; anda plate type heat exchanger configured as at least one of a printed circuit type steam generator and a plate type steam generator. 10. The system of claim 9, wherein the tertiary flow path of the shutdown cooling heat exchanger is supplied with at least one of component cooling water supplied from a component cooling system, seawater supplied from the sea, and atmosphere supplied from a cooling tower. 11. The system of claim 1, wherein the shutdown cooling pump is a feed water pump or an auxiliary feed water pump. 12. A nuclear facility, comprising:a steam generator having an inlet of a secondary flow path connected to a feed water line for receiving a secondary fluid from a feed water system, and an outlet of the secondary flow path connected to a steam line for supplying the secondary fluid to a turbine system; anda shutdown cooling system for use in the nuclear facility configured to perform shutdown cooling of a reactor during a normal shutdown or an accident of the reactor,wherein the shutdown cooling system comprises:a steam line connecting portion branched from a steam line for receiving heated secondary fluid through the steam line, the steam line connected to a turbine system and the outlet of the secondary flow path of the steam generator;a shutdown cooling heat exchanger to receive the heated secondary fluid through the steam line connecting portion, cool the heated secondary fluid, which has been heated up while passing through the secondary flow path of the steam generator;a shutdown cooling pump configured to perform the shutdown cooling of the reactor to form a circulation flow of secondary fluid circulating along the steam generator and the shutdown cooling heat exchanger, upon a normal shutdown of the reactor after primary cooling of a reactor coolant system or upon an occurrence of an accident;a feed water line connecting portion joined to the feed water line which is connected to a feed water system and the inlet of the secondary flow path of the steam generator to supply secondary fluid cooled in the shutdown cooling heat exchanger to the steam generator through the feed water line;a bypass channel branched from a connection channel and connected to a discharge line to bypass the shutdown cooling heat exchanger to control a flow rate of the cooling water supplied from the shutdown cooling pump to the shutdown cooling heat exchanger; andwherein the connection channel forms a flow path connecting the shutdown cooling pump and the shutdown cooling heat exchanger, and the discharge line is connected to the heat exchanger channel and the feed water line. 13. The nuclear facility of claim 12, further comprising (a) a passive residual heat removal system or (b) a feed water system and a secondary system configured to primarily cool the reactor coolant system prior to an operation of the shutdown cooling system during an accident. |
|
060841478 | summary | FIELD OF THE INVENTION The present invention relates generally to decomposition of organic wastes. "Processing" refers to the breaking down of the wastes via a thermal route with the primary aim of affording an opportunity for reducing its volume to lessen handling and storage concerns. In particular, the present invention relates to pyrolysis of organic wastes. BACKGROUND OF THE INVENTION For decades, steam has been used to decompose organic chemicals, either to produce methane or to produce hydrogen and carbon monoxide and carbon dioxide as feed to other chemical processes. Because the basic process of steam reforming of organics is endothermic, much of the development in this art has focused on how best to meet the energy requirements. Typically, if external heat was not supplied, oxygen was added to the feedstock and thereby supply heat from exothermic oxidation. The apparatus for decomposing the waste also made use of the heat inherent in the effluents via heat exchange to preheat feedstock. Other developments in steam reforming focused on fluidized bed reactors and catalysts for achieving greater efficiencies, especially in the production of synthetic gas as fuel. The nuclear industry annually produces a significant amount of waste which is classified as radioactively contaminated ion exchange media, sludges and solvents. This waste is managed in various ways before being disposed of in bedrock chambers or by shallow land burial. Management of radioactive wastes is technically complex and, as a rule, leads to increased volumes that in turn increase storage costs. A process that results in reducing the volume and chemical reactivity of the waste disposed of is therefore highly desirable. Ion exchange media is an organic material. The media base is usually a styrene polymer to which are grafted sulfonic acid and amine groups. The material is therefore burnable, but, when air is supplied during combustion, sulfur and nitrogen oxides are formed that in turn must be separated in some manner. Additionally, during combustion, the temperature becomes sufficiently high for radioactive cesium to be partially vaporized. The radioactivity of the burning resins could also accompany the resulting fly ash. This effect necessitates a very high performance filtration system. Accordingly, both technical and economic problems are typically associated with combustion of ion exchange media. An alternative technique is pyrolysis. However, previously known pyrolysis methods in this field are deficient in several aspects and, in particular, no one has succeeded in devising a pyrolysis process that provides a comprehensive solution to the problem of sulfur and nitrogen-containing radioactive waste, and to do so under acceptable economic stipulations. See for example U.S. Pat. Nos. 5,424,042, 5,470,738, 5,427,738, 4,628,837, 4,636,335, and 4,654,172, and Swedish Patent SE-B 8405113-5. Ion exchange media are not the only types of organic wastes generated by the nuclear industry, nor are they the only types of radioactive wastes generated by other industries. Some industries generate mixed wastes that include both radioactive waste and chemical wastes. The chemical wastes, for example, can include organic solvents such as trichloroethylene or PCBs. Mixed wastes are especially difficult to deal with because different and sometimes conflicting regulations apply to their dual hazards. There is a need for a process that can efficiently decompose wastes containing radioactive contaminants and to do so in a way that reduces the volume and chemical reactivity of the waste residue remaining after decomposition. SUMMARY OF THE INVENTION According to its major aspects and briefly recited, the present invention is a method and apparatus for decomposing organic wastes using a two-stage steam-reformer. Wastes are fed into the first of the two stages along with a fluidizing gas composed of steam and oxygen. Both stages contain an inert media bed made of large, high-density beads, such as alumina beads up to 3000 microns in diameter. The fluidizing gases are injected at relatively high speeds, ranging up to 400 feet per second. In the first stage, the high speed gases pyrolyze much of the wastes at a temperature in the range of 450.degree. to 800.degree. C. and at a pressure of up to 45 pounds per square inch. Carbon and unpyrolyzed wastes are carried to the second stage from the first stage through a filter system. In the second stage, pyrolysis continues under essentially the same conditions but the use of various co-reactants and judicious selection of temperatures can be made to affect the precise nature of the final waste form depending on the initial waste form entering the second stage. Waste gases are captured and treated in conventional ways, leaving an inorganic, high-metals content grit for disposal. The use of two, back-to-back steam reformers is an important feature of the present invention. The bulk of the pyrolysis and steam reforming takes place in the first of the two allowing the second to be used not only to complete reformation but also to fine tune the final waste form. The use of relatively high fluid velocities in connection with large bead-sized, high-density inert media in a fluidized bed reactor is another important feature of the present invention. The velocity of the fluidizing gas can be as high as 400 FPS and the beads made of alumina up to 3000 microns in diameter. The high velocities agitate the media so that it grinds the softer, friable feedstock, thus accelerating its exposure to the steam and its reformation. The action of the fluidizing medium on the bed material accelerates the pyrolysis and helps in some cases to prevent undesired reactions of feedstocks such as liquid sodium or organic explosives. The use of co-reactants in the second stage to adjust the final waste form is another important feature of the present invention. For example, the oxidation state of metals such as chromium can be changed from the hazardous Cr+6 to the non-hazardous Cr+3 state. Reduction of hazardous sodium, calcium, magnesium and other metal salts to the corresponding cation oxide and/or carbonate is also advantageous. Addition of chloride or other co-reactants can be used to effectively partition certain metals such as zinc or cesium to the off gas. In this manner, the process can be used to remove high levels of cesium from high-level radioactive waste to produce concentrated cesium product that has a commercial value as well as low-activity radioactive waste that can be easily handled. The addition of carbon, together with sodium bearing wastes, can facilitate formation of high melting point sodium carbonates that can eliminate the formation of sodium eutectic salts that can melt and agglomerate the bed media. The addition of lime (calcium carbonate), together with phosphate bearing wastes, can facilitate the formation of stable calcium phosphate that can eliminate the corrosive phosphate ions in the system. Elimination or reduction of the amount of some waste forms that would otherwise require special handling may significantly reduce waste disposal costs. Another feature of the present invention when applied to radioactive ion exchange resins is the low temperature at which the pyrolysis takes place. At lower temperatures, radioactive cesium remains with the residue rather than volatizing and entering the offgas system. By avoiding all but nominal cesium carryover to the offgas system, the need for a special cesium trap is avoided leaving conventional scrubbers to remove the small amount that does enter the offgas. In addition, if cesium and chlorides are present, zinc may be added to preferentially bond with the chloride and partition the resultant zinc chloride to the off gas, leaving the radioactive cesium in the waste residue. Other features and their advantages will become apparent to those skilled in the art of organic waste disposal from a careful reading of the Detailed Description of Preferred Embodiments, accompanied by the following drawings. |
043575412 | abstract | A plurality of tubes (12, 13, 14) are arranged vertically in a closed row along a circle within a completely enclosed housing (1) provided with an inner radiation protection jacket (4). All the tubes (12, 13, 14) are connected as an assembled unit to a vertical shaft (17) which is mounted for rotation between a top plate (2) and a bottom plate (3) of the housing (1). A waste bag (18) can be inserted through an opening (8) in the top plate (2) into the tube (12) located at the time below same, into which bag radioactive waste (19) can be introduced also through the opening (8) which can be closed by a lid (9). Another opening (20) is provided in the bottom plate (3), it being located below the last tube (14) of the row in the intended direction of rotation of the unit. Thus, the bag (18) which is present in the corresponding tube (14) drops through said further opening (20) into a reception container (7) placed below it. When the unit is turned further daily by an amount equal to the angle between two adjacent tubes, sufficient time automatically elapses to permit the radioactivity of the waste in the filled bags which are no longer accessible to drop to an unobjectionable value until the bags fall into the reception container (7) and can be safely eliminated from there. |
058898328 | summary | BACKGROUND OF THE INVENTION The present invention relates to control clusters for a nuclear reactor, each cluster comprising a spider made of a hub fitted with drive shaft fastening means and with fins radiating from a bottom portion of the hub and provided with parallel vertical fingers distributed in a regular array, together with rods provided with plugs fixed removably to the fingers. At present, control clusters of this type include sixteen fins. Each fin carries a finger at its end. Every other fin also has an intermediate finger. Thus, the cluster includes twenty-four absorbent rods. Spiders are presently constituted as welded assemblies. The fins are put into place around the hub and brazed. The brazing operation is lengthy and difficult when seeking to avoid any positioning error of the rods and to avoid any deformation due to thermal effects. In most cases, the fingers are fixed to the fins by respective tenon-and-mortise connections: the end portion of each fin constitutes a tenon which is engaged in a slot of the associated finger. That connection is finished off by brazing. When this solution is adopted, it is impossible to provide a vertical hole passing through the finger, and consequently the plugs of the rods must be fixed in blind holes in the portions of the fingers located beneath the level of the fins. That solution has turned out to be not very satisfactory. French Patent No. 2,599,884 describes a solution that makes it possible to make the rods removable. It is complex and gives the rod assembly a secured connection with the spider. Such a connection is liable to impede sliding of the rods in the guide tubes of an assembly that is to receive the cluster. SUMMARY OF THE INVENTION An object of the invention is to provide an improved control cluster. For this purpose, in an important aspect of the invention, the invention provides a control cluster in which the fins, their fingers, and the bottom portion of the hub constitute a single unitary piece obtained by molding or by electro-machining. The top portion of the hub may be integral with the bottom portion; this generally requires manufacture by electro-erosion. In an advantageous embodiment, the top portion of the hub, constituted by a single cylindrical sleeve, is made separately from the single piece and is engaged in the bottom portion and is connected thereto, e.g., by means of a threaded connection or by welding. The above design makes it possible to considerably simplify the structure and assembly of the damper generally provided in the spider to reduce the shock to which the top endpiece of the fuel assembly receiving the cluster is subjected when the cluster is dropped for a scram. Conventionally, the shock absorber comprises a socket that slides in the hub and that is urged downward by a spring toward a position in which it projects from the hub. The projecting position of the socket is defined by an abutment constituted by the head of a screw placed axially in the hub. That structure requires initial adjustment of the screw. Also, the presence of the screw impedes the flow of cooling liquid along the hub and, by a dash-pot effect, lengthens the time required for the cluster to drop. When the sleeve is made up of two parts, it is possible to provide an internal collar in the bottom portion situated at a suitable location and constituting an abutment that defines the projecting position of the socket. In addition, in an internal flare of the sleeve on which the spring bears, it is advantageous to provide a hole allowing cooling fluid to flow through the socket and the sleeve, thereby reducing the dash-pot effect. Another object of the invention, which can be achieved regardless of whether some of the preceding dispositions are used, consists in allowing the rods a degree of freedom or "looseness" enabling them to adapt to the exact location of the guide tubes designed to receive them in the fuel assemblies and/or guide sheaths in which the clusters are housed when they are raised into the upper internals of a reactor, in the event of misalignment due to manufacturing tolerances. To this end, the invention proposes a cluster whose plugs include, between the rods and the means for fixing to the fingers, an extension having at least one portion of reduced diameter for increasing its flexibility. Because of the one-piece structure of the fingers and of the fins, through holes can be formed in the fingers to enable easily-released fixing for replacement of some of the rods. Finally, it should be mentioned that the "loose" nature of the way in which the rods are mounted can be advantageous even with a spider that does not have the above-defined structure, e.g., a spider of the kind constituting the subject matter of above-mentioned French Patent No. 2,599,884. The above characteristics and others will appear more clearly on reading the following description of particular embodiments of the invention given by way of example, and by the comparison made thereof with prior art dispositions. The description refers to the accompanying drawings. |
052746844 | abstract | The present invention presents a method and an apparatus for assembling a fuel assembly which is free of surface damage on the fuel rod surfaces, and of distortions caused by residual stresses. Such problems are introduced by the method of inserting the fuel rods into the grids, and the sequence of attaching the control rod guiding pipes. In the conventional method, the guiding pipes are attached to the respective grids first and the fuel rods are inserted in the grids while the holding mechanisms (such as spring force against a dimple formed on the grid wall) are active. In the invented assembling method, the sprigs are deactivated first and then the fuel rods are inserted into the grids. Once the assembly is made firm with all the fuel rods in place and fixed firmly to the grids, the control rod guiding pipes are inserted into the grids and the pipes are fixed rigidly to the respective grids. By performing the assembling operation in the above sequence, slight shifting of the grids which occurs on fixing the pipes to the grids, during the conventional method, is prevented. The final assembly produced according to the invented method is free of surface damage and the overall quality of alignment of the assembly is excellent. |
summary | ||
054147433 | summary | Cross-Reference to Related Application This application is a Continuation of International Application PCT/DE92/00665, filed Aug. 11, 1992. BACKGROUND OF THE INVENTION Field of the Invention The invention relates to a secondary-side residual-heat removal system for a pressurized-water nuclear reactor, including a steam generator being connected into a primary loop of the nuclear reactor by the primary side of its heat-exchanging tubes, and a safety condenser having one side to which a secondary side of the steam generator is connected through circuit lines, with another (tertiary) side of the safety condenser allowing a throughflow of a tertiary medium for the cooling of its secondary side, and the safety condenser being situated at a higher level than the steam generator, defining a difference in level between the safety condenser and the steam generator being dimensioned for achieving a natural circulation in a secondary circuit leading through the secondary side of the steam generator and the safety condenser in a residual-heat removal operation. After shutting down nuclear reactors, so-called residual heat, which is also referred to as decay heat, occurs in all modes of operation and malfunction. In the case of pressurized-water nuclear reactors, the secondary side is used, at least in an initial phase, for the removal of the residual heat, in virtually all modes of operation and malfunction. That takes place by feeding the steam generators with feed-water and by discharging energy transferred in the heat generator from its primary side to its secondary side through its tube bundle, directly or indirectly into the atmosphere. In order to keep malfunctions under control, a previously known concept for secondary-side residual-heat removal in the installations of pressurized-water nuclear reactors provides an emergency feeding system on the secondary-side feeding side of the steam generators and a steam blow-off device with blow-control and a safety valve on the discharge side. Such a system is disclosed, for example, by German Published, Prosecuted Application DE-AS 24 59 150 (1) or by Published European Application No. 0 004 167 A3 (2). In publication (1) it is shown that the respective heat generator is connected by its secondary side not only to its operational feed-water and live-steam lines but also through emergency cooling circuit lines with a condensate recirculation pump to one side of a safety condenser. That safety condenser, which is referred to therein as a residual-heat removal heat exchanger and is equipped with additional condensate cooling means, may be replaced, if appropriate, by a water pool basin. Such a basin is shown in publication (2) and it serves for the blowing off of the secondary-side steam to be cooled, with the water pool being cooled by heat-exchanging tubes which are connected to a cooling circuit. The secondary-side steam is cooled and condensed by the blowing off into the water pool. The condensate is recirculated by means of a condensate recirculation pump to the secondary side of the steam generator, i.e. to its feed-water space. In the case of publication (1) and publication (2), residual-heat removal operation is initiated by the opening of conventional shut-off valves (reducing valves, shut-off valves). SUMMARY OF THE INVENTION It is accordingly an object of the invention to provide a secondary-side residual-heat removal system for pressurized-water nuclear reactors, which overcomes the hereinafore-mentioned disadvantages of the heretofore-known devices of this general type and which provides for supplying a safety condenser (SACO) on its tertiary side and a secondary-side SACO circuit in such a way that switching on pumps and/or opening conventional shut-off valves is not required for putting the residual-heat removal by the safety condenser into operation, whereby the inherent safety of the residual-heat removal system can be increased. With the foregoing and other objects in view there is provided, in accordance with the invention, a secondary-side residual-heat removal system in a pressurized-water nuclear reactor having a primary loop, comprising a steam generator having a primary side, a secondary side, heat-exchanging tubes with a primary side being connected into the primary loop of the nuclear reactor, a feed-water connection on the secondary side, a main feed-water pump connected to the steam generator; a safety condenser, circuit lines having a cold leg leading from the safety condenser to the feed-water connection of the steam generator, the safety condenser having a secondary side connected to the secondary side of the steam generator by the circuit lines in a secondary circuit and having a tertiary side allowing a throughflow of a tertiary medium for cooling the secondary side of the safety condenser, the safety condenser being disposed at a higher level than the steam generator, defining a difference in level between the safety condenser and the steam generator being dimensioned for achieving a natural circulation in the secondary circuit leading through the secondary side of the steam generator and the safety condenser in a residual-heat removal operation; a vortex chamber valve having a supply connection, an outlet, a control connection and an internal flow path leading from the supply connection to the outlet and into the cold leg of the circuit lines, the control connection shutting off the internal flow path with a secondary-side control pressure to be picked or tapped off in normal operation of the steam generator, but releasing the control path in residual-heat removal operation, when the control pressure drops or disappears, for freely switching on the vortex chamber valve due to a reduced control pressure at the control connection and setting a circulating flow in motion in the secondary circuit through the vortex chamber valve, when the main feed-water pump is switched off; and a water reservoir being disposed at a geodetically higher level than the safety condenser for supplying the tertiary side of the safety condenser, with a minimum flow bypass line being connected to the water reservoir and the safety condenser having a tertiary-side intake being connected to the minimum flow bypass line, or with the safety condenser having a heat-exchanging tube bundle and the water reservoir having a water pool surrounding the heat-exchanging tube bundle of the safety condenser. The natural circulation may also be set in motion by switching off other pumps, for example start-up and shut-down pumps. In order to provide for the natural circulation, it is sufficient for the distance between the two water-column levels of the safety condenser (for example a condensate collector) and the steam generator to be on the order of magnitude of about 2 m. The tertiary medium evaporating in the safety condenser is expediently blown out over the roof through a blowing-off line. In accordance with another feature of the invention, the safety condenser has a tertiary-side intake, and there is provided a parallel connection connecting the water reservoir to the tertiary-side intake, the parallel connection having one branch with a minimum flow bypass line and a shut-off valve and another branch with a control valve. In accordance with a further feature of the invention, there is provided a pressure-side feed-water line part having a downgradient from the main feed-water pump and being connected to the control connection of the vortex chamber valve. In accordance with a concomitant feature of the invention, the water reservoir has a water pool, the safety condenser has a heat-exchanging tube bundle disposed in the water pool, forming a combined safety condenser/water reservoir, the steam generator has a secondary chamber, and including a control valve being open in normal operation of the steam generator, the outlet of the vortex chamber valve opening out into the secondary chamber through the control valve. It is also possible in principle for the control valve to be disposed between the safety condenser and the swirl chamber valve. Thus, in operation as intended (normal operation), the valve is open and does not have to be actuated until controlling the capacity of the safety condenser. The advantages which can be achieved with the invention are primarily to be regarded as that the passive characteristics of the residual-heat removal system are improved. The natural circulation is induced automatically/passively without active actuation of valves. The supply of the (tertiary) cooling medium to the safety condenser takes place without pumps. The actuation of a control valve is not required until controlling the cooling capacity or rate of flow when the natural circulation has established itself. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a secondary-side residual-heat removal system for pressurized-water nuclear reactors, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings. |
description | The present disclosure relates to reducing background noise in particle detectors by angular transmission filtering with a louver. When a charged particle strikes a surface, the particle may be scattered back (possibly losing some energy to the surface); induce the emission of a secondary particle (either ion or electron), or cause the release of a photon from the surface. From these outgoing (hereafter secondary) particles, characteristics of the surface can be determined, for example physical structure or material composition. The secondary particles can also, in turn, strike other surfaces inside an experiment, leading to tertiary emission and so forth. Since in many measurements, only the first surface is of interest, emission from other surfaces constitutes an unwanted background to the measurement. As a specific example, the composition of a surface can be determined by studying Auger emitted electrons. Auger electrons can be observed by looking at the energy spectrum of the particles leaving the surface struck by a primary beam. The energy spectrum is measured by an energy dispersive analyzer: for example a hemispherical or cylindrical mirror analyzers or magnetic sector, to name a few. These devices observe a window of energies and exit angles from the surface. However, electrons from other surfaces outside or sometime inside the analyzer can be generated and reach the detector plane of the analyzer. A charged-particle scanning system may include, but is not limited to: a charged particle source configured to generate a charged-particle beam; a louvered structure including one or more apertures configured to selectively transmit charged particles according to their angle of incidence; and a charged-particle detector configured to receive charged particles selectively transmitted by the louvered structure. During particle detection operations such as those performed in electron scattering detection processes for semiconductor wafer certification it may be the case that secondary and tertiary scattering outside or inside the detector may constitute a significant contribution to an overall detected signal, thereby necessitating long integration times and substantial back-end processing of detected signals to reduce the signal-to-noise ratio to allowable levels. The below described systems and methods provide various mechanisms for reducing the level of background emissions presented to the detector of particle detection system. In FIG. 1, a cross-sectional diagram depicting components of a scanning detection system 100 which includes an energy analyzer 101 is illustrated. As shown, a charged-particle beam 102 (e.g. an electron beam) originates from an charged-particle source 103 (e.g. an electron gun) and travels down an optical axis and through an objective lens 104 to become focused upon the surface of a target substrate 105. The energy analyzer 101 is positioned to detect secondary charged particles emitted from the target substrate 105 due to the impingement of the charged-particle beam 102 on the target substrate 105. Charged-particle trajectories 106 are depicted for secondary charged particles emitted from the target substrate 105 following impingement of the charged-particle beam 102 on the target substrate 105. As indicated, the secondary charged particles whose trajectories 106 are within a certain range of polar angles θ may pass through an entrance aperture 107. The trajectories 106 of the charged particles may be deflected away from an electrode 108. The deflected charged particles may impinge upon a detector 109. In a particular example the detector 109 may be a position-sensitive detector 109. Higher-energy charged particles travel farther and impinge upon the detector 109 at positions farther away from a z-axis defined by the charged-particle beam 102. For purposes of illustration, FIG. 1 depicts the trajectories of charged particles with various initial polar angles θ (e.g. about 30 degrees) but with one of three example energy levels. The charged particles at the lower energy level land at a closer radial position 110 along the detector 109. The charged particles at the middle energy level land at a middle radial position 111 along the detector 109. Finally, the charged particles at the higher energy level land at a farther radial position 112 along the detector 109. The detector 109 may be configured to detect such positions (e.g. through a matrix of detector cells) to provide position-dependent data to a back-end processing device for analysis. The trajectories 106 of the charged particles may be such that the charged particles take a substantially uninterrupted path (e.g. do not reflect off of any surface) between the target substrate 105 and the detector 109. As described above, it may be the case that background emissions may constitute a significant contribution to an overall detected signal, thereby necessitating long integration times and substantial back-end processing of detected signals to reduce the signal-to-noise ration to allowable levels. For example, as shown in FIG. 1, background emissions 113 (e.g. charged particles not emanating from the impingement of the charged-particle beam 102 on the target substrate 105, charged particles emanating from the impingement of the charged-particle beam 102 on the target substrate 105 that reflect off an intervening surface between the target substrate 105 and the detector 109, and the like) may be present within the energy analyzer 101. In order to reduce the amount of background emissions 113 which reach the detector 109, the system 100 may include a louver structure 114 which may be configured to allow only particles having trajectories 106 within a defined angle of acceptance relative to the louver structure 114 to reach the detector 109. For example, as shown in FIG. 2, the louver structure 114 may be a substantially planar structure. The louver structure 114 may include one or more apertures 201 through a main body portion 202 of the louver structure 114 thereby allowing particles having varying trajectories 106 to pass through the louver structure 114. The apertures 201 may be defined by one or more louver bars 203. The size and spacing of the apertures 201 and/or louver bars 203 may be configured to specify a desired angle of acceptance for such particles. For example, as shown in FIG. 2, the apertures 201 may be configured parallel an angle of incidence α (e.g. from about 10 to 50 degrees) associated with a desired trajectory 106. The apertures 201 of the louver structure 114 may have an apparent width W1 (e.g. from about 0.010 to 0.015 inches or, more particularly, about 0.013 inches) while louver bars 203 of the louver structure 114 may have an apparent width W2 (e.g. from about 0.002 to about 0.006 inches and, more particularly, about 0.004 inches) such that particles having trajectories 106 between an angle β and an angle γ (e.g. trajectories 106′ and 106″) may be transmitted by the louver structure 114 while particles having trajectories less than β or greater than γ will be reflected and/or absorbed by the louver structure 114. In a specific example, an entrance band for the apertures 201 may be specified where α is approximately 30 degrees relative to the surface of the louver structure 114 and β and γ are +/−7.5 degrees, respectively, about α. Such an entrance band may result in a particle transmission ratio of approximately 53% (as detailed in FIG. 3). In another example, the angles of acceptance of the apertures 201 of the louver structure 114 may vary across the louver structure 114 in order to accept particles of differing trajectories 106 at various portions of the louver structure 114. For example, as shown in FIG. 4, a first portion 114A of the louver structure 114 may have apertures 201 sized such that particles having trajectories 106 between an angle β and an angle γ (with respect to the surface of the louver structure 114) may pass through the louver structure 114 while particles having trajectories less than β or greater than γ (e.g. background emissions 113) will be reflected by the louver structure 114. Further, a second portion 114B of the louver structure 114 may have apertures 201′ configured such that particles having trajectories 106′ between an angle β′ and an angle γ′ (where β′ or γ′ of portion 114B is different than β or γ, respectively, of portion 114A) may pass through the louver structure 114 while particles having trajectories less than β′ or greater than γ′ (e.g. background emissions 113) will be reflected and/or absorbed by the louver structure 114. In another example, as shown in FIG. 5A, the apertures 201 may be substantially linear in shape and be disposed across the louver structure 114 in a substantially parallel manner. In another example, as shown in FIG. 6B, the apertures 201 at least partially arcuate in shape (e.g. in a semi-circular shape with respect to the z-axis defined by the charged-particle beam 102) and disposed across the louver structure 114 in a substantially parallel manner. The louver structure 114 may be constructed from any material. Specifically, the louver structure 114 may be constructed from aluminum, stainless steel, titanium, and the like. Additionally, the louver structure 114 may further include one or more particle-absorbing coatings (e.g. a carbon sputter coating). It will be noted that the above described background reduction methodologies may be applied to any number of particle detectors. For example, the methodologies may be applied to hyperbolic-field and magnetic bend energy analyzers. The above-described diagrams are not necessarily to scale and are intended be illustrative and not limiting to a particular implementation. Specific dimensions and geometries will vary and depend on each implementation. The above-described invention may be used in an automatic inspection system and applied to the inspection of wafers, X-ray masks and similar substrates in a production environment. While it is expected that the predominant use of the invention will be for the inspection of wafers, optical masks, X-ray masks, electron-beam-proximity masks and stencil masks, the techniques disclosed here may be applicable to the high speed surface analysis of any material (including possibly biological samples). In the above description, numerous specific details are given to provide a thorough understanding of embodiments of the invention. However, the above description of illustrated embodiments of the invention is not intended to be exhaustive or to limit the invention to the precise forms disclosed. One skilled in the relevant art will recognize that the invention can be practiced without one or more of the specific details, or with other methods, components, etc. In other instances, well-known structures or operations are not shown or described in detail to avoid obscuring aspects of the invention. While specific embodiments of, and examples for, the invention are described herein for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize. These modifications can be made to the invention in light of the above detailed description. The terms used in the following claims should not be construed to limit the invention to the specific embodiments disclosed in the specification and the claims. Rather, the scope of the invention is to be determined by the following claims, which are to be construed in accordance with established doctrines of claim interpretation. |
|
claims | 1. An apparatus for extracting multiple laser Compton scattering (“LCS”) photon beams, the apparatus comprising:a linear accelerator for accelerating an electron beam; andat least two LCS gamma ray generation modules, each LCS gamma ray generating module including:an LCS gamma ray generator for irradiating a target with an LCS gamma ray generated by emitting a laser light to an electron beam released from the linear accelerator; anda bending magnet for adjusting a direction of the electron beam passed through the LCS gamma ray generator,wherein the at least two LCS gamma ray generation modules are sequentially arranged to form a closed loop together with the linear accelerator. 2. The apparatus of claim 1, wherein the at least two LCS gamma ray generation modules are arranged to irradiate the target in common with LCS gamma rays. 3. The apparatus of claim 1, wherein the at least two LCS gamma ray generation modules generate LCS gamma rays of different energy from each other, thereby allowing photonuclear reactions to occur for targets of nuclides different from each other. 4. The apparatus of claim 1, wherein the bending magnet bends the electron beam having a bending angle θ greater than 0° and less than or equal to 90°. 5. The apparatus of claim 1, wherein the LCS gamma ray generator comprises:a laser light source that emits a laser light; anda mirror that reflects the laser light in the direction of the electron beam. 6. The apparatus of claim 5, wherein the mirror comprises a multilayer structure mirror that reflects only the laser light of a predetermined wavelength band and that is transparent to LCS gamma rays. |
|
claims | 1. A tube of zirconium-base alloy for constituting all or the outside portion of cladding for a nuclear fuel rod or of a guide tube for a nuclear fuel assembly, made of a zirconium-base alloy containing, by weight, 0.8% to 1.8% niobium, 0.2% to 0.6% tin, and 0.02% to 0.4% iron, plus inevitable impurities, and having a carbon content controlled to lie in the range 30 ppm to 180 ppm, a silicon content in the range 10 ppm to 120 ppm, and an oxygen content in the range 600 ppm to 1800 ppm. 2. A tube according to claim 1, wherein the alloy is in recrystallized state. 3. A tube according to claim 1, wherein the alloy is in relaxed state. 4. A tube according to claim 1, wherein the alloy has set contents: 0.9% to 1.1% niobium, 0.25% to 0.35% tin, and 0.2% to 0.3% iron. 5. A method of manufacturing a tube according to claim 1 of zirconium-base alloy for constituting all or an outside portion of cladding for a nuclear fuel rod or of a guide tube for a nuclear fuel assembly, made of a zirconium-base alloy consisting essentially of, by weight, 0.8% to 1.8% niobium, 0.2% to 0.6% tin, and 0.02% to 0.4% iron, plus inevitable impurities, and having a carbon content controlled to lie in the range 30 ppm to 180 ppm, a silicon content in the range 10 ppm to 120 ppm, and an oxygen content in the range 600 ppm to 1800, including the following steps of:making a bar of an alloy containing 0.8% to 1.8% niobium, 0.2% to 0.6% tin, and 0.02% to 0.4% iron;after heating in the bar to a temperature in the range 1000° C. to 1200° C., quenching the bar in water;drawing the bar into a blank after heating to a temperature in the range 600° C. to 800° C.;annealing the drawn blank at a temperature in the range 590° C. to 650° C.; andcold rolling the annealed blank in at least four passes into a tube, with intermediate heat treatments at temperatures in the range 560° C. to 620° C. 6. A method according to claim 5, wherein the rolling passes are performed on tubes having increasing recrystallization ratios. 7. A method according to claim 5, further including a recrystallizing final heat treatment step at a temperature in the range 560° C. to 620° C. 8. A method according to claim 5, further including a strain relieving final heat treatment step at a temperature in the range from about 470° C. to 500° C. 9. A tube for constituting all or an outside portion of cladding for a nuclear fuel rod or of a guide tube for a nuclear fuel assembly, made of zirconium-base alloy consisting essentially of:0.8% wt. to 1.8% wt. niobium,0.2% wt. to 0.6% wt. tin,0.02% wt. to 0.4% wt. iron, plus inevitable impurities,a carbon content controlled to lie in the range 30 ppm to 180-ppm,a silicon content in the range 10 ppm to 120 ppm, andan oxygen content in the range 600 ppm to 1800 ppm, with the balance zirconium. 10. A tube according to claim 9, wherein the alloy is in recrystallized state. 11. A tube according to claim 9, wherein the alloy is in relaxed state. 12. A tube according to claim 9, wherein the alloy has set contents: 0.9 wt. % to 1.1 wt. % niobium, 0.25 wt. % to 0.35 wt. % tin, and 0.2 wt. % to 0.3 wt. % iron. |
|
summary | ||
062122525 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray mask and a method of manufacturing the same, and particularly an X-ray mask provided with an alignment mark, which is used for position detection in a process of forming a circuit pattern for transfer, as well as a method of manufacturing the same. 2. Description of the Background Art In a processes of manufacturing semiconductor devices, a lithography technology utilizing ultraviolet rays has been primarily used for transferring a circuit pattern such as an interconnection pattern onto a semiconductor substrate. For example, the semiconductor devices have been integrated to a higher extent and, for example, DRAMs (Dynamic Random Access Memories) have been improved to have higher densities and higher storage capacities of, e.g., 1 gigabit (Gbit). As a result, it is now required to miniaturize circuit patterns for interconnections or the like to a higher extent. It is now expected that a lithography technology using X-rays can be a useful technology for transferring such fine circuit patterns. According to the lithography technology using X-rays, X-rays which are used for exposure have a wavelength (soft X-ray: wavelength=5-20 nm) shorter than that of ultraviolet rays which have been used in the prior art, and therefore can transfer fine circuit patterns of a higher resolution than that transferred by the conventional lithography using ultraviolet rays. The lithography technology using X-rays uses an X-ray mask provided with a circuit pattern for transfer. FIG. 27 is a cross-sectional view showing a structure of a conventional X-ray mask. Referring to FIG. 27, the conventional X-ray mask will be described below. In FIG. 27, the conventional X-ray mask includes a substrate 102, a membrane 103, an X-ray absorber 104 and a support ring 101. Membrane 103 is a substrate allowing passage of X-rays, and is formed on substrate 102. Membrane 103 has a film thickness of 1-3 .mu.m. X-ray absorber 104 is made of a material intercepting transmission of X-rays, and is formed on membrane 103. Substrate 102 is provided with a window 111. A rear surface of membrane 103 is exposed through window 111. In a region located on window 111, X-ray absorber 104 includes a portion 110 for forming a transfer circuit pattern, i.e., a circuit pattern for transfer. Support ring 101 is arranged under substrate 102. The circuit pattern formed in transfer circuit pattern forming portion 110 of X-ray absorber 104 must have a high position accuracy and a high size accuracy. The transfer circuit pattern is usually produced through the following steps. First, a resist (not shown) is applied onto X-ray absorber 104. The transfer circuit pattern is written on the resist with an electron beam lithography system. Development is effected on this resist to form a mask pattern of the transfer circuit pattern. Using this mask pattern as a mask, etching is performed to remove X-ray absorber 104, whereby the transfer circuit pattern layer is formed. In the above step of writing the transfer circuit pattern on the resist with the electron beam lithography system for forming the transfer circuit pattern, the accuracy of position detection significantly affects the accuracies of the position and size of the transfer circuit pattern of the X-ray mask. The step of writing the transfer circuit pattern with the electron beam includes the following specific steps. First, the X-ray mask including a resist applied over X-ray absorber 104 is attached to a jig called an EB cassette. Then, the X-ray mask is conveyed together with the EB mask into a load-lock chamber (i.e., an area provided for keeping a vacuum around the X-ray mask prior to writing so that the X-ray mask can be conveyed into an area, where writing with the electron beam can be performed, while keeping a vacuum). Then, a vacuum is produced in the load-lock chamber. Subsequently, the X-ray mask is conveyed together with the EB cassette from the load-lock chamber onto a stage in the area for the electron beam writing. The circuit pattern for transfer is written on the resist of the X-ray mask with the electron beam. The writing of the transfer circuit pattern with the electron beam is performed while detecting and referring to positions of alignment marks situated on the EB cassette or the stage. Usually, there is a difference in temperature between the X-ray mask, the interior of the load-lock chamber and the area for the electron beam writing. When producing a vacuum in the load-lock chamber, the temperatures of the EB cassette and the X-ray mask lower. After the X-ray mask is conveyed onto the stage in the area for the electron beam writing, therefore, the temperatures of the EB cassette and the X-ray mask change until they reach an equilibrium with the temperature of the area where the electron beam writing is performed. As a result, the EB cassette and the X-ray mask conveyed onto the stage expand or shrink due to this change in temperature. The temperature of the X-ray mask is stabilized to some extent as a certain time elapses. However, a long time is required until the temperature of the EB cassette carrying the X-ray mask or the stage is stabilized. Therefore, it is impossible to write accurately the transfer circuit pattern with the electron beam before the temperature of the entire area for the electron beam writing reaches an equilibrium. In the conventional process, therefore, the X-ray mask and the EB cassette which were conveyed onto the stage are left standing on the stage for a long time until the entire area for the electron beam writing reaches an equilibrium. The electron beam writing of the transfer circuit pattern is performed after the entire area for the electron beam writing reaches an equilibrium. The fact that the standing state must be kept until the entire area for the electron beam writing reaches an equilibrium results in increase in manufacturing period of the X-ray mask, and consequently increases a manufacturing cost of the X-ray mask. In order to reduce the time for which the standing state is kept before writing the transfer circuit pattern with the electron beam, the alignment mark may be formed on the X-ray mask itself instead of the EB cassette or the stage. This is because the alignment mark, which is formed on the X-ray mask, does not change its position once the temperature of only the X-ray mask is stabilized, even before the entire area for the electron beam writing reaches an equilibrium. Japanese Patent Laying-Open No. 2-166720 (1990) has disclosed an X-ray mask provided with an alignment mark, which is made of a material having a high electron beam reflection coefficient and is formed on the surface of the X-ray mask. FIG. 28 is a perspective view of the X-ray mask proposed in the above publication. Referring to FIG. 28, the X-ray mask already proposed will be described below. The proposed X-ray mask in FIG. 28 includes a support material 105, a X-ray transmissive material 106 allowing passage of X-rays, an X-ray absorber 104 and alignment marks 107. X-ray transmissive material 106 is formed on support material 105. X-ray absorber 104 is formed on X-ray transmissive material 106. Alignment marks 107 are made of gold films and are formed on X-ray absorber 104. For forming alignment marks 107 (see FIG. 28), this proposed X-ray mask requires an additional process for forming gold films on X-ray absorber 104. This complicates the process of manufacturing of the X-ray mask, and increases the manufacturing period. Japanese Patent Laying-Open No. 4-297016 has disclosed an X-ray mask, in which alignment mark providing reference positions are formed on an X-ray absorber. In the X-ray mask disclosed in Japanese Patent Laying-Open No. 4-297016, however, the alignment marks are formed in a region on a membrane where a mask pattern is formed. Therefore, the reference position of the alignment marks shifts due to distortion caused by a heat and a resist stress relief, which are generated or caused when a pattern for forming the mask pattern is written on a resist. SUMMARY OF THE INVENTION An object of the invention is to provide an X-ray mask which includes a transfer circuit pattern having a high position accuracy, and can be manufactured by a simplified manufacturing process. Another object of the invention is to provide a method of manufacturing an X-ray mask, which includes a transfer circuit pattern having a high position accuracy, and can be manufactured by a simplified process. According to an aspect of the invention, an X-ray mask includes a substrate, a membrane allowing passage of X-rays, and an X-ray absorber intercepting transmission of X-rays. The membrane allowing passage of X-rays is formed on the substrate. The X-ray absorber is formed on the membrane. The substrate includes a window exposing the membrane. The X-ray absorber includes a transfer circuit pattern, and an alignment mark formed in a region not overlapping with the window in a plan view. According to the above aspect, the alignment mark is formed on the X-ray absorber of the X-ray mask without an additional step, which is required for the X-ray mask already proposed and is performed for forming a film of gold or the like for the alignment mark on the X-ray absorber. Therefore, the X-ray mask provided with the alignment mark can be produced through a more simple manufacturing process than that in the prior art. In the step of forming the transfer circuit pattern on the X-ray mask, the alignment mark formed on the X-ray mask can be used for detecting the position of the X-ray mask. Therefore, it is not necessary to wait until the temperature of the whole area for the electron beam writing of the transfer circuit pattern reaches an equilibrium in contrast to the prior art, and the X-ray mask provided with the transfer circuit pattern having a high accuracy can be manufactured without the wait. According to the above aspect, the alignment mark is situated in the region, which is spaced from the region for forming the transfer circuit pattern, and is situated in the region covering the membrane overlying the substrate. Therefore, a significant variation in position of the alignment mark can be prevented even when the membrane located on the window vibrates in a step of writing a mask pattern for forming the transfer circuit pattern or a distortion occurs due to resist stress relief or heat caused by the mask pattern writing step. Consequently, it is possible to prevent deterioration of an accuracy of position detection of the X-ray mask which may be caused by a variation in position of the alignment mark or the like. Accordingly, the X-ray mask can have the transfer circuit pattern having a higher accuracy. In the X-ray mask according to the above aspect, the alignment mark may be an opening formed in the X-ray absorber. According to the above structure, the step of forming the alignment mark can employ a technique such as etching which is used in the step of forming the transfer circuit pattern. Therefore, the alignment mark can be formed easily without adding a complicated process. In the X-ray mask according to the above aspect, a protective film may be arranged between the substrate and the X-ray absorber. According to another aspect, the invention provides a method of manufacturing an X-ray mask, in which a membrane allowing passage of X-rays is formed on a substrate. An X-ray absorber intercepting transmission of X-rays is formed on the membrane. A window exposing the membrane is formed in the substrate. A first mask layer is formed on the X-ray absorber. A first mask pattern is written on the first mask layer. Development is effected on the first mask layer to form a first mask pattern layer. The X-ray absorber is removed using the first mask pattern layer as a mask, whereby an opening functioning as an alignment mark is formed in a region not overlapping with the opening in a plan view. According to the above method, it is not necessary to form a film of gold or the like on the X-ray absorber, which is required for forming the alignment mark in a conventional X-ray mask. Therefore, the X-ray mask provided with the alignment mark can be produced through simplified steps, compared with the X-ray mask proposed in the prior art. According to the above aspect, the alignment mark is formed in the region, under which the substrate is present with the membrane therebetween. Therefore, a significant variation in position of the alignment mark can be prevented even when the membrane located on the window vibrates in a step of writing a mask pattern for forming the transfer circuit pattern or a distortion occurs due to resist stress relief or heat caused by the mask pattern writing step. Consequently, it is possible to prevent deterioration of an accuracy of position detection of the X-ray mask which may be caused by a variation in position of the alignment mark or the like. Accordingly, the X-ray mask can have the transfer circuit pattern having a higher accuracy. In the method of manufacturing the X-ray mask according to the above aspect, the first mask pattern layer may be removed and a second mask layer may be formed on the X-ray absorber. A second mask pattern may be written on the second mask layer while performing position detection of the second mask layer using the opening as the alignment mark. Development may be effected on the second mask layer to form a second mask pattern layer. The X-ray absorber may be removed to form a transfer circuit pattern, using the second mask pattern layer as a mask. According to the above method, the opening is used as the alignment mark when performing the position detection. Therefore, it is not necessary to wait until the temperature of an EB cassette for the electron beam writing of the transfer circuit pattern reaches an equilibrium in contrast to the prior art, and the X-ray mask provided with the transfer circuit pattern having a high position accuracy can be produced through a simplified manufacturing process. In the method of manufacturing the X-ray mask according to the above aspect, a second mask pattern may be written on the first mask layer while performing position detection of the first mask layer using the opening as an alignment mark. A second mask pattern layer may be formed by effecting development on the first mask layer. The transfer circuit pattern may be formed by removing the X-ray absorber, using the second mask pattern layer as a mask. According to this method, both the first and second mask patterns are written on the first mask layer. Therefore, the steps of manufacturing the X-ray mask can be simplified compared with the case where a mask layer other than the first mask layer is formed for writing the second mask pattern. In the method of manufacturing the X-ray mask according to the above aspect, the step of writing the first mask pattern may include an exposure step using light, and the step of writing the second mask pattern may include a writing step using an electron beam. According to the above manner, the step of writing the first mask pattern employs the exposure method using light which requires a shorter exposing time than the exposure method using an electron beam. Therefore, the time required for manufacturing the X-ray mask can be shorter than that in the case where the electron beam exposure method is used for writing the first and second mask patterns. In the method of manufacturing the X-ray mask according to the above aspect, the step of forming the second mask layer may include a step of forming a second mask layer exposing the opening on the X-ray absorber. According to this method, a resist or the like is not present on the opening functioning as the alignment mark even when an electron beam is emitted to the opening for position detection of the opening. Therefore, a problem which may arise in the case where the resist is present on the opening can be prevented and, more specifically, such a problem can be prevented that characteristics of the resist are deteriorated due to irradiation with the electron beam and thereby the position detection of the opening with the electron beam is impeded. As a result, position detection of the alignment mark can be performed accurately. Thereby, it is possible to write the second mask pattern having a high position accuracy. Consequently, the X-ray mask provided with the transfer circuit pattern having a high accuracy can be manufactured easily. In the method of manufacturing the X-ray mask according to the above aspect, the step of forming the opening may include a first etching step of removing the X-ray absorber, and the step of forming the transfer circuit pattern may include a second etching step of removing the X-ray absorber. The first and second etching steps may be performed under different conditions, respectively. The above method can employ etching conditions suitable to the size of the opening functioning as the alignment mark as well as etching conditions suitable to the sizes of the interconnection pattern and others of the transfer circuit pattern, even if the former and latter sizes are different from each other. Consequently, both the opening and the transfer circuit pattern can be formed with high position accuracies. Therefore, the X-ray mask provided with the transfer circuit pattern having a high size accuracy and a high position accuracy can be produced. The foregoing and other objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings. |
abstract | A sensor including at least one element made out of a radiation detection material, capable of emitting a luminescence radiation and transparent to this radiation, and two radiation filtration screens, one thin and placed opposite one end of the element, the other thick and placed at the periphery of the element. The sensor may be coupled with a flexible optical wave guide designed to collect and convey the luminescence radiation. |
|
description | The present application claims the benefit of U.S. Provisional Patent Application No. 62/624,646, titled “DIRECT HEAT EXCHANGER FOR MOLTEN CHLORIDE FAST REACTOR,” filed Jan. 31, 2018, the entire disclosure of which is incorporated by reference herein. This invention was made with government support under DE-NE0008473 awarded by The Department of Energy. The government has certain rights in the invention. The utilization of molten fuels in a nuclear reactor to produce power provides significant advantages as compared to solid fuels. For instance, molten fuel reactors generally provide higher power densities compared to solid fuel reactors, while at the same time having reduced fuel costs due to the relatively high cost of solid fuel fabrication. Molten fluoride fuel salts suitable for use in nuclear reactors have been developed using uranium tetrafluoride (UF4) mixed with other fluoride salts as well as using fluoride salts of thorium. Molten fluoride salt reactors have been operated at average temperatures between 600° C. and 860° C. Binary, ternary, and quaternary chloride fuel salts of uranium, as well as other fissionable elements, have been described in co-assigned U.S. patent application Ser. No. 14/981,512, titled MOLTEN NUCLEAR FUEL SALTS AND RELATED SYSTEMS AND METHODS, which application is hereby incorporated herein by reference. In addition to chloride fuel salts containing one or more of UCl4, UCl3F, UCl3, UCl2F2, and UClF3, the application further discloses fuel salts with modified amounts of 37Cl, bromide fuel salts such as UBr3 or UBr4, thorium chloride fuel salts, and methods and systems for using the fuel salts in a molten fuel reactor. Average operating temperatures of chloride salt reactors are anticipated between 300° C. and 800° C., but could be even higher, e.g., >1000° C. Direct reactor auxiliary cooling systems (DRACS) are described below and provide decay heat removal to molten chloride fast reactors (MCFR) to limit temperature increases in the reactor before there is damage to any of the components. The DRACS includes a heat exchanger disposed within the reactor and that is dedicated to the removal of decay heat during a reactor shutdown event. This DRACS heat exchanger is referred to as a decay heat heat exchanger (DHHX) to differentiate from primary heat exchangers (PHX) also used in the reactor. In one aspect, the technology relates to a MCFR including: a plurality of reflectors defining a central core, wherein the central core includes a core geometric center; a flow channel fluidically connected to the central core, wherein the flow channel includes an outlet flow channel downstream of the central core and an inlet flow channel upstream from the central core; a PHX disposed outside the central core and between the outlet flow channel and the inlet flow channel; and a DHHX, wherein at least a portion of the DHHX is disposed above the core geometric center, and wherein a fuel salt is configured to circulate at least partially through the outlet flow channel, the DHHX, the PHX, the inlet flow channel, and the central core. In an example, the DHHX is disposed upstream from the PHX. In another example, a pump for circulating the fuel salt is included and the DHHX is disposed upstream from the pump. In yet another example, the pump is disposed upstream from the PHX. In still another example, a flow direction of the fuel salt through the DHHX is different than a flow direction of the fuel salt through the pump. In an example, a flow conditioner is disposed at least partially in the outlet flow channel. In another example, the DHHX includes the flow conditioner. In yet another example, the DHHX includes a shell and a plurality of tubes. In still another example, the plurality of tubes are disposed substantially parallel to the outlet flow channel. In an example, a cooling circuit including the DHHX is included, wherein a coolant fluid is configured to circulate through the cooling circuit and remove heat from the fuel salt. In another example, the cooling circuit is devoid of a circulating pump. In yet another example, a flow of the coolant fluid through the DHHX is substantially orthogonal to a flow of the fuel salt through the DHHX. In still another example, the cooling circuit is a first cooling circuit, and the MCFR further includes a second cooling circuit including the PHX, the first cooling circuit is independent from the second cooling circuit. In another aspect, the technology relates to a MCFR including: a vessel including a plurality of structures disposed therein and defining at least one flow channel for circulating fuel salt within the vessel; a core geometric center defined within the vessel; and a DHHX disposed within the vessel and at least partially above the core geometric center. In an example, the DHHX is coupled in flow communication to at least one cooling circuit that removes heat from the fuel salt. In another example, a PHX is disposed within the vessel, and the DHHX is upstream of the PHX relative the circulation of fuel salt. In another aspect, the technology relates to a DRACS for a MCFR, the DRACS including: a DHHX disposed within a MCFR reactor vessel and at least partially above a core geometric center, wherein the DHHX includes a shell and a plurality of tubes, and wherein the plurality of tubes receive a flow of fuel salt within the MCFR reactor vessel; and a cooling circuit coupled in flow communication with the shell and configured to circulate a coolant fluid therein. In an example, a second heat exchanger is coupled in flow communication with the cooling circuit, and the second heat exchanger is positioned at a height that is greater than a height of the DHHX. In another example, the second heat exchanger receives a flow of air to remove heat from the coolant fluid. In yet another example, a flow conditioner is included. This disclosure describes various configurations and components of a molten fuel fast or thermal nuclear reactor. For the purposes of this application, embodiments of a molten fuel fast reactor that use a chloride fuel will be described. However, it will be understood that any type of fuel salt, now known or later developed, may be used and that the technologies described herein may be equally applicable regardless of the type of fuel used, such as, for example, salts having one or more of U, Pu, Th, or any other actinide. Note that the minimum and maximum operational temperatures of fuel within a reactor may vary depending on the fuel salt used in order to maintain the salt within the liquid phase throughout the reactor. Minimum temperatures may be as low as 300-350° C. and maximum temperatures may be as high as 1400° C. or higher. FIG. 1 illustrates, in a block diagram form, some of the basic components of a molten fuel reactor. In general, a molten fuel reactor 100 includes a reactor core 104 containing a fissionable fuel salt 106 that is liquid at the operating temperature range. Fissionable fuel salts include salts of any nuclide capable of undergoing fission when exposed to low-energy thermal neutrons or high-energy neutrons. Furthermore, for the purposes of this disclosure, fissionable material includes any fissile material, any fertile material or combination of fissile and fertile materials. The fuel salt 106 may or may not completely fill the core 104, and the embodiment shown is illustrated with an optional headspace 102 above the level of the fuel salt 106 in the core 104. The size of the reactor core 104 may be selected based on the characteristics and type of the particular fuel salt 106 being used in order to achieve and maintain the fuel in an ongoing state of criticality, during which the heat generated by the ongoing production of neutrons in the fuel causes the temperature of the molten fuel to rise when it is in the reactor core 104. The performance of the reactor 100 is improved by providing one or more reflectors 108 around the core 104 to reflect neutrons back into the core. The molten fuel salt 106 is circulated between the reactor core 104 and one or more primary heat exchangers 110 located outside of the core 104. The circulation may be performed using one or more pumps 112. In other examples, the circulation may be induced by the natural circulation of the fuel salt 106 during normal operation as described further below. The primary heat exchangers 110 transfer heat from the molten fuel salt 106 to a primary coolant 114 that is circulated through a primary coolant loop 115. In an embodiment the primary coolant may be another salt, such as NaCl—MgCl2, or lead. Other coolants are also possible including Na, NaK, supercritical CO2 and lead bismuth eutectic. In an embodiment, a reflector 108 is between each primary heat exchanger 110 and the reactor core 104 as shown in FIG. 1. For example, in an embodiment a cylindrical reactor core 104, having a diameter of 2 meters (m) and a height of 3 m, is oriented vertically so that the flat ends of the cylinder are on the top and bottom respectively. The entire reactor core 104 is surrounded by reflectors 108 between which are provided channels for the flow of fuel salt 106 into and out of the reactor core 104. Eight primary heat exchangers 110 are distributed azimuthally around the circumference of the reactor core 104 and reflector assembly 108, each provided with pumps to drive circulation of the fuel salt. In alternative embodiments, a different number of primary heat exchangers 110 may be used. For example, embodiments having 2, 3, 4, 5, 6, 8, 12, and 16 primary heat exchangers are contemplated. In the embodiment shown in FIG. 1, in normal (power generating) operation the fuel salt is pumped from the reactor core 104, through the primary heat exchanger 110 and cooled fuel salt is returned back to reactor core 104. Heated primary coolant 114 from the primary heat exchangers 110 is passed to a power generation system 119 for the generation of some form of power, e.g., thermal, electrical or mechanical. The reactor core 104, primary heat exchangers 110, pumps 112, molten fuel circulation piping (including other ancillary components that are not shown such as check valves, shutoff valves, flanges, drain tanks, etc.) and any other components through which the molten fuel circulates or contacts during operation can be referred to as the fuel circuit 116. Likewise, the primary coolant loop 115 includes those components through which primary coolant circulates, including the primary heat exchangers 110, primary coolant circulation piping (including other ancillary components that are not shown such as coolant pumps 113, check valves, shutoff valves, isolation valves, flanges, drain tanks, etc.). Salt-facing elements of the heat exchanger 110 and the primary coolant loop 115 may be clad to protect against corrosion. Other protection options include protective coatings, loose fitting liners or press-fit liners. In an embodiment, cladding on the internal surface of the tubes is molybdenum that is co-extruded with the base heat exchanger tube material. For other fuel salt contacting surfaces (exterior surfaces of the tube sheets and exterior surface of the shell), the cladding material is molybdenum alloy. Nickel and nickel alloys are other possible cladding materials. Niobium, niobium alloys, and molybdenum-rhenium alloys may be used where welding is required. Components in contact with primary cooling salt may be clad with Alloy 200 or any other compatible metals, such as materials meeting the American Society of Mechanical Engineers' pressure vessel code. The tube primary material may be 316 stainless steel or any other compatible metals. For example, in an embodiment, alloy 617 is the shell and tube sheet material. The molten fuel reactor 100 further includes at least one containment vessel 118 that contains the fuel circuit 116 to prevent a release of molten fuel salt 106. The containment vessel 118 is often made of two components: a lower, vessel portion 118v that takes the form of a unitary, open-topped vessel with no penetrations of any kind; and an upper, cap portion 118h referred to as the vessel head that covers the top of the vessel portion 118v. All points of access to the reactor 100 are from the top through the vessel head 118h. One possible situation faced by the reactor 100 is a loss of forced flow event in which, possibly due to a power failure or some other cause, the salt pumps 112 cease to function. In such an event, the reactor 100 must still be cooled to prevent an unacceptable temperature increase even after the protection system shuts down the fission chain reaction because fission products in the fuel salt 106 will continue to produce decay heat. As such, reactors 100 are often provided with a direct reactor auxiliary cooling system (DRACS) 120 specifically to limit this temperature increase before there is damage to any of the components. A DRACS 120 is an auxiliary cooling system, which may or may not be completely independent of the primary coolant loop 115, which is designed to provide auxiliary cooling in certain circumstances, such as to remove decay heat from the fuel salt 106 during a loss of forced flow event or other events. The DRACS 120 may be a single loop system with a heat exchanger 122 disposed within the reactor 100. In some examples, multiple independent DRACS 120 loops may be used in the reactor 100, each corresponding to different parallel fuel salt flow paths. Additionally or alternatively, the DRACS 120 may have any number of multiple loops connected through additional external heat exchangers (not shown) to remove the heat from the reactor 100. In some cases, a DRACS 120 relies on the natural circulation of the fuel salt through the fuel circuit 116, because higher temperature molten salt is less dense than lower temperature salt. For example, in one fuel salt (71 mol % UCl4-17 mol % UCl3-12 mol % NaCl) for a 300° C. temperature rise (e.g., 627° C. to 927° C.), the fuel salt density was calculated to fall by about 18%, from 3680 to 3010 kg/m3. The density differential created by the temperature difference between the higher temperature salt in the core and the lower temperature salt elsewhere in the fuel circuit 116 creates a circulation cell in the fuel circuit. This circulation is referred to as natural circulation and occurs without the use of pumps 112. In other examples, the circulation may be an active flow driven by the pumps 112. FIG. 1 illustrates schematically a DRACS heat exchanger 122 dedicated to the removal of decay heat during a reactor shutdown event. A reactor shutdown event may be a planned reactor shutdown, an unplanned loss of forced flow in the fuel salt circuit, or some other event that requires the uses of the DRACS 120 to remove heat from within the containment vessel 118. The decay heat that is generated in a reactor shutdown event is general a lower amount of heat than the heat generated during normal reactor operation. As such, the DRACS heat exchangers 122 are referred to as Decay Heat Heat Exchangers (DHHXs) to differentiate them from the primary heat exchangers (PHXs) 110. In the embodiment shown, the DHHXs 122 and PHXs 110 are shell and tube exchangers in which multiple tubes (referred to as the tubeset or tube bundle) pass through a shell that are configured to allow passage of molten fuel salt 106. Fuel salt flows through the tubeset and is cooled by the coolant. In other examples, the fuel salt flow may flow through the shell side and the coolant is channeled through the tubeset. In various embodiments depicted herein, one or more DHHXs 122 may be disposed in the containment vessel 118, in various locations as required or desired for a particular application. In FIG. 1, the DHHX 122 is depicted schematically above the core 104 for explanatory purposes. For example, the DHHX 122 is positioned above a geometric center 123 of the core 104. The geometric center 123 is approximately the thermal center of the core, where the most heat is generated during a reactor shutdown event, such that the less dense, higher temperature molten salt is circulated through the DHHX 122 during natural circulation of the fuel salt as described above. It should be appreciated that the thermal center of the natural circulation of the fuel salt is based on a number of flow properties and is time dependent, and as such, may be above or below the geometric center depending on the fuel salt conditions. In FIG. 2, described further below, the DHHX 122 may be disposed outside of the reflectors 108, but still above the geometric center 123, so that radiation absorption is decreased. Other locations are described herein and in U.S. patent application Ser. No. 15/813,901, filed Nov. 15, 2017, entitled “Thermal Management of Molten Fuel Reactors,” the disclosure of which is hereby incorporated by reference herein in its entirety. In the embodiment shown in FIG. 1, a DRACS coolant loop 124 and the PHX coolant loop 115 are provided by independent coolant circuits, but other configurations are contemplated and described herein. The DHHXs 122 and PHXs 110 in each cooling circuit may be different types of heat exchangers or may be similar types of heat exchangers. For example, in addition to shell and tube heat exchangers, plate (sometimes also called plate-and-frame), plate and shell, printed circuit (also known as diffusion bonded compact heat exchangers), plate fin heat exchangers, concentric tube (or pipe) heat exchangers (sometimes also called jacketed pipe or double pipe heat exchangers), and bayonet tube heat exchangers may be suitable as required or desired. Alternatively or additionally, the relative locations of the DHHXs 122 and PHXs 110 to each other may be varied, as described herein. For example, a DHHX 122 may be located next to its associated PHX 110. In yet another embodiment, not all of the salt passing through the PHX 110 may also pass through its associated DHHX 122. The primary coolant and the DRACS coolant may be the same composition or may be different. In an embodiment the primary and/or DRACS coolant may be another salt, such as NaCl—MgCl2, or lead. Other coolants are also possible including Na, NaK, supercritical CO2, lead bismuth eutectic, Galinstan (e.g., eutectic alloy of gallium, indium, and tin), as well as liquid metals, air, etc. During normal, power-generating operation, the DRACS 120 may or may not be cooling the fuel salt 106. In one embodiment, for example, the DHHXs 122 do not provide any significant cooling during normal operation so that vast majority of the heat removed from the fuel salt is removed by the PHXs 110. In this embodiment, DRACS coolant in the DHHX 122 is allowed to heat up to the operating temperature. The heated DRACS coolant may be periodically or continuously circulated, such as through the DHHX 122 or the DRACS coolant loop 124, to prevent fouling. In an alternative embodiment, the DRACS 120 is continuously operated and the heat removed by the DRACS coolant loop 124 may or may not be recovered for power generation or general heating. FIG. 2 illustrates, in block diagram form, another configuration of some of the basic components of a molten fuel reactor. Certain components are depicted above with regard to FIG. 1 and, as such, are not described further. In this example, the molten fuel reactor 100 includes the DHHX 122 that is depicted schematically above the top reflector 108 and above the geometric center 123. The DHHX 122 may be disposed outside of the reflectors 108 so that radiation absorption is decreased. Additionally, a multiple loop DRACS 120 is depicted. In this example, the DRACS coolant loop 124 includes a second heat exchanger 126 disposed at a higher elevation 128 than the DHHX 122. In one example, the elevation difference 128 between the heat exchangers may be approximately 20 meters. In operation, the fuel salt exits the reactor core 104 and may enter the DHHX 122 that, for example, is contained within an upper flow channel. In an aspect, the DHHX 122 may be a single path cross-flow shell and tube heat exchanger, and as such, the fuel salt is channeled through the tube-side of the DHHX 122 and heat is removed on the shell-side of the DHHX 122 via a coolant fluid channeled through the DRACS coolant loop 124. Examples of the DRACS coolant includes, but is not limited to, molten salts, liquid metals (e.g., Galinstan), air, etc. The DRACS coolant loop 124 may be a forced active flow (e.g., pumped) or driven via natural circulation of the coolant through the DHHX 122 (e.g., devoid of any primary circulating pumps). When the loop 124 is driven by natural circulation, the loop 124 may include a pump for startup, shakedown, or testing as required or desired. The DRACS 120 may further include any number of additional coolant loops connected through additional heat exchangers (e.g., the heat exchanger 126) to remove heat through the DHHX 122 from the core 104. In the example depicted in FIG. 2, the DRACS 120 is a passive system and the DRACS coolant loop 124 may remove heat from the DHHX 122 driven by natural circulation of the coolant fluid. This coolant circulating through the DRACS coolant loop 124 may remove heat to another second coolant loop 130 through the second heat exchanger 126 that is positioned at a higher elevation 128 than the DHHX 122. In the example, the second heat exchanger 126 enables heat from the coolant loop 124 to be removed through a natural circulation of air. In other examples, any other coolant fluid may be used as required or desired. Additionally, in some examples, a damper (not shown) may be used to control the air flow during normal reactor 100 operation, so as to reduce undesirable heat loss through the DRACS 120 during normal operation. This damper may be configured to passively fail open during a loss of onsite power or similar transient, where decay heat would need to be passively removed through the DRACS 120 and as described herein. Broadly speaking, this disclosure describes multiple alterations and component configurations that improve the performance of the reactor 100 described with reference to FIGS. 1 and 2. FIGS. 3-16 depict a number of configurations of a molten fuel reactor utilizing a DRACS. In general, the location of the DHHX in each configuration may be described based on a number of characteristics, features, and performance criteria. For example, the location of the DHHX may be described as serial or parallel to the PHX. A serially-located DHHX sees generally the same volume of molten fuel salt passing therethrough as does the PHX. In a parallel-located DHHX, the location of the DHHX relative to the PHX essentially splits a flow of molten salt between those two heat exchangers. Molten fuel salt flow through the DHHX may be described as indirect and passive flow (e.g., receiving a flow of fuel salt due to the natural circulation flow of the fuel salt), or direct and active flow (e.g., receiving a flow of fuel salt directly due to the pump inducing the flow of the fuel salt). In an indirect and passive configuration, the DHHX may be positioned parallel to a pump such that fuel salt flow through the DHHX is due to natural circulation of the fuel salt which is indirect from the pump operations. While in the direct and active configuration, the DHHX may be positioned with respect to the pump such that fuel salt flow is channeled directly through the DHHX via the pump operations. A hybrid configuration is also contemplated, where the DHHX is only partially within a direct path of a flow of fuel salt from the pump operations (e.g., where a certain volume of fuel salt bypasses the DHHX). Certain positions of the DHHX may make desirable a flow conditioner or other feature or structure that conditions flow so as to not adversely affect pump or PHX performance. Additionally, the DHHX may have a dedicated coolant circuit, e.g., separate from the primary coolant loop, or may share the coolant circuit with the PHX. With these general descriptions in mind, a number of configurations are depicted in further detail below. Example Molten Fuel Reactor Configurations FIG. 3 depicts, schematically, an exemplary configuration of a DHHX in a molten fuel reactor 200. A number of components and systems are depicted as either external or internal to a vessel 202. Reactions take place in central core 204 of the reactor 200. The primary molten fuel flow path 206 and direction is depicted by the single line arrows in FIG. 3. Upon exiting the core 204 as hot molten fuel salt, the fuel salt is drawn into an exit or outlet flow channel 208 in communication with the core 204. Fuel salt flow 206 continues to the DHHX 210 that, in this configuration, is disposed within the exit channel 208, such that the fuel salt flows completely therethrough. As such, this DHHX may be referred to as serial (as relates to the PHX and pump) and active flow (as relates to molten salt fuel flow directly induced by the pump). The fuel salt flow 206 is next drawn into a pump 212 that circulates molten fuel salt through the reactor 200. The pump 212 is, in this case, downstream of the DHHX 210 and upstream of a PHX 214. The pump 212 discharges downstream to the PHX 214, where heat is extracted from the hot molten fuel salt, such that it exits the PHX 214 as cold molten fuel salt. Fuel salt flow 206 may continue to an optional displacement volume 216 so that the amount of fuel salt through the flow path can be reduced and/or increased as required or desired. In other examples, the displacement volume 216 may be in fluid communication with a separate displacement tank (not shown) that is configured to store at least a portion of the fuel salt. Additionally or alternatively, a displacement volume 217 (shown in FIG. 4) may be located above the heat exchangers and proximate the exit channels 208. The cold molten fuel salt is then delivered to the core 204, via an entrance or inlet channel 218, where it is reheated and the recirculation process continues. In the example, the PHX 214 is separated from the central core 204 by one or more reflectors (not shown) and between the exit channel 208 and the inlet channel 218. Additionally, the direction of fuel salt flow 206 is substantially different between the DHHX 210 and the pump 212, with the flow through the DHHX 210 being substantially horizontal within the vessel 202 and the flow through the pump 212 being substantially vertical within the vessel 202 as described further below in reference to FIGS. 4 and 5. With regard to cooling flow circuits (that is, flow circuits that are partially within and partially outside of the vessel 202), two interconnected circuits are depicted. A primary coolant loop 220 is connected to the PHX 214 and transfers heat to a primary coolant that is channeled through the PHX 214. Similarly, a DRACS coolant loop 224 transfers decay heat to a DRACS coolant that is channeled through the DHHX 210. In the depicted configuration, both the primary coolant loop 220 and the DRACS coolant loop 224 are served by a single coolant loop 228, where heat is withdrawn for energy production, as described elsewhere herein. In the single coolant loop 228 depicted, coolant 230 (e.g., cold molten salt) is delivered, via one or more pumps 234, in parallel to the inlet of both the PHX 214 and DHHX 210, with heated coolant 232 (e.g., hot molten salt) exhausted from those components. The coolant loop 228 may be a single coolant loop (e.g., only include the DHHX 210 and PHX 214 within the vessel 202) or have multiple coolant loops and include one or more external heat exchangers (not shown) disposed outside of the vessel 202. In other examples, the coolant loop 228 may include other coolant fluids, such as, but not limited to, liquid metals (e.g., Galinstan), air, etc. as required or desired. By using the coolant loop 228, the number of redundant systems and components decreases, thereby lowering costs of the coolant loop. FIG. 4 is a sectional perspective view of the DHHX configuration shown in FIG. 3. Certain components are depicted above with regard to FIG. 3 and, as such, are not described further, additionally, components disposed outside the vessel 202 are not depicted, but the various coolant circuits may be any of those depicted in the above figures, or those depicted and described in the figures below, or any others as required or desired. In this example, the DHHX 210 is disposed within the exit channel 208 and within the direct molten fuel flow path 206 between the core 204 and the pump 212. The exit channel 208 is between the vessel head (not shown) and the top of a reflector 236 which at least partially forms the core 204. As such, fuel salt flow through the exit channel 208 is substantially horizontal with the vessel 202 and the flow through the pump 212 and the PHX 214 is substantially vertical within the vessel 202. In the embodiment, the DHHX 210 cross flows the DRACS coolant perpendicularly to the direction of the fuel salt flow in the exit channel 208. The DHHX 210 extends across the entire exit channel 208 and is at least partially curved to correspond to the cylindrical core 204. FIG. 5 is an enlarged perspective view of the DHHX configuration shown in FIG. 4. With continued reference to FIG. 4, in the example, the DHHX 210 is a shell and tube heat exchanger in which a continuous set of horizontal tubes 238 (referred to as the tubeset or tube bundles) channeling a coolant flow therethrough are disposed within a shell channeling the molten fuel salt flow 206 therethrough. The shell in this example is formed by the exit channel 208. This configuration is sometimes referred to as a shell-side fuel/tube-side coolant configuration in that the fuel salt flow 206 flows through the shell and is cooled by the coolant within the tubeset 238. The coolant of the DHHX 210 is circulated by the DRACS coolant loop 224 and includes an inlet 240 and an outlet (not shown and opposite the inlet). Alternative embodiments are also possible. For example, the DHHXs 210 in a heat exchanger circuit may be different types of heat exchanges. In addition to shell and tube heat exchangers, plate (sometimes also called plate-and-frame), plate and shell, printed circuit (also known as diffusion bonded compact heat exchangers), plate fin heat exchangers, concentric tube (or pipe) heat exchangers (sometimes also called jacketed pipe or double pipe heat exchangers), and bayonet tube heat exchangers may be suitable. Likewise, the location of the coolant inlet 240 and return ducts may be varied. In the example, the tubeset 238 is supported by one or more plates 242. In addition to enabling support of the tubeset 238, the plates 242 also perform as an integrated flow straightening device so as to straighten the flow of the molten fuel salt 206 as it is channeled through the tubeset 238. By placing the DHHX 210 within the exit channel 208, undesirable flow conditions such as turbulence, eddies, swirl flows, non-uniform velocity profiles, and the like may be reduced or eliminated in the fuel salt flow 206. To reduce pump cavitation induced by these undesirable flow conditions and to increase pump efficiencies, the plates 242 are configured to reduce the swirl components in the fluid flow when channeled through the DHHX 210. Flow straightening may be done using any other kind of baffles, contours, vanes, flow conditioners, and/or other equipment as required or desired. For example, the plates 242 may act in concert with the exit channel 208 (formed by one or more reflectors) to define the flow conditioner that straightens the fuel salt flow 206. Alternative embodiments are also possible. For example, the flow straightening device may be a separate flow conditioner component from the DHHX 210 and positioned downstream from the DHHX 210 and upstream of the pump 212. In other examples, the flow straightening device may be upstream of the DHHX 210. In another example, the flow straightening device may be positioned proximate the end of the exit channel 208 where the fuel salt flow 206 turns a corner and as such may be shaped, angled, or otherwise aligned to direct the flow around the corner in order to enhance the efficiencies of the pump 212 even more. FIG. 6 depicts, schematically, another configuration of a DHHX in a molten fuel reactor 200. FIG. 7 is a sectional perspective view of the DHHX configuration shown in FIG. 6. Certain components are depicted above with regard to FIGS. 3-5 and, as such, are not described further. Referring concurrently to FIGS. 6 and 7, the pump 212 is upstream of both the DHHX 210 and the PHX 214, while the DHHX 210 is upstream to only the PHX 214. In this configuration, the DHHX 210 is serially located relative to the PHX 214. That is, the DHHX 210 is disposed a DHHX distance 244 above a bottom portion of the vessel 202 and the DHHX distance 244 is greater than and in line with a PHX distance 246 of the PHX 214 positioned above the bottom portion. Additionally, molten fuel salt flow 206 through the DHHX 210 is an active flow, in that the discharge from the pump 212 directs the flow through the DHHX 210. In this example, the direction of fuel salt flow 206 is direct and substantially similar between the DHHX 210 and the pump 212, with both being substantially vertical within the vessel 202. In the configuration of FIG. 6, the primary coolant loop 220 and the DRACS coolant loop 224 differ from those previously depicted, in that each of the PHX 214 and DHHX 210 are each connected to dedicated and independent flow circuits 248, 250, respectively and each circuit has separate coolant loops with pumps and/or external heat exchangers. The flow circuits 248, 250 are not illustrated in FIG. 7 for clarity. In other examples, the DRACS circuit 250 may not include pumps and is configured to naturally circulate. By using discrete circuits, different coolant fluid with different thermal-fluid properties may be used in each circuit so as to increase heat removal performance and efficiencies. For example, a molten salt with a lower melting temperature may be beneficial for use in the DRACS circuit 250. In other examples, the DRACS circuit 250 may include other coolant fluids, such as, but not limited to, liquid metals (e.g., Galinstan), air, etc. as required or desired. Also, the piping of the discrete circuits 248, 250 may be sized for a single function (e.g., decay heat removal). Additionally, by using discrete circuits, the thermal mass of the DRACS circuit 250 may be reduced so as to facilitate a faster cooling response. FIG. 8 depicts, schematically, another configuration of a DHHX in a molten fuel reactor 200. Certain components are depicted above with regard to FIGS. 3-7 and, as such are not described further. One difference between the molten salt reactors of FIGS. 3-7 and FIG. 8 is the location of the DHHX 210. In this example, the molten fuel flow path 206 splits after passing through the exit channel 208. A portion of the flow 206 is drawn through the circulating pump 212 and delivered to the PHX 214. The DHHX 210 is disposed adjacent the pump 212 and, while molten fuel salt is not circulated directly therethrough, fuel salt flow 206 may be induced through the DHHX 210 through natural circulation of the fuel salt if the pump 212, DHHX 210, and inlets thereto and outlets therefrom are appropriately sized and configured. As such, this DHHX may be referred to as parallel (as relates to the pump) and passive flow (as relates to natural circulation of the molten salt fuel flow). The single coolant loop 228 shares the same configuration with that of FIG. 3. FIG. 9 depicts, schematically, another configuration of a DHHX in a molten fuel reactor 200. Certain components are depicted above and, as such, are not necessarily described further. In this example, the configuration of the DHHX 210 is similar to that depicted in FIG. 8 and the molten fuel flow path 206 splits after passing through the exit channel 208 with a portion of the flow 206 drawn through the circulating pump 212 and a portion of the flow 206 which may be induced through the DHHX 210. Additionally, in the configuration of FIG. 9, the primary coolant loop 220 and the DRACS coolant loop 224 differ from those previously depicted. The primary coolant loop 220 and the DRACS coolant loop 224 are serially combined into a single coolant loop 252 that flows first through the DHHX 210, then through the PHX 214. In this example, the heat extracted by the DRACS coolant loop 224 is recoverable and may be utilized to contribute to the power conversion cycle of the rector during operation. In an alternative embodiment, flow through the single exterior coolant loop 252 may be reversed. In some examples, one or more pumps 254 may be located between the DHHX 210 and the PHX 214 to facilitate coolant flow through the coolant loop 252. The pumps 254 may be positioned within the vessel 202 as illustrated, or positioned outside the vessel 202 as required or desired. FIG. 10 depicts, schematically, another configuration of a DHHX in a molten fuel reactor 200. FIG. 11 is a sectional perspective view of the DHHX configuration shown in FIG. 10. Certain components are depicted above and, as such, are not necessarily described further. Referring concurrently to FIGS. 10 and 11, components disposed outside the vessel 202 are not depicted, but the various coolant circuits 220, 224 may be any of those depicted in the above figures, or other examples. In this example, the DHHX 210 and the PHX 214 in the reactor 200 are arranged in a parallel configuration and disposed adjacent to one another, where flow 206 from the pump 212 is split after the discharge therefrom. That is, the DHHX 210 is disposed a DHHX distance 244 above a bottom portion of the vessel 202 and the DHHX distance 244 is substantially equal to a PHX distance 246 of the PHX 214 positioned above the bottom portion. As such, a portion of the total volume flows directed through the DHHX 210 from the pump 212 (thus, the flow is active), and another portion of the total volume flows through the PHX 214. The placement of the DHHX 210 may be based at least partially on the size and space required for operation of the pump 212 and/or the PHX 214. As such, in this example, the DHHX 210 is adjacent to the PHX 214, while in other examples, (e.g., FIGS. 8 and 9) the DHHX 210 is adjacent to the pump 212 and independent from the PHX 214. However, the coolant circuits may be any of those depicted herein. FIG. 12 depicts, schematically, another configuration of a DHHX in a molten fuel reactor 200. Certain components are described in the above figures and, as such, are not necessarily described further. Components disposed outside the vessel 202 are not depicted, but the various coolant circuits 220, 224 may be any of those depicted in the above figures, or other examples. In this example, the DHHX 210 is disposed within the exit channel 208 and within the molten fuel flow path 206 between the core 204 and the pump 212. However, the DHHX 210 may be disposed within the exit channel 208 such that it does not receive all of the molten fuel salt flow 206 passing through the exit channel 208. In that regard, a bypass 256 is formed such that only a portion of the flow 206 may be drawn through the DHHX 210. The head of the pump 212, configuration of the various flow channels, and configuration of the DHHX 210 may affect how much flow 206 flows through the bypass 256. As the DHHX 210 is only partially within the direct path 206 of the molten fuel salt induced by the pump 212, this configuration may be referred to as a hybrid configuration. In alternative examples, the bypass 256 may initially be blocked by frozen fuel salt during normal operation. Initial decay heat can be absorbed by phase change (e.g., melting) of the frozen fuel salt and long-time decay heat removal can be through fuel salt flow through the bypass 256 and the DHHX 210. FIG. 13 depicts, schematically, another configuration of a DHHX in a molten fuel reactor 200. Certain components are depicted above and, as such, are not necessarily described further. In this example, the configuration of the DHHX 210 is similar to that depicted in FIG. 3 and the DHHX 210 is disposed within the exit channel 208. The pump 212 is downstream of the DHHX and upstream of the PHX 214 relative to the fuel salt flow 206, and as such, the DHHX is serial (as relates to the PHX and pump) and active flow (as relates to molten salt fuel flow directly induced by the pump). Additionally, in this example, the configuration of the primary coolant loop 220 and the DRACS coolant loop 224 are similar to that depicted in FIG. 6 and PHX 214 and the DHHX 210 are each connected to dedicated and independent flow circuits 260, 258, respectively. Each circuit 258, 260 includes separate coolant loops with pumps, although in some examples, the DRACS circuit 258 may not include pumps and is configured to naturally circulate. In other examples, one or more of the circuits 258, 260 may include a plurality of coolant loops and external heat exchangers as required or desired. By using discrete and independent circuits, different coolant fluid with different thermal-fluid properties may be used in each circuit so as to increase heat removal performance and efficiencies, and to provide system redundancies and protect reactor operations. For example, a molten salt with a lower melting temperature may be beneficial for use in the DRACS circuit 258. In other examples, the DRACS circuit 258 may include other coolant fluids, such as, but not limited to, liquid metals, air, etc. as required or desired. Also, the piping of the discrete circuits 258, 260 may be sized for a single function (e.g., decay heat removal). Additionally, by using discrete circuits, the thermal mass of the DRACS circuit 258 may be reduced so as to facilitate a faster cooling response. In one example, the heat removed from the DRACS coolant loop 224 may be channeled, via one or more DRACS circuits 258, to a steam generator (not shown). FIG. 14 is a side elevation view of the DHHX configuration shown in FIG. 13. Certain components are depicted above and, as such are not described further, additionally, components disposed outside the vessel 202 are not depicted, but the various coolant circuits may be any of those described herein, or any others as required or desired. In this example, the DHHX 210 is disposed within the exit channel 208 and within the direct molten fuel flow path 206 between the core 204 and the pump 212. The exit channel 208 is between a vessel head 262 and the top of the reflector 236 that at least partially forms the core 204. As such, fuel salt flow through the exit channel 208 is substantially horizontal in the vessel 202. In the example, the DHHX 210 is a single path cross-flow shell and tube heat exchanger with the shell carrying the coolant flow and the tubes carrying the fuel salt flow. The DHHX 210 may extend across the entire exit channel 208. FIG. 15 is a perspective view of the DHHX 210 shown in FIG. 14. FIG. 16 is a sectional perspective view of the DHHX 210. Referring concurrently to FIGS. 15 and 16, the DHHX 210 is a shell and tube heat exchanger that has a shell 264 sized and shaped to fit within the exit channel 208 (shown in FIG. 14). A plurality of tubes 266 (referred to as the tubeset or tube bundles) horizontally extend through the shell 264 and are substantially parallel to the exit channel 208. In some examples, one or more of the tubes 266 may be angled and/or curved to act as a flow straightening device and straighten the flow of the fuel salt flow as it is channeled through the exit channel as described further above and to reduce pump cavitation. The shell 264 may include an inlet 268 and an outlet 270 such that coolant (e.g., molten salts, liquid metals, air, etc.) can circulate through the DHHX 210 and facilitate heat removal from the fuel salt. The inlet 268 and the outlet 270 may be elongated so as to extend through the vessel head 262 (shown in FIG. 14). FIG. 17 is a side-sectional view of another configuration of a DHHX 302 in a molten fuel reactor 300. In this example the DHHX 302 is a heat exchanger that is positioned in the top reflector and receives a passive flow of the fuel salt from the reactor core. Additional details of the reactor 300 are also depicted. A vessel 304 includes a vessel portion 304a and sealed by a vessel head 304b contains the various components of the reactor 300 within an interior 306 thereof. The vessel portion 304a defines in part a bottom portion of the vessel 304 and the vessel head 304b defines a head portion of the vessel 304. The head portion is disposed a head distance 330 above the bottom portion that corresponds to the length of the sidewalls of the vessel portion 304a and which forms the interior 306. In the sectional view depicted in FIG. 17, a flow channel is depicted as having two outlet channels 308 that extend away from an upper portion of a core 310, along with two inlet channels 312 that are connected to a lower portion of the core 310. Additional outlet and inlet channels are not depicted, but may be circumferentially spaced and radiate from the substantially cylindrical central core 310 out towards outer walls of the vessel portion 304a. In examples, a total of four flow channels (each including an outlet channel 308 and an inlet channel 312) are utilized. In other examples, six, eight, or ten or more channels may be utilized, although odd numbers may also be utilized. A number of reflectors form the various channels 308, 312 and the core 310 of the reactor 300. For example, a plurality of vertical reflectors 314 surrounds the core 310. Although the construction of such reflectors 314 may differ as required or desired for a particular application, the vertical reflectors 314 form an annulus to reflect energy back into the core 310. A lower reflector 316 is disposed below the core 310 and extends outwards towards the walls of the vessel 304 so as to at least partially form (along with the vertical reflectors 314) the inlet channels 312. Although a single lower reflector 316 is depicted, the reflector 316 may be made of more than a single component, arranged as required to form the desired channels 312. An upper reflector 318 is disposed above the reactor core 310 and, in examples, extends outward towards the walls of the vessel portion 304a. The vessel head 304b is disposed above the upper reflector 318. The core 310, defined by the reflectors, is disposed within the interior 306 a central core distance 332 above the bottom portion, and the central core distance 332 is less than the head distance 330. In the example depicted in FIG. 17, the DHHX 302 is incorporated into an upper reflector 318. In examples, a lower surface 320 of the upper reflector 318 may include features that enable that component to be utilized as both the reflector 318 and the DHHX 302. In the depicted example, the lower surface 320 may define a number of openings 322 into which the molten fuel salt may be introduced due to the natural rising of the high temperature salt from the core 310. Thus, when incorporated into the upper reflector 318, the DHHX 302 may be considered to receive a passive flow of fuel salt. Additionally, the openings 322 form additional surface area for heat transfer between the fuel salt and the upper reflector 318. In alternative examples, the openings 322 may initially be blocked and/or filled by frozen fuel salt during normal operation. Initial decay heat can be absorbed by phase change (e.g., melting) of the frozen fuel salt and long-time decay heat removal can be through fuel salt flow through the openings 322 and heat transfer through the DHHX 302. Further components of the reactor 300 are depicted in FIG. 17. A PHX 324 is disposed in the vessel 304, shielded from the core 310 by the reflector 314. The PHX 324 is positioned within the interior 306 a PHX distance 334 above the bottom portion, and the PHX distance 334 is less than the head distance 330. In some examples, the PHX distance 334 is substantially equal to or greater than the central core distance 332. An impeller or pump 326 circulates the molten fuel salt though each flow channel, in the direction indicated by arrows 328. The flow direction 328 defines the outlet channels 308 as being downstream of the core 310 and the inlet channels 312 as being upstream of the core 310. In another example, however, flow may be in the opposite direction (e.g., such that the pump 326 draws the molten fuel salt in an upwards direction through the PHX 324). Relative positions of the various components within the vessel can also have an effect on performance of the DHHX 302. The vessel 304 containing the molten fuel salt includes a lower extent 350 characterized by the lowest location in the vessel in which molten fuel salt is present. This is, in most cases, the lowest point of the inlet channels 312. An upper extent 352 (that is, the highest location of the molten fuel salt) is generally located at the underside of the vessel head 304b. A geometric center plane 354 of the core, generally the location within the core 310 where the most heat is generated, is also depicted. The elevation 356 of the geometric center 354 is also depicted. Due to the flow direction 328 of the molten fuel salt through the core 310 and the position of the PHX 324, the fuel salt has a higher temperature as it is channeled through the outlet channels 308 than when it is channeled through the inlet channels 312. As such, the DHHX 302 is positioned above the elevation 356 of the geometric center 354 so as to increase the buoyant driving force and extract a greater amount of decay heat from the reactor 300. By positioning the DHHX 302 within the higher temperature flow areas of the reactor 300, the efficiency of the DHHX 302 and the reactor 300 overall is increased. Additionally, by positioning the DHHX 302 above the geometric center 354 of the reactor 300, upon a reactor shutdown event (e.g., a planned reactor shutdown or an unplanned loss of forced flow in the fuel salt circuit) decay heat will rise within the reactor 300 and proximate to the DHHX 302 for a more efficient heat removal system. In addition to those described above, further examples are disclosed in the following numbered clauses: 1. A molten chloride fast reactor (MCFR) comprising: a plurality of reflectors defining a central core, wherein the central core includes a core geometric center; a flow channel fluidically connected to the central core, wherein the flow channel includes an outlet flow channel downstream of the central core and an inlet flow channel upstream from the central core; a primary heat exchanger (PHX) disposed outside the central core and between the outlet flow channel and the inlet flow channel; and a decay heat heat exchanger (DHHX), wherein at least a portion of the DHHX is disposed above the core geometric center, and wherein a fuel salt is configured to circulate at least partially through the outlet flow channel, the DHHX, the PHX, the inlet flow channel, and the central core. 2. The MCFR of clause 1 or any clause that depends from clause 1, wherein the DHHX is disposed upstream from the PHX. 3. The MCFR of clause 1 or any clause that depends from clause 1, further comprising a pump for circulating the fuel salt, wherein the DHHX is disposed upstream from the pump. 4. The MCFR of clause 3 or any clause that depends from clause 3, wherein the pump is disposed upstream from the PHX. 5. The MCFR of clause 3 or any clause that depends from clause 3, wherein a flow direction of the fuel salt through the DHHX is different than a flow direction of the fuel salt through the pump. 6. The MCFR of clause 1 or any clause that depends from clause 1, further comprising a flow conditioner disposed at least partially in the outlet flow channel. 7. The MCFR of clause 6, wherein the DHHX includes the flow conditioner. 8. The MCFR of clause 1 or any clause that depends from clause 1, wherein the DHHX includes a shell and a plurality of tubes. 9. The MCFR of clause 8, wherein the plurality of tubes are disposed substantially parallel to the outlet flow channel. 10. The MCFR of clause 1 or any clause that depends from clause 1, further comprising a cooling circuit including the DHHX, wherein a coolant fluid is configured to circulate through the cooling circuit and remove heat from the fuel salt. 11. The MCFR of clause 10 or any clause that depends from clause 10, wherein the cooling circuit is devoid of a circulating pump. 12. The MCFR of clause 10 or any clause that depends from clause 10, wherein a flow of the coolant fluid through the DHHX is substantially orthogonal to a flow of the fuel salt through the DHHX. 13. The MCFR of clause 10 or any clause that depends from clause 10, wherein the cooling circuit is a first cooling circuit, and further comprising a second cooling circuit including the PHX, wherein the first cooling circuit is independent from the second cooling circuit. 14. A molten chloride fast reactor (MCFR) comprising: a vessel including a plurality of structures disposed therein and defining at least one flow channel for circulating fuel salt within the vessel; a core geometric center defined within the vessel; and a decay heat heat exchanger (DHHX) disposed within the vessel and at least partially above the core geometric center. 15. The MCFR of clause 14 or any clause that depends from clause 10, wherein the DHHX is coupled in flow communication to at least one cooling circuit that removes heat from the fuel salt. 16. The MCFR of clause 14 or any clause that depends from clause 10, further comprising a primary heat exchanger (PHX) disposed within the vessel, wherein the DHHX is upstream of the PHX relative the circulation of fuel salt. 17. A direct reactor auxiliary cooling system (DRACS) for a molten chloride fast reactor (MCFR), the DRACS comprising: a decay heat heat exchanger (DHHX) disposed within a MCFR reactor vessel and at least partially above a core geometric center, wherein the DHHX includes a shell and a plurality of tubes, and wherein the plurality of tubes receive a flow of fuel salt within the MCFR reactor vessel; and a cooling circuit coupled in flow communication with the shell and configured to circulate a coolant fluid therein. 18. The DRACS of clause 17 or any clause that depends from clause 17, further comprising a second heat exchanger coupled in flow communication with the cooling circuit, wherein the second heat exchanger is positioned at a height that is greater than a height of the DHHX. 19. The DRACS of clause 18, wherein the second heat exchanger receives a flow of air to remove heat from the coolant fluid. 20. The DRACS of clause 17 or any clause that depends from clause 17, further comprising a flow conditioner. 21. A molten chloride fast reactor (MCFR) comprising: a plurality of reflectors defining a central core, wherein the central core includes a core geometric center; a flow channel fluidically connected to the central core, wherein the flow channel includes an outlet flow channel downstream of the central core and an inlet flow channel upstream from the central core; a primary heat exchanger (PHX) disposed outside the central core and between the outlet flow channel and the inlet flow channel; a pump for circulating a fuel salt through the outlet flow channel, the primary heat exchanger, the inlet flow channel, and the central core; a decay heat heat exchanger (DHHX), wherein at least a portion of the DHHX is disposed above the core geometric center; and a flow conditioner disposed in the outlet flow channel and upstream of the pump. 22. The MCFR of clause 21 or any clause that depends from clause 21, wherein the DHHX is disposed upstream from the PHX. 23. The MCFR of clause 21 or any clause that depends from clause 21, wherein the DHHX is disposed upstream from the pump. 24. The MCFR of clause 23, wherein the pump is disposed upstream from the PHX. 25. The MCFR of clause 21 or any clause that depends from clause 21, wherein the DHHX is the flow conditioner. 26. The MCFR of clause 21 or any clause that depends from clause 21, wherein the plurality of reflectors at least partially define the flow conditioner. 27. The MCFR of clause 21 or any clause that depends from clause 21, wherein the DHHX is disposed in the outlet flow channel, and wherein the outlet flow channel at least partially includes a DHHX bypass. 28. The MCFR of clause 21 or any clause that depends from clause 21, wherein the MCFR includes a reflector of the plurality of reflectors disposed proximate the outlet flow channel. 29. The MCFR of clause 28, wherein the DHHX is disposed within the reflector. 30. The MCFR of clause 21 or any clause that depends from clause 21, wherein the DHHX is disposed downstream of the pump. 31. The MCFR of clause 30 or any clause that depends from clause 30, wherein the DHHX is disposed upstream of the PHX. 32. The MCFR of clause 30 or any clause that depends from clause 30, wherein the DHHX is disposed adjacent the PHX, such that a volume of the fuel salt passes through only one of the DHHX and the PHX. 33. The MCFR of clause 31, wherein the DHHX is disposed so as to define a DHHX bypass. 34. The MCFR of clause 21 or any clause that depends from clause 21, wherein the PHX is disposed opposite at least one reflector of the plurality of reflectors from the central core. 35. The MCFR of clause 21 or any clause that depends from clause 21, further comprising a vessel and a plurality of structures disposed therein and defining the flow channel. 36. The MCFR of clause 35 or any clause that depends from clause 35, wherein the plurality of reflectors, the pump, the PHX, and the DHHX are disposed in the vessel. 37. The MCFR of clause 35 or any clause that depends from clause 35, wherein the vessel includes a bottom portion and a head disposed a head distance above the bottom portion. 38. The MCFR of clause 37, wherein the central core is disposed a central core distance above the bottom portion, wherein the central core distance is less than the head distance. 39. The MCFR of clause 38 or any clause that depends from clause 38, wherein the PHX is disposed a PHX distance above the bottom portion. 40. The MCFR of clause 39 or any clause that depends from clause 39, wherein the PHX distance is substantially equal to the central core distance. 41. The MCFR of clause 39 or any clause that depends from clause 39, wherein the PHX distance is greater than the central core distance. 42. The MCFR of clause 38 or any clause that depends from clause 38, wherein the DHHX is disposed a DHHX distance above the bottom portion. 43. The MCFR of clause 42 or any clause that depends from clause 42, wherein the DHHX distance is greater than the PHX distance. 44. The MCFR of clause 42 or any clause that depends from clause 42, wherein the DHHX distance is substantially equal to the PHX distance. 45. The MCFR of clause 21 or any clause that depends from clause 21, wherein a flow direction of the fuel salt through the DHHX is substantially similar to a flow direction of the fuel salt through the pump. 46. The MCFR of clause 45 or any clause that depends from clause 45, wherein the flow direction of the fuel salt through the DHHX is substantially vertical. 47. The MCFR of clause 45 or any clause that depends from clause 45, wherein the flow direction of the fuel salt through the DHHX is primarily horizontal. 48. The MCFR of clause 21 or any clause that depends from clause 21, wherein a flow direction of the fuel salt through the DHHX is different than a flow direction of the fuel salt through the pump. It is to be understood that this disclosure is not limited to the particular structures, process steps, or materials disclosed herein, but is extended to equivalents thereof as would be recognized by those ordinarily skilled in the relevant arts. It should also be understood that terminology employed herein is used for the purpose of describing particular examples only and is not intended to be limiting. It must be noted that, as used in this specification, the singular forms “a,” “an,” and “the” include plural referents unless the context clearly dictates otherwise. It will be clear that the systems and methods described herein are well adapted to attain the ends and advantages mentioned as well as those inherent therein. Those skilled in the art will recognize that the methods and systems within this specification may be implemented in many manners and as such is not to be limited by the foregoing exemplified examples and examples. In this regard, any number of the features of the different examples described herein may be combined into one single example and alternate examples having fewer than or more than all of the features herein described are possible. While various examples have been described for purposes of this disclosure, various changes and modifications may be made which are well within the scope contemplated by the present disclosure. Numerous other changes may be made which will readily suggest themselves to those skilled in the art and which are encompassed in the spirit of the disclosure. |
|
description | This application is a continuation-in-part of U.S. patent application Ser. No. 15/467,840 filed Mar. 23, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/402,739 filed Jan. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/348,625 filed Nov. 10, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/152,479 filed May 11, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 13/087,096 filed Apr. 14, 2011, which claims benefit of U.S. provisional patent application No. 61/324,776 filed Apr. 16, 2010, all of which are incorporated herein in their entirety by this reference thereto. The invention relates generally to imaging and treating a tumor. Cancer Treatment Proton therapy works by aiming energetic ionizing particles, such as protons accelerated with a particle accelerator, onto a target tumor. These particles damage the DNA of cells, ultimately causing their death. Cancerous cells, because of their high rate of division and their reduced ability to repair damaged DNA, are particularly vulnerable to attack on their DNA. Patents related to the current invention are summarized here. Proton Beam Therapy System F. Cole, et. al. of Loma Linda University Medical Center “Multi-Station Proton Beam Therapy System”, U.S. Pat. No. 4,870,287 (Sep. 26, 1989) describe a proton beam therapy system for selectively generating and transporting proton beams from a single proton source and accelerator to a selected treatment room of a plurality of patient treatment rooms. Imaging Lomax, A., “Method for Evaluating Radiation Model Data in Particle Beam Radiation Applications”, U.S. Pat. No. 8,461,559 B2 (Jun. 11, 2013) describes comparing a radiation target to a volume with a single pencil beam shot to the targeted volume. P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,274,018 (Sep. 25, 2007) and P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,045,781 (May 16, 2006) describe a charged particle beam apparatus configured for serial and/or parallel imaging of an object. K. Hiramoto, et. al. “Ion Beam Therapy System and its Couch Positioning System”, U.S. Pat. No. 7,193,227 (Mar. 20, 2007) describe an ion beam therapy system having an X-ray imaging system moving in conjunction with a rotating gantry. C. Maurer, et. al. “Apparatus and Method for Registration of Images to Physical Space Using a Weighted Combination of Points and Surfaces”, U.S. Pat. No. 6,560,354 (May 6, 2003) described a process of X-ray computed tomography registered to physical measurements taken on the patient's body, where different body parts are given different weights. Weights are used in an iterative registration process to determine a rigid body transformation process, where the transformation function is used to assist surgical or stereotactic procedures. M. Blair, et. al. “Proton Beam Digital Imaging System”, U.S. Pat. No. 5,825,845 (Oct. 20, 1998) describe a proton beam digital imaging system having an X-ray source that is movable into a treatment beam line that can produce an X-ray beam through a region of the body. By comparison of the relative positions of the center of the beam in the patient orientation image and the isocentre in the master prescription image with respect to selected monuments, the amount and direction of movement of the patient to make the best beam center correspond to the target isocentre is determined. S. Nishihara, et. al. “Therapeutic Apparatus”, U.S. Pat. No. 5,039,867 (Aug. 13, 1991) describe a method and apparatus for positioning a therapeutic beam in which a first distance is determined on the basis of a first image, a second distance is determined on the basis of a second image, and the patient is moved to a therapy beam irradiation position on the basis of the first and second distances. There exists in the art of charged particle cancer therapy a need for accurate, precise, and rapid control of a treatment beam for imaging of a patient and/or treatment of a tumor using charged particles in a complex room setting. The invention comprises an integrated imaging-cancer treatment and method of use thereof. Elements and steps in the figures are illustrated for simplicity and clarity and have not necessarily been rendered according to any particular sequence. For example, steps that are performed concurrently or in different order are illustrated in the figures to help improve understanding of embodiments of the present invention. The invention comprises a method and apparatus for directing protons to a tumor, comprising the steps of: (1) holding a patient with a patient support; (2) providing an imaging system comprising: a rotatable unit at least partially surrounding an axial perimeter of the patient support, a translation guide rail, an imaging source attached to the rotatable unit, and an imaging detector attached to the rotatable unit; (3) translating and rotating the imaging source and the imaging detector relative to the patient support using the translation guide rail and the rotatable unit; and (4) providing an attachment section connected: on a first end to a robotic arm positioning system and on a second end to the patient support and the imaging system, the robotic arm positioning system repositioning, relative to a nozzle system linked to the synchrotron, the attachment system supporting the patient support system and the imaging system. In combination, the above described embodiment is used with an X-ray imaging and charged particle beam treatment or imaging system comprising the steps of: rotating an X-ray imaging system, configured to deliver the X-rays, around both a first rotation axis and the patient; imaging the patient using X-rays from the X-ray imaging system; and passing the positively charged particles through an exit port of a nozzle system, the nozzle system connected to a synchrotron via a first beam transport line, the positively charged particles passing into the patient from the exit port along a z-axis and at least one of: (1) treating the tumor with the positively charged particles and (2) imaging the patient with residual charged particles comprising the positively charged particles after transmitting through the patient. In one case, a first cone beam X-ray source and a second cone beam X-ray source are positioned on a first side of the patient and at least one two-dimensional X-ray detector is positioned on an opposite side of the patient from the first cone beam X-ray source. In combination, the above described embodiment is used with a multiplexed proton tomography imaging apparatus and method of use thereof. For example, a method for imaging a tumor of a patient comprises the steps of: (1) simultaneously detecting spatially resolved positively charged particle positions passing through each of a set of cross-section planes, where the cross-section planes are both prior to and posterior to the patient along a path of the positively charged particles; (2) determining a prior vector for each of the individual positively charged particles entering a patient using the detected positions; (3) determining a posterior vector for each of the individual positively charged particles exiting the patient using the detected positions; (4) generating a path, a best path, and/or a probable path of each positively charged particle through the patient; and (5) generating an image of the patient using the n probable proton paths. In one case, an imaging system: (1) delivers a set of n protons from a synchrotron: through a beam transport system exit nozzle, through a proton radial cross-section beam expander, through a first prior imaging sheet, through a second prior imaging sheet, through a patient position, through at least one posterior imaging sheet, and into a scintillation material of a beam energy scintillation detector system, where the first prior imaging sheet is positioned between the proton radial cross-section beam expander and the patient position, where the second prior imaging sheet is positioned between the proton radial cross-section beam expander and the patient position; (2) simultaneously detects spatially resolved both prior and posterior position photon emissions, resultant from passage of multiple protons; (4) determines both a prior vector and a posterior vector for each proton; and (5) determines a path for each proton through the patient and uses the determined paths, optionally and preferably with residual energy determinations, to generate an image of the patient. In combination, a method of double exposure imaging of a tumor of a patient is performed using hardware, using a detector responsive to both X-rays and positively charged particles, simultaneously, and/or in either order. The preferably near-simultaneous double exposure yields enhanced resolution due to the imaging rate versus patient movement, no requirement of a software overlay step, and associated errors, of the X-ray based image and the positively charged particle based image, and enhancement of an X-ray image, the enhancement resultant from a differing physical interaction of the positively charged particles with the patient compared to interactions of X-rays and the patient. Further, resolution enhancements utilize individual particle tracking, as measured using detection screens, to determine a probable intra-patient path. Optionally, residual energy positively charged particles, having passed through a primarily X-ray detector, are used to generate a second/dual image at a secondary detector, such as a detector based on scintillation resultant from proton absorbance. In combination, a method for imaging a tumor of a patient using X-rays and positively charged particles comprises the steps of: (1) generating an X-ray image using the X-rays directed from an X-ray source, through the patient, and to an X-ray detector, (2) generating a positively charged particle image: (a) using the positively charged particles directed from an exit nozzle, through the patient, through the X-ray detector, and to a scintillator, the scintillator emitting photons when struck by the positively charged particles and (b) generating the positively charged particle image of the tumor using a photon detector configured to detect the emitted photons, where the X-ray detector maintains a static position between said the nozzle and the scintillator during the step of generating a positively charged particle image. Individual images are optionally and preferably collected as a function of relative rotation of the patient and the imaging elements to form a three-dimensional image, such as via tomography. In combination, a method and apparatus is described for determining a position of a tumor in a patient for treatment of the tumor using positively charged particles in a treatment room. More particularly, the method and apparatus use a set of fiducial markers and fiducial detectors to mark/determine relative position of static and/or moveable objects in a treatment room using photons passing from the markers to the detectors. Further, position and orientation of at least one of the objects is calibrated to a reference line, such as a zero-offset beam treatment line passing through an exit nozzle, which yields a relative position of each fiducially marked object in the treatment room. Treatment calculations are subsequently determined using the reference line and/or points thereon. The inventor notes that the treatment calculations are optionally and preferably performed without use of an isocenter point, such as a central point about which a treatment room gantry rotates, which eliminates mechanical errors associated with the isocenter point being an isocenter volume in practice. For example, a set of fiducial marker detectors detect photons emitted from and/or reflected off of a set of fiducial markers positioned on one or more objects in a treatment room and resultant determined distances and/or calculated angles are used to determine relative positions of multiple objects or elements in the treatment room. Generally, in an iterative process, at a first time objects, such as a treatment beamline output nozzle, a specific portion of a patient relative to a tumor, a scintillation detection material, an X-ray system element, and/or a detection element, are mapped and relative positions and/or angles therebetween are determined. At a second time, the position of the mapped objects is used in: (1) imaging, such as X-ray, positron emission tomography, and/or proton beam imaging and/or (2) beam targeting and treatment, such as positively charged particle based cancer treatment. As relative positions of objects in the treatment room are dynamically determined using the fiducial marking system, engineering and/or mathematical constraints of a treatment beamline isocenter is removed. In combination, a method and apparatus for imaging a tumor of a patient using positively charged particles, comprising the steps of: (1) sequentially delivering from an output nozzle, connected to a first beam transport line, to the patient: a first set of the positively charged particles comprising a first mean energy and a second set of the positively charged particles comprising a second mean energy, the second mean energy at least two mega electron Volts different from the first mean energy; (2) after transmission through the patient, sequentially detecting: a first residual energy of the first set of the positively charged particles and a second residual energy of the second set of the positively charged particles; and (3) determining a water equivalent thickness of a probed path of the patient using the first residual energy and the second residual energy. The detection step optionally uses a scintillation material and/or an X-ray detector material to detect the residual energy positively charged particles. Use of a half-maximum of a Gaussian fit to output of the detection material as a function of energy, preferably using three of more detected residual energies, yields a water equivalent thickness of the sampled beam path. In combination, an apparatus and method of use thereof are used for directing positively charged particle beams into a patient from several directions. In one example, a charged particle delivery system, comprising: a controller, an accelerator, a beam path switching magnet, a primary beam line from the accelerator to the path switching magnet, and a plurality of physically separated beam transport lines from the beam path switching magnet to a single patient treatment position is used, where the controller and beam switching magnet are used to direct sets of the positively charged particles through alternatingly selected beam transport lines to the patient, tumor, and/or an imaging detector. Optionally, during a single session and at separate times, a single repositionable treatment nozzle is repositioned to interface with each beam transport line, such as to a terminus of each beam transport line, which allows the charged particle delivery system to use one and/or fewer beam output nozzles that are moved with nozzle gantries. A single nozzle with first and second axis scanning capability along with beam transport lines leading to various sides of a patient allow the charged particle delivery system to operate without movement and/or rotation of a beam transport gantry and an associated beam transport gantry. Beam transport line gantries are optional as one or more of the beam transport lines are preferably statically positioned. In combination, a beam adjustment system is used to perform energy adjustments on circulating charged particles in a synchrotron previously accelerated to a starting energy with a traditional accelerator of the synchrotron or related devices, such as a cyclotron. The beam adjustment system uses a radio-frequency modulated potential difference applied along a longitudinal path of the circulating charged particles to accelerate or decelerate the circulating charged particles. Optionally, the beam adjustment system phase shifts the applied radio-frequency field to accelerate or decelerate the circulating charged particle while spatially longitudinally tightening a grouped bunch of the circulating charged particles. The beam adjustment system facilitates treating multiple layers or depths of the tumor between the slow step of reloading the synchrotron. Optionally, the potential differences across a gap described herein are used to accelerate or decelerate the charged particle after extraction from the synchrotron without use of the radio-frequency modulation. In combination, an imaging system, such as a positron emission tracking system, optionally used to control the beam adjustment system, is used to: dynamically determine a treatment beam position, track a history of treatment beam positions, guide the treatment beam, and/or image a tumor before, during, and/or after treatment with the charged particle beam. In combination, an imaging system translating on a linear path past a patient operates alternatingly with and/or during a gantry rotating a treatment beam around the patient. More particularly, a method for both imaging a tumor and treating the tumor of a patient using positively charged particles includes the steps of: (1) rotating a gantry support and/or gantry, connected to at least a portion of a beam transport system configured to pass a charged particle treatment beam, circumferentially about the patient and a gantry rotation axis; (2) translating a translatable imaging system past the patient on a path parallel to an axis perpendicular to the gantry rotation axis; (3) imaging the tumor using the translatable imaging system; and (4) treating the tumor using the treatment beam. In combination, a method for imaging and treating a tumor of a patient with positively charged particles, comprises the steps of: (1) using a rotatable gantry support to support and rotate a section of a positively charged particle beam transport line about a rotation axis and a tumor of a patient; (2) using a rotatable and optionally extendable secondary support to support, circumferentially position, and laterally position a primary and optional secondary imaging system about the tumor; (3) image the tumor using the primary and optional secondary imaging system as a function of rotation and/or translation of the secondary support; and (4) treat, optionally concurrently, the tumor using the positively charged particles as a function of circumferential position of the section of the charged particle beam about the tumor. In combination, a method and apparatus for imaging a tumor of a patient using positively charged particles and X-rays, comprises the steps of: (1) transporting the positively charged particles from an accelerator to a patient position using a beam transport line, where the beam transport line comprises a positively charged particle beam path and an X-ray beam path; (2) detecting scintillation induced by the positively charged particles using a scintillation detector system; (3) detecting X-rays using an X-ray detector system; (4) positioning a mounting rail through linear extension/retraction to: at a first time and at a first extension position of the mounting rail, position the scintillation detector system opposite the patient position from the exit nozzle and at a second time and at a second extension position of the mounting rail, position the X-ray detector system opposite the patient position from the exit nozzle; (5) generating an image of the tumor using output of the scintillation detector system and the X-ray detector system; and (6) alternating between the step of detecting scintillation and treating the tumor via irradiation of the tumor using the positively charged particles. In combination, a method or apparatus for tomographically imaging a sample, such as a tumor of a patient, using positively charged particles is described. Position, energy, and/or vectors of the positively charged particles are determined using a plurality of scintillators, such as layers of chemically distinct scintillators where each chemically distinct scintillator emits photons of differing wavelengths upon energy transfer from the positively charged particles. Knowledge of position of a given scintillator type and a color of the emitted photon from the scintillator type allows a determination of residual energy of the charged particle energy in a scintillator detector. Optionally, a two-dimensional detector array additionally yields x/y-plane information, coupled with the z-axis energy information, about state of the positively charged particles. State of the positively charged particles as a function of relative sample/particle beam rotation is used in tomographic reconstruction of an image of the sample or the tumor. In another example, a method or apparatus for tomographic imaging of a tumor of a patient using positively charged particles respectively positions a plurality of two-dimensional detector arrays on multiple surfaces of a scintillation material or scintillator. For instance, a first two-dimensional detector array is optically coupled to a first side or surface of a scintillation material, a second two-dimensional detector array is optically coupled to a second side of the scintillation material, and a third two-dimensional detector array is optically coupled to a third side of the scintillation material. Secondary photons emitted from the scintillation material, resultant from energy transfer from the positively charged particles, are detected by the plurality of two-dimensional detector arrays, where each detector array images the scintillation material. Combining signals from the plurality of two-dimensional detector arrays, the path, position, energy, and/or state of the positively charged particle beam as a function of time and/or rotation of the patient relative to the positively charged particle beam is determined and used in tomographic reconstruction of an image of the tumor in the patient or a sample. Particularly, a probabilistic pathway of the positively charged particles through the sample, which is altered by sample constituents, is constrained, which yields a higher resolution, a more accurate and/or a more precise image. In another example, a scintillation material is longitudinally packaged in a circumferentially surrounding sheath, where the sheath has a lower index of refraction than the scintillation material. The scintillation material yields emitted secondary photons upon passage of a charged particle beam, such as a positively charged residual particle beam having transmitted through a sample. The internally generated secondary photons within the sheath are guided to a detector element by the difference in index of refraction between the sheath and the scintillation material, similar to a light pipe or fiber optic. The coated scintillation material or fiber is referred to herein as a scintillation optic. Multiple scintillation optics are assembled to form a two-dimensional scintillation array. The scintillation array is optionally and preferably coupled to a detector or two-dimensional detector array, such as via a coupling optic, an array of focusing optics, and/or a color filter array. In combination, an ion source is coupled to the apparatus. The ion source extraction system facilitates on demand extraction of charged particles at relatively low voltage levels and from a stable ion source. For example, a triode extraction system allows extraction of charged particles, such as protons, from a maintained temperature plasma source, which reduces emittance of the extracted particles and allows use of lower, more maintainable downstream potentials to control an ion beam path of the extracted ions. The reduced emittance facilitates ion beam precision in applications, such as in imaging, tumor imaging, tomographic imaging, and/or cancer treatment. In combination, a state of a charged particle beam is monitored and/or checked, such as against a previously established radiation plan, in a position just prior to the beam entering the patient. In one example, the charged particle beam state is measured after a final manipulation of intensity, energy, shape, and/or position, such as via use of an insert, a range filter, a collimator, an aperture, and/or a compensator. In one case, one or more beam crossing elements, sheets, coatings, or layers, configured to emit photons upon passage therethrough by the charged particle beam, are positioned between the final manipulation apparatus, such as the insert, and prior to entry into the patient. In combination, a patient specific tray insert is inserted into a tray frame to form a beam control tray assembly, the beam control tray assembly is inserted into a slot of a tray receiver assembly, and the tray assembly is positioned relative to a gantry nozzle. Optionally, multiple tray inserts, each used to control a beam state parameter, are inserted into slots of the tray receiver assembly. The beam control tray assembling includes an identifier, such as an electromechanical identifier, of the particular insert type, which is communicated to a main controller, such as via the tray receiver assembly. Optionally and preferably, a hand control pendant is used in loading and/or positioning the tray receiver assembly. In combination, a gantry positions both: (1) a section of a beam transport system, such as a terminal section, used to transport and direct positively charged particles to a tumor and (2) at least one imaging system. In one case, the imaging system is orientated on a same axis as the positively charged particle, such as at a different time through rotation of the gantry. In another case, the imaging system uses at least two crossing beamlines, each beamline coupled to a respective detector, to yield multiple views of the patient. In another case, one or more imaging subsystem yields a two-dimensional image of the patient, such as for position confirmation and/or as part of a set of images used to develop a three-dimensional image of the patient. In combination, multiple linked control stations are used to control position of elements of a beam transport system, nozzle, and/or patient specific beam shaping element relative to a dynamically controlled patient position and/or an imaging surface, element, or system. In combination, a tomography system is optionally used in combination with a charged particle cancer therapy system. The tomography system uses tomography or tomographic imaging, which refers to imaging by sections or sectioning through the use of a penetrating wave, such as a positively charge particle from an injector and/or accelerator. Optionally and preferably, a common injector, accelerator, and beam transport system is used for both charged particle based tomographic imaging and charged particle cancer therapy. In one case, an output nozzle of the beam transport system is positioned with a gantry system while the gantry system and/or a patient support maintains a scintillation plate of the tomography system on the opposite side of the patient from the output nozzle. In another example, a charged particle state determination system, of a cancer therapy system or tomographic imaging system, uses one or more coated layers in conjunction with a scintillation material, scintillation detector and/or a tomographic imaging system at time of tumor and surrounding tissue sample mapping and/or at time of tumor treatment, such as to determine an input vector of the charged particle beam into a patient and/or an output vector of the charged particle beam from the patient. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system. The cancer therapy system uses the same injector, accelerator, and guided delivery system in delivering charged particles to the cancerous tumor. For example, the tomography apparatus and cancer therapy system use a common raster beam method and apparatus for treatment of solid cancers. More particularly, the invention comprises a multi-axis and/or multi-field raster beam charged particle accelerator used in: (1) tomography and (2) cancer therapy. Optionally, the system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered radiation beam energy, delivered radiation beam intensity, beam velocity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue. In combination, a treatment delivery control system (TDCS) or main controller is used to control multiple aspects of the cancer therapy system, including one or more of: an imaging system, such as a CT or PET; a positioner, such as a couch or patient interface module; an injector or injection system; a radio-frequency quadrupole system; a ring accelerator or synchrotron; an extraction system; an irradiation plan; and a display system. The TDCS is preferably a control system for automated cancer therapy once the patient is positioned. The TDCS integrates output of one or more of the below described cancer therapy system elements with inputs of one or more of the below described cancer therapy system elements. More generally, the TDCS controls or manages input and/or output of imaging, an irradiation plan, and charged particle delivery. In combination, one or more trays are inserted into the positively charged particle beam path, such as at or near the exit port of a gantry nozzle in close proximity to the patient. Each tray holds an insert, such as a patient specific insert for controlling the energy, focus depth, and/or shape of the charged particle beam. Examples of inserts include a range shifter, a compensator, an aperture, a ridge filter, and a blank. Optionally and preferably, each tray communicates a held and positioned insert to a main controller of the charged particle cancer therapy system. The trays optionally hold one or more of the imaging sheets configured to emit light upon transmission of the charged particle beam through a corresponding localized position of the one or more imaging sheets. For clarity of presentation and without loss of generality, throughout this document, treatment systems and imaging systems are described relative to a tumor of a patient. However, more generally any sample is imaged with any of the imaging systems described herein and/or any element of the sample is treated with the positively charged particle beam(s) described herein. Charged Particle Beam Therapy Throughout this document, a charged particle beam therapy system, such as a proton beam, hydrogen ion beam, or carbon ion beam, is described. Herein, the charged particle beam therapy system is described using a proton beam. However, the aspects taught and described in terms of a proton beam are not intended to be limiting to that of a proton beam and are illustrative of a charged particle beam system, a positively charged beam system, and/or a multiply charged particle beam system, such as C4+ or C6+. Any of the techniques described herein are equally applicable to any charged particle beam system. Referring now to FIG. 1A, a charged particle beam system 100 is illustrated. The charged particle beam preferably comprises a number of subsystems including any of: a main controller 110; an injection system 120; a synchrotron 130 that typically includes: (1) an accelerator system 131 and (2) an internal or connected extraction system 134; a beam transport system 135; a scanning/targeting/delivery system 140; a nozzle system 146; a patient interface module 150; a display system 160; and/or an imaging system 170. An exemplary method of use of the charged particle beam system 100 is provided. The main controller 110 controls one or more of the subsystems to accurately and precisely deliver protons to a tumor of a patient. For example, the main controller 110 obtains an image, such as a portion of a body and/or of a tumor, from the imaging system 170. The main controller 110 also obtains position and/or timing information from the patient interface module 150. The main controller 110 optionally controls the injection system 120 to inject a proton into a synchrotron 130. The synchrotron typically contains at least an accelerator system 131 and an extraction system 134. The main controller 110 preferably controls the proton beam within the accelerator system, such as by controlling speed, trajectory, and timing of the proton beam. The main controller then controls extraction of a proton beam from the accelerator through the extraction system 134. For example, the controller controls timing, energy, and/or intensity of the extracted beam. The controller 110 also preferably controls targeting of the proton beam through the scanning/targeting/delivery system 140 to the patient interface module 150. One or more components of the patient interface module 150, such as translational and rotational position of the patient, are preferably controlled by the main controller 110. Further, display elements of the display system 160 are preferably controlled via the main controller 110. Displays, such as display screens, are typically provided to one or more operators and/or to one or more patients. In one embodiment, the main controller 110 times the delivery of the proton beam from all systems, such that protons are delivered in an optimal therapeutic manner to the tumor of the patient. Herein, the main controller 110 refers to a single system controlling the charged particle beam system 100, to a single controller controlling a plurality of subsystems controlling the charged particle beam system 100, or to a plurality of individual controllers controlling one or more sub-systems of the charged particle beam system 100. Still referring to FIG. 43, a first input to the semi-automated radiation treatment plan development system 4300, used to generate the radiation treatment plan 4310, is a requirement of dose distribution 4320. Herein, dose distribution comprises one or more parameters, such as a prescribed dosage 4321 to be delivered; an evenness or uniformity of radiation dosage distribution 4322; a goal of reduced overall dosage 4323 delivered to the patient 730; a specification related to minimization or reduction of dosage delivered to critical voxels 4324 of the patient 730, such as to a portion of an eye, brain, nervous system, and/or heart of the patient 730; and/or an extent of, outside a perimeter of the tumor, dosage distribution 4325. The automated radiation treatment plan development system 4300 calculates and/or iterates a best radiation treatment plan using the inputs, such as via a computer implemented algorithm. Each parameter provided to the automated radiation treatment plan development system 4300, optionally and preferably contains a weight or importance. For clarity of presentation and without loss of generality, two cases illustrate. In a first case, a requirement/goal of reduction of dosage or even complete elimination of radiation dosage to the optic nerve of the eye, provided in the minimized dosage to critical voxels 4324 input is given a higher weight than a requirement/goal to minimize dosage to an outer area of the eye, such as the rectus muscle, or an inner volume of the eye, such as the vitreous humor of the eye. This first case is exemplary of one input providing more than one sub-input where each sub-input optionally includes different weighting functions. In a second case, a first weight and/or first sub-weight of a first input is compared with a second weight and/or a second sub-weight of a second input. For instance, a distribution function, probability, or precision of the even radiation dosage distribution 4322 input optionally comprises a lower associated weight than a weight provided for the reduce overall dosage 4323 input to prevent the computer algorithm from increasing radiation dosage in an attempt to yield an entirely uniform dose distribution. Each parameter and/or sub-parameter provided to the automated radiation treatment plan development system 4300, optionally and preferably contains a limit, such as a hard limit, an upper limit, a lower limit, a probability limit, and/or a distribution limit. The limit requirement is optionally used, by the computer algorithm generating the radiation treatment plan 4310, with or without the weighting parameters, described supra. Referring now to FIG. 1B, an example of a charged particle cancer therapy system 100 is provided. A main controller receives input from one, two, three, or four of a respiration monitoring and/or controlling controller 180, a beam controller 185, a rotation controller 147, and/or a timing to a time period in a respiration cycle controller 148. The beam controller 185 preferably includes one or more or a beam energy controller 182, the beam intensity controller 340, a beam velocity controller 186, and/or a horizontal/vertical beam positioning controller 188. The main controller 110 controls any element of the injection system 120; the synchrotron 130; the scanning/targeting/delivery system 140; the patient interface module 150; the display system 160; and/or the imaging system 170. For example, the respiration monitoring/controlling controller 180 controls any element or method associated with the respiration of the patient; the beam controller 185 controls any of the elements controlling acceleration and/or extraction of the charged particle beam; the rotation controller 147 controls any element associated with rotation of the patient 830 or gantry; and the timing to a period in respiration cycle controller 148 controls any aspects affecting delivery time of the charged particle beam to the patient. As a further example, the beam controller 185 optionally controls any magnetic and/or electric field about any magnet in the charged particle cancer therapy system 100. One or more beam state sensors 190 sense position, direction, intensity, and/or energy of the charged particles at one or more positions in the charged particle beam path. A tomography system 700, described infra, is optionally used to monitor intensity and/or position of the charged particle beam. Referring now to FIG. 1C, an illustrative exemplary embodiment of one version of the charged particle beam system 100 is provided. The number, position, and described type of components is illustrative and non-limiting in nature. In the illustrated embodiment, the injection system 120 or ion source or charged particle beam source generates protons. The injection system 120 optionally includes one or more of: a negative ion beam source, an ion beam focusing lens, and a tandem accelerator. The protons are delivered into a vacuum tube that runs into, through, and out of the synchrotron. The generated protons are delivered along an initial path 262. Optionally, focusing magnets 127, such as quadrupole magnets or injection quadrupole magnets, are used to focus the proton beam path. A quadrupole magnet is a focusing magnet. An injector bending magnet 128 bends the proton beam toward a plane of the synchrotron 130. The focused protons having an initial energy are introduced into an injector magnet 129, which is preferably an injection Lambertson magnet. Typically, the initial beam path 262 is along an axis off of, such as above, a circulating plane of the synchrotron 130. The injector bending magnet 128 and injector magnet 129 combine to move the protons into the synchrotron 130. Main bending magnets, dipole magnets, turning magnets, or circulating magnets 132 are used to turn the protons along a circulating beam path 264. A dipole magnet is a bending magnet. The main bending magnets 132 bend the initial beam path 262 into a circulating beam path 264. In this example, the main bending magnets 132 or circulating magnets are represented as four sets of four magnets to maintain the circulating beam path 264 into a stable circulating beam path. However, any number of magnets or sets of magnets are optionally used to move the protons around a single orbit in the circulation process. The protons pass through an accelerator 133. The accelerator accelerates the protons in the circulating beam path 264. As the protons are accelerated, the fields applied by the magnets are increased. Particularly, the speed of the protons achieved by the accelerator 133 are synchronized with magnetic fields of the main bending magnets 132 or circulating magnets to maintain stable circulation of the protons about a central point or region 136 of the synchrotron. At separate points in time the accelerator 133/main bending magnet 132 combination is used to accelerate and/or decelerate the circulating protons while maintaining the protons in the circulating path or orbit. An extraction element of an inflector/deflector system is used in combination with a Lambertson extraction magnet 137 to remove protons from their circulating beam path 264 within the synchrotron 130. One example of a deflector component is a Lambertson magnet. Typically the deflector moves the protons from the circulating plane to an axis off of the circulating plane, such as above the circulating plane. Extracted protons are preferably directed and/or focused using an extraction bending magnet 142 and optional extraction focusing magnets 141, such as quadrupole magnets, and optional bending magnets along a positively charged particle beam transport path 268 in a beam transport system 135, such as a beam path or proton beam path, into the scanning/targeting/delivery system 140. Two components of a scanning system 140 or targeting system typically include a first axis control 143, such as a vertical control, and a second axis control 144, such as a horizontal control. In one embodiment, the first axis control 143 allows for about 100 mm of vertical or y-axis scanning of the proton beam 268 and the second axis control 144 allows for about 700 mm of horizontal or x-axis scanning of the proton beam 268. A nozzle system 146 is used for directing the proton beam, for imaging the proton beam, for defining shape of the proton beam, and/or as a vacuum barrier between the low pressure beam path of the synchrotron and the atmosphere. Protons are delivered with control to the patient interface module 150 and to a tumor of a patient. All of the above listed elements are optional and may be used in various permutations and combinations. Ion Extraction from Ion Source A method and apparatus are described for extraction of ions from an ion source. For clarity of presentation and without loss of generality, examples focus on extraction of protons from the ion source. However, more generally cations of any charge are optionally extracted from a corresponding ion source with the techniques described herein. For instance, C4+ or C6+ are optionally extracted using the ion extraction methods and apparatus described herein. Further, by reversing polarity of the system, anions are optionally extracted from an anion source, where the anion is of any charge. Herein, for clarity of presentation and without loss of generality, ion extraction is coupled with tumor treatment and/or tumor imaging. However, the ion extraction is optional used in any method or apparatus using a stream or time discrete bunches of ions. Diode Extraction Referring now to FIG. 2A and FIG. 2B, a first ion extraction system is illustrated. The first ion extraction system uses a diode extraction system 200, where a first element of the diode extraction system is an ion source 122 or first electrode at a first potential and a second element 202 of the diode extraction system is at a second potential. Generally, the first potential is raised or lowered relative to the second potential to extract ions from the ion source 122 along the z-axis or the second potential is raised or lowered relative to the first potential to extract ions from the ion source 122 along the z-axis, where polarity of the potential difference determines if anions or cations are extracted from the ion source 122. Still referring to FIG. 2A and FIG. 2B, an example of ion extraction from the ion source 122 is described. As illustrated in FIG. 2A, in a non-extraction time period, a non-extraction diode potential, A1, of the ion source 122 is held at a potential equal to a potential, B1, of the second element 202. Referring now to FIG. 2B, during an extraction time period, a diode extraction potential, A2, of the ion source 122 is raised, causing a positively charged cation, such as the proton, to be drawn out of the ion chamber toward the lower potential of the second element 202. Similarly, if the diode extraction potential, A2, of the ion source is lowered relative a potential, B1, then an anion is extracted from the ion source 122 toward a higher potential of the second element 202. In the diode extraction system 200, the voltage of a large mass and corresponding large capacitance of the ion source 122 is raised or lowered, which takes time, has an RC time constant, and results in a range of temperatures of the plasma during the extraction time period, which is typically pulsed on and off with time. Particularly, as the potential of the ion source 122 is cycled with time, the ion source 122 temperature cycles, which results in a range of emittance values, resultant from conservation of momentum, and a corresponding less precise extraction beam. Alternatively, potential of the second element 202 is varied, altered, pulsed, or cycled, which reduces a range of emittance values during the extraction process. Triode Extraction Referring now to FIG. 2C and FIG. 2D, a second ion extraction system is illustrated. The second ion extraction system uses a triode extraction system 210. The triode extraction system 210 uses: (1) an ion source 122, (2) a gating electrode 204 also referred to as a suppression electrode, and (3) an extraction electrode 206. Optionally, a first electrode of the triode extraction system 210 is positioned proximate the ion source 122 and is maintained at a potential as described, infra, using the ion source as the first electrode of the triode extraction system. Generally, potential of the gating electrode 204 is raised and lowered to, as illustrated, stop and start extraction of a positive ion. Varying the potential of the gating electrode 204 has the advantages of altering the potential of a small mass with a correspondingly small capacitance and small RC time constant, which via conservation of momentum, reduces emittance of the extracted ions. Optionally, a first electrode maintained at the first potential of the ion source is used as the first element of the triode extraction system in place of the ion source 122 while also optionally further accelerating and/or focusing the extracted ions or set of ions using the extraction electrode 206. Several example further describe the triode extraction system 210. Still referring to FIG. 43, a second input to the semi-automated radiation treatment plan development system 4300, is a patient motion 4330 input. The patient motion 4330 input comprises: a move the patient in one direction 4332 input, a move the patient at a uniform speed 4333 input, a total patient rotation 4334 input, a patient rotation rate 4335 input, and/or a patient tilt 4336 input. For clarity of presentation and without loss of generality, the patient motion inputs are further described, supra, in several cases. Still referring to FIG. 43, in a first case the automated radiation treatment plan development system 4300, provides a guidance input, such as the move the patient in one direction 4332 input, but a further associated directive is if other goals require it or if a better overall score of the radiation treatment plan 4310 is achieved, the guidance input is optionally automatically relaxed. Similarly, the move the patient at a uniform rate 4333 input is also provided with a guidance input, such as a low associated weight that is further relaxable to yield a high score, of the radiation treatment plan 4310, but is only relaxed or implemented an associated fixed or hard limit number of times. Still referring to FIG. 43, in a second case the computer implemented algorithm, in the automated radiation treatment plan development system 4300, optionally generates a sub-score. For instance, a patient comfort score optionally comprises a score combining a metric related to two or more of: the move the patient in one direction 4332 input, the move the patient at a uniform rate 4333 input, the total patient rotation 4334 input, the patient rotation rate 4335 input, and/or the reduce patient tilt 4336 input. The sub-score, which optionally has a preset limit, allows flexibility, in the computer implemented algorithm, to yield on patient movement parameters as a whole, again to result in patient comfort. Still referring to FIG. 43, in a third case the automated radiation treatment plan development system 4300 optionally contains an input used for more than one sub-function. For example, a reduce treatment time 4331 input is optionally used as a patient comfort parameter and also links into the dose distribution 4320 input. Still referring to FIG. 43, a third input to the automated radiation treatment plan development system 4300 comprises output of an imaging system, such as any of the imaging systems described herein. Still referring to FIG. 43, a fourth optional input to the automated radiation treatment plan development system 4300 is structural and/or physical elements present in the treatment room 1222. Again, for clarity of presentation and without loss of generality, two cases illustrate treatment room object information as an input to the automated development of the radiation treatment plan 4310. Still referring to FIG. 43, in a first case the automated radiation treatment plan development system 4300 is optionally provided with a pre-scan of potentially intervening support structures 4422 input, such as a patient support device, a patient couch, and/or a patient support element, where the pre-scan is an image/density/redirection impact of the support structure on the positively charged particle treatment beam. Preferably, the pre-scan is an actual image or tomogram of the support structure using the actual facility synchrotron, a remotely generated actual image, and/or a calculated impact of the intervening structure on the positively charge particle beam. Determination of impact of the support structure on the charged particle beam is further described, infra. Still referring to FIG. 43, in a second case the automated radiation treatment plan development system 4300 is optionally provided with a reduce treatment through a support structure 4344 input. As described supra, an associated weight, guidance, and/or limit is optionally provided with the reduce treatment through the support structure 4344 input and, also as described supra, the support structure input is optionally compromised relative to a more critical parameter, such as the deliver prescribed dosage 4321 input or the minimize dosage to critical voxels 4324 of the patient 730 input. Still referring to FIG. 43, a fifth optional input to the automated radiation treatment plan development system 4300 is a doctor input 4236, such as provided only prior to the auto generation of the radiation treatment plan. Separately, doctor oversight 4230 is optionally provided to the automated radiation treatment plan development system 4300 as plans are being developed, such as an intervention to restrict an action, an intervention to force an action, and/or an intervention to change one of the inputs to the automated radiation treatment plan development system 4300 for a radiation plan for a particular individual. Still referring to FIG. 43, a sixth input to the automated radiation treatment plan development system 4300 comprises information related to collapse and/or shifting of the tumor 720 of the patient 730 during treatment. For instance, the radiation treatment plan 4310 is automatically updated, using the automated radiation treatment plan development system 4300, during treatment using an input of images of the tumor 720 of the patient 730 collected concurrently with treatment using the positively charged particles. For instance, as the tumor 720 reduces in size with treatment, the tumor 720 collapses inward and/or shifts. The auto-updated radiation treatment plan is optionally auto-implemented, such as without the patient moving from a treatment position. Optionally, the automated radiation treatment plan development system 4300 tracks dosage of untreated voxels of the tumor 720 and/or tracks partially irradiated, relative to the prescribed dosage 4321, voxels and dynamically and/or automatically adjusts the radiation treatment plan 4310 to provide the full prescribed dosage to each voxel despite movement of the tumor 720. Similarly, the automated radiation treatment plan development system 4300 tracks dosage of treated voxels of the tumor 720 and adjusts the automatically updated tumor treatment plan to reduce and/or minimize further radiation delivery to the fully treated and shifted tumor voxels while continuing treatment of the partially treated and/or untreated shifted voxels of the tumor 720. Intervening Object As the positively charged particle beam travels along a treatment beam path in the treatment room 1222, in some situations the positively charged particle beam passes through an object, referred to herein as an intervening object, which decelerates and/or redirects the positively charged particles. Herein, predetermining an impact of the intervening object on the positively charged particle beam is described and compensating for the impact is described. Referring now to FIG. 44, a method for determining an impact of an object 4400 on the positively charged particle beam is described. Herein, an intervening object 4410 is any inanimate and/or non-biological object in the treatment room 1222 between an exit surface of the nozzle system 146 and a terminal point of the charged particle beam in the tumor as determined by the Bragg peak. Examples of intervening objects 4410 comprise: a patient couch, a patient support element, an implant, an embedded element in the patient 730, and/or a prosthesis. Parameters defining the intervening object 4410 and/or the physical intervening object 4410 itself is provided to the method for determining an impact of an object 4400. Still referring to FIG. 44, in a first case, the intervening object 4410 is pre-scanned 4420, such as with an X-ray system, a positron emission system, and/or a positively charged particle beam system. For example, a three-dimensional (3D) computed tomography (CT) proton beam image of the intervening object is obtained. In the radiation treatment plan 4310, described supra, a determination is made for each treatment beam, of a set of treatment beam covering relative motion and/or translation of the nozzle system and the patient, whether or not the charged particle beam will traverse the intervening object 4410 and if so, what cross-section of the intervening object 4410 is traversed at each position along a pathway through the intervening object 4410. For each voxel of the intervening object 4410 along the treatment path, a deceleration and/or redirection/scattering of the treatment beam is calculated. By integrating the impact of the intervening object 4410 across the voxels traversed, a total deceleration and/or net direction/scattering change of the positively charged particle beam is predetermined. Subsequently, in a generation of the radiation treatment plan step 4440 or in the auto-generate the radiation treatment plan step 4226, the incident energy of the positively charged particles for each incident treatment vector of the radiation treatment plan 4310 is adjusted to increase the energy of the initial charged particle beam to compensate for the loss of energy or deceleration of the positively charged particle beam resultant from passage through the intervening object. Similarly, in the generation of the radiation treatment plan step 4440 or in the auto-generate the radiation treatment plan step 4226, the incident vector/direction of the positively charged particles for each incident treatment vector of the radiation treatment plan 4310 is adjusted to compensate for redirection of the initial charged particle beam to account for redirection of the treatment beam resultant from passage through the intervening object. Still referring to FIG. 44 and still referring to the first case of pre-scanning the object 4420, two approaches are used to measure the impact of the intervening object 4410 on the positively charged particle beam. In a first approach, the initial energy and direction of a treatment beam mimic traverses an actual treatment path 4424 through the intervening object 4410 and a residual energy and/or altered direction of the treatment beam mimic is measured, such as with the tomography apparatus and/or tomography imaging system described supra. In this first approach, the energy and/or vector of a particular incident treatment beam is adjusted to compensate for a directly measured impact of the intervening object 4410 on the particular incident treatment beam to yield a planned treatment beam in the radiation treatment plan. In a second approach, the 3D CT image of the intervening object 4410 is used to calculate impact to a transformed and/or proposed incident treatment path 4424 through the intervening object 4410, where the proposed incident treatment path is a combination of voxels crossing many layers of the 3D CT image of the intervening object. Similar to the first approach, in the second approach, a residual energy and/or altered direction of the proposed treatment path is adjusted to compensate for the calculated impact, using real image data, of the intervening object 4410 on the proposed incident treatment beam to yield a planned treatment beam in the radiation treatment plan. The first case finds particular utility for standard items, such as a standard implanted item, or for an item readily available in the treatment room, such as a patient support/positioning/movement system element. Still referring to FIG. 44, in a second case, impact of the intervening object 4410 on the positively charged particle treatment beam is pre-calculated 4430 using known physical properties. For example, physical parameters such as material type, material density, and shape of the intervening object 4410 are coded into a 3D model of the intervening object 4410. Similar to the first case, the 3D model of the intervening object 4410 is used to determine a deceleration and/or altered direction of a proposed treatment path and the model data is used to adjust a proposed treatment beam to a planned treatment beam that accounts for the purely calculated impact of the intervening object 4410 on the treatment beam. One method of pre-calculating impact of the intervening object 4410 on a treatment beam is via use of finite element analysis 4432. The second case finds particular utility for compensating for an implanted object, such as a hip replacement, titanium bone support, plate, fastener, or other medically implanted item, especially a custom implant. Still referring to FIG. 44, in a third case, an actual image, such as a 3D CT image, of the intervening object 4410 is combined with model based calculations of impact of the intervening object 4410 on an incident particle beam, such as through use of known physical material properties, chemical properties, physical shape, and/or chemical/physical state of the intervening object. The resulting hybrid measured-calculated impact of the intervening object 4410 on a proposed treatment beam is used to generate an actual treatment beam vector in the radiation treatment plan 4310, which is generated 4440 and/or auto-generated 4226. Automated Adaptive Treatment Referring now to FIG. 45, a system for automatically updating the radiation treatment plan 4500 and preferably automatically updating and implementing the radiation treatment plan is illustrated. In a first task 4510, an initial radiation treatment plan is provided, such as the auto-generated radiation treatment plan 4226, described supra. The first task is a startup task of an iterative loop of tasks and/or recurring set of tasks, described herein as comprising tasks two to four. In a second task 4520, the tumor 720 is treated using the positively charged particles delivered from the synchrotron 130. In a third task 4530, changes in the tumor shape and/or changes in the tumor position relative to surrounding constituents of the patient 730 are observed, such as via any of the imaging systems described herein. The imaging optionally occurs simultaneously, concurrently, periodically, and/or intermittently with the second task while the patient remains positioned by the patient positioning system. The main controller 110 uses images from the imaging system(s) and the provided and/or current radiation treatment plan to determine if the treatment plan is to be followed or modified. Upon detected relative movement of the tumor 720 relative to the other elements of the patient 730 and/or change in a shape of the tumor 730, a fourth task 4540 of updating the treatment plan is optionally and preferably automatically implemented and/or use of the radiation treatment plan development system 4300, described supra, is implemented. The process of tasks two to four is optionally and preferably repeated n times where n is a positive integer of greater than 1, 2, 5, 10, 20, 50, or 100 and/or until a treatment session of the tumor 720 ends and the patient 730 departs the treatment room 1222. Automated Treatment Referring now to FIG. 46, an automated cancer therapy treatment system 4600 is illustrated. In the automated cancer therapy treatment system 4600, a majority of tasks are implemented according to a computer based algorithm and/or an intelligent system. Optionally and preferably, a medical professional oversees the automated cancer therapy treatment system 4600 and stops or alters the treatment upon detection of an error but fundamentally observes the process of computer algorithm guided implementation of the system using electromechanical elements, such as any of the hardware and/or software described herein. Optionally and preferably, each sub-system and/or sub-task is automated. Optionally, one or more of the sub-systems and/or sub-tasks are performed by a medical professional. For instance, the patient 730 is optionally initially positioned in the patient positioning system by the medical professional and/or a tray insert 510 is loaded into a tray assembly 400 by the medical professional. Optional and preferably automated, such as computer algorithm implemented, sub-tasks include one or more and preferably all of: receiving the treatment plan input 4300, such as a prescription, guidelines, patient motion guidelines 4330, dose distribution guidelines 4320, intervening object 4310 information, and/or images of the tumor 720; using the treatment plan input 4300 to auto-generate a radiation treatment plan 4226; auto-positioning 4222 the patient 730; auto-imaging 4224 the tumor 720; implementing medical profession oversight 4238 instructions; auto-implementing the radiation treatment plan 4520/delivering the positively charged particles to the tumor 720; auto-reposition the patient 4521 for subsequent radiation delivery; auto-rotate a nozzle position 4522 of the nozzle system 146 relative to the patient 730; auto-translate a nozzle position 4523 of the nozzle system 146 relative to the patient 730; auto-verify a clear treatment path using an imaging system, such as to observe presence of a metal object or unforeseen dense object via an X-ray image; auto-verify a clear treatment path using fiducial indicators 4524; auto control a state of the positively charge particle beam 4525, such as energy, intensity, position (x,y,z), duration, and/or direction; auto-control a particle beam path 4526, such as to a selected beamline and/or to a selected nozzle; auto implement positioning a tray insert 510 and/or tray assembly 400; auto-update a tumor image 4610; auto-observe tumor movement 4530; and/or generate an auto-modified radiation treatment plan 4540/new treatment plan.Beam-Gantry Rotation As a gantry rotates a rotatable beamline about a gantry-axis of rotation, the orientation of a charged particle beam shape, of the charged particles in the beamline, to the magnets in the rotatable beamline changes. The change is orientation of the charged particle beam shape relative to guiding magnets results in a change in dispersive forces or dispersion as a function of rotation of the rotatable beamline about the gantry-axis, which widens, disperses, and/or spreads the charged particle beam resulting in decreased accuracy and decreased precision of targeting a tumor voxel with the treatment beam. Corrective apparatus and methods are described herein. Referring again to FIG. 19A, a beam transport system 135 is illustrated as supported by the gantry 960, where for clarity of presentation only a section of the gantry is illustrated. As illustrated, as the gantry rotates about the gantry rotation axis 1811, a rotatable section of the beam transport system is also rotated, preferably co-rotated with the gantry 960, about the gantry rotation axis 1811, which moves the dynamic gantry nozzle 610 and/or the nozzle system 146. As illustrated, the nozzle system 146 directs the beam downward into the patient 730. Referring now to FIG. 47A and still referring to FIG. 19A, at a first time, t1, the nozzle system 146 directs the charged particle beam downward into the patient 730 along a first longitudinal z-axis of the charged particle beam, such as toward the isocenter 263. As the gantry 960 rotates, such as a figurative rotation path 4710, the rotatable section of the beam transport system 135 rotates the nozzle system 146, such as to a second position at a second time, t2, resulting in the nozzle system 146 directing the charged particle beam along a second longitudinal z-axis. Similarly, at a third time, t3, the rotatable section of the beam transport system 135 directs the charged particles along a third longitudinal z-axis, such as along a horizontal plane. Referring now to FIG. 47B and still referring to FIG. 47A, a non-circular charged particle beam cross-section 4740 is illustrated between elements of a first magnet 4730 in the rotatable section of the beam transport system. As illustrated, at the first time, t1, the beam cross-section comprises a first shape relative to the first magnet 4730 resulting in a first set of non-dispersive forces acting on the beam and a first set of dispersive forces acting on the beam. At the second time, t2, the non-rotated beam shape 4740 comprises a second shape relative to the first magnet 4730 resulting in a second set of non-dispersive forces acting on the beam and a second set of dispersive forces acting on the beam. As the dispersive forces orthogonal to an applied magnetic field, such as crossing a gap in the beam transport housing 320, differ between the first-set of dispersive forces and the second set of dispersive forces, the cross-sectional shape of the charged particle beam will turn, bend, focus, and/or defocus differently at the first time and the second time while passing through the same magnet. Similarly, at the third time, t3, the non-rotated beam shape 4740 comprises a third shape, such as a third set of cross-sectional beam shapes across the gap, that experiences a third set of dispersive forces differing from the first and second set of dispersive forces, resultant in a third net change in beam shape, direction, and/or focusing in the first magnet 4730. Referring again to FIG. 1C, one or more of the focusing magnets 141 and bending magnets 142 in the beam transport system 135 optionally rotate with the gantry 960. As a result, the differing dispersive forces experienced at the magnet 4730 are compounded by the resulting set of beam shapes as a function of time experiencing additional dispersive forces at a second, third, fourth, . . . , nth focusing magnet 141 and/or bending magnet 142 or even the by magnetic fields used to scan the beam in the first axis control 143 and/or the second axis control 144. Indeed, the dispersive differences/errors stack enough to miss a targeted volume of the tumor 720 and/or even to crash sections of the beam into walls of the beam transport housing 320. Referring now to FIG. 47C and FIG. 47D, a beam rotation system 4700 is described that pre-rotates the charged particle beam to remove changing dispersive forces and/or changing dispersion as a function of rotation of magnets, such as rotation of the first magnet 4730, relative to a non-circular beam shape across the gap, such as an elliptical cross-sectional beam shape and/or any geometric shape that is not a perfect circle. In one case, in a position in the beam transport system 135 that does not rotate with the gantry and is prior to the rotatable section of the beam transport system 135, a solenoid 4760 is used to apply a magnetic field across a beam path between sides of the beam transport housing 320, referred to herein as an axial gap. As illustrated, the solenoid 4760 passes a current along/through a first coil or first winding 4766 and/or a second coil or second winding 4768 to generate a magnetic field traveling around the first winding 4766 and/or second winding 4768 to yield a magnetic field axially crossing the axial gap yielding non-dispersive forces and dispersive forces on the charged particle beam passing longitudinally, in/out of FIG. 47C as illustrated, through the solenoid 4760. As the solenoid 4760 is positioned in the beam transport system 135 prior to the rotatable section of the beam transport system, the solenoid 4760 is optionally and preferably controlled, such as by timing, duration, and magnitude of an applied current through the first winding 4766 and second winding 4768, to pre-rotate, via use of the dispersive forces, the axial cross-section of the charged particle beam as a function of rotation of the rotatable section of the beam transport system to maintain a constant, accurate, and/or precise axial beam shape of the charged particle beam relative to magnets in the rotatable section of the beam transport system, such as the first magnet 4730. For example, at the first time, t1, the elliptically shaped cross-section of the beam is rotated/maintained with the, as illustrated, main axis being horizontal. At the second time, t2, the solenoid 4760 is controlled, such as by applying a second applied current, to yield a magnetic field with dispersive forces sufficient to rotate the cross section of the charged particle beam to a second angle, forty-five degrees of rotation as illustrated, to match the rotation of the rotatable section of the beam transport system 135 and/or the first magnet 4730, such as rotated to a matching forty-five degrees. Similarly, at the third time, t3, the solenoid 4760 is used to rotate the charged particle beam to a third rotation position or third orientation. As illustrated in FIG. 47D, the rotation of the charged particle beam maintains a fixed orientation of the charged particle beam, illustrated figuratively, relative to rotation of nozzle system 146, which optionally co-rotates with the rotatable section of the beam transport system 135. Generally, any rotation angle and/or any number of rotations of the charged particle beam are achieved using a magnetic field applied across the charged particle beam to maintain a shape of the beam relative to a rotating magnet surface, such as a magnet about the beam transport path or the axial gap. Further, while the charged particle beam is illustrated as rotating clockwise, at any time, the charged particle beam is optionally rotated in the opposite direction by reversing a direction of the flow of current along the winding coils. The main controller 110, such as by use of the current radiation treatment plan, rotates the charged particle beam to maintain relative beam shape as viewed by an applied magnetic field in the beam transport system. As a result, a beam size is maintained allowing an accurate delivery of the charged particles to a selected voxel of the tumor. Integrated Imaging-Cancer Treatment System Referring now to FIG. 48, FIGS. 49(A-D), and FIG. 50, an example of an integrated imaging/cancer treatment system is provided. Referring again to FIG. 48, the integrated imaging/cancer treatment system 4800 is further described. Generally, the main controller 110 controls imaging of the tumor 720 of the patient 730 and uses resulting images from the imaging system(s) to control treatment of the tumor 720 of the patient 730 in a tumor treatment process 10. Still referring to FIG. 48, the integrated imaging/cancer treatment system 4800 optionally and preferably generates one or more images of the patient 730 using a relative imaging system-patient translation system 4810, where the imaging system, such as a two-dimensional imaging system, translates past the patient or vise-versa; a series of images are collected as a function of relative translation; and a three-dimensional image of the tumor 720 of the patient 730 is generated from the resulting two-dimensional scans. In one particular case, a translation imaging system 4812 translates the imaging system past the patient 730, such as while the patient 730 is statically positioned in a tumor treatment position. Notably, the patient 730 and the relative imaging system-patient translation system 4810 are optionally both moved during the imaging process by a patient positioning system to re-orientate the patient relative to a positively charged particle cancer treatment beam, such that both the imaging system and patient 730 are re-positioned by a robot arm with or without the simultaneous process of the translation of the imaging system relative to the patient 730, as further described infra. Still referring to FIG. 48, similarly, the integrated imaging/cancer treatment system 4800 optionally and preferably generates one or more images of the patient 730 using a relative imaging system-patient rotation system 4820, where the imaging system, such as a two-dimensional imaging system, rotates relative to the patient or vise-versa; a series of images are collected as a function of relative rotation; and a three-dimensional image of the tumor 720 of the patient 730 is generated from the collected images. In one particular case, a rotation imaging system 4822 revolves the imaging system, such as the source and detector, around the patient 730, such as while the patient 730 is statically positioned in a tumor treatment position. Again, the relative patient-imaging rotation optionally occurs with the patient remaining still relative to a revolving imaging system while both the revolving imaging system and patient 730 are moved by a robotic positioning system relative to a proton treatment beam or nozzle system 146, as further described infra. Still referring to FIG. 48, the main controller 110 optionally and preferably controls a process of positioning the charged particle beam system 4830 using output from the relative imaging system-patient translation system 4810 and/or the relative imaging system-patient rotation system 4820, such as using images: obtained prior to treatment, obtained during treatment to confirm a position of the tumor 720, obtained during treatment and used to alter a radiation treatment plan of the tumor 720, as described supra. Referring again to FIGS. 49(A-D), imaging system elements of the integrated imaging/cancer treatment system 4800 are further described. For clarity of presentation of the imaging system and without loss of generality, the cancer treatment elements are not illustrated. However, the imaging system and cancer treatment systems are shown in an integrated system, FIG. 50, as further described infra. Still referring to FIG. 49A, a combined patient positioning system-imaging system 4900 is described. Generally, the combined patient positioning system-imaging system 4900 comprises a joint imaging/patient positioning system 4910 and a translation/rotation imaging system 4920. The joint imaging/patient positioning system 4910 co-moves or jointly moves the translation/rotation imaging system 4920 and the patient 730 as both a patient support 4914 and the translation/rotation imaging system 4920 are attached to an end of a robotic arm used to position the patient relative to a proton treatment beam, as further described infra. Still referring to FIG. 49A, the joint imaging/patient positioning system 4910 is further described. The joint imaging/patient positioning system 4910 allows movement of the patient 730 along one or more of: an x-axis, a y-axis, and a z-axis. Further, the patient positioning system 4910 allows yaw, tilt, and roll of the patient as well as rotation of the patient 730 relative to a point in space, such as one or more rotation axes passing through the joint imaging/patient positioning system 4910 and/or an isocenter point 263 of a treatment room. For clarity of presentation and without loss of generality, all permutations and combinations of patient movement relative to a treatment proton beam line are illustrated with a base unit 4912, such as affixed to a floor or wall of the treatment room; an attachment unit 4916, of the translation/rotation imaging system 4920; and a multi-element robotic arm section 4918 connecting the base unit 4912 and the attachment unit 4916. Still referring to FIG. 49A, the translation aspect of the translation/rotation imaging system 4920 is further described. The translation/rotation imaging system 4920 comprises ring or a source-detector rotational positioning unit 4922, an imaging system source support 4924, a first imaging source 1312, an imaging system detector support 4926, and a first detector array 1322. The imaging system source support 4924 is used to move a source, such as the first imaging source 1312, of the translation/rotation imaging system 4920 and the detector support 4926 is used to move a detector, such as the first detector array 1322, of the translation/rotation imaging system 4920. For clarity of presentation and without loss of generality, the first imaging source 1312 is used to represent any one or more of the imaging sources described herein and the first detector array 1322 is used to represent one or more of the imaging detectors described herein. As illustrated, in a first case, the imaging source 1312, such as an X-ray source, moves past the patient on the imaging system source support 4924, such as under control of the main controller directing a motor or drive to move the imaging source 1312 along a guide, drive system, or rail. In the illustrated case, the source-detector rotational positioning unit 4922 is connected to an element, such as the patient support 4914, that is positioned relative to the nozzle system 146 and/or treatment beam path 269. However, the source-detector rotational positioning unit 4922 is optionally connected to the attachment element 4916. Optionally, the patient support 4914 uses a first electromechanical interface 4932 that moves the translation/rotation imaging system 4920 relative to the patient support 4914 and hence the patient 730. Optionally, the first electromechanical interface 4932 is a solid/connected element and a second electromechanical interface 4934 and a third electromechanical interface 4936 are used to move the imaging system source support 4924 and the imaging system detector support 4926, respectively, relative to the patient support 4914 and hence the patient 730. Generally, any mechanical/electromechanical system is used to connect the source-detector rotational positioning unit 4922 to the attachment unit 4916 and/or an intervening connector, such as the patient support 4914 or a secondary attachment unit 4940, as further described infra. Referring again to FIG. 49B, an alternative, exemplary and non-limiting example connection between the source-detector rotational positioning unit 4922 and the attachment unit 4916 is illustrated. As illustrated, an optional secondary attachment unit 4940 is affixed, directly or indirectly, to patient support 4914. One or both of the patient support 4914 and the secondary attachment unit 4940 are attached to the attachment unit 4916 of the patient positioning system 4910. As illustrated, the attachment unit 4916 passes through an opening or slot in the secondary attachment unit 4940 and is affixed to the patient support 4914. Further, as illustrated, the secondary attachment unit is attached to the source-detector rotational positioning unit 4922 using a fourth electromechanical interface 4938, which is optionally used in place of the first electromechanical interface 4932 and/or the second and third electromechanical interfaces 4934, 4936. Similar to the system illustrated in FIG. 49A, the source-detector rotational positioning unit 4922 moves relative to: (1) the secondary attachment unit and (2) the attachment unit 4916 of the joint imaging/patient positioning system 4910, resulting in translation of the imaging source 1312 and first detector array 1322 relative to the patient 730. Notably, the patient support 4914 and/or patient 730 optionally pass into and/or through an aperture 4923 through the source-detector rotational positioning unit 4922 as further described, infra. In practice, any of the first through fourth electromechanical connectors 4932, 4934, 4936, 4938 function to move a first element relative to a second element, such as along a track/rail and/or any mechanically guiding system, such as driven by a belt, gear, motor, and/or any motion driving source/system. Still referring to FIG. 49B, optionally, the imaging system source support 4924 extends/retracts away/toward the attachment unit, which results in translation of the X-ray source past the patient 730. Similarly, as illustrated, the first detector array 1322, such as an two-dimensional X-ray detector panel, moves past the patient on the imaging system detector support 4926, such as under control of the main controller directing a motor or drive to move the first detector array 1322, such as an X-ray detector panel, along a guide, drive system, or rail. Optionally, the imaging system detector support 4926 extends/retracts away/toward the source-detector rotational positioning unit 4922, which results in translation of the X-ray detector past the patient 730. Referring again to FIG. 49A, the interface of the translation/rotation imaging system 4920 and the patient support 4914 to the joint imaging/patient positioning system 4910 is described. Essentially, as the attachment unit 4916 of the joint imaging/patient positioning system 4910 is directly connected/physically static relative to both the translation/rotation imaging system 4920 and the patient support 4914, as the imaging/patient positioning system 4910 moves the patient support 4914 the entire translation/rotation imaging system 4920 moves with the patient support. Thus, no net difference in position between the translation/rotation imaging system 4920 and the patient 730 or patient support 4914 results as the joint imaging/patient positioning system 4910 positions the patient 730 relative to the positively charged particle tumor treatment beam 269 and/or nozzle system 146. However, individual elements of the translation/rotation imaging system 4920 are allowed to move relative to the patient 730, such as in the translation movements described above and the rotation movements described below. Referring again to FIG. 35A and FIG. 49A, the imaging source 1312 and one or more imaging detectors 3566, in FIG. 35A, and the first detector array 1322, in FIG. 35B, rotate around the patient in and out of the page. Particularly, referring still to FIG. 35A, the first imaging source 1312 and the one or more imaging detectors 3566 rotate around the patient 730; at time two, t2, rotation, in FIG. 35A, is around zero vector 3501, the nominal positively charged particle beam path or line emerging from the nozzle system 146. Similarly, referring again to FIG. 35B, the first imaging source 1312 and the first detector array 1322 rotate around the patient 730 and the patient support 4914. More precisely, both: (1) the first imaging source 1312 and the imaging system source support 4924 and (2) the first detector array 1322 and the imaging system detector support 4926, while connected to the source-detector positioning unit, rotate about patient support 4914 and the patient 730 as further described below and as illustrated in FIG. 50. Just as illustrated in FIG. 35A, all of: (1) the first imaging source 1312, (2) the imaging system source support 4924, (3) the first detector array 1322, and (4) the imaging system detector support 4926, optionally and preferably rotate around the patient 730 independent of movement of the patient, relative to a current position of the positively charged particle treatment beam passing through the nozzle system 146, using the imaging/patient positioning system 4910. Referring again to FIG. 49C, the rotation aspect of the translation/rotation imaging system 4920 is further described. The rotation aspect of the translation/rotation imaging system 4920 uses the ring and/or the source-detector rotational positioning unit 4922 to rotate around the patient 730: the first imaging system 1312, the imaging system source support 4924, the first detector array 1322, and the imaging system detector support 4926. As illustrated from an end on view, relative to FIG. 49A, the imaging system source support 4924, carrying the first imaging source 1312, is attached and/or moves on and/or along a first path 4925, comprising a first mean distance for a central point, such as a point on a rotation axis. Similarly, the imaging system detector support 4926, carrying the first detector array 1322, is attached and/or moves on and/or along the first path 4925 or a second path 4927, comprising a second mean distance for the central point. Movement of the imaging system detector support 4926 and the first detector array 1322 is optionally independent from movement of the imaging system source support 4924 and the first imaging source 1322, which allows the first detector array 1322 to be positioned to detect X-rays from the first imaging source 1322 after transmitting through the patient, such as at the first time, t1, at a first rotational position relative to the patient 730 and at the second time, t2, at a second rotational position relative to the patient 730. Generally, the first imaging source 1312 and the first detector array 1322, are positioned at any position from 0 to 360 degrees around the patient 730. In the case of the first mean distance differing from the second mean distance, the imaging system detector support 4926 and the first detector array 1322 are optionally parked in front of, or behind, the imaging system source support 4924 and the first imaging source 1312, such as when not in use and/or such as when needed to avoid collision with another element in the treatment room 1222, as illustrated at the third time, t3. Referring again to FIG. 49D, the translation and rotation aspects of the translation/rotation imaging system 4920 is further described with a movable ring option. With the movable ring option, the source-detector rotational positioning unit 4922 slides and/or is driven along a ring slide 4940 into any longitudinal position of the ring slide. Thus, the source-detector rotational positioning unit 4922 is slidably and circumferentially positioned around different longitudinal sections of the patient 730 laying on the patient support 4914. For clarity of presentation, only the first imaging source 1312 is illustrated attached to the source-detector rotational positioning unit 4922. As illustrated, the first imaging source 1312 is positioned at a first longitudinal position and at a first rotational position relative to the patient 730 laying on the patient support at a first time, t1, and at a second longitudinal position and at a second rotational position relative to the patient 730 laying on the patient support at a second time, t2. The inventor notes that all of the other components of the translation/rotation imaging system 4920 optionally and preferably move with the source-detector rotational position unit 4922 with any of the relative motions described supra. Referring again to FIG. 50, an example of the imaging system 170, a patient imaging system 5010 is provided, where the patient imaging system 5010 comprises: (1) an attachment/attachment element directly and/or indirectly attached to the attachment unit 4916 and (2) any imaging system and/or any imaging system element described herein Referring still to FIG. 50, the integrated imaging/cancer treatment system 4800 is illustrated with sections of all of: (1) the patient positioning system 4910, (2) the translation/rotation imaging system 4920, and (3) charged particle beam system 100/cancer treatment system. As illustrated, at a first time, t1, the treatment beam 269, moving along a z-axis and/or treatment beam axis and passes between the first imaging source 1312 and the first detector array 1322 while passing through the patient 730. Optionally, proton tomography is performed by increasing energy of the incident beam and detecting the residual charged particle beam 267, after transmitting through the patient 730, with the scintillation material 710, scintillation detector, or other proton/positively charged particle detection systems described supra. At the same time or at another time, preferably with the patient 730 in a treatment position on the patient support 4914, the first imaging source 1312, the imaging system source support 4924, the first detector array 1322, and the imaging system detector support 4926 are optionally in use rotating around and/or translating past the patient 730. As illustrated at the second time, t2, since movement of the nozzle system 146 is independent from movement of the combined patient positioning system/imaging system 4900, the treatment beam 269 optionally passes at any angle relative to a line about which the imaging system rotates. Generally, the integrated imaging/cancer treatment system 4800 comprises elements that allow one or more of: any imager technology/type/system; translation of the imager technology along a longitudinal axis of the patient 730 while the patient 730 maintains a tumor treatment position; rotation of the imager technology along a circumferential axial/radial path around a point on the longitudinal axis of the patient 730, such as perpendicular to the longitudinal axis, while the patient maintains a tumor treatment position; a robotic 2, 3, 4, 5, or 6 axis degree of freedom patient positioning system that positions the patient support 4914 and hence the patient 730 and the connected patient imaging system 5010 and/or translation/rotation imaging system 4920 relative to an independently movable cancer treatment beam, such as the treatment beam 269 and/or a path of positively charged particles or protons from the nozzle system 146 to the patient 730; imaging of the tumor 720 of the patient 730 in a treatment position using the imager technology before, during, and/or after treatment of the tumor 720 with the positively charged particles; generated imager technology signals used to dynamically adjust a radiation treatment plan; generated imager technology signals used to automatically adjust a radiation treatment plan; generated imager technology signals used to automatically/dynamically implement an adjusted radiation treatment plan; position determination of any element of the imager technology via use of the fiducial marker system 3200, the fiducial indicator 4040, the fiducial marker 3310, and/or the fiducial detector 3320; and operation without knowledge of an isocenter point 263 in the treatment room 1222 through use of a physically defined point and/or line, such as the zero point 3502 and/or the zero vector 3501 of the radiation treatment beam 269 from the nozzle system 146 of the charged particle beam system 100. The integrated imaging/cancer treatment system 4800 optionally uses any the elements of the hybrid cancer treatment-imaging system 1800, such as the n rails, sources, detectors, or imaging technologies, where n is a positive integer. Still yet another embodiment includes any combination and/or permutation of any of the elements described herein. The main controller, a localized communication apparatus, and/or a system for communication of information optionally comprises one or more subsystems stored on a client. The client is a computing platform configured to act as a client device or other computing device, such as a computer, personal computer, a digital media device, and/or a personal digital assistant. The client comprises a processor that is optionally coupled to one or more internal or external input device, such as a mouse, a keyboard, a display device, a voice recognition system, a motion recognition system, or the like. The processor is also communicatively coupled to an output device, such as a display screen or data link to display or send data and/or processed information, respectively. In one embodiment, the communication apparatus is the processor. In another embodiment, the communication apparatus is a set of instructions stored in memory that is carried out by the processor. The client includes a computer-readable storage medium, such as memory. The memory includes, but is not limited to, an electronic, optical, magnetic, or another storage or transmission data storage medium capable of coupling to a processor, such as a processor in communication with a touch-sensitive input device linked to computer-readable instructions. Other examples of suitable media include, for example, a flash drive, a CD-ROM, read only memory (ROM), random access memory (RAM), an application-specific integrated circuit (ASIC), a DVD, magnetic disk, an optical disk, and/or a memory chip. The processor executes a set of computer-executable program code instructions stored in the memory. The instructions may comprise code from any computer-programming language, including, for example, C originally of Bell Laboratories, C++, C #, Visual Basic® (Microsoft, Redmond, Wash.), Matlab® (MathWorks, Natick, Mass.), Java® (Oracle Corporation, Redwood City, Calif.), and JavaScript® (Oracle Corporation, Redwood City, Calif.). Herein, any number, such as 1, 2, 3, 4, 5, is optionally more than the number, less than the number, or within 1, 2, 5, 10, 20, or 50 percent of the number. Herein, any element and/or object is optionally manually and/or mechanically moved, such as along a guiding element or rail, with a motor, and/or under control of the main controller. The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the present invention in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationships or physical connections may be present in a practical system. In the foregoing description, the invention has been described with reference to specific exemplary embodiments; however, it will be appreciated that various modifications and changes may be made without departing from the scope of the present invention as set forth herein. The description and figures are to be regarded in an illustrative manner, rather than a restrictive one and all such modifications are intended to be included within the scope of the present invention. Accordingly, the scope of the invention should be determined by the generic embodiments described herein and their legal equivalents rather than by merely the specific examples described above. For example, the steps recited in any method or process embodiment may be executed in any order and are not limited to the explicit order presented in the specific examples. Additionally, the components and/or elements recited in any apparatus embodiment may be assembled or otherwise operationally configured in a variety of permutations to produce substantially the same result as the present invention and are accordingly not limited to the specific configuration recited in the specific examples. Benefits, other advantages and solutions to problems have been described above with regard to particular embodiments; however, any benefit, advantage, solution to problems or any element that may cause any particular benefit, advantage or solution to occur or to become more pronounced are not to be construed as critical, required or essential features or components. As used herein, the terms “comprises”, “comprising”, or any variation thereof, are intended to reference a non-exclusive inclusion, such that a process, method, article, composition or apparatus that comprises a list of elements does not include only those elements recited, but may also include other elements not expressly listed or inherent to such process, method, article, composition or apparatus. Other combinations and/or modifications of the above-described structures, arrangements, applications, proportions, elements, materials or components used in the practice of the present invention, in addition to those not specifically recited, may be varied or otherwise particularly adapted to specific environments, manufacturing specifications, design parameters or other operating requirements without departing from the general principles of the same. Although the invention has been described herein with reference to certain preferred embodiments, one skilled in the art will readily appreciate that other applications may be substituted for those set forth herein without departing from the spirit and scope of the present invention. Accordingly, the invention should only be limited by the Claims included below. Still referring to FIG. 2C and FIG. 2D, optionally and preferably geometries of the gating electrode 204 and/or the extraction electrode 206 are used to focus the extracted ions along the initial ion beam path 262. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is optionally and preferably coupled with a downbeam or downstream radio-frequency quadrupole, used to focus the beam, and/or a synchrotron, used to accelerate the beam. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is maintained through the synchrotron 130 and to the tumor of the patient resulting in a more accurate, precise, smaller, and/or tighter treatment voxel of the charged particle beam or charged particle pulse striking the tumor. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system reduces total beam spread through the synchrotron 130 and the tumor to one or more imaging elements, such as an optical imaging sheet or scintillation material emitting photons upon passage of the charged particle beam or striking of the charged particle beam, respectively. The lower emittance of the charged particle beam, optionally and preferably maintained through the accelerator system 134 and beam transport system yields a tighter, more accurate, more precise, and/or smaller particle beam or particle burst diameter at the imaging surfaces and/or imaging elements, which facilitates more accurate and precise tumor imaging, such as for subsequent tumor treatment or to adjust, while the patient waits in a treatment position, the charged particle treatment beam position. Any feature or features of any of the above provided examples are optionally and preferably combined with any feature described in other examples provided, supra, or herein. Ion Extraction from Accelerator Referring now to FIG. 3, both: (1) an exemplary proton beam extraction system 300 from the synchrotron 130 and (2) a charged particle beam intensity control system 305 are illustrated. For clarity, FIG. 3 removes elements represented in FIG. 1C, such as the turning magnets, which allows for greater clarity of presentation of the proton beam path as a function of time. Generally, protons are extracted from the synchrotron 130 by slowing the protons. As described, supra, the protons were initially accelerated in a circulating path, which is maintained with a plurality of main bending magnets 132. The circulating path is referred to herein as an original central beamline 264. The protons repeatedly cycle around a central point in the synchrotron 136. The proton path traverses through a radio frequency (RF) cavity system 310. To initiate extraction, an RF field is applied across a first blade 312 and a second blade 314, in the RF cavity system 310. The first blade 312 and second blade 314 are referred to herein as a first pair of blades. In the proton extraction process, an RF voltage is applied across the first pair of blades, where the first blade 312 of the first pair of blades is on one side of the circulating proton beam path 264 and the second blade 314 of the first pair of blades is on an opposite side of the circulating proton beam path 264. The applied RF field applies energy to the circulating charged-particle beam. The applied RF field alters the orbiting or circulating beam path slightly of the protons from the original central beamline 264 to an altered circulating beam path 265. Upon a second pass of the protons through the RF cavity system, the RF field further moves the protons off of the original proton beamline 264. For example, if the original beamline is considered as a circular path, then the altered beamline is slightly elliptical. The frequency of the applied RF field is timed to apply outward or inward movement to a given band of protons circulating in the synchrotron accelerator. Orbits of the protons are slightly more off axis compared to the original circulating beam path 264. Successive passes of the protons through the RF cavity system are forced further and further from the original central beamline 264 by altering the direction and/or intensity of the RF field with each successive pass of the proton beam through the RF field. Timing of application of the RF field and/or frequency of the RF field is related to the circulating charged particles circulation pathlength in the synchrotron 130 and the velocity of the charged particles so that the applied RF field has a period, with a peak-to-peak time period, equal to a period of time of beam circulation in the synchrotron 130 about the center 136 or an integer multiple of the time period of beam circulation about the center 136 of the synchrotron 130. Alternatively, the time period of beam circulation about the center 136 of the synchrotron 130 is an integer multiple of the RF period time. The RF period is optionally used to calculated the velocity of the charged particles, which relates directly to the energy of the circulating charged particles. The RF voltage is frequency modulated at a frequency about equal to the period of one proton cycling around the synchrotron for one revolution or at a frequency than is an integral multiplier of the period of one proton cycling about the synchrotron. The applied RF frequency modulated voltage excites a betatron oscillation. For example, the oscillation is a sine wave motion of the protons. The process of timing the RF field to a given proton beam within the RF cavity system is repeated thousands of times with each successive pass of the protons being moved approximately one micrometer further off of the original central beamline 264. For clarity, the approximately 1000 changing beam paths with each successive path of a given band of protons through the RF field are illustrated as the altered beam path 265. The RF time period is process is known, thus energy of the charged particles at time of hitting the extraction material or material 330, described infra, is known. With a sufficient sine wave betatron amplitude, the altered circulating beam path 265 touches and/or traverses a material 330, such as a foil or a sheet of foil. The foil is preferably a lightweight material, such as beryllium, a lithium hydride, a carbon sheet, or a material having low nuclear charge components. Herein, a material of low nuclear charge is a material composed of atoms consisting essentially of atoms having six or fewer protons. The foil is preferably about 10 to 150 microns thick, is more preferably about 30 to 100 microns thick, and is still more preferably about 40 to 60 microns thick. In one example, the foil is beryllium with a thickness of about 50 microns. When the protons traverse through the foil, energy of the protons is lost and the speed of the protons is reduced. Typically, a current is also generated, described infra. Protons moving at the slower speed travel in the synchrotron with a reduced radius of curvature 266 compared to either the original central beamline 264 or the altered circulating path 265. The reduced radius of curvature 266 path is also referred to herein as a path having a smaller diameter of trajectory or a path having protons with reduced energy. The reduced radius of curvature 266 is typically about two millimeters less than a radius of curvature of the last pass of the protons along the altered proton beam path 265. The thickness of the material 330 is optionally adjusted to create a change in the radius of curvature, such as about ½, 1, 2, 3, or 4 mm less than the last pass of the protons 265 or original radius of curvature 264. The reduction in velocity of the charged particles transmitting through the material 330 is calculable, such as by using the pathlength of the betatron oscillating charged particle beam through the material 330 and/or using the density of the material 330. Protons moving with the smaller radius of curvature travel between a second pair of blades. In one case, the second pair of blades is physically distinct and/or is separated from the first pair of blades. In a second case, one of the first pair of blades is also a member of the second pair of blades. For example, the second pair of blades is the second blade 314 and a third blade 316 in the RF cavity system 310. A high voltage DC signal, such as about 1 to 5 kV, is then applied across the second pair of blades, which directs the protons out of the synchrotron through an extraction magnet 137, such as a Lambertson extraction magnet, into a transport path 268. Control of acceleration of the charged particle beam path in the synchrotron with the accelerator and/or applied fields of the turning magnets in combination with the above described extraction system allows for control of the intensity of the extracted proton beam, where intensity is a proton flux per unit time or the number of protons extracted as a function of time. For example, when a current is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In another embodiment, instead of moving the charged particles to the material 330, the material 330 is mechanically moved to the circulating charged particles. Particularly, the material 330 is mechanically or electromechanically translated into the path of the circulating charged particles to induce the extraction process, described supra. In this case, the velocity or energy of the circulating charged particle beam is calculable using the pathlength of the beam path about the center 136 of the synchrotron 130 and from the force applied by the bending magnets 132. In either case, because the extraction system does not depend on any change in magnetic field properties, it allows the synchrotron to continue to operate in acceleration or deceleration mode during the extraction process. Stated differently, the extraction process does not interfere with synchrotron acceleration. In stark contrast, traditional extraction systems introduce a new magnetic field, such as via a hexapole, during the extraction process. More particularly, traditional synchrotrons have a magnet, such as a hexapole magnet, that is off during an acceleration stage. During the extraction phase, the hexapole magnetic field is introduced to the circulating path of the synchrotron. The introduction of the magnetic field necessitates two distinct modes, an acceleration mode and an extraction mode, which are mutually exclusive in time. The herein described system allows for acceleration and/or deceleration of the proton during the extraction step and tumor treatment without the use of a newly introduced magnetic field, such as by a hexapole magnet. Charged Particle Beam Intensity Control Control of applied field, such as a radio-frequency (RF) field, frequency and magnitude in the RF cavity system 310 allows for intensity control of the extracted proton beam, where intensity is extracted proton flux per unit time or the number of protons extracted as a function of time. Still referring FIG. 3, the intensity control system 305 is further described. In this example, an intensity control feedback loop is added to the extraction system, described supra. When protons in the proton beam hit the material 330 electrons are given off from the material 330 resulting in a current. The resulting current is converted to a voltage and is used as part of an ion beam intensity monitoring system or as part of an ion beam feedback loop for controlling beam intensity. The voltage is optionally measured and sent to the main controller 110 or to an intensity controller subsystem 340, which is preferably in communication or under the direction of the main controller 110. More particularly, when protons in the charged particle beam path pass through the material 330, some of the protons lose a small fraction of their energy, such as about one-tenth of a percent, which results in a secondary electron. That is, protons in the charged particle beam push some electrons when passing through material 330 giving the electrons enough energy to cause secondary emission. The resulting electron flow results in a current or signal that is proportional to the number of protons going through the target or extraction material 330. The resulting current is preferably converted to voltage and amplified. The resulting signal is referred to as a measured intensity signal. The amplified signal or measured intensity signal resulting from the protons passing through the material 330 is optionally used in monitoring the intensity of the extracted protons and is preferably used in controlling the intensity of the extracted protons. For example, the measured intensity signal is compared to a goal signal, which is predetermined in an irradiation of the tumor plan. The difference between the measured intensity signal and the planned for goal signal is calculated. The difference is used as a control to the RF generator. Hence, the measured flow of current resulting from the protons passing through the material 330 is used as a control in the RF generator to increase or decrease the number of protons undergoing betatron oscillation and striking the material 330. Hence, the voltage determined off of the material 330 is used as a measure of the orbital path and is used as a feedback control to control the RF cavity system. In one example, the intensity controller subsystem 340 preferably additionally receives input from: (1) a detector 350, which provides a reading of the actual intensity of the proton beam and/or (2) an irradiation plan 360. The irradiation plan provides the desired intensity of the proton beam for each x, y, energy, and/or rotational position of the patient/tumor as a function of time. Thus, the intensity controller 340 receives the desired intensity from the irradiation plan 350, the actual intensity from the detector 350 and/or a measure of intensity from the material 330, and adjusts the amplitude and/or the duration of application of the applied radio-frequency field in the RF cavity system 310 to yield an intensity of the proton beam that matches the desired intensity from the irradiation plan 360. As described, supra, the protons striking the material 330 is a step in the extraction of the protons from the synchrotron 130. Hence, the measured intensity signal is used to change the number of protons per unit time being extracted, which is referred to as intensity of the proton beam. The intensity of the proton beam is thus under algorithm control. Further, the intensity of the proton beam is controlled separately from the velocity of the protons in the synchrotron 130. Hence, intensity of the protons extracted and the energy of the protons extracted are independently variable. Still further, the intensity of the extracted protons is controllably variable while scanning the charged particles beam in the tumor from one voxel to an adjacent voxel as a separate hexapole and separated time period from acceleration and/or treatment is not required, as described supra. For example, protons initially move at an equilibrium trajectory in the synchrotron 130. An RF field is used to excite or move the protons into a betatron oscillation. In one case, the frequency of the protons orbit is about 10 MHz. In one example, in about one millisecond or after about 10,000 orbits, the first protons hit an outer edge of the target material 130. The specific frequency is dependent upon the period of the orbit. Upon hitting the material 130, the protons push electrons through the foil to produce a current. The current is converted to voltage and amplified to yield a measured intensity signal. The measured intensity signal is used as a feedback input to control the applied RF magnitude or RF field. An energy beam sensor, described infra, is optionally used as a feedback control to the RF field frequency or RF field of the RF field extraction system 310 to dynamically control, modify, and/or alter the delivered charge particle beam energy, such as in a continuous pencil beam scanning system operating to treat tumor voxels without alternating between an extraction phase and a treatment phase. Preferably, the measured intensity signal is compared to a target signal and a measure of the difference between the measured intensity signal and target signal is used to adjust the applied RF field in the RF cavity system 310 in the extraction system to control the intensity of the protons in the extraction step. Stated again, the signal resulting from the protons striking and/or passing through the material 130 is used as an input in RF field modulation. An increase in the magnitude of the RF modulation results in protons hitting the foil or material 130 sooner. By increasing the RF, more protons are pushed into the foil, which results in an increased intensity, or more protons per unit time, of protons extracted from the synchrotron 130. In another example, a detector 350 external to the synchrotron 130 is used to determine the flux of protons extracted from the synchrotron and a signal from the external detector is used to alter the RF field, RF intensity, RF amplitude, and/or RF modulation in the RF cavity system 310. Here the external detector generates an external signal, which is used in a manner similar to the measured intensity signal, described in the preceding paragraphs. Preferably, an algorithm or irradiation plan 360 is used as an input to the intensity controller 340, which controls the RF field modulation by directing the RF signal in the betatron oscillation generation in the RF cavity system 310. The irradiation plan 360 preferably includes the desired intensity of the charged particle beam as a function of time and/or energy of the charged particle beam as a function of time, for each patient rotation position, and/or for each x-, y-position of the charged particle beam. In yet another example, when a current from material 330 resulting from protons passing through or hitting material is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In still yet another embodiment, intensity modulation of the extracted proton beam is controlled by the main controller 110. The main controller 110 optionally and/or additionally controls timing of extraction of the charged particle beam and energy of the extracted proton beam. The benefits of the system include a multi-dimensional scanning system. Particularly, the system allows independence in: (1) energy of the protons extracted and (2) intensity of the protons extracted. That is, energy of the protons extracted is controlled by an energy control system and an intensity control system controls the intensity of the extracted protons. The energy control system and intensity control system are optionally independently controlled. Preferably, the main controller 110 controls the energy control system and the main controller 110 simultaneously controls the intensity control system to yield an extracted proton beam with controlled energy and controlled intensity where the controlled energy and controlled intensity are independently variable and/or continually available as a separate extraction phase and acceleration phase are not required, as described supra. Thus the irradiation spot hitting the tumor is under independent control of: time; energy; intensity; x-axis position, where the x-axis represents horizontal movement of the proton beam relative to the patient, and y-axis position, where the y-axis represents vertical movement of the proton beam relative to the patient. In addition, the patient is optionally independently translated and/or rotated relative to a translational axis of the proton beam at the same time. Beam Transport The beam transport system 135 is used to move the charged particles from the accelerator to the patient, such as via a nozzle in a gantry, described infra. Charged Particle Energy The beam transport system 135 optionally includes means for determining an energy of the charged particles in the charged particle beam. For example, an energy of the charged particle beam is determined via calculation, such as via equation 1, using knowledge of a magnet geometry and applied magnetic field to determine mass and/or energy. Referring now to equation 1, for a known magnet geometry, charge, q, and magnetic field, B, the Larmor radius, ρL, or magnet bend radius is defined as: ρ L = v ⊥ Ω c = 2 Em qB ( eq . 1 ) where: ν⊥ is the ion velocity perpendicular to the magnetic field, Ωc is the cyclotron frequency, q is the charge of the ion, B is the magnetic field, m is the mass of the charge particle, and E is the charged particle energy. Solving for the charged particle energy yields equation 2. E = ( ρ L qB ) 2 2 m ( eq . 2 ) Thus, an energy of the charged particle in the charged particle beam in the beam transport system 135 is calculable from the know magnet geometry, known or measured magnetic field, charged particle mass, charged particle charge, and the known magnet bend radius, which is proportional to and/or equivalent to the Larmor radius. Nozzle After extraction from the synchrotron 130 and transport of the charged particle beam along the proton beam path 268 in the beam transport system 135, the charged particle beam exits through the nozzle system 146. In one example, the nozzle system includes a nozzle foil covering an end of the nozzle system 146 or a cross-sectional area within the nozzle system forming a vacuum seal. The nozzle system includes a nozzle that expands in x/y-cross-sectional area along the z-axis of the proton beam path 268 to allow the proton beam 268 to be scanned along the x-axis and y-axis by the vertical control element and horizontal control element, respectively. The nozzle foil is preferably mechanically supported by the outer edges of an exit port of the nozzle or nozzle system 146. An example of a nozzle foil is a sheet of about 0.1 inch thick aluminum foil. Generally, the nozzle foil separates atmosphere pressures on the patient side of the nozzle foil from the low pressure region, such as about 10−5 to 10−7 torr region, on the synchrotron 130 side of the nozzle foil. The low pressure region is maintained to reduce scattering of the circulating charged particle beam in the synchrotron. Herein, the exit foil of the nozzle is optionally the first sheet 760 of the charged particle beam state determination system 750, described infra. Charged Particle Control Referring now to FIG. 4A, FIG. 4B, FIG. 5, FIG. 6A, and FIG. 6B, a charged particle beam control system is described where one or more patient specific beam control assemblies are removably inserted into the charged particle beam path proximate the nozzle of the charged particle cancer therapy system 100, where the patient specific beam control assemblies adjust the beam energy, diameter, cross-sectional shape, focal point, and/or beam state of the charged particle beam to properly couple energy of the charged particle beam to the individual's specific tumor. Beam Control Tray Referring now to FIG. 4A and FIG. 4B, a beam control tray assembly 400 is illustrated in a top view and side view, respectively. The beam control tray assembly 400 optionally comprises any of a tray frame 410, a tray aperture 412, a tray handle 420, a tray connector/communicator 430, and means for holding a patient specific tray insert 510, described infra. Generally, the beam control tray assembly 400 is used to: (1) hold the patient specific tray insert 510 in a rigid location relative to the beam control tray 400, (2) electronically identify the held patient specific tray insert 510 to the main controller 110, and (3) removably insert the patient specific tray insert 510 into an accurate and precise fixed location relative to the charged particle beam, such as the proton beam path 268 at the nozzle of the charged particle cancer therapy system 100. For clarity of presentation and without loss of generality, the means for holding the patient specific tray insert 510 in the tray frame 410 of the beam control tray assembly 400 is illustrated as a set of recessed set screws 415. However, the means for holding the patient specific tray insert 510 relative to the rest of the beam control tray assembly 400 is optionally any mechanical and/or electromechanical positioning element, such as a latch, clamp, fastener, clip, slide, strap, or the like. Generally, the means for holding the patient specific tray insert 510 in the beam control tray 400 fixes the tray insert and tray frame relative to one another even when rotated along and/or around multiple axes, such as when attached to a charged particle cancer therapy system 100, nozzle system 146, dynamic gantry nozzle, or gantry nozzle, which is an optional element of the nozzle system 146, that moves in three-dimensional space relative to a fixed point in the beamline, proton beam path 268, and/or a given patient position. As illustrated in FIG. 4A and FIG. 4B, the recessed set screws 415 fix the patient specific tray insert 510 into the aperture 412 of the tray frame 410. The tray frame 410 is illustrated as circumferentially surrounding the patient specific tray insert 510, which aids in structural stability of the beam control tray assembly 400. However, generally the tray frame 410 is of any geometry that forms a stable beam control tray assembly 400. Still referring to FIG. 4A and now referring to FIG. 5 and FIG. 6A, the optional tray handle 420 is used to manually insert/retract the beam control tray assembly 400 into a receiving element of the gantry nozzle, nozzle system 146, or dynamic gantry nozzle. While the beam control tray assembly 400 is optionally inserted into the charged particle beam path 268 at any point after extraction from the synchrotron 130, the beam control tray assembly 400 is preferably inserted into the positively charged particle beam proximate the nozzle system 146 or dynamic gantry nozzle as control of the beam shape is preferably done with little space for the beam shape to defocus before striking the tumor. Optionally, insertion and/or retraction of the beam control tray assembly 400 is semi-automated, such as in a manner of a digital-video disk player receiving a digital-video disk, with a selected auto-load and/or a selected auto-unload feature. Patient Specific Tray Insert Referring again to FIG. 5, a system of assembling trays 500 is described. The beam control tray assembly 400 optionally and preferably has interchangeable patient specific tray inserts 510, such as a range shifter insert 511, a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. As described, supra, any of the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 after insertion into the tray frame 410 are inserted as the beam control tray assembly 400 into the positively charged particle beam path 268, such as proximate the nozzle system 146 or dynamic gantry nozzle. Still referring to FIG. 5, the patient specific tray inserts 510 are further described. The patient specific tray inserts comprise a combination of any of: (1) a standardized beam control insert and (2) a patient specific beam control insert. For example, the range shifter insert or 511 or compensator insert 514 used to control the depth of penetration of the charged particle beam into the patient is optionally: (a) a standard thickness of a beam slowing material, such as a first thickness of Lucite, an acrylic, a clear plastic, and/or a thermoplastic material, (b) one member of a set of members of varying thicknesses and/or densities where each member of the set of members slows the charged particles in the beam path by a known amount, or (c) is a material with a density and thickness designed to slow the charged particles by a customized amount for the individual patient being treated, based on the depth of the individual's tumor in the tissue, the thickness of intervening tissue, and/or the density of intervening bone/tissue. Similarly, the ridge filter insert 512 used to change the focal point or shape of the beam as a function of depth is optionally: (1) selected from a set of ridge filters where different members of the set of ridge filters yield different focal depths or (2) customized for treatment of the individual's tumor based on position of the tumor in the tissue of the individual. Similarly, the aperture insert is: (1) optionally selected from a set of aperture shapes or (2) is a customized individual aperture insert 513 designed for the specific shape of the individual's tumor. The blank insert 515 is an open slot, but serves the purpose of identifying slot occupancy, as described infra. Slot Occupancy/Identification Referring again to FIG. 4A, FIG. 4B, and FIG. 5, occupancy and identification of the particular patient specific tray insert 510 into the beam control tray assembly 400 is described. Generally, the beam control tray assembly 400 optionally contains means for identifying, to the main controller 110 and/or a treatment delivery control system described infra, the specific patient tray insert 510 and its location in the charged particle beam path 268. First, the particular tray insert is optionally labeled and/or communicated to the beam control tray assembly 400 or directly to the main controller 110. Second, the beam control tray assembly 400 optionally communicates the tray type and/or tray insert to the main controller 110. In various embodiments, communication of the particular tray insert to the main controller 110 is performed: (1) directly from the tray insert, (2) from the tray insert 510 to the tray assembly 400 and subsequently to the main controller 110, and/or (3) directly from the tray assembly 400. Generally, communication is performed wirelessly and/or via an established electromechanical link. Identification is optionally performed using a radio-frequency identification label, use of a barcode, or the like, and/or via operator input. Examples are provided to further clarify identification of the patient specific tray insert 510 in a given beam control tray assembly 400 to the main controller. In a first example, one or more of the patient specific tray inserts 510, such as the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 include an identifier 520 and/or and a first electromechanical identifier plug 530. The identifier 520 is optionally a label, a radio-frequency identification tag, a barcode, a 2-dimensional bar-code, a matrix-code, or the like. The first electromechanical identifier plug 530 optionally includes memory programmed with the particular patient specific tray insert information and a connector used to communicate the information to the beam control tray assembly 400 and/or to the main controller 110. As illustrated in FIG. 5, the first electromechanical identifier plug 530 affixed to the patient specific tray insert 510 plugs into a second electromechanical identifier plug, such as the tray connector/communicator 430, of the beam control tray assembly 400, which is described infra. In a second example, the beam control tray assembly 400 uses the second electromechanical identifier plug to send occupancy, position, and/or identification information related to the type of tray insert or the patient specific tray insert 510 associated with the beam control tray assembly to the main controller 110. For example, a first tray assembly is configured with a first tray insert and a second tray assembly is configured with a second tray insert. The first tray assembly sends information to the main controller 110 that the first tray assembly holds the first tray insert, such as a range shifter, and the second tray assembly sends information to the main controller 110 that the second tray assembly holds the second tray insert, such as an aperture. The second electromechanical identifier plug optionally contains programmable memory for the operator to input the specific tray insert type, a selection switch for the operator to select the tray insert type, and/or an electromechanical connection to the main controller. The second electromechanical identifier plug associated with the beam control tray assembly 400 is optionally used without use of the first electromechanical identifier plug 530 associated with the tray insert 510. In a third example, one type of tray connector/communicator 430 is used for each type of patient specific tray insert 510. For example, a first connector/communicator type is used for holding a range shifter insert 511, while a second, third, fourth, and fifth connector/communicator type is used for trays respectively holding a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. In one case, the tray communicates tray type with the main controller. In a second case, the tray communicates patient specific tray insert information with the main controller, such as an aperture identifier custom built for the individual patient being treated. Tray Insertion/Coupling Referring now to FIG. 6A and FIG. 6B a beam control insertion process 600 is described. The beam control insertion process 600 comprises: (1) insertion of the beam control tray assembly 400 and the associated patient specific tray insert 510 into the charged particle beam path 268 and/or dynamic gantry nozzle 610, such as into a tray assembly receiver 620 and (2) an optional partial or total retraction of beam of the tray assembly receiver 620 into the dynamic gantry nozzle 610. Referring now to FIG. 6A, insertion of one or more of the beam control tray assemblies 400 and the associated patient specific tray inserts 510 into the dynamic gantry nozzle 610 is further described. In FIG. 6A, three beam control tray assemblies, of a possible n tray assemblies, are illustrated, a first tray assembly 402, a second tray assembly 404, and a third tray assembly 406, where n is a positive integer of 1, 2, 3, 4, 5 or more. As illustrated, the first tray assembly 402 slides into a first receiving slot 403, the second tray assembly 404 slides into a second receiving slot 405, and the third tray assembly 406 slides into a third receiving slot 407. Generally, any tray optionally inserts into any slot or tray types are limited to particular slots through use of a mechanical, physical, positional, and/or steric constraints, such as a first tray type configured for a first insert type having a first size and a second tray type configured for a second insert type having a second distinct size at least ten percent different from the first size. Still referring to FIG. 6A, identification of individual tray inserts inserted into individual receiving slots is further described. As illustrated, sliding the first tray assembly 402 into the first receiving slot 403 connects the associated electromechanical connector/communicator 430 of the first tray assembly 402 to a first receptor 626. The electromechanical connector/communicator 430 of the first tray assembly communicates tray insert information of the first beam control tray assembly to the main controller 110 via the first receptor 626. Similarly, sliding the second tray assembly 404 into the second receiving slot 405 connects the associated electromechanical connector/communicator 430 of the second tray assembly 404 into a second receptor 627, which links communication of the associated electromechanical connector/communicator 430 with the main controller 110 via the second receptor 627, while a third receptor 628 connects to the electromechanical connected placed into the third slot 407. The non-wireless/direct connection is preferred due to the high radiation levels within the treatment room and the high shielding of the treatment room, which both hinder wireless communication. The connection of the communicator and the receptor is optionally of any configuration and/or orientation. Tray Receiver Assembly Retraction Referring again to FIG. 6A and FIG. 6B, retraction of the tray receiver assembly 620 relative to a nozzle end 612 of the dynamic gantry nozzle 610 is described. The tray receiver assembly 620 comprises a framework to hold one or more of the beam control tray assemblies 400 in one or more slots, such as through use of a first tray receiver assembly side 622 through which the beam control tray assemblies 400 are inserted and/or through use of a second tray receiver assembly side 624 used as a backstop, as illustrated holding the plugin receptors configured to receive associated tray connector/communicators 430, such as the first, second, and third receptors 626, 627, 628. Optionally, the tray receiver assembly 620 retracts partially or completely into the dynamic gantry nozzle 610 using a retraction mechanism 660 configured to alternately retract and extend the tray receiver assembly 620 relative to a nozzle end 612 of the gantry nozzle 610, such as along a first retraction track 662 and a second retraction track 664 using one or more motors and computer control. Optionally the tray receiver assembly 620 is partially or fully retracted when moving the gantry, nozzle, and/or gantry nozzle 610 to avoid physical constraints of movement, such as potential collision with another object in the patient treatment room. For clarity of presentation and without loss of generality, several examples of loading patient specific tray inserts into tray assemblies with subsequent insertion into an positively charged particle beam path proximate a gantry nozzle 610 are provided. In a first example, a single beam control tray assembly 400 is used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific range shifter insert 511, which is custom fabricated for a patient, is loaded into a patient specific tray insert 510 to form a first tray assembly 402, where the first tray assembly 402 is loaded into the third receptor 628, which is fully retracted into the gantry nozzle 610. In a second example, two beam control assemblies 400 are used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific ridge filter 512 is loaded into a first tray assembly 402, which is loaded into the second receptor 627 and a patient specific aperture 513 is loaded into a second tray assembly 404, which is loaded into the first receptor 626 and the two associated tray connector/communicators 430 using the first receptor 626 and second receptor 627 communicate to the main controller 110 the patient specific tray inserts 510. The tray receiver assembly 620 is subsequently retracted one slot so that the patient specific ridge filter 512 and the patient specific aperture reside outside of and at the nozzle end 612 of the gantry nozzle 610. In a third example, three beam control tray assemblies 400 are used, such as a range shifter 511 in a first tray inserted into the first receiving slot 403, a compensator in a second tray inserted into the second receiving slot 405, and an aperture in a third tray inserted into the third receiving slot 407. Generally, any patient specific tray insert 510 is inserted into a tray frame 410 to form a beam control tray assembly 400 inserted into any slot of the tray receiver assembly 620 and the tray assembly is not retracted or retracted any distance into the gantry nozzle 610. Tomography/Beam State In one embodiment, the charged particle tomography apparatus is used to image a tumor in a patient. As current beam position determination/verification is used in both tomography and cancer therapy treatment, for clarity of presentation and without limitation beam state determination is also addressed in this section. However, beam state determination is optionally used separately and without tomography. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system using common elements. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system that are part of the cancer therapy system, described supra. In various examples, the tomography imaging system is optionally simultaneously operational with a charged particle cancer therapy system using common elements, allows tomographic imaging with rotation of the patient, is operational on a patient in an upright, semi-upright, and/or horizontal position, is simultaneously operational with X-ray imaging, and/or allows use of adaptive charged particle cancer therapy. Further, the common tomography and cancer therapy apparatus elements are optionally operational in a multi-axis and/or multi-field raster beam mode. In conventional medical X-ray tomography, a sectional image through a body is made by moving one or both of an X-ray source and the X-ray film in opposite directions during the exposure. By modifying the direction and extent of the movement, operators can select different focal planes, which contain the structures of interest. More modern variations of tomography involve gathering projection data from multiple directions by moving the X-ray source and feeding the data into a tomographic reconstruction software algorithm processed by a computer. Herein, in stark contrast to known methods, the radiation source is a charged particle, such as a proton ion beam or a carbon ion beam. A proton beam is used herein to describe the tomography system, but the description applies to a heavier ion beam, such as a carbon ion beam. Further, in stark contrast to known techniques, herein the radiation source is preferably stationary while the patient is rotated. Referring now to FIG. 7, an example of a tomography apparatus is described and an example of a beam state determination is described. In this example, the tomography system 700 uses elements in common with the charged particle beam system 100, including elements of one or more of the injection system 120, the accelerator 130, a positively charged particle beam transport path 268 within a beam transport housing 320 in the beam transport system 135, the targeting/delivery system 140, the patient interface module 150, the display system 160, and/or the imaging system 170, such as the X-ray imaging system. The scintillation material is optionally one or more scintillation plates, such as a scintillating plastic, used to measure energy, intensity, and/or position of the charged particle beam. For instance, a scintillation material 710 or scintillation plate is positioned behind the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure intensity and/or position of the charged particle beam after transmitting through the patient. Optionally, a second scintillation plate or a charged particle induced photon emitting sheet, described infra, is positioned prior to the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure incident intensity and/or position of the charged particle beam prior to transmitting through the patient. The charged particle beam system 100 as described has proven operation at up to and including 330 MeV, which is sufficient to send protons through the body and into contact with the scintillation material. Particularly, 250 MeV to 330 MeV are used to pass the beam through a standard sized patient with a standard sized pathlength, such as through the chest. The intensity or count of protons hitting the plate as a function of position is used to create an image. The velocity or energy of the proton hitting the scintillation plate is also used in creation of an image of the tumor 720 and/or an image of the patient 730. The patient 730 is rotated about the y-axis and a new image is collected. Preferably, a new image is collected with about every one degree of rotation of the patient resulting in about 360 images that are combined into a tomogram using tomographic reconstruction software. The tomographic reconstruction software uses overlapping rotationally varied images in the reconstruction. Optionally, a new image is collected at about every 2, 3, 4, 5, 10, 15, 30, or 45 degrees of rotation of the patient. Herein, the scintillation material 710 or scintillator is any material that emits a photon when struck by a positively charged particle or when a positively charged particle transfers energy to the scintillation material sufficient to cause emission of light. Optionally, the scintillation material emits the photon after a delay, such as in fluorescence or phosphorescence. However, preferably, the scintillator has a fast fifty percent quench time, such as less than 0.0001, 0.001, 0.01, 0.1, 1, 10, 100, or 1,000 milliseconds, so that the light emission goes dark, falls off, or terminates quickly. Preferred scintillation materials include sodium iodide, potassium iodide, cesium iodide, an iodide salt, and/or a doped iodide salt. Additional examples of the scintillation materials include, but are not limited to: an organic crystal, a plastic, a glass, an organic liquid, a luminophor, and/or an inorganic material or inorganic crystal, such as barium fluoride, BaF2; calcium fluoride, CaF2, doped calcium fluoride, sodium iodide, NaI; doped sodium iodide, sodium iodide doped with thallium, NaI(Tl); cadmium tungstate, CdWO4; bismuth germanate; cadmium tungstate, CdWO4; calcium tungstate, CaWO4; cesium iodide, CsI; doped cesium iodide; cesium iodide doped with thallium, CsI(Tl); cesium iodide doped with sodium CsI(Na); potassium iodide, KI; doped potassium iodide, gadolinium oxysulfide, Gd2O2S; lanthanum bromide doped with cerium, LaBr3(Ce); lanthanum chloride, LaCl3; cesium doped lanthanum chloride, LaCl3(Ce); lead tungstate, PbWO4; LSO or lutetium oxyorthosilicate (Lu2SiO5); LYSO, Lu1.8Y0.2SiO5(Ce); yttrium aluminum garnet, YAG(Ce); zinc sulfide, ZnS(Ag); and zinc tungstate, ZnWO4. In one embodiment, a tomogram or an individual tomogram section image is collected at about the same time as cancer therapy occurs using the charged particle beam system 100. For example, a tomogram is collected and cancer therapy is subsequently performed: without the patient moving from the positioning systems, such as in a semi-vertical partial immobilization system, a sitting partial immobilization system, or the a laying position. In a second example, an individual tomogram slice is collected using a first cycle of the accelerator 130 and using a following cycle of the accelerator 130, the tumor 720 is irradiated, such as within about 1, 2, 5, 10, 15 or 30 seconds. In a third case, about 2, 3, 4, or 5 tomogram slices are collected using 1, 2, 3, 4, or more rotation positions of the patient 730 within about 5, 10, 15, 30, or 60 seconds of subsequent tumor irradiation therapy. In another embodiment, the independent control of the tomographic imaging process and X-ray collection process allows simultaneous single and/or multi-field collection of X-ray images and tomographic images easing interpretation of multiple images. Indeed, the X-ray and tomographic images are optionally overlaid to from a hybrid X-ray/proton beam tomographic image as the patient 730 is optionally in the same position for each image. In still another embodiment, the tomogram is collected with the patient 730 in the about the same position as when the patient's tumor is treated using subsequent irradiation therapy. For some tumors, the patient being positioned in the same upright or semi-upright position allows the tumor 720 to be separated from surrounding organs or tissue of the patient 730 better than in a laying position. Positioning of the scintillation material 710 behind the patient 730 allows the tomographic imaging to occur while the patient is in the same upright or semi-upright position. The use of common elements in the tomographic imaging and in the charged particle cancer therapy allows benefits of the cancer therapy, described supra, to optionally be used with the tomographic imaging, such as proton beam x-axis control, proton beam y-axis control, control of proton beam energy, control of proton beam intensity, timing control of beam delivery to the patient, rotation control of the patient, and control of patient translation all in a raster beam mode of proton energy delivery. The use of a single proton or cation beamline for both imaging and treatment facilitates eases patient setup, reduces alignment uncertainties, reduces beam state uncertainties, and eases quality assurance. In yet still another embodiment, initially a three-dimensional tomographic proton based reference image is collected, such as with hundreds of individual rotation images of the tumor 720 and patient 730. Subsequently, just prior to proton treatment of the cancer, just a few 2-dimensional control tomographic images of the patient are collected, such as with a stationary patient or at just a few rotation positions, such as an image straight on to the patient, with the patient rotated about 45 degrees each way, and/or the patient rotated about 90 degrees each way about the y-axis. The individual control images are compared with the 3-dimensional reference image. An adaptive proton therapy is subsequently performed where: (1) the proton cancer therapy is not used for a given position based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images and/or (2) the proton cancer therapy is modified in real time based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images. Charged Particle State Determination/Verification/Photonic Monitoring Still referring to FIG. 7, the tomography system 700 is optionally used with a charged particle beam state determination system 750, optionally used as a charged particle verification system. The charged particle state determination system 750 optionally measures, determines, and/or verifies one of more of: (1) position of the charged particle beam, such as the treatment beam 269, (2) direction of the treatment beam 269, (3) intensity of the treatment beam 269, (4) energy of the treatment beam 269, (5) position, direction, intensity, and/or energy of the charged particle beam, such as a residual charged particle beam 267 after passing through a sample or the patient 730, and (6) a history of the charged particle beam. For clarity of presentation and without loss of generality, a description of the charged particle beam state determination system 750 is described and illustrated separately in FIG. 8 and FIG. 9A; however, as described herein elements of the charged particle beam state determination system 750 are optionally and preferably integrated into the nozzle system 146 and/or the tomography system 700 of the charged particle treatment system 100. More particularly, any element of the charged particle beam state determination system 750 is integrated into the nozzle system 146, the dynamic gantry nozzle 610, and/or tomography system 700, such as a surface of the scintillation material 710 or a surface of a scintillation detector, plate, or system. The nozzle system 146 or the dynamic gantry nozzle 610 provides an outlet of the charged particle beam from the vacuum tube initiating at the injection system 120 and passing through the synchrotron 130 and beam transport system 135. Any plate, sheet, fluorophore, or detector of the charged particle beam state determination system is optionally integrated into the nozzle system 146. For example, an exit foil of the nozzle 610 is optionally a first sheet 760 of the charged particle beam state determination system 750 and a first coating 762 is optionally coated onto the exit foil, as illustrated in FIG. 7. Similarly, optionally a surface of the scintillation material 710 is a support surface for a fourth coating 792, as illustrated in FIG. 7. The charged particle beam state determination system 750 is further described, infra. Referring now to FIG. 7, FIG. 8, and FIG. 9A, four sheets, a first sheet 760, a second sheet 770, a third sheet 780, and a fourth sheet 790 are used to illustrated detection sheets and/or photon emitting sheets upon transmittance of a charged particle beam. Each sheet is optionally coated with a photon emitter, such as a fluorophore, such as the first sheet 760 is optionally coated with a first coating 762. Without loss of generality and for clarity of presentation, the four sheets are each illustrated as units, where the light emitting layer is not illustrated. Thus, for example, the second sheet 770 optionally refers to a support sheet, a light emitting sheet, and/or a support sheet coated by a light emitting element. The four sheets are representative of n sheets, where n is a positive integer. Referring now to FIG. 7 and FIG. 8, the charged particle beam state verification system 750 is a system that allows for monitoring of the actual charged particle beam position in real-time without destruction of the charged particle beam. The charged particle beam state verification system 750 preferably includes a first position element or first beam verification layer, which is also referred to herein as a coating, luminescent, fluorescent, phosphorescent, radiance, or viewing layer. The first position element optionally and preferably includes a coating or thin layer substantially in contact with a sheet, such as an inside surface of the nozzle foil, where the inside surface is on the synchrotron side of the nozzle foil. Less preferably, the verification layer or coating layer is substantially in contact with an outer surface of the nozzle foil, where the outer surface is on the patient treatment side of the nozzle foil. Preferably, the nozzle foil provides a substrate surface for coating by the coating layer. Optionally, a binding layer is located between the coating layer and the nozzle foil, substrate, or support sheet. Optionally, the position element is placed anywhere in the charged particle beam path. Optionally, more than one position element on more than one sheet, respectively, is used in the charged particle beam path and is used to determine a state property of the charged particle beam, as described infra. Still referring to FIG. 7 and FIG. 8, the coating, referred to as a fluorophore, yields a measurable spectroscopic response, spatially viewable by a detector or camera, as a result of transmission by the proton beam. The coating is preferably a phosphor, but is optionally any material that is viewable or imaged by a detector where the material changes spectroscopically as a result of the charged particle beam hitting or transmitting through the coating or coating layer. A detector or camera views secondary photons emitted from the coating layer and determines a position of a treatment beam 269, which is also referred to as a current position of the charged particle beam or final treatment vector of the charged particle beam, by the spectroscopic differences resulting from protons and/or charged particle beam passing through the coating layer. For example, the camera views a surface of the coating surface as the proton beam or positively charged cation beam is being scanned by the first axis control 143, vertical control, and the second axis control 144, horizontal control, beam position control elements during treatment of the tumor 720. The camera views the current position of the charged particle beam or treatment beam 269 as measured by spectroscopic response. The coating layer is preferably a phosphor or luminescent material that glows and/or emits photons for a short period of time, such as less than 5 seconds for a 50% intensity, as a result of excitation by the charged particle beam. The detector observes the temperature change and/or observe photons emitted from the charged particle beam traversed spot. Optionally, a plurality of cameras or detectors are used, where each detector views all or a portion of the coating layer. For example, two detectors are used where a first detector views a first half of the coating layer and the second detector views a second half of the coating layer. Preferably, at least a portion of the detector is mounted into the nozzle system to view the proton beam position after passing through the first axis and second axis controllers 143, 144. Preferably, the coating layer is positioned in the proton beam path 268 in a position prior to the protons striking the patient 730. Referring now to FIG. 1 and FIG. 7, the main controller 110, connected to the camera or detector output, optionally and preferably compares the final proton beam position or position of the treatment beam 269 with the planned proton beam position and/or a calibration reference to determine if the actual proton beam position or position of the treatment beam 269 is within tolerance. The charged particle beam state determination system 750 preferably is used in one or more phases, such as a calibration phase, a mapping phase, a beam position verification phase, a treatment phase, and a treatment plan modification phase. The calibration phase is used to correlate, as a function of x-, y-position of the glowing response the actual x-, y-position of the proton beam at the patient interface. During the treatment phase, the charged particle beam position is monitored and compared to the calibration and/or treatment plan to verify accurate proton delivery to the tumor 720 and/or as a charged particle beam shutoff safety indicator. Referring now to FIG. 10, the position verification system 179 and/or the treatment delivery control system 112, upon determination of a tumor shift, an unpredicted tumor distortion upon treatment, and/or a treatment anomaly optionally generates and or provides a recommended treatment change 1070. The treatment change 1070 is optionally sent out while the patient 730 is still in the treatment position, such as to a proximate physician or over the internet to a remote physician, for physician approval 1072, receipt of which allows continuation of the now modified and approved treatment plan. |
|
claims | 1. A transport/storage container for spent nuclear-fuel elements, the container comprising: spaced inner and outer side walls defining an annular space extending along an axis and having upper and lower ends a cover at the upper end of the side walls; a floor at the lower end of the side walls; and a plurality of sheet-metal heat-conducting elements in the annular space, each having one edge fixed to one of the side walls and an opposite edge bearing radially on the other of the side walls, and each having portions punched out from and extending at an acute angle to the respective heat-conducting element. 2. The transport/storage container defined in claim 1 wherein the one side wall to which the one edges of the heat-conducting elements are fixed is the inner side wall. claim 1 3. The transport/storage container defined in claim 1 wherein the floor extends substantially perpendicular to the axis and the heat-conducting elements extend substantially perpendicular to the floor. claim 1 4. The transport/storage container defined in claim 1 wherein the heat-conducting elements have a thickness of between 1 mm and 8 mm. claim 1 5. The transport/storage container defined in claim 1 further comprising claim 1 a mass of concrete filling the annular space between the side walls and around the heat-conducting elements. 6. A transport/storage container for spent nuclear-fuel elements, the container comprising: spaced inner and outer side walls defining an annular space extending along an axis and having upper and lower ends a cover at the upper end of the side walls; a floor at the lower end of the side walls and including an inner floor wall connected to the inner side wall and an outer floor wall spaced from the inner floor wall and connected to the outer side wall; a plurality of side heat-conducting elements in the annular space and each having one edge fixed to one of the side walls and an opposite edge bearing radially on the other of the side walls; and a plurality of floor heat-conducting elements in the annular space between the inner and outer floor walls and each having one edge fixed to one of the floor walls and an opposite edge bearing axially on the other of the floor walls. 7. A transport/storage container for spent nuclear-fuel elements, the container comprising: spaced inner and outer side walls defining an annular space extending along an axis and having upper and lower ends respective inner and outer sets of axially extending U-shaped profiles fixed to the inner and outer side walls and having arms extending radially therefrom; a cover at the upper end of the side walls; a floor at the lower end of the side walls; and a plurality of heat-conducting elements in the annular space and each having one edge welded to respective arms of the profiles of one of the side walls and an opposite edge bearing radially on the arms of the profiles of the other of the side walls. |
|
056423900 | summary | CROSS-REFERENCE TO RELATED APPLICATION This application is a Continuation of International Application Ser. No. PCT/EP94/02470, filed Jul. 26, 1994, published as WO95/04994, Feb. 16, 1995. BACKGROUND OF THE INVENTION FIELD OF THE INVENTION The invention relates to a nuclear-fuel sintered pellet containing UO.sub.2, (U, Pu)O.sub.2, (U, Th)O.sub.2, (U, RE)O.sub.2, (U, Pu, Th)O.sub.2, (U, Pu, RE)O.sub.2, (U, Th, RE)O.sub.2 or (U, Pu, Th, RE)O.sub.2, wherein RE=rare earth. The invention also relates to a nuclear-reactor fuel assembly including a fuel rod having a cladding tube and such a uranium-containing nuclear-fuel sintered pellet in the cladding tube. The invention additionally relates to a method for treating such a uranium-containing nuclear-fuel sintered pellet. Published European Patent Application 0 239 843 A1, corresponding to U.S. Pat. No. 4,774,051, discloses a nuclear-fuel sintered pellet made of UO.sub.2, (U, Pu)O.sub.2 or (U, Th)O.sub.2. Boron is incorporated as a neutron poison in the chemical compound form UB.sub.x, wherein x=2; 4 and/or 12 and/or B.sub.4 C, in a sinter matrix of that nuclear-fuel sintered pellet. That known nuclear-fuel sintered pellet is obtained by producing a mixture of uranium oxide powder or uranium mixed oxide powder with uranium boride powder or boron carbide powder and pressing it to form pellets which are subsequently sintered in a sintering furnace under a reducing sintering atmosphere to form nuclear-fuel sintered pellets. In those nuclear-fuel sintered pellets, the boron is thereby uniformly distributed throughout the sinter matrix. From the neutron physics point of view, boron in uranium-containing nuclear-fuel sintered pellets is a burnable neutron absorber which loses its property as an absorber for thermal neutrons after those nuclear-fuel sintered pellets have been used in a nuclear reactor for a certain period of time. Nuclear-reactor fuel assemblies having fuel rods that contain uranium-containing nuclear-fuel sintered pellets are used in a nuclear reactor, for example, during four sequential fuel assembly cycles, generally being of equal durations. At the end of a fuel assembly cycle, some of the nuclear-reactor fuel assemblies in the nuclear reactor are in each case replaced by fresh, unirradiated nuclear-reactor fuel assemblies. The fresh, unirradiated nuclear-reactor fuel assemblies would cause a comparatively high reactivity in the nuclear reactor relative to the nuclear-reactor fuel assemblies that are already irradiated. However, the boron in the nuclear-fuel sintered pellets of those fresh, unirradiated nuclear-reactor fuel assemblies at first moderates the reactivity due to those nuclear-reactor fuel assemblies by initially absorbing thermal neutrons. The nuclear fuel in fresh and unirradiated nuclear-reactor fuel assemblies gradually burns out in the nuclear reactor through nuclear decay, but a burnable neutron absorber that is present in that nuclear fuel simultaneously burns out gradually due to the physical effects of neutrons, so that finally, that neutron absorber absorbs no thermal neutrons or only very few. In that way, even unirradiated nuclear-reactor fuel assemblies being newly loaded into the nuclear reactor may cause approximately the same reactivity in the nuclear reactor during their entire residence time in the nuclear reactor, as the nuclear-reactor fuel assemblies which have already spent a fuel assembly cycle in the nuclear reactor. Boron is advantageously used as a neutron absorber in a nuclear fuel as compared to other burnable neutron absorbers such as rare earths if the fuel assembly cycles are comparatively long, i.e., for example, longer than 12 months, since accumulation of heat in the nuclear fuel is avoided with boron. SUMMARY OF THE INVENTION It is accordingly an object of the invention to provide a uranium-containing nuclear-fuel sintered pellet, a nuclear-reactor fuel assembly having a uranium-containing nuclear-fuel sintered pellet and a method for treating a uranium-containing nuclear-fuel sintered pellet, which overcome the hereinafore-mentioned disadvantages of the heretofore-known products and methods of this general type and in which an excessively fast and excessively high increase in reactivity is not caused upon startup of a nuclear reactor if the nuclear-fuel sintered pellet is newly loaded, in the unirradiated state, in the nuclear reactor. Since the surface of the uranium-containing nuclear-fuel sintered pellets in the cladding tube of a fuel rod in the nuclear reactor is kept relatively constant at a substantially lower temperature than the rest of the sintered pellet by a coolant flowing past the exterior of the cladding tube, whereas, however, in the case of the uranium-containing nuclear-fuel sintered pellet according to the invention most of the boron is situated in a surface layer, chemical reactions between UB.sub.x and UO.sub.2 take place only to a limited extent in that surface layer, so that the boron cannot emerge from the uranium-containing nuclear-fuel sintered pellet according to the invention, and a reactivity increase having a rate and amplitude that is moderated is thereby guaranteed. With the foregoing and other objects in view there is provided, in accordance with the invention, a uranium-containing nuclear-fuel sintered pellet containing UO.sub.2, comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound UB.sub.x with at least one number x from a number set 2; 4 and 12, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. With the objects of the invention in view, there is also provided a uranium-containing nuclear-fuel sintered pellet containing (U, Pu)O.sub.2, comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, Pu)B.sub.x with at least one number x from a number set 2; 4 and 12, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. With the objects of the invention in view, there is additionally provided a uranium-containing nuclear-fuel sintered pellet containing (U, Th)O.sub.2, comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, Th)B.sub.x with at least one number x from a number set 4 and 6, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. With the objects of the invention in view, there is further provided a uranium-containing nuclear-fuel sintered pellet containing (U, RE)O.sub.2 (RE=rare earth), comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, RE)B.sub.x with at least one number x from a number set 4; 6 and 12, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. With the objects of the invention in view, there is also provided a uranium-containing nuclear-fuel sintered pellet containing (U, Pu, Th)O.sub.2, comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, Pu, Th)B.sub.x with at least one number x from a number set 2; 4; 6 and 12, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. With the objects of the invention in view, there is additionally provided a uranium-containing nuclear-fuel sintered pellet containing (U, Pu, RE)O.sub.2 (RE=rare earth), comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, Pu, RE)B.sub.x with at least one number x from a number set 2; 4; 6 and 12, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compounds. With the objects of the invention in view, there is further provided a uranium-containing nuclear-fuel sintered pellet containing (U, Th, RE)O.sub.2 (RE=rare earth), comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, Th, RE)B.sub.x with at least one number x from a number set 4 and 6, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. With the objects of the invention in view, there is also provided a uranium-containing nuclear-fuel sintered pellet containing (U, Pu, Th, RE)O.sub.2 (RE=rare earth), comprising a sintered-pellet surface layer being formed of at least 80% by volume of a chemical boron compound (U, Pu, Th, RE)B.sub.x with at least one number x from a number set 4 and 6, and a remainder of the sintered pellet containing at most 5% by volume of the chemical boron compound. In accordance with another feature of the invention, there is provided at least 90% by volume of the chemical boron compounds in the sintered-pellet surface, and at most 2% by volume of the chemical boron compounds in the remainder of the sintered pellet. In accordance with a further feature of the invention, there is provided at least 98% by volume of the chemical boron compound in the sintered-pellet surface layer, and at most 1% by volume of the chemical boron compound in the remainder of the sintered pellet. In accordance with an added feature of the invention, the remainder of the sintered pellet is without a detectable boron content. In accordance with an additional feature of the invention, the boron-containing sintered-pellet surface layer has a thickness of from 2 to 40 .mu.m. In accordance with yet another feature of the invention, the boron-containing sintered-pellet surface layer has a thickness of from 5 to 20 .mu.m. In accordance with yet a further feature of the invention, the isotope B.sub.10 in the boron of the chemical boron compound is enriched relative to a natural isotopic composition. With the objects of the invention in view, there is also provided a nuclear-reactor fuel assembly, comprising a fuel rod having a cladding tube, and such a uranium-containing nuclear-fuel sintered pellet in the cladding tube. With the objects of the invention in view, there is additionally provided a method for treating a uranium-containing nuclear-fuel sintered pellet with boron or a boron-containing chemical compound, which comprises treating a uranium-containing nuclear-fuel sintered pellet with boron or a boron-containing chemical compound at a treatment temperature being high enough to form uranium-containing boride in a surface layer of the nuclear-fuel sintered pellet. In accordance with another mode of the invention, there is provided a method which comprises carrying out the treatment step in the presence of hydrogen-containing inert gas. In accordance with a further mode of the invention, there is provided a method which comprises carrying out the treatment step in the presence of at least one hydrogen-containing inert gas selected from the group consisting of helium, argon and nitrogen. In accordance with an added mode of the invention, there is provided a method which comprises embedding the uranium-containing nuclear-fuel sintered pellet in a boron and/or a boron-containing chemical compound. In accordance with an additional mode of the invention, the boron or boron-containing chemical compound contains an admixed catalyst. In accordance with yet another mode of the invention, the boron or boron-containing chemical compound is in the form of a powder. In accordance with yet a further mode of the invention, there is provided a method which comprises circulating the powder. In accordance with yet an added mode of the invention, the boron or boron-containing chemical compound is in molten form. In accordance with yet an additional mode of the invention, there is provided a method which comprises selecting the boron-containing chemical compound as at least one gas from the group consisting of borane, boron halide and boron alkyl. In accordance with again another mode of the invention, there is provided a method which comprises selecting the powder as at least one material from the group consisting of boron carbide, silicon boride and metal boride, preferably zirconium diboride. In accordance with again a further mode of the invention, there is provided a method which comprises setting the treatment temperature from 850.degree. to 1600.degree. C. and preferably from 1100.degree. to 1450.degree. C. In accordance with a concomitant mode of the invention, there is provided a method which comprises setting a treatment time of from 10 minutes to 6 hours and preferably of from 1 to 4 hours. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a uranium-containing nuclear-fuel sintered pellet, a nuclear-reactor fuel assembly having a uranium-containing nuclear-fuel sintered pellet and a method for treating a uranium-containing nuclear-fuel sintered pellet, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific examples. |
summary | ||
description | The present invention relates to providing thrust to orbiting satellites and other spacecraft, for collision avoidance and other trajectory or orbital adjustments, and further relates to inducement of controlled muon-catalyzed nuclear micro-fusion, as well as micro-fusion obtained from fuel bombardment with high-energy particles (particle-target fusion). Many thousands of known pieces of debris are present in low Earth orbit (LEO). The United States Strategic Command tracks nearly 20000 artificial objects in orbit that are large enough to be detected. These not only include nearly 2000 operational satellites, but many more defunct payloads, intact rocket bodies (mainly second-stage boosters), and explosion/collision debris fragments. Over 500,000 undetected objects larger than about 1 cm are estimated to be in orbit. Because of the potential hazards to operational satellites, those satellites are equipped with Whipple shielding to dissipate kinetic energy from collisions with very small objects. Collision avoidance maneuvers are used to evade collisions with the larger known debris. One prime example of the danger is the 2009 accidental collision between Cosmos 2251 and Iridium 33, which not only knocked the satellites out of operation but also generated collision fragments that represent an ongoing threat to other spacecraft. Even collision with an object as small as a 1 cm bolt could, if the relative velocity is high enough, permanently disable a satellite. In recent years, mitigation efforts have been made to limit debris population growth by minimizing the creation of new debris. Any unused fuel remaining in the spent second-stage boosters is vented into space to prevent explosions. Controlled reentry of space objects into the South Pacific gyre (around “Point Nemo”) over 2500 km from the nearest land is encouraged. Satellites in higher geosynchronous orbits are boosted at the end of their lifetimes into super-synchronous graveyard orbits (about 300 km above geostationary orbit). Even so, existing debris continues to represent a danger and the introduction of small satellites (<500 kg mass) including CubeSats will represent a challenge to collision avoidance. One recent proposal from David Palmer and others at Los Alamos National Laboratory is equip small satellites with transponders modeled after those used on aircraft. This will make detection of such orbiting objects much easier. Collision avoidance maneuvers involve altering the orbital trajectory of the satellite in some fashion, e.g. increasing (or decreasing) velocity and altitude. This typically involves a change in speed or delta-v by expelling reaction mass in a selected direction. Expelling such mass in a forward direction slows the spacecraft and reduces orbital altitude, while expelling such mass in a backward direction accelerates the spacecraft and increases orbital altitude. Change in altitude could also be accomplished by an upward or downward expelling of reaction mass. The reaction mass may result from chemical combustion, or electrical ionization of a propellant, with expulsion through a thruster nozzle. A wide variety of propulsion methods are known. Chemical propulsion is mainly used for orbital maneuvers because of the amount of thrust and delta-v generated, whereas ionic propulsion tends to generate lower thrusts (e.g. 80 mN from a few kilowatts of electrical input) but can still be used for station-keeping operations once a desired orbit has been achieved. Either variety might be used for collision avoidance, depending on the lead warning time, because the orbital trajectory need only be altered by a small amount. One example of a new generation of ionic propulsion is NASA's X3 thruster which is a form of Hall thruster that uses a stream of ions to propel a spacecraft. Because it employs multiple channels of plasma rather than just one, it can generate 5.4 N of thrust from about 100 kW input power. A tenfold improvement in efficiency means the thruster requires much less propellant. Existing thrust methods require a budget of propellant mass to expel for a specified cumulative delta-v over a spacecraft's life. This adds to the satellite's overall mass. Muon-catalyzed fusion was observed by chance in late 1956 by Luis Alvarez and colleagues during evaluation of liquid-hydrogen bubble chamber images as part of accelerator-based particle decay studies. These were rare proton-deuteron fusion events that only occurred because of the natural presence of a tiny amount of deuterium (one part per 6400) in the liquid hydrogen. It was quickly recognized that fusion many orders of magnitude larger would occur with either pure deuterium or a deuterium-tritium mixture. However, John D. Jackson (Lawrence Berkeley Laboratory and Prof. Emeritus of Physics, Univ. of California, Berkeley) correctly noted that for useful power production there would need to be an energetically cheap way of producing muons. The energy expense of generating muons artificially in particle accelerators combined with their short lifetimes has limited its viability as an Earth-based fusion source, since it falls short of break-even potential. Another controlled fusion technique is particle-target fusion which comes from accelerating a particle to sufficient energy so as to overcome the Coulomb barrier and interact with target nuclei. To date, proposals in this area depend upon using some kind of particle accelerator. Although some fusion events can be observed with as little as 10 KeV acceleration, fusion cross-sections are sufficiently low that accelerator-based particle-target fusion are inefficient and fall short of break-even potential. It is known that cosmic rays are abundant in interplanetary space. Cosmic rays are mainly high-energy protons (with some high-energy helium nuclei as well) with kinetic energies in excess of 300 MeV. Most cosmic rays have GeV energy levels, although some extremely energetic ones can exceed 1018 eV. FIG. 3 shows cosmic ray flux distribution at the Earth's surface. In near-Earth space, the alpha magnetic spectrometer (AMS-02) instrument aboard the International Space Station (ISS) since 2011 has recorded an average of 45 million fast cosmic ray particles daily (approx. 500 per second). The overall flux of galactic cosmic ray protons (above earth's atmosphere) can range from a minimum of 1200 m−2s−1 sr−1 to as much as twice that amount. (The flux of galactic cosmic rays entering our solar system, while generally steady, has been observed to vary by a factor of about two over an 11-year cycle according to the magnetic strength of the heliosphere.) Outside of Earth's protective magnetic field (e.g. in interplanetary space), the cosmic ray flux is expected to be several orders of magnitude greater. As measured by the Martian Radiation Experiment (MARIE) aboard the Mars Odyssey spacecraft, average in-orbit cosmic ray doses were about 400-500 mSv per year, which is an order of magnitude higher than on Earth. Cosmic rays are known to generate abundant muons from the decay of cosmic rays passing through Earth's atmosphere. Cosmic rays lose energy upon collisions with atmospheric dust, and to a lesser extent atoms or molecules, generating elementary particles, including pions and then muons, usually within a penetration distance of a few cm. Typically, hundreds of muons are generated per cosmic ray particle from successive collisions. Near sea level on Earth, the flux of muons generated by the cosmic rays' interaction by the atmosphere averages about 70 m−2s−1sr−1. The muon flux is even higher in the upper atmosphere. These relatively low flux levels on Earth reflect the fact that both Earth's atmosphere and geomagnetic field substantially shields our planet from cosmic ray radiation. Mars is a different story, having very little atmosphere (only 0.6% of Earth's pressure) and no magnetic field, so that muon generation at Mars' surface is expected to be very much higher than on Earth's surface. Planetary moons, such as Phobos and Deimos around Mars, would experience similar high levels of cosmic ray flux. Several projects have explored the possibility of nuclear spacecraft propulsion. The first of these was Project Orion from 1958-1963 built upon general proposals in the 1940s by Stanislaw Ulam and others, in which external atomic detonations would form the basis for a nuclear pulse drive. Later, between 1973 and 1978, Project Daedalus of the British Interplanetary Society considered a design using inertial confinement fusion triggered by electron beams directed against fuel pellets in a reaction chamber. From 1987 to 1988, Project Longshot by NASA in collaboration with the US Naval Academy developed a fusion engine concept also using inertial confinement fuel pellets but this time ignited using a number of lasers. Naturally, these last two projects depend upon successfully achieving nuclear fusion. The present invention is a satellite or other spacecraft propulsion technology that takes advantage of the abundance of cosmic rays in space to catalyze fusion events in enough amounts to produce useable thrust. The thrust enables orbits and other flight trajectories of such satellites and spacecraft to be altered, especially to avoid potential collisions with any orbiting debris, incoming micro-asteroids or comet fragments, or even with other spacecraft. The cosmic rays together with muons generated from such cosmic rays are available here for free and do not need to be generated artificially in an accelerator. A spacecraft collision avoidance propulsion system for use in the presence of an ambient flux of cosmic rays has a supply of deuterium-containing particle fuel material. The fuel material could be stored in a small radiation vault in the interior of the satellite or other spacecraft. Means, such as a gas-propelled conduit system can, responsive to an indication of a potential collision with a space object, project some of the stored fuel material in a specified direction outward from the spacecraft. The projection of fuel and propellant will itself provide some of the thrust, but the fuel material will also interact with the ambient flux of cosmic rays to generate energetic fusion products, such as alpha particles. At least some portion of those generated kinetic-energy-containing products are received against the exterior of the spacecraft to produce thrust that provides a change of trajectory to avoid the indicated potential collision. The deuterium-containing fuel material (e.g. Li6D or D2O) can be in small pellet form that is smaller than 1 cm to minimize risk to other spacecraft with similar orbit or trajectory, or the fuel can be in powder, liquid or gaseous form. Hence, in a method of spacecraft propulsion for use in the presence of an ambient flux of cosmic rays for collision avoidance, deuterium-containing particle fuel material is projected, responsive to an indication of a potential collision with a space object, in one or more specified directions outward from a spacecraft, and the exterior of the craft is given sufficient thrust by energetic particles from fuel interactions with the ambient cosmic rays so as to change its trajectory and avoid the indicated potential collision. The spacecraft may be a satellite in an orbit around a planet or moon, such as in Earth orbit. The space objects from which potential collisions need to be avoided can be another satellite or spacecraft, but (at least in Earth orbit) can be orbiting debris or “space junk”. Indications of a potential collision can come via ground communication from space debris monitoring networks but, in some cases, might also be presented by onboard detection systems. The propulsion system could also be used for other orbit or trajectory adjustments and station-keeping tasks. In each case, the amount of thrust and total delta-V needed is quite small, since the craft will normally only need to move from its initial trajectory by an amount exceeding its own width or length. The change in trajectory can include an increase or decrease in velocity, an increase or decrease in orbital altitude, or a shift transverse to its initial trajectory. After danger of collision has passed, the craft can continue on its new trajectory or can again use the propulsion system in the opposite direction to restore its original orbit or trajectory. Since the amount of energy needed for thrust is generally much less than the multi-kiloton yields of atomic weapons, “micro-fusion” is the term used here to refer to fusion energy outputs of not more than 10 gigajoules per second (2.5 tons of TNT equivalent per second), to thereby exclude macro-fusion type explosions. With reference to FIG. 1, one technique is to project the fusion target material outward from a spacecraft 11. In the example shown, the spacecraft 11 is a satellite, but other types of spacecraft can also have need of collision-avoidance propulsion systems. The satellite 11 can be powered by solar panels 15 (or alternatively, some internal power source) for its primary mission needs, but also for space object detection and external communication for ground-based collision warning. When an indication of an impending potential collision is detected or received, the collision-avoidance propulsion system will be activated to supply a desired change in trajectory to the satellite or other spacecraft 11. For this purpose, a supply of deuterium-containing micro-fusion “fuel” material is provided, which can be solid Li6D in powder form, D-D or D-T inertial-confinement-fusion-type pellets, D2O ice crystals. When thrust is needed, one shoots “fuel” pellets 21 as a series of projectiles, e.g. once per second. Alternatively, the fuel can be a propellant gas mixed with micro-fusion fuel powder 19 that can then disperse as a localized cloud 20. The fuel is seen leaving the spacecraft 11 through one or more ports 17 on its exterior. The fuel pellets 21 or dispersed cloud of target material 19 will be exposed to both cosmic rays 13 and to their generated muons. The spacecraft propulsion system works in the presence of an ambient flux of cosmic rays and/or muons which interact with the cloud and trigger the nuclear micro-fusion of the particle target material, either by particle-target fusion or muon-catalyzed fusion or both. As cosmic rays 13 collide with micro-fusion targets 19 and 21 and dust, they form muons that are captured by the deuterium and that catalyze fusion. Likewise, the cosmic ray collisions themselves can directly trigger particle-target micro-fusion. In order to assist muon formation in the vacuum of space, especially when D2O is used, the target package may contain up to 20% by weight of added non-fuel powder or fine dust particles in the mixture. Fusion products (α) having significant kinetic energy are generated and are received at some portion of the spacecraft 11 to produce thrust upon the spacecraft. With reference to FIG. 2, inside the satellite or other space craft, micro-fusion fuel material 21 is stored in a shielded radiation vault 31 until needed. Stored fuel will be shielded to reduce or eliminate premature fusion events until they are to be delivered to outside of the spacecraft. One need not eliminate cosmic rays or their secondary particles (pions, muons, etc.) to zero, but merely reduce their numbers and energies sufficiently to keep them from catalyzing sufficiently large numbers of fusion events in the stored target particle material. Additionally, since the use of micro-fusion fuel is expected to reduce the required amount of chemical rocket propellant by at least a factor of two, one can easily afford the extra weight of some small amount of metal for shielding, if needed. For example, the Juno spacecraft to Jupiter contains radiation vaults of 1 cm thick titanium to shield its electronics from external radiation. A similar type of vault 31 could be used in this case for the shielding the stored fuel. One way to project the micro-fuel out of the spacecraft is to employ a type of air-gun mechanism using a store 35 of chemical propulsion gases (e.g. xenon; but lighter substances such as butane or carbon dioxide might also be used) already being used for orbital station-keeping. Here, the quantities needed would be significantly smaller due to the additional thrust provided by the added micro-fusion fuel. In one possible embodiment, the supply 35 of propulsion gas may be connected through a valve 37 and conduit 39 to the vault 31 containing micro-fusion fuel material 21, expelling some of that fuel along with propellant gas through conduits 33 and 34 with respective valves 43 and 44. Valves 37 is opened to load the vault 21 with a quantity of pressurized propellant gas from store 35. A selected one of the valves 43 and 44 is opened to expel a quantity of propellant and micro-fusion material through one of the ports 17 in the spacecraft. Micro-fusion fuel targets (typically in small solid pellet, frozen ice, or powder form) when shot or otherwise projected externally from the spacecraft will interact with the flux of cosmic rays and muons such that some combination of particle-target micro-fusion and/or muon-catalyzed micro-fusion will take place, generating a thrust against the vehicle. The deuterium “fuel” for the particle-target and/or muon-catalyzed micro-fusion may be supplied in the form of solid Li6D, or even heavy water (D2O). Muon-created muonic deuterium can come much closer to the nucleus of a similar neighboring atom with a probability of fusing deuterium nuclei, releasing energy. Once a muonic molecule is formed, fusion proceeds extremely rapidly (on the order of 10−10 sec). Other types of micro-fusion reactions besides D-D are also possible depending upon the target material. For example, another reaction is Li6+D→2He4+22.4 MeV, where much of the useful excess energy is carried as kinetic energy of the two helium nuclei (alpha particles). One cosmic ray particle can generate hundreds of muons, and each muon can typically catalyze about 100 micro-fusion reactions before it decays (the exact number depending on the muon “sticking” cross-section to any helium fusion products). Additionally, cosmic rays can themselves directly stimulate a micro-fusion event by particle-target fusion, wherein the high energy cosmic ray particles (mostly protons, but also helium nuclei) bombard relatively stationary target material. When bombarded directly with cosmic rays, the lithium may be transmuted into tritium which could form the basis for some D-T micro-fusion reactions. Although D-D micro-fusion reactions occur at a rate only 1% of D-T fusion, and produce only 20% of the energy by comparison, the freely available flux of cosmic rays and their generated muons should be sufficient to yield sufficient micro-fusion energy output for practical use. The present invention achieves nuclear micro-fusion using deuterium-containing target material, and the ambient flux of cosmic rays and generated muons that are already naturally present in the space environment. The optimum concentration of the target material for the particle-target and muon-catalyzed fusion may be determined experimentally based on the particular abundance of cosmic rays with a view to maintaining billions of micro-fusion events for producing adequate thrust for the specified application, while avoiding any possibility of a runaway macro-fusion event. At a minimum, since both particle-target micro-fusion and muon-catalyzed micro-fusion, while recognized, are still experimentally immature technologies (since measurements have only been conducted to date on Earth using artificially accelerated particles and generated muons from particle accelerators), various embodiments of the present invention can have research utility to demonstrate feasibility in environments beyond Earth's protective atmosphere (e.g. on satellite platforms). Later, the concept can be extended to environments beyond Earth's magnetic field, e.g. in orbit around the Moon, Mars, or other planets or their moons in order to determine optimum parameters for various utilities in those environments. For example, the actual number of fusion reactions for various types of micro-fusion fuel sources and target configurations, and the amount of rocket thrust that can be derived from such reactions, are still unknown and need to be fully quantified in order to improve the technology. The fusion-enhanced space vehicle requires strong cosmic ray flux to create sufficient nuclear micro-fusion for thrust purposes. Besides D-D micro-fusion reactions, other types of micro-fusion reactions may also occur (e.g. D-T, using tritium generated by cosmic rays impacting the lithium-6; as well as Li6-D reactions from direct cosmic ray collisions). For this latter reaction, it should be noted that naturally occurring lithium can have an isotopic composition ranging anywhere from as little as 1.899% to about 7.794% Li6, with most samples falling around 7.4% to 7.6% Li6. Although LiD that has been made from natural lithium sources can be used in lower thrust applications or to inhibit runaway macro-fusion events, fuel material that has been enriched with greater proportions of Li6 is preferable for achieving greater thrust and efficiency. The micro-fusion reaction creates a kind of external “combustion” in the form of micro-fusion events resulting in production of energetic reaction products that will provide thrust against the spacecraft for altering its velocity and trajectory. However, the amount of energy generated depends upon the quantity of fuel released and the quantity of available cosmic rays and muons. Assuming most of the energy can be captured and made available for thrust, an estimated 1015 individual micro-fusion reactions (less than 1 μg of fuel consumed) per second would be required for 1 kW output. But as each cosmic ray can create hundreds of muons and each muon can catalyze about 100 reactions, the available cosmic ray flux in space is believed to be sufficient for this rocket thrust purpose following research, development, and engineering efforts. |
|
claims | 1. A method of suppressing dynamic magnetic fields irradiated by a mobile phone from propagating toward a user of said mobile phone, wherein said dynamic magnetic fields are generated by said phone, wherein said phone irradiates first electromagnetic wave comprising a first dynamic electric field and a first dynamic magnetic field toward said user of said phone, and wherein said method comprises the steps of:identifying a first dynamic magnetic polarity of said first dynamic magnetic field;emitting second electromagnetic wave which comprises a second dynamic electric field and a second dynamic magnetic field toward said user; andmanipulating a second dynamic magnetic polarity of said second dynamic magnetic field of said second wave to be substantially similar to said first dynamic magnetic polarity of said first dynamic magnetic field of said first wave,thereby suppressing at least a portion of said first magnetic field of said first wave by at least a portion of said second magnetic field of said second wave from propagating toward said user mainly due to said substantially similar dynamic magnetic polarities of said first and second magnetic fields of said waves, and thereby decreasing an intensity of said first and second dynamic magnetic fields at least one of in and around said user. 2. The method of claim 1, said manipulating comprising the step of:rendering a second phase angle of said second dynamic magnetic field to be substantially similar to a first phase angle of said first dynamic magnetic field for obtaining said substantially similar first and second dynamic magnetic polarities. 3. The method of claim 1, said manipulating comprising at least one of the steps of:manipulating a first phase angle of said first dynamic magnetic field and a second phase angle of said second dynamic magnetic field enough for obtaining said substantially similar dynamic magnetic polarities;manipulating a first frequency of said first dynamic magnetic field and a second frequency of said second dynamic magnetic field enough for obtaining said substantially similar dynamic magnetic polarities;manipulating at least one of a first distance from a first source of said first wave to said user and a second distance from a second source of said second wave to said user enough for obtaining said substantially similar dynamic magnetic polarities; andmanipulating at least one of a first position of said first source with respect to said user and a second position of said second source with respect to said user enough for obtaining said substantially similar dynamic magnetic polarities. 4. The method of claim 1 further comprising the steps of:carrying first input signal for mobile communication with said first wave; andcarrying said first input signal for said communication with said second wave. 5. The method of claim 1 further comprising the steps of:carrying first input signal for mobile communication with said first wave; andcarrying second input signal for mobile communication with said second wave, wherein said second input signal is not identical to said first input signal. 6. The method of claim 1 further comprising the steps of:irradiating said first wave by at least one base unit;emitting said second wave by at least one counter unit; andadjusting at least one of shapes of at least one of said base and counter units, sizes of at least one of said base and counter units, positions of at least one of said base and counter units at least one of inside and on said mobile phone, arrangements of at least one of said base and counter units relative to said user when said phone is in use, and a distance between said base unit and counter unit for obtaining said substantially similar dynamic magnetic polarities. 7. The method of claim 6 further comprising at least one of the steps of:controlling a depth of a space of said decreased intensity through said adjusting, wherein said depth is measured in a first direction extending from said mobile phone toward said user;controlling a width of said space through said adjusting, wherein said width is measured in a second direction extending horizontally along said mobile phone; andcontrolling a height of said space through said adjusting, wherein said height is measured in a third direction extending vertically along said mobile phone. 8. The method of claim 6 further comprising one of the steps of:configuring said base and counter units as articles which are mechanically separate and which are not directly coupled electrically;configuring said base and counter units as articles which are mechanically separate but which are directly coupled electrically;configuring said base and counter units as articles which are mechanically coupled but which are not directly coupled electrically; andconfiguring said base and counter units as articles which are mechanically coupled and which are directly coupled electrically. 9. The method of claim 6 further comprising the step of:configuring at least a portion of said counter unit to be disposed one of outside, on and inside at least a portion of said base unit, whereby said base and counter units form a unitary article. 10. The method of claim 1 further comprising the steps of:irradiating said first wave by at least one base unit;emitting said second wave by at least one counter unit; andadjusting at least one of a two-dimensional direction and a three-dimensional direction of an electric current supplied to at least one of said base unit and counter unit for obtaining said substantially similar dynamic magnetic polarities. 11. The method of claim 10 further comprising at least one of the steps of:controlling a depth of a space of said decreased intensity through said adjusting, wherein said depth is measured in a first direction extending from said mobile phone toward said user;controlling a width of said space through said adjusting, wherein said width is measured in a second direction extending horizontally along said mobile phone; andcontrolling a height of said space through said adjusting, wherein said height is measured in a third direction extending vertically along said mobile phone. 12. The method of claim 10, wherein said mobile phone defines a top, a middle, and a bottom in a vertical direction, wherein said mobile phone also defines a right end, a center, and a left end in a horizontal direction, and wherein said mobile phone further defines at least four corners which include an upper-right corner, an upper-left corner, a lower-right corner, and a lower-left corner, said method further comprising at least one of the steps of:disposing one of said base and counter units in said top, while disposing the other of said units in one of said middle and bottom, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction;disposing one of said base and counter units in one of said top and middle, while disposing the other of said units in said bottom, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction;disposing said base unit and counter unit in one of said top, middle, and bottom, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing one of said base and counter units in said right end, while disposing the other of said units in one of said center and left end, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing one of said base and counter units in one of said right end and middle, while disposing the other of said units in said left end, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing said base unit and counter unit in one of said right end, center, and left end, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction; anddisposing said base and counter units in an opposing pair of said corners, thereby creating a space of said decreased intensity which extends at least partially in a diagonal direction of said mobile phone. 13. The method of claim 10 further comprising one of the steps of:configuring said base unit and counter unit in an at least similar shape and size and then disposing said units in a symmetric arrangement with respect to an ear of said user;configuring said base unit and counter unit in only one of different shapes and sizes and then disposing said units in a symmetric arrangement with respect to an ear of said user; andconfiguring said base unit and counter unit in different shapes and different sizes and then disposing said units in an asymmetric arrangement with respect to an ear of said user. 14. A method of irradiating electromagnetic waves by a mobile phone for communication while decreasing an intensity of said electromagnetic waves propagating toward a user of said phone comprising the steps of:irradiating by said mobile phone first electromagnetic wave which comprises a first dynamic magnetic field defining a first dynamic magnetic polarity;generating by said mobile phone second electromagnetic wave which comprises a second dynamic magnetic field defining a second dynamic magnetic polarity;manipulating said first and second dynamic magnetic polarities to be at least similar to each other; andirradiating both of said first wave second wave toward said user,thereby rendering said first and second dynamic magnetic fields repel at least portions of each other at least one of in and around said user mainly due to said similar dynamic magnetic polarities, and thereby decreasing an intensity of said first and second dynamic magnetic fields at least one of in and around said user. 15. The method of claim 14, said manipulating comprising the step of:rendering said first and second dynamic magnetic polarities to be at least substantially similar to each other. 16. The method of claim 14, said manipulating comprising the step of:manipulating a first phase angle of said first dynamic magnetic field and a second phase angle of said second dynamic magnetic field to be at least similar to each other for obtaining said similar dynamic magnetic polarities. 17. The method of claim 14, said manipulating comprising at least one of the steps of:manipulating a first phase angle of said first dynamic magnetic field and a second phase angle of said second dynamic magnetic field enough for obtaining said similar dynamic magnetic polarities;manipulating a first frequency of said first dynamic magnetic field and a second frequency of said second dynamic magnetic field enough for obtaining said similar dynamic magnetic polarities;manipulating at least one of a first distance from a first source of said first wave to said user and a second distance from a source of said second wave to said user enough for obtaining said similar dynamic magnetic polarities; andmanipulating at least one of a first position of said first source and a second position of said second source enough for obtaining said similar dynamic magnetic polarities. 18. The method of claim 14 further comprising the steps of:carrying first input signal for said communication with said first wave;carrying said first input signal for said communication with said second wave; andaccomplishing said decreasing mainly at least one of in and around said user, while minimizing said decreasing away from said user. 19. The method of claim 14 further comprising the steps of:carrying first input signal for said communication with said first wave;carrying second input signal for said communication with said second wave, wherein said second input signal is not identical to said first input signal; andaccomplishing said decreasing mainly at least one of in and around said user, while minimizing said decreasing substantially away from said user. 20. The method of claim 14 further comprising the steps of:irradiating said first wave by at least one base unit;emitting said second wave by at least one counter unit; andadjusting at least one of shapes of at least one of said base and counter units, sizes of at least one of said base and counter units, positions of at least one of said base and counter units at least one of inside and on said mobile phone, arrangements of at least one of said base and counter units relative to said user when said phone is in use, and a distance between said base unit and counter unit for obtaining said similar dynamic magnetic polarities. 21. The method of claim 20 further comprising at least one of the steps of:controlling a depth of a space of said decreased intensity through said adjusting, wherein said depth is measured in a first direction extending from said mobile phone toward said user;controlling a width of said space through said adjusting, wherein said width is measured in a second direction extending horizontally along said mobile phone; andcontrolling a height of said space through said adjusting, wherein said height is measured in a third direction extending vertically along said mobile phone. 22. The method of claim 20 further comprising one of the steps of:configuring said base and counter units as articles which are mechanically separate and which are not directly coupled electrically;configuring said base and counter units as articles which are mechanically separate but which are directly coupled electrically;configuring said base and counter units as articles which are mechanically coupled but which are not directly coupled electrically; andconfiguring said base and counter units as articles which are mechanically coupled and which are directly coupled electrically. 23. The method of claim 20 further comprising the step of:configuring at least a portion of said counter unit to be disposed one of outside, on, and inside at least a portion of said base unit, whereby said base and counter units form a unitary article. 24. The method of claim 14 further comprising the steps of:irradiating said first wave by at least one base unit;emitting said second wave by at least one counter unit; andadjusting at least one of a two-dimensional direction and a three-dimensional direction of an electric current supplied to at least one of said base unit and counter unit for obtaining said similar dynamic magnetic polarities. 25. The method of claim 24 further comprising at least one of the steps of:controlling a depth of a space of said decreased intensity through said adjusting, wherein said depth is measured in a first direction extending from said mobile phone toward said user;controlling a width of said space through said adjusting, wherein said width is measured in a second direction extending horizontally along said mobile phone; andcontrolling a height of said space through said adjusting, wherein said height is measured in a third direction extending vertically along said mobile phone. 26. The method of claim 24, wherein said mobile phone defines a top, a middle, and a bottom in a vertical direction, wherein said mobile phone also defines a right end, a center, and a left end in a horizontal direction, and wherein said mobile phone further defines at least four corners which include an upper-right corner, an upper-left corner, a lower-right corner, and a lower-left corner, said method further comprising at least one of the steps of:disposing one of said base and counter units in said top, while disposing the other of said units in one of said middle and bottom, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction;disposing one of said base and counter units in one of said top and middle, while disposing the other of said units in said bottom, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction;disposing said base unit and counter unit in one of said top, middle, and bottom, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing one of said base and counter units in said right end, while disposing the other of said units in one of said center and left end, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing one of said base and counter units in one of said right end and middle, while disposing the other of said units in said left end, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing said base unit and counter unit in one of said right end, center, and left end, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction; anddisposing said base and counter units in an opposing pair of said corners, thereby creating a space of said decreased intensity which extends at least partially in a diagonal direction of said mobile phone. 27. The method of claim 24 further comprising one of the steps of:configuring said base unit and counter unit in an at least similar shape and size and then disposing said units in a symmetric arrangement with respect to an ear of said user;configuring said base unit and counter unit in only one of different shapes and sizes and then disposing said units in a symmetric arrangement with respect to an ear of said user; andconfiguring said base unit and counter unit in different shapes and different sizes and then disposing said units in an asymmetric arrangement with respect to an ear of said user. 28. A method of decreasing an intensity of dynamic magnetic fields of electromagnetic waves which are irradiated by a mobile phone toward a user of said phone comprising the steps of:irradiating by said phone first electromagnetic wave which comprises a first dynamic electric field and a first dynamic magnetic field, wherein at least a first portion of said first dynamic magnetic field defines a first dynamic magnetic polarity;generating by said phone second electromagnetic wave which comprises a second dynamic electric field and a second dynamic magnetic field, wherein at least a second portion of said second dynamic magnetic field defines a second dynamic magnetic polarity;manipulating said first and second dynamic magnetic polarities to be at least similar to each other so that said portions of said dynamic magnetic fields repel each other; andirradiating said first wave toward said user while emitting said second wave toward said user as well,thereby decreasing said intensity of said first and second dynamic magnetic fields of said first and second waves at least one of in and around said user. 29. The method of claim 28, said manipulating comprising the step of:rendering said first and second dynamic magnetic polarities to be at least substantially similar to each other. 30. A method of decreasing an intensity of dynamic magnetic fields of compound electromagnetic waves at least one of in and around a user of a mobile phone, wherein said compound wave is irradiated by said phone and wherein said method comprises the steps of:dividing said compound electromagnetic waves into a first electromagnetic wave as well as a second electromagnetic wave, each wave including a dynamic electric field and a dynamic magnetic field, while rendering a dynamic sum of dynamic amplitudes of said first and second magnetic fields of said first and second waves, respectively, at least substantially equivalent to a dynamic amplitude of said compound waves and while manipulating a first dynamic magnetic polarity of said first dynamic magnetic field of said first wave to be at least substantially similar to a second dynamic magnetic polarity of said second dynamic magnetic field of said second wave;irradiating said first wave toward said user by said mobile phone; andemitting said second wave also toward said user by said mobile phone,thereby decreasing an intensity of a dynamic sum of said first dynamic magnetic field and said second dynamic magnetic field at least one of in and around said user. 31. The method of claim 30, said manipulating comprising the step of:rendering a second phase angle of said second dynamic magnetic field to be substantially similar to a first phase angle of said first dynamic magnetic field for obtaining said substantially similar dynamic magnetic polarities. 32. The method of claim 30, said manipulating comprising at least one of the steps of:manipulating a first phase angle of said first dynamic magnetic field and a second phase angle of said second dynamic magnetic field enough for obtaining said substantially similar dynamic magnetic polarities;manipulating a first frequency of said first dynamic magnetic field and a second frequency of said second dynamic magnetic field enough for obtaining said substantially similar dynamic magnetic polarities;manipulating at least one of a first distance from a first source of said first wave to said user and a second distance from a second source of said second wave to said user enough for obtaining said substantially similar dynamic magnetic polarities; andmanipulating at least one of a first position of said first source and a second position of said second source enough for obtaining said substantially similar dynamic magnetic polarities. 33. The method of claim 30 further comprising the steps of:carrying first input signal for mobile communication with said first wave;carrying said first input signal for said communication with said second wave; andaccomplishing said decreasing mainly at least one of in and around said user, while minimizing said decreasing substantially away from said user. 34. The method of claim 30 further comprising the steps of:carrying first input signal for mobile communication with said first wave;carrying second input signal for mobile communication with said second wave, wherein said second input signal is not identical to said first input signal; andaccomplishing said decreasing substantially at least one of in and around said user, while minimizing said decreasing substantially away from said user. 35. The method of claim 30 further comprising the steps of:irradiating said first wave by at least one base unit;emitting said second wave by at least one counter unit; andadjusting at least one of shapes of at least one of said base and counter units, sizes of at least one of said base and counter units, positions of at least one of said base and counter units at least one of inside and on said mobile phone, arrangements of at least one of said base and counter units relative to said user when said phone is in use, and a distance between said base unit and counter unit for obtaining said substantially similar dynamic magnetic polarities. 36. The method of claim 35 further comprising one of the steps of:manipulating said first and second dynamic magnetic fields to define at least substantially similar dynamic amplitudes;manipulating said dynamic amplitude of said first dynamic magnetic field to be greater than said dynamic amplitude of said second dynamic magnetic field; andmanipulating said dynamic amplitude of said first dynamic magnetic field to be less than said dynamic amplitude of said second dynamic magnetic field. 37. The method of claim 35 further comprising at least one of the steps of:controlling a depth of a space of said decreased intensity through said adjusting, wherein said depth is measured in a first direction extending from said mobile phone toward said user;controlling a width of said space through said adjusting, wherein said width is measured in a second direction extending horizontally along said mobile phone; andcontrolling a height of said space through said adjusting, wherein said height is measured in a third direction extending vertically along said mobile phone. 38. The method of claim 35 further comprising one of the steps of:configuring said base and counter units as articles which are mechanically separate and which are not directly coupled electrically;configuring said base and counter units as articles which are mechanically separate but which are directly coupled electrically;configuring said base and counter units as articles which are mechanically coupled but which are not directly coupled electrically; andconfiguring said base and counter units as articles which are mechanically coupled and which are directly coupled electrically. 39. The method of claim 35 further comprising the step of:configuring at least a portion of said counter unit to be disposed one of outside, on, and inside at least a portion of said base unit, whereby said base and counter units form a unitary article. 40. The method of claim 30 further comprising the steps of:irradiating said first wave by at least one base unit;emitting said second wave by at least one counter unit; andadjusting at least one of a two-dimensional direction and a three-dimensional direction of an electric current supplied to at least one of said base unit and counter unit for obtaining said substantially similar dynamic magnetic polarities. 41. The method of claim 40 further comprising one of the steps of:manipulating said first and second dynamic magnetic fields to define at least substantially similar dynamic amplitudes;manipulating said dynamic amplitude of said first dynamic magnetic field to be greater than said dynamic amplitude of said second dynamic magnetic field; andmanipulating said dynamic amplitude of said first dynamic magnetic field to be less than said dynamic amplitude of said second dynamic magnetic field. 42. The method of claim 40 further comprising at least one of the steps of:controlling a depth of a space of said decreased intensity through said adjusting, wherein said depth is measured in a first direction extending from said mobile phone toward said user;controlling a width of said space through said adjusting, wherein said width is measured in a second direction extending horizontally along said mobile phone; andcontrolling a height of said space through said adjusting, wherein said height is measured in a third direction extending vertically along said mobile phone. 43. The method of claim 40, wherein said mobile phone defines a top, a middle, and a bottom in a vertical direction, wherein said mobile phone also defines a right end, a center, and a left end in a horizontal direction, and wherein said mobile phone further defines at least four corners which include an upper-right corner, an upper-left corner, a lower-right corner, and a lower-left corner, said method further comprising at least one of the steps of:disposing one of said base and counter units in said top, while disposing the other of said units in one of said middle and bottom, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction;disposing one of said base and counter units in one of said top and middle, while disposing the other of said units in said bottom, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction;disposing said base unit and counter unit in one of said top, middle, and bottom, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing one of said base and counter units in said right end, while disposing the other of said units in one of said center and left end, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing one of said base and counter units in one of said right end and middle, while disposing the other of said units in said left end, thereby creating a space of said decreased intensity which extends at least partially in said vertical direction;disposing said base unit and counter unit in one of said right end, center, and left end, thereby creating a space of said decreased intensity which extends at least partially in said horizontal direction; anddisposing said base and counter units in an opposing pair of said corners, thereby creating a space of said decreased intensity which extends at least partially in a diagonal direction of said mobile phone. 44. The method of claim 40 further comprising one of the steps of:configuring said base unit and counter unit in an at least similar shape and size and then disposing said units in a symmetric arrangement with respect to an ear of said user;configuring said base unit and counter unit in only one of different shapes and sizes and then disposing said units in a symmetric arrangement with respect to an ear of said user; andconfiguring said base unit and counter unit in different shapes and different sizes and then disposing said units in an asymmetric arrangement with respect to an ear of said user. |
|
summary | ||
claims | 1. A fuel assembly for a boiling water nuclear reactor, comprising: a multiplicity of fuel rods having top ends and bottom ends; a fuel assembly channel having a top, a bottom, and openings formed therein at said top and said bottom, said fuel assembly channel enclosing said fuel rods; spacers connected to said fuel assembly channel and supporting said fuel rods with respect to one another and on said fuel assembly channel, said spacers divided into a lower group having identical vertical distances from one another and, an upper group having vertical distances at least differing from said identical vertical distances of said lower group, a mean distance between said spacers in said upper group being smaller than a mean distance between said spacers in said lower group, some of said fuel rods being shorter fuel rods being shorter than others of said fuel rods and said shorter fuel rods ending below at least two of said spacers, and at least some of said spacers of said upper group having an upper side and vanes disposed on said upper side, said vanes bent obliquely into a flow of a coolant flowing upward between said fuel rods and imparting a turbulence to the flow of the coolant, and none of said vanes projecting into a space lying above said ends of said shorter fuel rods in a rectilinear continuation of said shorter fuel rods, said space above said ends forming an impeded flow passage extending through spacers located above said ends; and a lower rod-holding plate disposed in said fuel assembly channel causing said bottom ends of said fuel rod to lie practically at the same height as said lower rod-holding plate. 2. The fuel assembly according to claim 1 , wherein said vertical distances in said upper group become shorter from a bottom upward. claim 1 3. The fuel assembly according to claim 1 , wherein said spacers include a bottom spacer and a top spacer, a first distance between said bottom spacer and an adjacent next one of said spacers is not taken into consideration, and a second distance between said top spacer and an adjacent next one of said spacers is not taken into consideration. claim 1 4. The fuel assembly according to claim 1 , wherein said shortest fuel rods end above said spacers disposed in a transition region from said lower group of said spacers to said upper group of said spacers. claim 1 5. The fuel assembly according to claim 1 , wherein said vertical distances from spacer-to-spacer in said upper group of said spacers are decreased by 10% to 30% as compared to said vertical distances between said spacers of said lower group. claim 1 6. The fuel assembly according to claim 1 , wherein: claim 1 said identical vertical distances between said spacers of said lower group are between 500 and 520 mm; and said vertical distances between spacers of said upper group are between 350 and 410 mm. 7. The fuel assembly according to claim 1 , wherein said fuels rods have at least three different lengths. claim 1 8. The fuel assembly according to claim 1 , wherein all of said spacers of said lower group have metal strips disposed at right angles to one another, said metal strips penetrate through one another, form approximately square mesh openings and clamp said fuel rods which have been pushed through said square mesh openings, resiliently in a horizontal direction. claim 1 9. The fuel assembly according to claim 8 , wherein said spacers disposed above said shortest fuel rods contain said metal strips disposed at right angles to one another, penetrate through one another, form said approximately square mesh openings and clamp said fuel rods which have been pushed through said mesh openings resiliently in a horizontal direction, and said spacers disposed above said shortest fuel rods having said vanes disposed next to penetration locations of said metal strips, on an upper edge of said metal strips. claim 8 10. The fuel assembly according to claim 9 , wherein said vanes next to mutually adjacent ones of said penetration locations impart in each case an oppositely directed turbulence to the flow of the coolant. claim 9 11. The fuel assembly according to claim 9 , wherein said vanes are four sheet-metal vanes disposed at each of said penetration locations of said metal strips. claim 9 12. The fuel assembly according to claim 1 , wherein said spacers are formed of hollow cylindrical sleeves having side walls and connected to one another by said side walls, and said hollow cylindrical sleeves have upper ends and said vanes disposed at said upper ends only at said spacers belonging to said upper group. claim 1 13. The fuel assembly according to claim 1 , including a coolant tube configuration containing at least one coolant tube disposed towards a center of said fuel assembly channel, said fuel assembly channel and said spacers each have a cross section being square shaped, and said multiplicity of fuel rods are disposed in a regular square pattern around said coolant tube. claim 1 14. The fuel assembly according to claim 13 , wherein said fuel assembly channel has opposite corners, a center axis, and diagonals defined between pairs of two opposite corners of said fuel assembly channel, said coolant tube configuration has a center axis offset in a direction of one of said diagonals with respect to said center axis of said fuel assembly channel. claim 13 15. The fuel assembly according to claim 14 , wherein said diagonals include a first diagonal and a second diagonal perpendicular to said first diagonal, said center axis of said coolant tube configuration lies on a first side of said second diagonal, and said fuel rods disposed at an edge of said regular square pattern are at a shorter distance from a wall of the fuel assembly channel on said first side of said second diagonal than said fuel rode disposed on a second side of said second diagonal. claim 14 16. The fuel assembly according to claim 13 , wherein said fuel assembly channel has opposite corners, walls, a center axis, and a diagonal defined between a pair of two opposite corners of said fuel assembly channel, said regular square pattern is offset with respect to said center axis of said fuel assembly channel, in a direction of said diagonal, in such a manner that said fuel rods disposed at an edge of said regular square pattern on a first end of said diagonal are each at a greater distance from one of said walls of said fuel assembly channel than said fuel rods disposed at said edge of said regular square pattern on a second end of said diagonal. claim 13 17. The fuel assembly according to claim 15 , wherein a larger number of said shorter fuel rods are disposed in a first half of said cross section of said fuel assembly channel, which is disposed symmetrically with respect to said second diagonal, than in a second half of said cross section of said fuel assembly channel, and said center axis of said coolant tube configuration lies on that side of said second diagonal, on which said larger number of said shorter fuel rods is situated. claim 15 18. The fuel assembly according to claim 14 , wherein a plurality of said shorter fuel rods are disposed directly next to said coolant tube configuration and reside in one half of said cross section of said fuel assembly channel and said cross section of said fuel assembly is symmetrical with respect to one of said diagonals. claim 14 19. The fuel assembly according to claim 14 , wherein at least some of said shortest fuel rods are disposed directly adjacent to said coolant tube configuration. claim 14 20. The fuel assembly according to claim 6 , wherein: claim 6 said identical vertical distances between said spacers of said lower group is about 512 mm; and said vertical distances between spacers of said upper group decreases from about 400 mm to about 359 mm. 21. The fuel assembly according to claim 1 , wherein: claim 1 said identical vertical distances between spacers of said lower group are between 520 and 580 mm; and said vertical distances between said spacers of said upper group decrease from a value of between 500 and 390 mm. 22. The fuel assembly according to claim 1 , wherein: claim 1 said identical vertical distances between said spacers of said lower group are about 568 mm; and said vertical distances between said spacers of said upper group decrease from a value of between 450 mm and 320 mm. 23. The fuel assembly according to claim 22 , wherein said vertical distances between said spacers of said upper group decrease from a value of 400 mm to 359 mm. claim 22 24. The fuel assembly according to claim 1 , wherein each of said shorter fuel rods ends directly above a spacer which supports its upper end. claim 1 25. A fuel assembly for a boiling water nuclear reactor, comprising: a multiplicity of fuel rods having top ends and bottom ends; a fuel assembly channel having a top, a bottom, and openings formed therein at said top and said bottom, said fuel assembly channel enclosing said fuel rods; spacers connected to said fuel assembly channel and supporting said fuel rods with respect to one another and on said fuel assembly channel, said spacers divided into a lower group having identical vertical distances from one another and, an upper group having vertical distances at least differing from said identical vertical distances of said lower group, a mean distance between said spacers in said upper group being smaller than a mean distance between said spacers in said lower group, some of said fuel rods being shorter fuel rods being shorter than others of said fuel rods and said shorter fuel rods ending below at least two of said spacers, and at least some of said spacers of said upper group having an upper side and vanes disposed on said upper side, said vanes bent obliquely into a flow of a coolant flowing upward between said fuel rods and imparting a turbulence to the flow of the coolant, and none of said vanes projecting into a space lying above said ends of said shorter fuel rods in a rectilinear continuation of said shorter fuel rods, said space above said ends forming an impeded flow passage extending through spacers located above said ends; a lower rod-holding plate disposed in said fuel assembly channel causing said bottom ends of said fuel rods to lie practically at the same height as said lower rod-holding plate; and said fuel rods including shortest fuel rods and only said shortest fuel rods being anchored in said lower rod-holding plate. 26. The fuel assembly according to claim 25 , wherein said spacers include a bottom spacer and a top spacer, a first distance between said bottom spacer and an adjacent one of said spacers is not taken into consideration, and a second distance between said top spacer and an adjacent one of said spacers is not taken into consideration. claim 25 27. The fuel assembly according to claim 25 , wherein all of said spacers of said lower group have metal strips disposed at right angles to one another, said metal strips penetrate through one another, form approximately square mesh openings and clamp said fuel rods which have been pushed through said square mesh openings, resiliently in a horizontal direction. claim 25 28. The fuel assembly according to claim 27 , wherein said spacers disposed above said shortest fuel rods contain said metal strips disposed at right angles to one another, penetrate through one another, form said approximately square mesh openings and clamp said fuel rods which have been pushed through said mesh openings resiliently in a horizontal direction, and said spacers disposed above said shortest fuel rods have said vanes disposed next to penetration locations of said metal strips, on an upper edge of said metal strips. claim 27 29. The fuel assembly according to claim 28 , wherein said vanes are four sheet-metal vanes disposed at each of said penetration locations of said metal strips. claim 28 30. The fuel assembly according to claim 25 , wherein said spacers are formed of hollow cylindrical sleeves having side walls and connected to one another by said side walls, and said hollow cylindrical sleeves have upper ends and said vanes disposed at said upper ends only at said spacers belonging to said upper group. claim 25 31. The fuel assembly according to claim 25 , including a coolant tube configuration containing at least one coolant tube disposed towards a center of said fuel assembly channel, said fuel assembly channel and said spacers each have a cross section being square shaped, and said multiplicity of fuel rods are disposed in a regular square pattern around said coolant tube. claim 25 32. The fuel assembly according to claim 31 , wherein said fuel assembly channel has opposite corners, a center axis, and diagonals defined between pairs of two opposite corners of said fuel assembly channel, said coolant tube configuration has a center axis offset in a direction of one of said diagonals with respect to said center axis of said fuel assembly channel. claim 31 33. The fuel assembly according to claim 32 , wherein said diagonals include a first diagonal and a second diagonal perpendicular to said first diagonal, said center axis of said coolant tube configuration lies on a first side of said second diagonal, and said fuel rods disposed at an edge of said regular square pattern are at a shorter distance from a wall of the fuel assembly channel on said first side of said second diagonal than said fuel rods disposed on a second side of said second diagonal. claim 32 34. The fuel assembly according to claim 33 , wherein a larger number of said shorter fuel rods are disposed in a first half of said cross section of said fuel assembly channel, which is disposed symmetrically with respect to said second diagonal, than in a second half of said cross section of said fuel assembly channel, and that said center axis of said coolant tube configuration lies on that side of said second diagonal, on which said larger number of said shorter fuel rods is situated. claim 33 35. The fuel assembly according to claim 32 , wherein a plurality of said shorter fuel rods are disposed directly next to said coolant tube configuration and reside in one half of said cross section of said fuel assembly channel and said cross section of said fuel assembly is symmetrical with respect to one of said diagonals. claim 32 |
|
description | This application is a National Stage of International Application No. PCT/JP2016/005030 filed Nov. 30, 2016, claiming priority based on Japanese Patent Application No. 2016-005753, filed Jan. 15, 2016. The present invention relates to a method for decontaminating radiocontaminated grains which are contaminated by radioactive substances, and in particular, the present invention relates to a method for decontaminating radiocontaminated grains, the method including a pre-treatment step in which a decontamination degree of radioactive 134Cs and 137Cs is improved by paper sludge-derived sintered carbonized porous grains. The radiocontaminated grains include sludge, rock particles, sediment, and dredging, which are deposited or discharged in treatment facilities of soil, drainage, sewage, and the like built in agricultural lands, private residential areas, public facilities, and the like. In addition, radioactive substances are elements including the lanthanoid elements with atomic numbers of 57 through 71 and cesium, each of which belong to Category 1, and elements including the actinoid elements with atomic numbers of 89 through 104 which belong to Category 2. In the present invention, cesium which belongs to Category 1 will be discussed. A general decontamination treatment for radioactive cesium contaminated grains and, in particular, for soils is performed by two methods. A first method is a method primarily performed by a mechanical treatment. In a contaminated soil, a soil having a small grain diameter in which a most part of radioactive cesium is contained and a soil having a large grain diameter in which a small part of radioactive cesium is contained are classified (Patent Literature 1), or as a first step, a radioactive cesium contaminated soil is combusted in a combustion furnace, and a volume-reduced contaminated soil is then classified into a portion containing a large amount of radioactive cesium and a portion containing a small amount of radioactive cesium by a classification device (Patent Literature 2). A second method is a method in which radioactive cesium is extracted from a radioactive cesium contaminated soil using a chemical agent solution. Extraction chemical agent solutions described in Patent Literature 3 are iron salts, such as ferrous chloride, ferric chloride, ferrous sulfate, ferric sulfate, ferrous nitrate, ferric nitrate, and polyferric sulfate, and chloride compounds, such as an ammonium salt and a potassium salt. This extraction liquid is further treated by cesium chloride, glycerin, or ethylene glycol monoethyl ether (EGME: cellosolve). On the other hand, extraction chemical agent solutions described in Patent Literature 4 are an inorganic acid, an organic acid, and the like, this acidic solution is neutralized by an alkali agent and is further ion-exchanged in a washing step using washing water which contains ammonium sulfate, and a supernatant is separated from a deposited soil. This supernatant is processed so that radioactive substances are absorbed by an absorbent, such as mordenite or zeolite. In the category 2 radioactive actinoid elements, such as uranium and plutonium, extraction chemical agent solutions according to Patent Literature 5 are sodium carbonate, oxalic acid, succinic acid, and EDTA (ethylenediaminetetraacetic acid functioning as a chelating agent), and in addition, when a sodium salt of the above extraction chemical agent is changed to a potassium salt thereof, the extraction efficiency is improved. Unlike the case of cesium, since uranium, plutonium, and the like are not likely to be dissolved in water, an oxidant, such as hydrogen peroxide, ozone, or potassium permanganate, is required to be added to the extraction chemical agent solution mentioned above. The present inventor confirmed that after an improvement/purification test is performed on a radiocontaminated soil using paper sludge-derived sintered carbonized porous grains, radioactive 134Cs and 137Cs can be removed from the radiocontaminated soil, and the present inventor also disclosed in Patent Literature 6 that 30 Bq/kg, which is the total value of radioactive 134Cs and 137Cs contained in obtained white rice, is smaller than a Japanese reference value of 100 Bq/Kg. In this case, the paper sludge-derived sintered carbonized porous grains are formed by sintering/carbonizing paper sludge discharged from paper manufacturing mills which use either waste paper or wood chip or both waste paper and wood chip, and have the following configuration. (1) Paper sludge discharged from paper manufacturing mills which use either waste paper or wood chip or both waste paper and wood chip is processed by sintering/carbonization to form paper sludge-derived sintered carbonized porous grains which have a pH of not less than 8 and preferably not less than 10; an alkalinity equivalent value of 1.0 to 4.0 meq/g (as NaOH) and preferably 1.5 to 2.5 meq/g (as NaOH); a cation exchange capacity of 1.0 to 4.0 meq/100 g (as NH4) and preferably 1.5 to 3.0 meq/100 g (as NH4); an electric conductivity of 70 to 150 μS/cm; a sodium (Na) content of not less than 0.0003%; a potassium (K) content of not less than 0.0003%; an organic content of less than 25%; and an inorganic content of not less than 75%, and the paper sludge-derived sintered carbonized porous grains thus obtained are dispersed on or mixed with radiocontaminated soil to remove radioactive substances therefrom. (2) In the manufacturing process of the said paper sludge-derived sintered carbonized porous grains, the impregnation of the paper sludge with either potassium iodide (KI) alone or ethylenediaminetetraacetic acid (EDTA) alone or a combination of KI and EDTA was not incorporated. (3) The radiocontaminated soil contains radioactive 134Cs and 137Cs at a total dosage of not less than 800 Bq/kg. (4) The dosage of the said paper sludge-derived sintered carbonized porous grains spread on or mixed with the radiocontaminated soil is 0.1 to 6 kg/m2 (0.5 to 50 kg/m3) (0.1 to 6 percent by weight of dry soil) and preferably 1.0 to 3.5 kg/m2 (8 to 30 kg/m3) (0.9 to 3.3 percent by weight of dry soil). (5) The paper sludge has a moisture content of 50% to 85%, and after being pelletized and dried, this paper sludge is pyrolyzed in a reducing carbonization sintering furnace at a temperature of 500° C. to 1,300° C., preferably 700° C. to 1,200° C. Furthermore, carbonization is preferably carried out at 800° C. to 1,100° C. (6) The said paper sludge-derived sintered carbonized porous grains contain, on oven-dry weight basis, 15% to 25% of combustibles (including carbon), 0.5% to 3.0% of TiO2, 0.0001% to 0.0005% of Na2O, 0.0001% to 0.0005% of K2O, 15% to 35% of SiO2, 8% to 20% of Al2O3, 5% to 15% of Fe2O3, 15% to 30% of CaO, 1% to 8% of MgO, and a balance of 0.5% to 3.0% (including impurities), the total of these being 100%; and has a water absorption rate of 100% to 160% in accordance with JIS C2141, a specific surface area of 80 to 150 m2/g in accordance with the BET adsorption method, and an interconnected cell structure. (7) The said paper sludge-derived sintered carbonized porous grains are to have a porosity volume rate of not less than 70%, a porosity volume of not less than 1,000 mm3/g, an average pore radius of 20 to 60 μm, and pores with radius of not less than 1 μm constitute not less than 70% of the total porosity volume, and are a mixture of various forms such as spherical, oval, or cylindrical or the like forms with each having an axis length of 1 to 10 mm, and a black color. PTL 1: Japanese Unexamined Patent Application Publication No. 2013-208592 PTL 2: Japanese Unexamined Patent Application Publication No. 2014-153153 PTL 3: Japanese Unexamined Patent Application Publication No. 2012-237658 PTL 4: Japanese Unexamined Patent Application Publication No. 2013-178132 PTL 5: Japanese Unexamined Patent Application Publication (Translation of PCT Application) No. 8-506524 PTL 6: Japanese Unexamined Patent Application Publication No. 2013-068459 Since it was confirmed that radioactive 134Cs and 137Cs were removed for decontamination from a radiocontaminated soil by the above paper sludge-derived sintered carbonized porous grains (paper sludge carbon (hereinafter, also referred to as “PSC)), an influence test of radioactive substances on PSC was performed. As a result, since calcium, iron, magnesium, copper, potassium, barium, chlorine, sulfur, and the like of PSC were decreased, radioactive 134Cs and 137Cs in a radiocontaminated soil were estimated to be ion-exchanged with calcium, iron, magnesium, copper, potassium, and barium of PSC. In general, chlorine and sulfur are each not present by itself but is present as a metal salt compound by bonding to the above metal, such as calcium or iron. In order to improve a decontamination degree of radioactive 134Cs and 137Cs by PSC, chloride compounds and sulfur compounds of calcium, iron, magnesium, copper, potassium, and barium were impregnated in PSC. As a result, although the decontamination degree of radioactive 134Cs and 137Cs was 23.0% by PSC which is not impregnated with the above compounds, the decontamination degrees were 42.1%, 35.9%, and 36.1% by PSC impregnated with 5% potassium chloride, PSC impregnated with 1% magnesium sulfate, and PSC impregnated with 1% copper sulfate, respectively. In order to achieve the object described above, a method for decontaminating radiocontaminated grains according to the present invention comprises: a pre-treatment step of mixing radiocontaminated grains and a sodium phosphate-based dispersant; and a decontamination step of mixing the radiocontaminated grains processed by the above pre-treatment step and paper sludge-derived sintered carbonized porous grains so as to incorporate radioactive 134Cs and 137Cs of the radiocontaminated grains in the sintered carbonized porous grains. In the decontamination method of radiocontaminated grains according to the present invention, the sodium phosphate-based dispersant contains at least one compound selected from the group consisting of sodium hexametaphosphate, sodium tripolyphosphate, and sodium tetrapyrophosphate. In the decontamination method of radiocontaminated grains according to the present invention, at least one compound selected from the group consisting of potassium chloride, magnesium sulfate, and copper sulfate, each of which is ion-exchangeable, is impregnated in the sintered carbonized porous grains, and this sintered carbonized porous grains and the radiocontaminated grains processed by the above pre-treatment step are mixed with each other, so that the radioactive 134Cs and 137Cs of the radiocontaminated grains are incorporated in the sintered carbonized porous grains by ion-exchange. In the decontamination method of radiocontaminated grains according to the present invention, since the pre-treatment step of mixing radiocontaminated grains and a sodium phosphate-based dispersant is performed, the structure of the radiocontaminated grains is loosened by the sodium-based dispersant, and the internal space of the grain is increased. Hence, when the radiocontaminated grains are mixed with the paper sludge-derived sintered carbonized porous grains, the radioactive 134Cs and 137Cs are likely to be incorporated in the sintered carbonized porous grains. As a result, compared to the case in which the pre-treatment step is not performed, the decontamination rate can be improved. In addition, the decontamination method of radiocontaminated grains according to the present invention comprehensively satisfies requirements in terms of cost and usefulness and can significantly increase the decontamination degree of the radioactive 134Cs and 137Cs, and in the case of soil, the soil can be recycled for production of rice, food, vegetables, and the like. Furthermore, from rice, food, vegetables, and the like harvested from the soil described above, no radioactive 134Cs and 137Cs are detected, or the value thereof can be easily decreased lower than the Japanese reference value, so that safe and secure for health can be advantageously obtained. In addition, in the decontamination method of radiocontaminated grains according to the present invention, the sintered carbonized porous grains are impregnated with at least one compound selected from the group consisting of potassium chloride, magnesium sulfate, and copper sulfate, each of which is ion-exchangeable. In addition, by the pre-treatment step, the structure of the radiocontaminated grains is loosened, and the internal space thereof is increased. Hence, when the radiocontaminated grains are mixed with the sintered carbonized porous grains, the radioactive 134Cs and 137Cs are likely to be ion-exchanged, and compared to the case in which the pre-treatment step is not performed, the decontamination rate can be improved. In addition, in the decontamination method of radiocontaminated grains according to the present invention, sodium hexametaphosphate, sodium tripolyphosphate, and/or sodium tetrapyrophosphate can be used as the sodium phosphate-based dispersant to be used in the pre-treatment step. Hereinafter, a decontamination method of radiocontaminated grains according to an embodiment of the present invention will be described. However, the present invention is not limited to the following description. As described above, ion exchangeable metal salts, that is, 5% KCl (percentage to PSC weight), 1% MgSO4 (percentage to PSC weight), and 1% CuSO4 (percentage to PSC weight), were each impregnated in sintered carbonized porous grains (PSC). Hereinafter, the PSC impregnated with each metal compound is referred to as “metal name-PSC (for example, 5% KCl-PSC). When those 5% KCl-PSC, 1% MgSO4-PSC, and 1% CuSO4-PSC were each mixed with radiocontaminated grains, an ion-exchange property with radioactive 134Cs and 137Cs of the radiocontaminated grains is improved, and a decontamination rate is also improved. Compared to a decontamination rate of 23.0% of PSC itself, the decontamination rates of 5% KCl-PSC, 1% MgSO4-PSC and 1% CuSO4-PSC were 42.1%, 35.9%, and 36.1%, respectively, and were significantly improved. The decontamination method of radiocontaminated grains according to the embodiment of the present invention comprises: a pre-treatment step of mixing radiocontaminated grains and a sodium phosphate-based dispersant; and a decontamination step of mixing the radiocontaminated grains processed by the above pre-treatment step and paper sludge-derived sintered carbonized porous grains impregnated with at least one compound selected from the group consisting of potassium chloride, magnesium sulfate, and copper sulfate so as to incorporate radioactive 134Cs and 137Cs of the radiocontaminated grains in the sintered carbonized porous grains. In this decontamination method of radiocontaminated grains, before the sintered carbonized porous grains impregnated with potassium chloride, magnesium sulfate, and/or copper sulfate is used, the pre-treatment step of mixing the dispersant and the radiocontaminated grains is performed, so that components of the grains are sufficiently dispersed or a portion between a non-expanded layer and an expanded layer of clay of the grains is swelled. As on example, in the case of soil, after the dispersant is spread over the soil and well mixed therewith so that clay is sufficiently separated from sand, silt, and the like and, furthermore, so that a portion between a non-expanded layer and an expanded layer of the clay is swelled, the sintered carbonized porous grains impregnated with potassium chloride, magnesium sulfate, and/or copper sulfate are again spread over the soil and well mixed therewith, so that the ion-exchange property with the radioactive 134Cs and 137Cs of the radiocontaminated soil is further improved. According to the following technical literature, radioactive 137Cs has a property of preferentially adsorbing to a radiocontaminated soil containing micaceous minerals (Francis, C. W., Brinkley, F. S., 1976. Preferential Adsorption of 137Cs to micaceous minerals in contaminated fresh water sediment. Nature 260, 511-513). Furthermore, in the radiocontaminated soil containing micaceous minerals described above, radioactive 134Cs and 137Cs having a concentration of 2.27×10−10 molecs/kgsoil is contained, and in other words, not less than 60% of the total of the radioactive 134Cs and 137Cs of the radiocontaminated soil can be removed for decontamination (Kozai, N., Ohnuki, T., Arisaka, M., Watanabe, M., Sakamoto, F., Yamasaki, S., Jiang, M-y., 2012. Chemical states of fallout radioactive Cs in the soils deposited at Fukushima Daiichi Nuclear Power Plant accident. J. Nucl. Sci. Technol. 49, 473-478). That is, approximately 40% of the total of the radioactive 134Cs and 137Cs can be ion-exchangeable. This result is approximately the same as that of the decontamination rate of each of 5% KCl-PSC, 1% MgSO4-PSC, and 1% CuSO4-PSC. Between a non-expanded layer (1.0 nm) and an expanded layer (1.4 nm) of the clay having micaceous minerals, a void surrounded by negative charges (derived from oxygen) is present, and radioactive cesium is adsorbed in those voids. In particular, to a frayed edge site which is a V-shaped intermediate zone between the above layers, radioactive cesium is selectively adsorbed (Nakao, A., Thiry, Y., Funakawa, S. Y., Kosaki, T., 2008. Characterization of the frayed edge site of micaceous minerals in soil clays influenced by different pedogenetic conditions in Japan and northern Thailand. Soil Sci. Plant Nutri. 54, 479-489). Hence, radioactive cesium is more strongly bonded to soil. Since a Japanese soil is acidic, the frayed edge site is easily folded, and the amount of negative charges is also decreased. There has been an assumed mechanism in which radioactive cesium is adsorbed to a clay having micaceous minerals by two steps. In a first step, a diffusion reaction of radioactive cesium is rapidly performed, and a reaction site is between the non-expanded layer and the expanded layer. In a second step, the diffusion reaction of radioactive cesium is slow, and the reaction site is a folded frayed edge site (Comans, R. N., Haller, M., De Preter, P., 1991. Sorption of cesium on illite: Non-equilibrium behaviour and reversibility. Geochim. Cosmochim. Acta 55, 433-440). Nowadays, the diffusion reaction of cesium at the frayed edge site is experimentally confirmed (Man, C. K., Chu, P. Y., 2004. Experimental and modelling studies of radiocesium retention in soils. J Radioanal Nucl Chem 262: 339-344). Furthermore, the rate of the diffusion reaction at the frayed edge site is calculated as 0.009 exp(−4×10−5·t(s−1), and in addition, the unit of the reaction time t is second (Ohnuki, T., 1994. Sorption characteristics of cesium on sandy soils and their components. Radiochim. Acta 65, 75-80). According to the following recent technical literature, since radioactive cesium reacts at an early stage with calcium hydroxide at the frayed edge site of clay, and the frayed edge site is folded thereby, cesium at the frayed edge site is not allowed to react with calcium, so that the cesium cannot be removed. The cesium moves with time to a deeper side between the non-expanded layer and the expanded layer and then fixed while being ion-exchanged with potassium present at the deeper side (Fuller, A. J., Shaw, S., Ward, M. B., Haigh, S. J., Mosselmans J. F. W., Peacock, C. L., Stackhouse, S., Dent, A. J., Trivedi, D., Burke, I. T., 2015. Caesium incorporation and retention in illite interlayers. Appl. Clay Sci. 108, 128-134). According to the above technical literatures, it is believed that cesium which could not be removed can be removed for decontamination when the frayed edge site and the portion between the non-expanded layer and the expanded layer are decomposed or cleaved. In the case described above, in the decontamination method of radiocontaminated grains according to the embodiment of the present invention, the pre-treatment step of mixing radiocontaminated grains and a dispersant is first performed, so that the frayed edge site and the portion between the non-expanded layer and the expanded layer are sufficiently expanded. Subsequently, since 5% KCl-PSC, 1% MgSO4-PSC, and/or 1% CuSO4-PSC is charged and then well mixed together, cesium which cannot be removed is promoted to perform an ion-exchange reaction with potassium, magnesium, copper, and/or the like impregnated in PSC. Hence, the decontamination rate of the radioactive 134Cs and 137Cs of the radiocontaminated grains is significantly increased. The decontamination method of radiocontaminated grains according to the embodiment of the present invention uses in the pre-treatment step, as the phosphate-based dispersant, sodium hexametaphosphate (SHMP), sodium tripolyphosphate (STPP), and/or sodium tetrapyrophosphate (TSPP). As shown in FIG. 1, sodium hexametaphosphate (SHMP) is a weak acid which is easily neutralized with sodium hydroxide. With 10 g of paper sludge-derived sintered carbonized porous grains (PSC), pHs of 1 mmol of hydrochloric acid and 0.01 mmol of sodium hydroxide were each increased to 11.3, and a pH of a field soil was increased from 5.9 to 7.6. The results are shown in FIG. 2. It is understood that when the results shown in FIGS. 1 and 2 are collectively taken into consideration, the pH of a mixture of sodium hexametaphosphate and a soil can be easily neutralized with PSC. Next, although examples of the present invention will be described, the present invention is not limited to those examples at all. A radiocontaminated soil in Iitate village, Fukushima prefecture was sampled in April, 2014 and was used for an influence test of dispersants. The radiocontaminated soil was air-dried to a solid component of not less than 90%, and in an experiment, the solid component was adjusted to approximately 80% with distilled water. This radiocontaminated soil was used for examples and reference examples. In addition, because of the nuclear power plant accident by the Great East Japan Earthquake on Mar. 11, 2011, radioactive substances were detected from some soils. After the radiocontaminated soil (80 g, oven dried (OD) weight) and 5% KCl-PSC (20 g, OD weight) were charged in a polyethylene bag in this order, were then well mixed together, and were finally left at 25° C. for 10 days, radioactive 134Cs and 137Cs were measured. The radioactive 134Cs and 137Cs of the radiocontaminated soil were measured using a coaxial type germanium detector manufactured by Canberra in accordance with “Radiation Measurement Manual of Food in an Emergency,” published by Ministry of Health, Labour and Welfare and “γ-rays Spectrometry by Germanium Semiconductor Detector” published by Ministry of Education, Culture, Sports, Science and Technology. Experiments using 1% MgSO4-PSC and 1% CuSO4-PSC were each performed by a procedure similar to that using 5% KCl-PSC. The results are shown in FIG. 3. After three types of sodium hexametaphosphate (SHMP), the concentrations of which were 5%, 10%, and 20% (percentage with respect to the soil weight), were each charged in a polyethylene bag together with the radiocontaminated soil (80 g, OD weight) and were well mixed together, the mixtures thus obtained were each left at 25° C. for 2 days. Subsequently, after 5% KCl—PSC, 1% MgSO4—PSC, and 1% CuSO4-PSC (each 20 g, OD weight) were added to the respective SHMP-level polyethylene bags, were then again well mixed together, and were finally left at 25° C. for 10 days, the radioactive 134Cs and 137Cs were measured. The results thus obtained are shown in FIG. 3. The decontamination rates obtained by 5% KCl—PSC, 1% MgSO4—PSC, and 1% CuSO4—PSC were high, such as 42.1%, 35.9%, and 36.1%, respectively, each of which was not less than 1.6 times as compared to a decontamination rate of 23% obtained by PSC which was not impregnated with calcium chloride, magnesium sulfate, and/or copper sulfate. The reason for this is estimated that ion-exchange is promoted between each of potassium chloride, magnesium sulfate, and copper sulfate impregnated in PSC and the radioactive cesium. In addition, by the pre-treatment in which the radiocontaminated soil was mixed with sodium hexametaphosphate (SHMP), the decontamination rates of 5% KCl-PSC, 1% MgSO4-PSCSC, and 1% CuSO4-PSC were increased as compared to the decontamination rates of 5% KCl-PSC, 1% MgSO4-PSC, and 1% CuSO4-PSC obtained without performing the pre-treatment. When the dosage rate of SHMP is in a range of 5% to 20%, the highest decontamination rate was obtained by a pre-treatment performed at a dosage rate of 10%, and the decontamination rates of 5% KCl-PSC, 1% MgSO4-PSC, and 1% CuSO4-PSC were all increased by approximately 1.4 times. In particular, the decontamination rate obtained by 5% KCl-PSC was highest, such as approximately 60%. From the results described above, it is believed that SHMP disperses clay, sand, silt, and the like of the soil, expands the portion between the non-expanded layer and the expanded layer, or decomposes/cleaves the portion therebetween. After the soil containing radiocontaminated grains was pre-treated using one of sodium hexametaphosphate (SHMP), sodium tripolyphosphate (STPP), and sodium tetrapyrophosphate (TSPP) each having a concentration of 10%, the soil thus pre-treated was mixed (decontaminated) with each of 5% KCl—PSC, 1% MgSO4—PSC, and 1% CuSO4—PSC, and the radioactive 134Cs and 137Cs were measured. The experiment method was performed in a manner similar to that of Example 1, and the results thus obtained are shown in FIG. 4. As shown in FIG. 4, regardless whether 5% KCl-PSC, 1% MgSO4—PSC, or 1% CuSO4—PSC was used, the pre-treatment effect for the decontamination rate of sodium hexametaphosphate (SHMP) was superior to that of each of sodium tripolyphosphate (STPP) and sodium tetrapyrophosphate (TSPP). The dispersants having a superior decontamination treatment effect were ranked as SHMP>TSPP>STPP in this order. Accordingly, it was found that by all the sodium phosphate-based dispersants thus used, the decontamination performance of PSC was improved. As described above, according to this embodiment, for decontamination of the radiocontaminated grains, after the radiocontaminated grains are first pre-treated using a dispersant selected from the group consisting of sodium hexametaphosphate (SHMP), sodium tripolyphosphate (STPP), and sodium tetrapyrophosphate (TSPP), a compound selected from the group consisting of potassium chloride, magnesium sulfate, and copper sulfate is impregnated in PSC, and decontamination is then performed, so that the decontamination rate is significantly improved as compared to that obtained without performing the pre-treatment. Since the pre-treatment using a sodium phosphate-based dispersant can be easily operated, and a metal salt compound can be easily adjusted, can be easily impregnated in PSC, and is an inexpensive commercially available product, a technique which comprehensively satisfies the requirements in terms of cost and usefulness is obtained. Furthermore, radiocontaminated grains (soil) which are decontaminated for production of rice, food, vegetables, and the like can be recycled, and in addition, from rice, food, vegetables, and the like harvested from the soil described above, the radioactive 134Cs and 137Cs can be made undetectable, or the values thereof can be easily decreased lower than the Japanese reference value. In consideration of the results shown in FIGS. 3 and 4, in order to improve the decontamination rate of the radiocontaminated grains, a chloride compound of potassium, a sulfate compound of magnesium, or a sulfate compound of copper should be impregnated in PSC. Furthermore, in order to obtain a synergetic effect of the decontamination rate of the radiocontaminated grains, not less than two compounds selected from available six combinations among a chloride of potassium, a sulfate of magnesium, and a sulfate of copper can be impregnated in PSC. In addition, in order to obtain the synergetic effect of the decontamination rate of the radiocontaminated grains, as for the dispersant, by the use of a dispersant impregnated with not less than two compounds selected from available six combinations among sodium hexametaphosphate, sodium tripolyphosphate, and sodium tetrapyrophosphate, the pre-treatment should also be performed. In addition, as the radiocontaminated grains, there may be mentioned sludge, rock particles, sediment, and dredging deposited or discharged in treatment facilities of soils, drainage, sewage, and the like built in agricultural lands, private residential areas, public facilities, and the like. In addition, the decontamination method of radiocontaminated grains according to this embodiment is not limited, for example, to the places described above and may also be applied, for example, to sludge, sediment, and the like deposited or discharged to a place in which the radiocontaminated grains can be contained. In addition, even when the pre-treated radiocontaminated grains and PSC which is not impregnated with a metal salt, such as potassium chloride, are mixed together, the decontamination rate is improved. The reason for this is believed that when the radiocontaminated grains are pre-treated using a sodium salt-based dispersant, the structure of the radiocontaminated grains is loosened, and the internal space thereof is increased, so that when the radiocontaminated grains are mixed with PSC, the radioactive 134Cs and 137Cs are likely to be incorporated in PSC. Next, examples of a useful metal-PSC other than the above 5% KCl—PSC, 1% MgSO4—PSC, and 1% CuSO4—PSC will be described. In mixing of PSC and the radiocontaminated soil, the influence of radioactive substances, such as the radioactive 134Cs and 137Cs, contained in the radiocontaminated soil on PSC was investigated by a laboratory test. In this test, a radiocontaminated soil (100 g, OD) in Iitate village, Fukushima prefecture sampled in Summer, 2012 was charged in a polyethylene bag, and PSC (10 g, OD) received in a mesh bag was buried in the radiocontaminated soil and was left at 25° C. for 10 days. On the other hand, in a blank test, after the radiocontaminated soil (100 g, OD) and PSC (10 g, OD) were charged in a polyethylene bag and were then well mixed together, a test was performed under the same conditions as described above. The radioactive 134Cs and 137Cs, pH, and an ion exchange capacity (CEC: cation exchange capacity) of each of the radiocontaminated soil and PSC, and the metal compositions of PSC before and after contamination were measured. The quality results of the radiocontaminated soil and PSC are shown in Table 1 and FIG. 5, and the metal compositions of PSC before and after contamination are shown in Table 2. In addition, because of the nuclear power plane accident by the Great East Japan Earthquake on Mar. 11, 2011, radiocontaminated grains were contained in some soils in Fukushima prefecture. As shown in FIG. 5, as the mixture thus prepared was left for a longer time, the amount of the radioactive 134Cs and 137Cs contained in the radiocontaminated soil was decreased, and on the other hand, the amount of radioactive 134Cs and 137Cs contained in PSC was increased; hence, the radioactive cesium contained in the radiocontaminated soil can be estimated to be partially transferred to PSC. The results obtained when the mixture described above was left for 10 days in the above laboratory test are shown in Table 1. In the test in which PSC was buried in the radiocontaminated soil, the total of the total of remaining radioactive 134Cs and 137Cs in the radiocontaminated soil and radioactive 134Cs and 137Cs adsorbed to PSC was approximately the same as the total of the radioactive 134Cs and 137Cs contained in the radiocontaminated soil obtained before the burying test was performed. On the other hand, in the blank test in which the radiocontaminated soil and PSC were uniformly mixed together, the total of radioactive 134Cs and 137Cs contained in the mixture was lower than the total of the radioactive 134Cs and 137Cs contained in the radiocontaminated soil obtained before the test was performed. Hence, it is found that in order to improve the decontamination degree of the radiocontaminated soil, PSC is preferably brought into contact with a large amount of the radiocontaminated soil as much as possible. Furthermore, it is also found that since the pH and the cation exchange capacity (CEC) of the radiocontaminated PSC are both decreased as compared to those of PSC before being contaminated, PSC performs an ion exchange reaction with the radioactive 134Cs and 137Cs contained in the radiocontaminated soil. TABLE 1<Analytical Result of Radiocontaminated Soil Sample and PSC>Cs134 +Cs134Cs137Cs137CEC(Bq/kg(Bq/kg(Bq/kg(meq/ItemOD)OD)OD)pH100 g)PSCNotNotNot10.52.66DetectedDetectedDetectedRadiocontaminated19,22036,73255,9526.73.23Soil (A)Radiocontaminated1,4052,5974,0028.32.55PSC (B)(A) After Removal17,01032,57149,5816.63.30of (B)Mixture of PSC14,43727,49441,9317.4—and (A) In addition to the changes described above, as shown in Table 2, the amounts of constituent elements, such as chlorine, sulfur, potassium, barium, copper, magnesium, calcium, and iron, of PSC were decreased. Hence, it is estimated that metal salt compounds of potassium, barium, copper, magnesium, calcium, iron, and the like are ion-exchanged with radioactive substances, such as the radioactive 134Cs and 137Cs, of the radiocontaminated soil. TABLE 2<Composition Analytical Result of PSC before and afterRadiocontaminated with Radiocontaminated Soil>Before RadioactiveAfter RadioactiveContaminationContaminationPSC (1)PSC (2)(1)-(2)Item(%)(%)(%)Si (as SiO2)20.5922.82Ca (as CaO)12.6711.469.6Al (as Al2O3)4.274.72Fe (as Fe2O3)20.8020.372.1Mg (as MgO)0.970.879.5Ti (as TiO2)0.630.65Zn (as ZnO)0.090.10Cu (as CuO)0.07630.06889.9Mn (as MnO2)0.06280.0649K (as K2O)0.06010.051614.2Cl (as ClO2)0.18630.039978.6S (as SO2)0.08390.064922.6Ba (as BaO2)0.01150.010310.6C1)32.0633.611)Reduction Rate at 850° C. Chlorine, Sulfur, and Barium were Measured by an ICP Emission Spectral Analysis, and the Others Elements were Measured By a Flame Atomic Adsorption Method. Based on the elemental periodic table, cesium is categorized in an alkali metal, such as sodium or potassium, and it has been known that the behavior of cesium is similar to that of the element mentioned above. On the other hand, radioactive cesium generated from a nuclear fission reaction by a nuclear power plant accident, a nuclear experiment, or the like disperses in the air and falls on soils. A soil having a negative charge attracts and holds those cesium cations. In particular, negative charges including a surface OH− of clay minerals confine fallen radioactive cesium. This is simply a physical adsorption phenomenon (http://jssspn.jp/info/secretariat/4317.html). In this embodiment, it was found that radioactive cesium adsorbed to the soil performs ion-exchange with potassium, barium, copper, magnesium, calcium, iron, and the like, which are the constituent elements of PSC, and as a result, PSC is radiocontaminated. Hence, it is found that radioactive cesium of the radiocontaminated soil is not simply physically adsorbed to porous grain-shaped PSC. According to the following academic literature, ion-exchange of radioactive 23Na, radioactive 40Ca, and the like with clay was experimentally confirmed. In addition, it is found that when radioactive 22Na in clay and radioactive 39Ca in clay are ion-exchanged with a radioactive 23Na solution and a radioactive 40Ca solution, respectively, the mass number of the ion element which performs ion-exchange is one point lower than the mass number of the ion element to be ion-exchanged (Ferris, A. P., Jepson, W. B., 1975. The exchange capacities of kaolinite and the preparation of homoionic clays. Journal of Colloid and Interface Science, 51(5), 245-259). The identification, the half lives, and the like of reaction products obtained when ion-exchange is performed between radioactive 134Cs and 137Cs and potassium, barium, copper, magnesium, calcium, iron, and the like of the above PSC have been unknown. Furthermore, when the above stable metal performs ion-exchange with radioactive 134Cs and 137Cs, the generation of isotopes, such as 64Cu, 59Fe, 65Zn, 47Ca, and 28Mg, has also been unknown. In addition, when isotopes of those heavy metals are generated, although transformation of radioactive 134Cs and 137Cs to other cesium isotopes has also been unknown, since the amount of the radioactive 134Cs and 137Cs in the radiocontaminated soil is decreased, the transformation is believed to occur at a high probability. However, according to the above academic literature, it is estimated that when the radiocontaminated soil and PSC are mixed together, the radioactive 134Cs is ion-exchanged with PSC and is estimated to be disintegrated into stable 133Cs, and as is the case described above, the radioactive 137Cs is estimated to be disintegrated into radioactive 136Cs having a short half life. By the estimation described above, the decrease in amount of the radioactive 134Cs and 137Cs contained in the radiocontaminated soil caused by the contact with PSC, which was confirmed in this embodiment, can be analyzed. Incidentally, cesium has 39 types of isotopes, and the half lives of radioactive 137Cs and 134Cs are 30 years and 2 years, respectively, the half lives of cesium having a mass number of 132, 135 m, 136, 138, and 138 m are 6.5 days, 53 minutes, 13.2 days, 33 minutes, and 3 minutes, respectively, and the half lives of most other isotopes are from several seconds to a fraction of a second. According to the ion-exchange reaction between the radioactive 134Cs and 137Cs and potassium, barium, copper, magnesium, calcium, iron, and the like of PSC, when the amount of those metals is increased in PSC, the ion-exchange reaction is enhanced, and as a result, the decontamination degree of the radiocontaminated soil by PSC is improved. In order to confirm this assumption, at least one compound selected from the group consisting of a metal chloride, a metal sulfate, and a potassium ferrocyanide compound containing both potassium and iron was impregnated in PSC, and a decontamination effect of the radiocontaminated soil was investigated. In addition, in general, chlorine and sulfur of PSC each cannot be present by itself but each form a metal salt compound by bonding to the metal mentioned above. However, since barium sulfate and calcium sulfate are both hardly dissolved in water, experiments using those compounds were not performed. In order to impregnate a chloride compound, a sulfate compound, or a potassium ferrocyanide compound containing both potassium and iron in PSC, in ion-exchanged water or distilled water in an amount equivalent to the weight of PSC to be used, a metal compound in an amount equivalent to 0.5% to 10% of the weight of PSC was dissolved. PSC is immersed in each of those solutions and then dried at 25° C. until a liquid is removed. As shown below, among chloride compounds of potassium, barium, copper, magnesium, calcium, iron, and the like, potassium chloride is only usable. On the other hand, among sulfate salts of potassium, copper, magnesium, iron, and the like, potassium, copper, and magnesium can be used. Those compounds may be used alone, or not less than two sulfate compounds selected from available six combinations may be used. Furthermore, potassium ferrocyanide may also be applied. When a metal chloride, a metal sulfate, and potassium ferrocyanide are used in combination, not less than two compounds selected from available 120 combinations of those compounds may be used. Although the content of stable cesium in PSC is very small amount, such as 0.2 ppm, in order to confirm an ion-exchange reaction between stable cesium and radioactive cesium, after 1% of cesium chloride or 1% of cesium sulfate with respect to the weight of PSC was dissolved in distilled water and was then impregnated in PSC, the PSC thus prepared was mixed with a radiocontaminated soil, and the decontamination degree was investigated. The radiocontaminated soil used in the experiment was sampled in Iitate village, Fukushima prefecture in September, 2013 and was then air-dried to have a solid component of approximately 85%. In the following examples and reference examples, after the radiocontaminated soil (85 g, OD), PSC, a metal compound, or PSC (15 g, OD) impregnated with a potassium ferrocyanide compound was charged in a polyethylene bag in this order and was well mixed together, the mixture thus prepared was left at 25° C. for 10 days. The radiocontaminated soil (100 g, OD) and 1% potassium chloride (percentage with respect to the soil weight) were charged in a polyethylene bag in this order. As is the case described above, the radiocontaminated soil (100 g, OD) and 1% cesium chloride (percentage with respect to the soil weight) were charged in a polyethylene bag in this order. After the contents in the polyethylene bags were each well mixed together and then left at 25° C. for 10 days, the radioactive 134Cs and 137Cs were measured. TABLE 3<Influence of each of Potassium Chloride and Cesium Chloridein Radiocontaminated Soil on Decontamination Rate>Cs134 +Decontam-Cs134Cs137Cs137ination(Bq/kg(Bq/kg(Bq/kgRateItemOD)OD)OD)(%)Radiocontaminated Soil (A)7,90520,48028,38501% KCl + (A)8,71020,76529,475−3.81% CsCl + (A)8,76220,95429,716−4.7 As shown in Table 3, when commercially available potassium chloride and cesium chloride which were not impregnated in PSC were each mixed with the radiocontaminated soil, the total of the radioactive 134Cs and 137Cs was increased. Although the increase in radioactive 137Cs was small, since the increase in radioactive 134Cs was significant, it is estimated that those chemical agents disturb the decomposition of the radioactive 134Cs. Preparation of 6% CaCl2-PSC was performed by the following procedure. CaCl2.2H2O (23.838 g) was dissolved in distilled water (300 ml), was then poured over PSC (300 g, OD) in a shallow container, and was dried at 25° C. for 24 to 48 hours, and during this drying, the container was shook two to three times. By a method similar to that described above, KCl—PSC, BaCl2—PSC, MgCl2—PSC, and CsCl-PSC were formed. After the radiocontaminated soil (85 g, OD) and the above metal chloride compound-PSC (15 g, OD) were charged in a polyethylene bag in this order and were then uniformly mixed together, this mixture was left at 25° C. for 10 days. For a blank test, after the radiocontaminated soil (85 g, OD) and PSC (15 g, OD) were charged in a polyethylene bag and were then uniformly mixed together, a test was performed under the same conditions as described above. Subsequently, the radioactive 134Cs and 137Cs were measured. The results are shown in Table 4. TABLE 4<Influence of Mixture of Radiocontaminated Paddy Soiland Metal Chloride-PSC on Decontamination Rate>Cs134 +Decontam-Cs134CS137Cs137ination(Bq/kg(Bq/kg(Bq/kgRateItemOD)OD)OD)(%)Radiocontaminated7,90520,48028,385—Soil (A)(A) + PSC6,06815,73321,80123.2(A) + 6% CaCl2-PSC8,17519,67127,8461.9(A) + 6% MgCl2-PSC8,97121,94130,912−8.9(A) + 0.5% KCl-PSC5,52815,90521,43324.5(A) + 5% KCl-PSC4,70611,63816,34442.4(A) + 6% KCl-PSC6,20814,93221,14025.5(A) + 6% BaCl2-PSC7,87118,83026,7015.9(A) + 1% BaCl2-PSC6,68116,57023,25118.1(A) + 1% CsCl-PSC6,50517,28123,78616.2(A) + the Above Metal7,41817,85425,27311.0Chlorides-PSC**1% CaCl2, 1% MgCl2, 1% KCl, 1% BaCl2, 1% CsCl It was found that compared to the result of the blank test, among the five types of metal chloride-PSCs thus investigated, only the potassium chloride-PSC showed a high decontamination rate. The reason for this is believed that as described above, potassium and cesium belong to the same group 1A of the elemental periodic table and are easily replaced with each other. From the above result and the result of the 1% KCl chemical reagent shown in Table 3, it is found that for the occurrence of the ion-exchange reaction, a support body is required. Since the decontamination rates of 6% KCl—PSC and 6% BaCl2—PSC were low as compared to those of 5% KCl—PSC and 1% BaCl2—PSC, respectively, it is found that a chlorine group retards the decontamination reaction. On the other hand, since the decontamination rate of each of 6% CaCl2—PSC, 1% BaCl2—PSC, 6% MgCl2—PSC, and 5% CsCl—PSC was inferior to that of the blank test, it is found that when the concentrations of calcium, barium, magnesium, and cesium are high, the decontamination reaction is disturbed. Preparation of 1% MgSO4—PSC was performed by the following method. Magnesium sulfate (MgSO4, 3 g) was dissolved in distilled water (300 ml), was then poured over PSC (300 g, OD) in a shallow container, and was dried at 25° C. for 24 to 48 hours, and during this drying, the container was shook two to three times. By a method similar to that described above, K2SO4—PSC, FeSO4—PSC, ZnSO4—PSC, CuSO4—PSC, and CsSO4—PSC were formed using potassium sulfate, FeSO4.7H2O, ZnSO4.7H2O, CuSO4.5H2O, and cesium sulfate, respectively. After the radiocontaminated soil (85 g, OD) and the metal sulfate-PSC (15 g, OD) were charged in a polyethylene bag in this order and were then uniformly mixed together, this mixture was left at 25° C. for 10 days. For a blank test, after the radiocontaminated soil (85 g, OD) and PSC (15 g, Od) were charged in a polyethylene bag and were then uniformly mixed together, a test was performed under the same conditions as described above. Subsequently, the radioactive 134Cs and 137Cs were measured. The results are shown in Table 5. TABLE 5<Influence of Mixture of Radiocontaminated Paddy Soiland Metal Sulfate-PSC on Decontamination Rate>Cs134 +Decontam-Cs134CS137Cs137ination(Bq/kg(Bq/kg(Bq/kgRateItemOD)OD)OD)(%)Radiocontaminated7,90520,48028,385—Soil (A)(A) + PSC6,06815,73321,80123.2(A) + 1% MgSO4-PSC5,17912,93218,31136.2(A) + 5% MgSO4-PSC5,58315,99321,57624.0(A) + 0.5% K2SO4-PSC5,67416,34222,01622.4(A) + 5% K2SO4-PSC5,71214,10919,82130.2(A) + 1% FeSO4-PSC5,99016,03422,02422.4(A) + 1% ZnSO4-PSC5,84215,72421,56524.0(A) + 0.5% CuSO4-PSC6,96216,84123,80316.1(A) + 1% CuSO4-PSC5,20312,84518,04836.4(A) + 5% CuSO4-PSC5,66516,35122,01622.4(A) + 1% Cs2SO4-PSC6,60517,89224,49713.7(A) + 1% CuSO4-PSC + 5%4,43011,93516,36542.3KCl-PSC Compared to the result of the blank test, among the six types of metal sulfate-PSCs thus investigated, the cesium sulfate only showed an inferior decontamination rate. From the above result and the result of the decontamination rate of the cesium chloride shown in Table 4, it is found that the stable cesium disturbs the decontamination reaction of radioactive cesium. Since the decontamination rates of iron sulfate and zinc sulfate are each approximately equivalent to that of the blank test, those metal sulfates are not required to be impregnated in PSC. On the other hand, since magnesium sulfate, copper sulfate, and potassium sulfate each show a superior decontamination rate to that of the blank test, when those metal sulfates are each impregnated in PSC, the decontamination rate of the radiocontaminated soil can be improved. Preparation of 1% potassium ferrocyanide-PSC was performed by the following method. K4[Fe(CN)6]3H2O (3.385 g) was dissolved in distilled water (360 ml), was then poured over PSC (300 g, OD) in a shallow container, and was dried at 25° C. for 24 to 48 hours, and during this drying, the container was shook two to three times. After the radiocontaminated soil (85 g, OD) and the potassium ferrocyanide-PSC (15 g, OD) were charged in a polyethylene bag in this order and were then uniformly mixed together, this mixture was left at 25° C. for 10 days. For a blank test, after the radiocontaminated soil (85 g, OD) and PSC (15 g, OD) were charged in a polyethylene bag and were then uniformly mixed together, a test was performed under the same conditions as described above. Subsequently, the radioactive 134Cs and 137Cs were measured. The results are shown in Table 6. TABLE 6<Influence of Mixture of Radiocontaminated PaddySoil and Potassium Ferrocyanide (Potassium Hexacyanoferrate(II) Trihydrate)-PSC on Decontamination Rate>Cs134 +Decontam-Cs134Cs137Cs137ination(Bq/kg(Bq/kg(Bq/kgRateItemOD)OD)OD)(%)Radiocontaminated Soil (A)7,90520,48028,385—(A) + PSC6,06815,73321,80123.2(A) + 0.5% Potassium5,41615,76021,17625.4Ferrocyanide-PSC(A) + 1% Potassium4,87614,06918,94533.3Ferrocyanide-PSC(A) + 5% Potassium5,11515,01420,12929.1Ferrocyanide-PSC It was found that the potassium ferrocyanide-PSC showed a high decontamination rate as compared to that of the blank test. The reason for this is believed that potassium, iron, and the like, each of which performs ion-exchange with radioactive cesium as described above, are both present in potassium ferrocyanide. Hence, when potassium ferrocyanide is impregnated in PSC, the decontamination rate of the radiocontaminated soil can be improved. When the results shown in Tables 4, 5, and 6 are taken into consideration, in order to improve the decontamination rate of the radiocontaminated soil, a chloride compound of potassium, a sulfate salt of magnesium, a sulfate salt of potassium, a sulfate salt of copper, and/or a potassium ferrocyanide compound should be impregnated in PSC. In addition, in order to obtain a synergetic effect of the decontamination rate of the radiocontaminated soil, among available 120 combinations (since five types are present, the number of combinations thereof is 120 by 1×2×3×4×5) of potassium chloride, magnesium sulfate, potassium sulfate, copper sulfate, and potassium ferrocyanide, not less than two compounds should be impregnated in PSC. When PSC impregnated with no less than two compounds selected from the available 120 combinations of potassium chloride, magnesium sulfate, potassium sulfate, copper sulfate, and potassium ferrocyanide described above is mixed with the radiocontaminated grains processed by a pre-treatment using a sodium phosphate-based dispersant, the decontamination rate of the radioactive 134Cs and 137Cs can be improved. Although the embodiment of the present invention has thus been described in detail, the present invention is not limited to the above embodiment. In addition, the present invention may be variously changed and/or modified without departing from the scope described in the claims. |
|
044951400 | summary | BACKGROUND OF THE INVENTION This invention relates to the nuclear reactor art and has particular relationship to light-weight power plants for mobile or vehicular propulsion applications where a nuclear reactor is a primary source of energy. Such power plants are shown in Thompson-Pierce U.S. Pat. No. 4,057,465 and Thompson-Spurrier-Jones U.S. Pat. No. 4,088,535. The nuclear reactor included in such power plants is typically gas cooled. Usually the cooling gas is helium. An important consideration in dealing with nuclear propulsion plants is reliable shutdown of the reactor by control of its reactivity under normal and abnormal conditions. Adequate reliable reactivity control is available for normal shutdown. But a different problem is presented on the occurrence of an emergency which results in failure that affects the reactor so that normal reactivity control is insufficient. This invention concerns itself with the need for reliable shutdown under such emergency conditions. It is an object of this invention to compensate for the insufficiency of the control and thereby provide for reliable shutdown of the reactor thereby precluding the happening of a catastrophe during the emergency. Typically an emergency can arise when water penetrates into the core of nuclear reactors of certain types. For example a ship propelled by a light-weight power plant including an epithermal-neutron, gas cooled, nuclear reactor is sunk. The penetration of water into the core of the reactor is possible because the ship may sink to a depth, for example exceeding 600 feet, at which the containment of the reactor may rupture or for other reasons. The water may materially increase the nuclear reactivity of the reactor and thus may lead to a nuclear excursion and a serious catastrophe. it is an object of this invention to effectively and permanently deactivate the nuclear reactor supplying the primary energy to the propulsion plant of a ship when the ship is sunk and to accomplish this purpose before water can flood the core of the reactor. SUMMARY OF THE INVENTION In accordance with this invention the nuclear reactor of the propulsion power plant of a vehicle which has suffered an accident is deactivated permanently by impregnating the core with a refractory poison, specifically boron, boron carbide (or a boron-carbon polymer), boron nitride (or a boron-nitrogen polymer) or a metal boride. The isotope of boron which is an effective poison is B.sub.10. There is 18.83% B.sub.10 in natural boron. While the core may be impregnated with sufficient natural boron to effectuate permanent deactivation, it is desirable in the interest of reliable deactivation in the required short-time interval that the boron used be enriched in B.sub.10. Typically boron is enriched by gas diffusion of boron trifluoride etherate. Typically the material is converted into diborane (B.sub.2 H.sub.6) with an enrichment of up to 85%. Diborane is a gas at room temperature; it has a melting point of -165.5.degree. C. and a boiling point of -92.5.degree. C. In the practice of this invention, diborane is reacted with unsaturated hydrocarbons, such as acetylene or alkyl hydrocarbons, to form heat sensitive carboranes, alkyl boranes, or alkyl diboranes. Appropriate products of these reactions (triethylboron, for example) are stored for injection into the coolant of the nuclear reactor on the occurence of an emergency. Or the individual reactants are injected into the coolant stream where they react and are carried along with their reaction products by the coolant through the core. Alternatively diborane is reacted with ammonia producing boron-nitrogen compounds which are also carried by the coolant through the core. At the lower or core inlet temperatures these compounds react to form less volatile boron-containing compounds that condense on the walls of the coolant channels penetrating the pores of graphite moderated reactors. These polymeric compounds are unusally stable to water and aqueous acids. At the elevated temperature of the core the boron-carbon and the boron-nitrogen compounds dissociate producing boron, boron carbide and boron nitride which adhere to the core. The latter materials are highly refractory. Boron has a melting point of 2300.degree. C. and a boiling point of 2550.degree. C. and is insoluble in water. Boron carbide, B.sub.4 C, has a melting point of 2450.degree. C. and does not boil at 3500.degree. C. and is insoluble in water. Boron nitride sublimates at 3000.degree. C., is insoluble in cold water but dissociates slightly in hot water. Diborane is highly reactive. When heated it generates higher and less volatile hydrides. The reactions are shown in the diagram below: ##STR1## Reference is made to K. Wade, Electron Deficient Compounds, Nelson 1971, pp. 71, 86. Diborane begins to decompose at temperatures as low as 300.degree. C. to form, with increasing temperatures, higher and more stable hydrides. When heated at 600.degree.-800.degree. C., the hydrides decompose to boron and hydrogen. For example, the pyrolysis of diborane at 800.degree. C. is used as a production method for high purity boron. Although the reactor core exit temperature is 800.degree. C. or higher, a high purity deposit is not required to deactivate a reactor. Diborane and other boron hydrides are more effective when reacted with unsaturated hydrocarbons or ammonia, particularly as the products of these reactions dissociate into the refractory compounds at lower temperatures than 800.degree. C. In the presence of a reagent, for example, an acetylene or an alkyl hydrocarbon, the boron hydrides form other metal organic compounds. ##STR2## where R is H in the case of acetylene or an alkyl radical. Diborane and alkylboranes react to form a variety of alkyldiboranes. EQU B.sub.2 H.sub.6 +R.sub.3 B.fwdarw.RB.sub.2 H.sub.5 +R.sub.2 B.sub.2 H.sub.4 +R.sub.3 B.sub.2 H.sub.3 R.sub.4 B.sub.2 H.sub.2 where R is an alkyl radical. Higher boron hydrides react with unsaturated hydrocarbons to form heat-sensitive carboranes. A reaction of a hydride with acetylene is as follows: ##STR3## Carboranes decompose in the following manner: ##STR4## When diborane and ammonia are heated together, boron-nitrogen oligomers or polymers of ill-defined composition form. ##STR5## The pyrolysis of a boron-nitrogen compound, hydrazine-borane at 200.degree. C. results in the formation of a polymeric compound that is unusually stable to water, but decomposes slowly above 200.degree. C. to an unidentified compound with the empirical formula (HBN).sub.n as follows: ##EQU1## Ref: H. Steinberg and R. J. Brotherton, Organoboron Compounds, Vol. 2, Wiley & Sons, 1966. The following diagram shows the reactions between diborane and ammonia: ##STR6## Reference is made to Wade above. Boron nitride is the ultimate product of the decomposition of the reaction products shown in the above diagram. As the coolant carries the above compounds into and through the core the higher hydrides, carboranes and boron-nitrogen compounds deposit in the perforations at the lower or cold-leg core inlet temperatures. These compounds progressively break down to boron, borocarbons and boron-nitrogen compounds and to boron carbide or boron nitride at the higher core temperatures. Hydrogen liberated in these reactions may react with the graphite core to form volatile hydrocarbons that also react and combine with or entrap the boron compounds. Boron alkyls begin to decompose thermally above 200.degree. C. Among the compounds which break down to provide boron carbide, triethyl boron requires special note. The following reactions occur: ##STR7## Typical of the compound B.sub.x C.sub.y H.sub.z is B.sub.5 CH. All of the compounds in this series form rapidly and irreversibly and are stable, non-volatile solids insoluble in water. Boron nitride is isoelectronic with graphite; i.e., except for mass and nuclear charge, the molecules of boron nitride and graphite resemble one another. They have the same number of valence electrons and should have similar orbitals. Thus, the structural properties of boron nitride and graphite are analogous and boron nitride produced by the thermal breakdown of aminoboranes or other boron-nitrogen compounds should be readily accommodated by the graphite core and firmly adhere to the porous walls of the reactor coolant passages. Some aminoboranes are commercially available; boron imides and borazole can also be used. The following reactions are typical: ##STR8## With respect to the Borazole, reference is made to Gmelin's Handbuch der Anorganischen Chemi, 8th ed., Verlag Chemie, Weinheim/Bergstrasse No. 13 (1954). Refractory boron containing compound also may be deposited through the thermal decomposition of a metal borohydride. The metal borohydrides are volatile at low temperatures and decompose in the range of 200.degree.-400.degree. C. For example, thorium borohydride has been decomposed at 300.degree. C. leaving an amorphous, metallic deposit of ThB.sub.3.83. Other borohydrides also leave metallic borides and probably uncombined boron upon thermal decomposition. Reference is made to Powell, Oxley and Blocher, Vapor Deposition, John Wiley & Sons, 1966, p. 345. Typical reactions are: EQU Th(BH.sub.4).sub.4 .fwdarw.ThB.sub.4 +2 H.sub.2 EQU Hf(BH.sub.4).sub.4 .fwdarw.HfB.sub.2 +2 B+8 H.sub.2 |
summary | ||
044366957 | description | Referring now to the drawings, a reactor system 1 in accordance with this invention is illustrated in FIG. 1 and has a large explosion-containing chamber 2 which is somewhat ellipsoidal in shape. Highly energetic, neutron producing bursts, which preferably are in the form of nuclear explosions, each having an energy output in excess of 2.times.10.sup.12 joules, are centered about an explosion point x which is near the center of the chamber 2. The horizontal diameter of the chamber 2 through the point x is about 70 meters, while the highest positioned structure at the bottom portion of the chamber 2 is about 30 meters below point x. The lower-most portion of the fixed structure at the top center of the chamber 2 is about 50 meters above point x. The explosion-containing chamber 2 has walls 5 which are preferably one to two meters in thickness and are made of a suitable strong steel alloy. Where the walls are closer to point x and in regions where the walls carry numerous conduits or other openings, the walls will be thicker. In the preferred embodiment of this invention, the contained nuclear explosion or burst results totally from fission reactions produced by concurrence of a large free-falling mass 8 with both a fast upwardly traveling slug 9B and a fast downwardly traveling slug 9A. At the instant of explosion, the reactor system 1 is protected from the effects of the explosion caused by the more than prompt critical configuration by at least four systems which place molten working fluid into the chamber. Over two-thirds of this working fluid is in the form of free-falling column-globs 30, 31 which are released from glob producing means 3 at the top of the explosion containing chamber 2. Also, there are a great plurality of stream-sprays 18 and even a greater plurality of fine sprays 20. An additional fine spray system is located at the bottom of the chamber and produces fine sprays 22 for the purpose of protecting otherwise exposed tips 21 of the structure to be subsequently described for propelling the upwardly traveling slug 9B. Additional fine spray systems (not shown) may be used to protect the glob producing means 3. In the operation of the reactor system 1 of this invention, the large free-falling mass 8 is dropped into the chamber and struck by two slugs 9A and 9B. While each of these structures individually is sub-critical, the resulting assemblage becomes more than prompt critical, resulting in the production of energy and neutrons. In conjunction with the introduction of the mass 8 and slugs 9A and 9B into the chamber, substantial quantities of slurry are introduced into the chamber so as to attain a prescribed configuration at the moment of the explosion or burst. Energy from the explosion is absorbed by the slurry and what shock wave may occur in the most rarified atmosphere of the chamber is attenuated by the distribution of very lean slurry column-globs and sprays in the chamber so that damage to the walls 5 is prevented. The slurry also absorbs debris from the blast. Neutrons and other radiation generated by the burst will also be absorbed by the slurry resulting in the production of useful isotopes and thermal energy. Also, many neutrons will be reflected back into the materials in the mass 8 and slugs 9 wherein desired nuclear reactions will occur. After each explosion, the heated very lean slurry, containing most of the debris of the explosion, falls into a pool 26 at the bottom of the chamber 2. The bottom of pool 26 is the upper portion of a massive precipitating-heating exchanging system 28 from which useful thermal energy is withdrawn by a plurality of heat exchange means 29. As the slurry sits in the precipitating-heat exchanging system 28, the heavier solid materials tend to settle toward the bottom. Thus, the slurry toward the top will be generally leaner in concentration of actinides and other solids than the slurry lower in the precipitating-heat exchanging means 28. Cooled and somewhat leaner slurry is transported by a plurality of transport means 32 positioned toward the upper portion of the precipitating-heat exchanging means 28 to a feed means 34 which distributes the slurry throughout the liquid column-glob producing means 3. These means 32 also transport cooled lean slurry to the various spray means previously described used for protecting the physical structure of the explosion-containing chamber 2. Closer to the bottom of the precipitating-heat exchanging means 28, a dense slurry forms. This dense slurry is of cooled molten sodium with heavier particles which are mostly hydrides of actinides, magnesium, fission products and sodium and also include particles of actinide metals, magnesium, and fission products. The slurry is collected and transported to a first processing means 36 wherein the dense slurry is further precipitated. Very lean slurry, which is mostly cooled molten sodium, is drained off the top thereof and transported to transport means 32 to be mixed with the other lean slurry for use as previously described. During processing in the first processing means 36, some gases, such as the fission products krypton and xenon, will be withdrawn by a suitable controlled venting means G. It should be noted that the first processing means 36 will preferably consist of a substantial number of processing stations inasmuch as processing therein is by the "batch" method and it takes much longer for the processing of a batch therein than the time of a single cycle of the reactor system (on the order of 30 seconds). After the desired portion of lean sodium slurry has been withdrawn from the slurry in the first processing means 36, a very thick paste remains which is heated. During heating, more gases and vapors are relased and these are transported to a condensing separating and hydriding means 38 wherein the gases are cooled and thermal energy withdrawn by heat exchange means 39. Upon cooling, sodium vapor condenses to molten sodium and/or combines to form NaH, and the remaining gases (mostly hydrogen, krypton and xenon) are withdawn therefrom by a controlled venting means G. The residue remaining in the condensing, separating and hydriding means 38 consists mostly of a mixture of sodium and sodium hydride which is withdrawn therefrom and transported to mass manufacturing means 56 to be used as a solid in the manufacture of large free-falling masses 8 in a manner to be described subsequently. After much of the hydrogen (from decomposing hydrides) and most of the sodium have been withdrawn from the first processing means 36, it is heated further until a molten alloy of magnesium with plutonium separates above the residue which is mostly uranium. Most of this molten magnesium with plutonium is transported to a plutonium separating means 40. The remaining residue in the first processing means 36 is mostly of uranium metal. A portion of this uranium metal is transported to a slug manufacturing means 50 and to the mass manufacturing means 56 to be used directly in the manufacture of the slugs 9 and masses 8. The remainder is transported to uranium hydriding means 44 which also receives hydrogen gas which has been separated by conventional means from the gases collected by the controlled venting means G. The hydrogen gas is then used to convert the uranium into UH.sub.3. The molten magnesium with plutonium dissolved therein which has been transported to the plutonium separating means 40 is heated until the magnesium is distilled off. That magnesium vapor is transported to a magnesium condensing means 42 where it is cooled by heat exchange means 49 and condensed into molten magnesium. In this process, further useful thermal energy is withdrawn from the magnesium condensing means 42 by heat exchange means 49. Some of the molten magnesium from magnesium condensing means 42 is transported to slug manufacturing means 50 and mass manufacturing means 56 to be used directly in the manufacture of slugs 9 and masses 8. Most of the molten magnesium, however, is transported to magnesium hydriding means 46 wherein MgH.sub.2 is produced using hydrogen gas received from the controlled venting means G. This, along with plutonium from the plutonium separating means 40 and UH.sub.3 from the uranium hydriding means 44 is transported to the slug manufacturing means 50 and mass manufacturing means 56. The slugs 9 are manufactured in the slug manufacturing means 50 in a configuration to be described in conjunction with FIG. 6. The downward traveling slugs 9A are transported to an upper slug accelerating means 52A while the upward traveling slugs 9B are transported to a lower slug accelerating means 52B. The slugs 9A and 9B are identical and the upper and lower slug accelerating means 52A and 52B are also substantially identical. While many means, such as a mass driver may be used for the acceleration of the slugs, in the preferred embodiment, a gas gun will be utilized. One advantage of the use of a gas gun results because the slugs are maintained at cryogenic temperatures so that the UH.sub.3 therein will be ferromagnetic. The use of highly energetic magnetic fields in mass driver for the substantial acceleration of the slugs from rest might cause excessive heating within the slugs such that the UH.sub.3 would lose its ferromagnetic properties. By using a gas gun as the slug accelerating means, the continued ferromagnetic properties of the UH.sub.3 in the slug means can be used for fine control of the final velocities thereof. An upper fine control means 54A and a lower fine control means 54B are preferably in the form of mass drivers and interact with the downward traveling slugs 9A and upwardly traveling slugs 9B, respectively. These control means create adjustable magnetic fields along the flight path of the slug which interacts with the ferromagnetic UH.sub.3 to cause small increases or decreases, as needed, in slug velocities such that both the slugs 9A and 9B can occur with the free-falling mass 8 and create an assemblage having the desired characteristics. Of course such fine controlling will be directed by a suitable computer system. The large free-falling mass 8 is manufactured in the mass manufacturing means 56 and is transported to a mass dropping means 58 for introduction into the explosion-containing chamber 2. Below the mass dropping means 58 there is a complex upper shutter means 60 which at first must be opened wide enough to permit unimpeded passage of the large mass 8. Then it must partially close leaving an opening just wide enough for passage of the high velocity downwardly traveling slug 9A to pass. Finally, it must close completely to protect the dropping and propelling devices thereabove. A lower shutter means 62 for the bottom slug 9B need not have the complexity of the upper shutter means 60 since it does not need to let through a large mass and therefore only opens to a single, smaller size. However, since it seals off a bottom passageway 64, through which the slug 9B travels, there will be some molten sodium slurry which will fall into that passageway 64. Thus, a drainage means 66 is provided to clear the bottom passageway 64 before the next slug is fired. Along the upper portion of the chamber 2 there are a plurality of withdrawal conduits 70 through which gases, vapors and very fine droplets and particles are withdrawn to the controlled venting means G when valves 72 are opened. At the instant of explosion, a plurality of column-globs 30, 31 substantially surround the exploding assembly, having been previously permitted to drop into the chamber 2. These globs are shaped in the glob producing means 3 and when bottom swinging plugs 130, 140 are withdrawn from holding forms or hollows 110, 120 free-fall into the desired configuration. In the preferred embodiment of this invention, the mass of each of these column-globs is of an order of magnitude between 10.sup.2 tonnes (metric tons) and 10.sup.3 tonnes. There are preferably 18 column-globs of which there are 6 inner column-globs 30 that are of greater height than the 12 outer glob-columns 31. It should be noted that both the number and physical configuration of the column-globs would be tailored to the particular requirements of each specific reactor. The concept upon which free-falling column-globs of this invention is based is that when column-globs are configured in a form which have vertical sides and such column-globs have a great enough cross-sectional area and total volume, the forces, such as adhesion, cohesion and wall-friction, which affect the configuration of a free-falling quantity of liquid will not cause an appreciable alteration in the shape of the column-glob as it free-falls in a chamber which has very little atmosphere. Of course this assumes that the bottom supporting plugs are withdrawn more quickly than the liquid can fall and that once out of contact with the free-falling column-globs, they remain far enough removed to avoid any further contact. Such a configuration makes it possible to place a quantity of slurry in close proximity to the central explosion or burst which is much greater than was possible with all spray systems, thus resulting in enhanced reactor operating characteristics. The swinging plugs 130, 140 are best shown in FIG. 3 and have expanded head portions 131, 141 supported on pivot arms 134, 144 secured to suitable hinges 136, 146. Arcuate legs 132, 142 extend from the heads 131, 141 and fit into arcuate guideways 11 provided in the chamber. These plugs must be moved in a time of less than 2 seconds from a positon holding the slurry within the hollows 110, 120 through an arc of more than 90.degree. to fixed positions within a shielded portion 7 of a neck portion 6 of the containing chamber (FIG. 1) at the instant of explosion. The shielded portions 7 are protected by abutments 17 from a sufficient portion of the shock-blast effects of the explosions to protect the plugs from damage. Rapid swinging of the plugs may be produced by many varieties of motion producing means. In the preferred embodiment, very strong magnetic attractions and repulsions are produced by accelerating means 12 which interact with the arcuate legs 132, 142 of the swinging plugs. These legs are constructed to serve as solenoids and fit into and travel along the arcuate guideways 11. Note that the accelerating means 12 not only produces forces to quickly accelerate or decelerate the solenoidal portion of the swinging plug into the hollow 11 but must also produce counter-centrifugal forces. The pivot arms may be of solid constructions or may be bifurcated or of I-beam or cellular construction or any other structure permitting the plugs to withstand the mechanical forces related to motion without adding excess weight which could slow plug motion. When the swinging-plugs 130, 140 are in a position against the bottoms of the hollows 110, 120, respectively, they must be locked in position because a substantial quantity of liquid must be supported. One means for accomplishing this may be a mechanical latching means 14 which may engage an end portion of an arcuate leg 132, 142 of each of the plugs 130, 140 or an opening or recess therein. It may be desired to use one or more latching means 14 for each plug, all of which would be electrically actuated simultaneously. When it is desired to release the plugs, the accelerating means 12 are actuated to drive the plugs against the hollows 110, 120. This will relieve the force against the latching means 14 sufficiently to permit retraction whereupon the accelerating means 12 can quickly withdraw the plugs 130, 140 to release the column-globs 30, 31. The latching means 14 and/or secondary latching means 16 (FIG. 1) may be used to hold the plugs in their protected open positions. Alternatively or in addition to latching means 14, a plurality of latching means 101 and 111 (FIGS. 7-9) may be used. These latching means lock into position the front portions of the swinging plugs 130, 140 and also aid in the support of the plugs when the hollows are being filled with liquid. Thus, a plurality of latching means will be utilized for each plug, all of which should be actuated simultaneously by electrical, hydraulic and/or mechanical means. Each of the latching means 101, 111 consists of a solid shaft 122 that is sized to fit into a hollow vertical holes 124. These shafts 122 are preferably constructed of strong steel and are about 20 cm. in diameter and connected to a suitable accelerating and support means (not shown) at their tops which extend above the top of the liquid-containing hollows. The shaft accelerating means may be of electromagnetic, hydraulic, or mechanical means and each must be able to move its respective shaft downward a distance of about one meter in less than a third of a second. It must also be capable of locking the shaft 122 in place to provide support for the plugs. One or more fingers 126 extend radially outwardly from each of the shafts to engage the heads of the plugs. Note that the shaft has to move quickly so that the swinging plug will be able to move faster than the free falling liquid and that the plugs will not hit the latches of the shafts 101, 111. When it is desired to release the plugs, the latching means 101, 111 will momentarily pull upwardly upon the plugs so that the latching means 14 can be released. The shafts 122 are then moved vertically downward with sufficient speed to disengage from the plug and move clear from the path of the plugs which are substantially simultaneously moved by the accelerating means 12 to release the column-globs 30, 31. The latching means 14 or secondary latching means 16 (FIG. 1) may be used to hold the plugs in their protected open positions. After the explosion and after most of the working fluid and debris of explosion has either been withdrawn from the containing chamber 2 or is within pool 26, the swinging plugs may again be moved into position blocking the bottom of the column-glob containing forms. Such movement need not be done nearly as quickly as removal of the plugs from the forms but must be accomplished in sufficient time to permit refilling of the hollows 110, 120 before the next drop. Although it is desired that the swinging plugs fit as tightly as possible into the bottom of the column-glob containing forms so that leakage will be minimized, it should be noted that some leakage during the quarter-minute or so of time during which the forms are being filled with liquid will be of very little import since the leaking liquid will but fall into the pool 26. It is only necessary that the plugs seal sufficiently to permit the hollows to be filled in the allotted time. It should also be noted that the feed means 34 which fills the hollows 110 and 120 to form the column-globs will be functioning for a period after release of the column-globs, during which spray will be released through the hollows 110, 120 to help protect the upper portion of the chamber 2 and its associated structure from damage and wear. The cross-sectional configuration of the inside hollows 110 and outside hollows 120 of the glob producing means 3 is shown in FIG. 2. A central passageway 100 is located centrally of the hollows for the purpose of permitting passage of the large free-falling mass 8 and the slug 9A therethrough. Passageway 100 preferably has a radius of 62 cm and hence the mass 8, having a maximum radius of about 60 cm, will be able to fall through the passageway 100 without touching its sides. The central passageway 100 is separated from the inside hollows 110 by a wall 102 having a minimum thickness of about 18 cm. The straight outside walls forming inner boundaries of the six inside hollows 110 cause the wall 102 to appear generally hexagonal. Side walls 104 extend radially outward from the apices of the hexagon abutting a circular wall 106 to form the inside hollows 110. The innermost surface of the circular wall 106 is 7.8 meters in distance from the center of passageway 100. The walls 104 and 106 preferably have a thickness of 20 cm. At the corners through which latching means 101, 110 travel through openings 124, the thickness is somewhat less between the boundaries of the hollows 110, 120 and openings 124. Extending radially outward from the wall 106 are a plurality of walls 114 which extend 4 m. to an outer circular wall 116 to define the outside hollows 120. The walls 114 preferably have a thickness of 20 cm and are distributed to form 12 substantially uniform hollows 120. The outer circular wall 116 is preferably a continuation of the wall 5 of the explosion containing chamber 2 having a thickness of 40 cm. The corners of the walls should be rounded to a radius of 5 cm or more. The plugs for the hollows will preferably have their corners rounded for optimum fit. The walls, plugs, etc. should be of strong alloy steel that are erosion and corrosion resistant. The inside hollows 110 should be sufficiently high to permit filling to a height of about 23 meters; while the height of the outside hollows 120 should accommodate a fluid height of about 17 meters. It should be noted that the tips of the walls 102, 106, and 116 all lie in a horizontal plane (see FIG. 3) and form circles. Wall 102 has a tip 103 and is near the inner vertical surface of wall 102 for the outer surface of wall 102 near the tip 103 is formed diagonally at an angle of about 45.degree. with the upper vertical outer portions of the wall 102. Wall 106 has a tip 107 which is on the center-line of the wall 106 and the lower-most surfaces of the wall 106 make about an angle of 45.degree. with the vertical. Wall 116 has a tip 117 which is about 20 cm farther from the center of the central passageway 100 than are the inner vertical surfaces of the wall 116. The lowermost surface of the wall 116 form an angle of about 45.degree. with the vertical. The front portions of the swinging plugs are designed to fit into the bottom of the hollows so that leakage of working fluid is minimized. There are many possible modifications in the swinging plug systems of this invention that the practitioner might elect to use. For example, the plugs have large rear portions of soft iron, or have fixed permanent magnets, or have variable electro-magnets therein that are fed by externally supplied electricity. The magnetic fields from accelerating means 12 could be provided by cryogenic magnetics. Also, the swinging plug could be accelerated by means other than electro-magnetic, such as mechanical and/or hydraulic means. In fact, alternate plug means design may be used to seal the bottoms of the hollows 110, 120. One such alternative design is shown in FIG. 4 wherein a plurality of hollows 210, 220, 230, and 240 are illustrated outside a central passageway 200. A linearly, diagonally traversing plug means 250 is used to seal the bottom of each hollow. For simplification, however, only one plug means 250 is illustrated. Due to staggering of the hollows, there would be adequate wall space to hold the numerous plug means. Plug means 250 is shown in a position almost plugging hollow 220. Note that a front surface 258 of the plug means 250 is horizontal and is shaped to fit tightly and minimize leakage. Boundary walls 206, 216 have their lower extremities shaped also for optimum sealing. When the plug means 250 is engaging hollow 220 in sealing relationship, it is held in place by locking means 214 which may be similar to locking means 14 of FIGS. 1 and 3. The plug means 250 is rapidly accelerated and decelerated as needed by an accelerating means 212 which may be electro-magnetic, hydraulic, or mechanical. Before the explosion, the plug means 250 are withdrawn behind a wall 205 the opening being sealed by a suitable shutter means 260 to protect the plug means 250 from the effects of the explosion. Note that in such a reactor system using a linear-diagonal plug means, the mass 8 and slug 9A travel through hollow 200 and there are a greater plurality of hollows than in a reactor system using swinging plug means. For example, there might be some six inner hollows 210 which are surrounded by some twelve hollows 220. Those hollows 220 are, in turn, surrounded by some eighteen hollows 230 that are surrounded by the outer ring of twenty-four hollows 240. Such hollows need not all have a cross-section that is a regular hexagon. And the sixty hollows need not all have the same height or cross-sectional area. Likewise, with a reactor system, not all the plug means need be the same type. That is, some could be swinging plugs and some could be linear-diagonal, etc. Note that with a reactor system using all linear-diagonal plug means, there would be no need for the neck 6 of the explosion containing chamber to have a shielded portion 7 or to have the abutment 17 because of the use of a protective shutter 260. The large free-falling mass 8 of this invention is best shown in FIG. 5 and has a centroidal portion 82 of impure plutonium including a central void 80 of about 300 cubic centimeters and contains the center point of the mass 8 which is designated as C in FIG. 5. The greatest radial dimension of the centroidal portion 82 is 6 cm and the solid volume of portion 82 is about 500 cubic centimeters. Substantially surrounding the centroidal portion 82 is an inner shell 85 which is of impure uranium metal and has a thickness of about 7 cm. Substantially surrounding shell 85 is a complex shell 86 which is 29 cm thick and comprises a mixture of UH.sub.3 and MgH.sub.2. This complex shell has decreasing fractions of UH.sub.3 at greater distances from point C. Near its innermost portions, the atomic percentage of uranium is preferably about 10% while at the outermost portions of complex shell 86, the atomic percentage of uranium is about 2%. An outermost thick shell 87 is composed of a mixture of NaH, sodium metal, and some UH.sub.3. The atomic percentage of uranium therein averages about 1%. The front and back portions of mass 8 are delineated by the radial distance of about 65 cm from point C. The main body of the mass 8 is of a cylindrical shape 120 cm across and 50 cm in length. The overall length of the mass 8 is about 130 cm. Extending inward from the front and rear points of the mass 8, respectively, are an upper vertical opening 84A and a lower vertical opening 84B which extend to within about 5 cm of the central point C. These openings are generally cylindrical but terminate in shoulders 81 at the blind ends thereof. The openings 84A and 84B have a diameter of about 11 cm, tapering at the shoulders 81 to a diameter of about 8 cm. To give the mass 8 greater rigidity the shell 87 is surrounded by a 0.25 cm thick shell 88 of magnesium metal. Also, the openings 84A and 84B are contained by a thin coating 83 of magnesium metal. To insure that the mass 8 falls without any twist, there are three guide shafts 89 of solid magnesium which are 70 cm long and about three cm in thickness. The shafts 89 are embedded in or firmly attached to the shell 88 and reinforced, such as by struts 79, preferably in uniform distribution about the mass 8 and aligned with the cylindrical portion thereof. The guide shafts 89 will interface with a complex computer-controlled stabilizing means (not shown) in the mass dropping means 58 which so adjusts frictional forces upon the guide shafts that the center line of the large, free-falling mass 8 coincides with the center-line of the chamber with virtually no rotation or twisting of the mass 8 so that the slugs 9A and 9B can properly travel through the openings 84A and 84B without interference. FIG. 7 shows a slug 9 which may serve as either the downward traveling slug 9A or the upward traveling slug 9B. The slug 9 is substantially cylindrical in shape with a maximum length of about 40 cm. The diameter of the front surface of the slug 9 is about 8 cm, increasing to a maximum of about 10 cm. A forward portion 94 is of impure plutonium metal and is delineated by the front surface of the slug 9, the other boundary being generally spherical with a radius (measured from a point 91 which is some 5 cm in front of a point 93 at the center of the front surface) of 7 cm. Behind the forward portion 94 is a slug portion 95 which is of impure uranium metal. The front surface of slug portion 95 coincides with the back surface of forward portion 94 and its back surface is delineated by a radial distance of 7 cm from point 93. The side boundary, except for a little notch in the frontal area, is the curved surface of the slug. Much of the remaining portion of slug 9 is a slug portion 96 which is of UH.sub.3. This slug portion 96 is substantially cylindrical in shape with a diameter of about 9.4 cm. Surrounding the exterior of slug portion 96 is a cylindrical shell 97 about 2 mm thick of MgH.sub.2 which is surrounded, in turn, by a 2 mm thick outer shell 98 of magnesium metal. The back surface of slug portion 96 is some 30 cm from the front surface of the slug through point 93. Behind portion 96 is cylindrical portion 99 which is by weight about one-third UH.sub.3 and about two-thirds MgH.sub.2. Cylindrical portion 99 has a diameter of about 9.8 cm and a height of about 9.8 cm. The side and back portions of portion 99 are also surrounded by the magnesium shell 98. It should be noted that the slugs 9A and 9B are designed to fit into the openings 84A and 84B of the mass 8. Specifically, the maximum diameter of the slugs is 10.2 cm while the minimum diameter of the openings 84A and 84B is 11.0 cm. Thus, there is a leeway of about 4 mm on either side for the center-line of the slug's flight path which will accommodate any deviation from the center-line of the openings. A substantial advantage of the reactor system of this invention lies in the fact that the slugs 9, when fired into the mass 8, are mostly at cryogenic temperatures. Just after their manufacture, the slugs are transported to a cryogenic cooling chamber (not shown) near the accelerating means 52A, 52B for that slug. When the slugs have an average temperature of about 100.degree. K., they can then be quickly placed in the accelerating means and fired. While being fired (very rapidly accelerated), the outer shell 98 will be heated up. In fact, the outer surface of the shell 98 may be so heated that it is in a liquid state. Note that the shell 97 acts to somewhat insulate the heated outermost shell 98 from the still cryogenic slug portion 96 of UH.sub.3. Since UH.sub.3 is ferromagnetic at 100.degree. K., fine control of slug velocities can be obtained by the upper and lower fine control means 54A and 54B. For such control, the controlling computer system for the reactor must receive input indicative of slug position and velocity and compare these with programmed values. Any errors can be corrected by regulation of the magnetic fields of the fine control means 54A and 54B such that the correct concurrence of the mass 8 with both the slugs 9A and 9B occurs. The assembly of mass 8 with the slugs 9A and 9B is designed so that most of the fissioning occurs near to the point C (FIG. 5) and a very low proportion of neutrons escape from the assembly. Most of the fissionable material is within centroidal portion 82 of the mass 8 and the forward portion 94 of the slugs 9. Of those neutrons that travel beyond these inner portions, those that do not cause fast neutron fissioning of U.sup.238 within the inner shell 85 of mass 8 and/or slug portion 95 will either be reflected back, mostly by the hydrogen in the complex middle shell 86 of mass 8 and slug portion 96 or will be captured by U.sup.238 in these regions. MgH.sub.2 is used in the mass 8 and slugs 9 because it is, by atoms, two-thirds hydrogen and because magnesium has a very low neutron capture cross-section. In addition, the magnesium can be used in the processing as a solvent for plutonium. The concentrations of fissile materials within the centroidal portion 82 of the mass 8 and the forward portions 94 of the slugs 9 is so designed that when the programming of the slugs 9A and 9B is correctly done, the assembly of mass 8 with the slugs 9A and 9B becomes more than prompt critical when the points 93 are about 6 cm from the point C. Since there are many spontaneous fission neutrons from the actinide atoms and especially from Pu.sup.240, the portions 82 and 94 will be so heated from fissionings therein that at the instant that the forward slug portions 94 strike the surface of centroidal portion 82, they will be in a molten phase. The momentum of the slugs will squeeze the front and back of the molten centroidal portion 82 into the central void 80. While this is happening, the inner shell 85 and slug portions 95 act as tampers. Note that as the central void 80 is shrinking, the "k" of the assembly is rapidly increasing and the magnitude of fissioning is increasing more rapidly. If the velocity of the slugs is fast enough, an explosion of the desired magnitude will occur. A slower impact velocity will produce an energetic burst yielding thermal energy and neutrons while being substantially less energetic than an explosion. Of course, in the practice of this invention, great care is always taken to avoid critical or near critical assemblies, except when desired near the explosion point x (FIG. 1). Until the exact velocity for the desired magnitude of reaction has been determined, lower velocities will be used even though there will be resulting reactions of less than desired magnitude. The column-globs of this invention serve the function of placing large quantities of very lean slurry in close proximity to the exploding assembly. Regardless of the design or efficiency of a spray system, it is not possible to achieve the desirable material concentrations near the explosion as is provided by the use of the column-globs. By having large quantities of slurry nearer to the exploding assembly, greater attenuation of the effects of the explosion are obtained than would be the case if the slurry were more evenly distributed throughout the explosion chamber as with an all spray system. Large quantities of cool slurry are needed to absorb the energy of the explosion so that the temperature of the slurry coming in contact with the chamber's wall or in contact with the walls of heat exchange means 29 is not excessive. It should be noted that the plasma produced by the explosion is first cooled into vapor and then into condensed materials by the massive cool column-globs. What little vapor escapes beyond the column-globs will condense upon the cool lean slurry of the sprays 18, 20, and 22 so that no hot vapor will come in contact with the walls of the explosion chamber. Even though some of the neutrons which escape beyond the outer shell 88 are reflected back towards the assembly and some of those neutrons beyond the outer shell 88 will be captured by U.sup.238 in the surrounding very lean sodium slurry, the practitioner of this invention might elect to improve neutron economy by the following: (a) Use slurry with more actinide in the falling columns of working fluid nearest the exploding assembly. Such practice would be a modified application of the invention as disclosed in my U.S. Pat. application Ser. No. 953,166. By such procedure, many of the neutrons escaping from the assembly that would have been captured by sodium atoms will instead be captured by U.sup.238 atoms in the denser slurry. (b) Make the mass and slugs larger. Thus, fewer neutrons will escape beyond the limits of that enlarged exploding assembly. For example, the outermost shell 87 could have an outside diameter of 1.4 meters and a maximum length of 1.5 meters while cylindrical portion 99 could be enlarged so that the length of the slugs is 50 cm. Of course, if an enlarged mass is used in the practice of this invention, there will need to be adjustments made in the location and sizes of column-globs used therein. The impure plutonium used in the practice of this invention will be about 90% plutonium and very roughly about a couple percent each of uranium, neptunium, trans-plutonium actinides, fission products, and miscellaneous atoms which includes materials worn from walls, magnesium, and hydrogen. There could be considerable variation in the isotopes of plutonium in the impure plutonium used in the practice of this invention, but very roughly the average percentages should be about: Pu.sup.238 2%, Pu.sup.239 60%, Pu.sup.240 23%, Pu.sup.241 10%, Pu.sup.242 4%, and others 1%. Although the isotopes Pu.sup.238, Pu.sup.240, and Pu.sup.242 are usually thought of as fertile isotopes, that is, to slower neutrons they absorb a neutron and become a fissile isotope, they will fission to fast neutrons much more readily than U.sup.238. In fact, by itself, Pu.sup.238 has a critical mass on the same order of magnitude as does Pu.sup.239. To faster neutrons, Np.sup.237 is also most fissile and this atom, which is somewhat parasitic in slow neutron reactors (since it takes two neutrons to transmute it into fissile Pu.sup.239), is in this reactor a non-parasitic atom. If spent enriched uranium is used as a source of uranium for the practice of this invention, there will be more Np.sup.237 formed since the "parasitic" U.sup.236 therein will transmute into Np.sup.237 since U.sup.237 has a half-life of less than a week. However, it should be noted that U.sup.236 is more fissionable to fast neutrons than is U.sup.238 and that much Np.sup.237 is a result of the decay of U.sup.237 which is formed by the stripping of a neutron (by a very fast neutron) from U.sup.238. Of course, with the very dense fast neutron flux within the centroidal portion 82 and forward portions 94 and within the inner regions of the inner shell 85 slug portions 95 there will be much fissioning of such short-lived isotopes as U.sup.237, Np.sup.238, Pu.sup.243. The impure uranium used in the practice of this invention is perhaps about 95% uranium and contains less than 1% plutonium. Much of the remaining impurities will consist of fission products and magnesium. Most of the neutron reactions occurring in the practice of this invention are either fast neutron fissionings or the capture of a neutron by U.sup.238. A few of the slowed down neutrons will be reflected back into the centroidal portion 82 and the forward portions 94 where a higher fraction of them will be captured by plutonium atoms resulting in either fissionings or transmutations into plutonium isotopes of higher mass number. The advantages of a very fast neutron reactor system is that the fraction of neutron captures is low compared to the fraction of fissionings. This is true for all actinides. With this reactor system of those fast neutrons that are so moderated into slower neutrons perhaps over 90% are captured by U.sup.238 atoms and hence decay into Pu.sup.239. Also with fast neutron fissionings, the average number of neutrons produced per fission is higher than with slower neutron fissionings. Of the actinides within this preferred embodiment at the beginning of operation, perhaps some 5% are plutonium atoms while about 95% are uranium (this assumes that no neptunium or trans-plutonic atoms are purposely added). As the system is operated for some thousands of cycles, the percentage of plutonium increases and the percentage of uranium decreases. Also, there will be a build up of fission products, neptunium and trans-plutonium actinides therein. Very rough calculations show that in a year there will be more than a million explosion-cycles and that about 37 tonnes of fission products will be produced. About 18 tonnes more of plutonium will have been created therein than was fissioned or transmuted. Since large quantities of plutonium are within the slurries (most of which is in slurries that are standing with slow precipitations therein) and perhaps larger quantities of plutonium are in the processing and manufacturing means, the total inventory of plutonium in the whole reactor system is on the order of about 36 tonnes. Thus, the reactor system has a plutonium doubling time of about two years. Of course, the fission products within the system should be cleaned out of the system frequently. Those fission products that are soluble in the molten sodium lean slurry are of less import since the lean slurry has an average of very little neutron flux. Perhaps the major source of such neutron flux is from delayed neutrons and/or neutrons born of fissions caused by delayed neutrons. The fission products which are most insoluble in molten magnesium and hence are found with the uranium are a greater problem since they are exposed to a much greater flux of neutrons when within the inner shell 85 of the mass 8 and slug portions 95. They are of a lesser problem when they are within the complex middle shell 86 of mass 8 and slug portions 96 because of the lower flux of neutrons thereabout. Perhaps as much as a quarter of the fission product mass can be separated from the system by the processing of the gases which are withdrawn by the controlled venting means G. This could include the metals rubidium and cesium whose vapors have much higher vapor pressures than does sodium. This invention does not concern itself with the chemical or other means which would be employed to process the fission product gases or vapors, and/or to separate out the fission products from the impure uranium and the impure plutonium for in all these cases the art is well developed. Likewise for the separation of the neptunium and trans-plutonic actinides as well as the materials that are worn from the various walls. The ultimate use of the excess plutonium produced by this invention could be either as mixed oxide fuel or in new reactors of design like the preferred emobidment. The mixed oxide use of such plutonium could be either in fast neutron reactors wherein the plutonium is about 20% of the actinide or in light water slow neutron reactor systems wherein the fissile fraction of actinides is about 3%. An abbreviated and simplified sequence of operations of a 30 second cycle of the preferred embodiment of the reactor system of this invention is as follows: ______________________________________ (time of 0.0 seconds is instant of maximum energy production) ______________________________________ -20.0 sec. All of the swinging plugs 130, 140 are locked into a tight fit onto the bottoms of the hollows 110, 120; feed means 34 begins to fill up the hollows. -10.0 sec. Mass dropping means 58 starts moving mass 8 into position for dropping. -6.0 sec. Upper shutter means 60 begins to open. -4.0 sec. Mass dropping means 58 releases mass 8. -3.5 sec. The swinging plugs 130 for the inner hollows 110 are opened. -3.4 sec. The swinging plugs 140 for the outer hollows 120 are opened. -3.3 sec. The first of the stream-sprays 18 enter the explosion containing chamber 2. Valves 72 are closed. -2.0 sec. Last of stream-sprays 18 begin to enter chamber 2; the stream-sprays from feed means 34 are turned off; the first of the fine sprays 20 enter the chamber 2; the first of fine sprays 22 enter chamber 2. -.70 sec. The slugs 9A and 9B begin their acceleration-average acceleration is about 2,000 m/sec.sup.2. -.10 sec. The swinging plugs are locked into open position; the slugs 9A and 9B are now under control of the fine control means 54A and 54B respectfully. -.04 sec. Slug 9A enters chamber 2. -.03 sec. Slug 9B enters chamber 2. The most speedy inner-directed portions of fine sprays 22 start to enter bottom passageway 64. -.01 sec. Shutter means 60 and 62 both closed tight. -.0001 sec. Explosive assembly becomes critical. 0.0 sec. Instant of maximum energy production. 0.1 sec. Sprays 18, 20, and 22 terminated. 1.0 sec. Drainage means 66 opened. 2.0 sec. Valve 72 opened. 4.0 sec. Bottom pool 26 at highest level; swinging plugs are unlocked and begin traveling toward closed positions. 10.0 sec. All of swinging plugs are locked (-20 sec.) into a tight fit onto the bottom of the hollows, drainage means 66 closes; valve 72 closes. A new cycle begins. ______________________________________ Note that only about 0.06 seconds of time is available for the fine adjustment in velocity needed to obtain the desired concurrence of the slugs 9A and 9B with mass 8. This invention of large falling column-globs of working fluid in the containment of nuclear explosions is not limited to the specific embodiment of an all-fission explosion assembly as herebefore described. Nuclear explosion assemblies similar to those disclosed in U.S. Pat. applications Ser. Nos. 544,178; 953,166; 810,894; and 040,849 and/or my U.S. Pat. Nos. 4,121,969 and 4,121,971 (both issued Oct. 24, 1978) could be used. Likewise, this invention is applicable for all-fusion or fission-fusion explosion containment. An all-fusion explosion is ecologically attractive since there is a minimal quantity of radioactive debris therefrom. There would be large quantities of tritium produced and consumed therein. Such tritium is not debris but is valuable as a fusion fuel or as a radioactive isotope with uses including radioactive lumination. When the common definition of nuclear fusion is reactions involving atoms of low atomic weight fusing together to form an atom of higher atomic weight, such reactions as: EQU (1) most energetic n+D.fwdarw.n+n+p EQU (2) n+Li.sup.6 .fwdarw.He.sup.4 +T and EQU (3) energetic n+Be.sup.9 .fwdarw.n+n+He.sup.4 +He.sup.4 are fission reactions even though they are not like those reactions common in actinide fissioning. Note that with such reactions as (1) and (3) energetic neutrons are multiplied into a greater number of slower neutrons. Such slower neutrons can react in reaction (2) to produce tritium. Thus, most designs for all fusion reactor systems have more tritium produced therein than is consumed in the most easily obtained fusion reaction: EQU (4) D+T.fwdarw.He.sup.4 (3.5 Mev)+n(14.1 Mev) In an all fusion reactor system, some of those most energetic neutrons will reach the containing walls and therein produce various radioactive atoms. In terms of total energy, such all-fusion reactors are most wasteful. It takes a single neutron to produce tritium and it also takes a single neutron to produce a fissile atom of either U.sup.233 or Pu.sup.239. The use of tritium is, for example, reaction (4) results in only about a tenth as much energy as is formed in the fission of either U.sup.233 or Pu.sup.239. In current light water reactors, because of some fast neutron fissioning and of the fissioning of atoms bred from the fission-born neutrons, the effective energy from each neutron in fission systems can be said to be more than twenty times the energy obtainable from fusion system use. Thus, the great advantage of the fusion-fission hybrid can easily be seen-the synergetic combination of neutron-rich production of fusioning with the production of fissile isotopes and of some fissioning therein. Although there have been designs of magnetically-confined fusion systems with blankets of fertile material to be neutron irradiated therefrom, the studies of such designs indicate that the energy and fissile production from such systems is far too expensive. Likewise, the systems wherein there are micro-explosions of small pellets have also indicated that their energy and fissile production will be far too expensive. A main expense of such small inertial confinement fusion systems is the cost of the most powerful energy pulse means needed to ignite the small pellet into a micro-explosion. In many of the micro-explosion fusion-fissile-breeding systems, the material to be neutron irradiated is behind a "first wall" of the containing reactor system. In addition, often there has been a complex cooling system used to cool the fixed material being irradiated. For a fusion-fissile-breeding reactor system that is economically viable, the following conditions are needed: (A) The explosion should be of great enough magnitude so that the cost and operating expense of the ignition means is not proportionally too great. (B) The explosions should be frequent enough. This means that the power output of the system will be on the order of 10.sup.11 watts or greater. (C) There should be an effort to minimize actinide fissionings within the system since there are so many more neutrons produced per unit of energy by fusion reactions than by fission reactions, and a prime purpose of the system is the breeding of fissile atoms which require neutrons. Towards such a system, these specific details seem desirable: (1) Most powerful energy pulses at about the same instant ignite a small centroidal portion of fusionable material such as solid deuterium tritide. Those most powerful energy pulses could be of photons (such as are produced by lasers), of most energetic electrons (E-Beam) and/or of ions and neutralized energetic particles. Ignition is produced by the great compression of material due to impact in a time frame measured of an order of magnitude of a nano-second and/or by the placing into a very small volume of fusionable material a tremendous quantity of energy. This will be sufficient for some 10.sup.20 atoms of compression-densified fusionable material in a nano-second to receive so much energy that their average energy is about 20 Kev. That energy, in total, is about 3.times.10.sup.5 joules and perhaps within those 10.sup.20 energized atoms there would be some 10.sup.19 fusions. From the very short distance traveling He.sup.4 ions produced by reaction (4) therein, there would be over 52.times.1O.sup.5 joules added to that small volume of energized atoms. Of course, most of that energy will have spread outwardly so that say 10.sup.21 atoms are now energized into fusionable energies. Thusly, with more fusions and still more atoms being energized into fusionable energies in a couple of nano-seconds, the small quantity of fusion-ignited atoms can increase over a thousand-fold. In fact, because of the dense concentration of fusionable material, the average energy of such ignited fusionable atoms will increase so much that there can be either of these reactions: EQU (5) D+D.fwdarw.H(3.02 Mev)+T(1.01 Mev) EQU (6) D+D.fwdarw.He.sup.3 (0.82 Mev)+n(2.45 Mev) The tritium produced in reaction (5) will quickly fuse by reaction (4) while the He.sup.3 from reaction (6) will fuse by this reaction: EQU (7) He.sup.3 +D.fwdarw.He.sup.4 (3.67 Mev)+H(14.67 Mev) Note that all of the energies from reactions (5) and (7) are in ions which transfer their energies to surrounding atoms in distances on the order of a millimeter. Note that, on the average, neutrons travel many centimeters before much of their energy is transferred to other atoms. Many of those fusion-born neutrons from reactions (4) and (6) could cause reactions (1) and (3); and hence there could be a multiplying of neutrons. (2) The small ignited volume is of solid DT and most of the nearby surrounding fusionable material is also solid DT. But, further from the center of ignition, the fraction of tritium becomes less and less and at a distance of about 6 mm from the center, the fusionable material becomes solid deuterium. Thus, if there is desired to have a total fusion energy of about 10.sup.12 joules, the mass of tritium needed is less than a tenth of a gram while over 3 grams of deuterium are consumed in fusion reactions and by reaction (1). (3) The centroidal fusionable material is substantially surrounded by neutron multiplying materials such as berrylium metal, BeD.sub.2, or even heavy metals such as lead and/or bismuth. If it is desired to produce an excess of tritium, natural lithium or lithium depleted of Li.sup.6 could be used for too fast neutrons, there is this reaction: EQU (8) very fast neutron +Li.sup.7 .fwdarw.Li.sup.6 +n+n Although this layer is predominantly for the multiplication of neutrons, it also acts to so slow down those fusion-born neutrons that there are very few fast neutron fissionings of either U.sup.238 of Th.sup.232. However, some of the neutrons will be reflected back into the fusionable material where there will be some of this reaction: EQU (9) n+He.sup.3 .fwdarw.T+H (4) Surrounding this layer of neutron multiplying material is the layer of fertile atoms which upon neutron irradiation transmute into fissile atoms. The capture of a neutron by Th.sup.232 (99.99+% of natural thorium) results in the production of U.sup.233 which is better for use in light water reactors than U.sup.235. A capture of neutron by U.sup.238 (99.28% of natural uranium) results in the production of Pu.sup.239 which is better for fast neutron reactors. Although it would be theoretically best to have most purified fertile material in this layer even with as large a quantity of fissile atom production as say 200 grams per mostly fusion explosion, this is too small a quantity to be profitably extracted from 2 tonnes of fertile material constituting this layer (0.01%). Thus the fertile material will be reused with irradiated atoms therein again and again. The neutron irradiation of such slightly fissile, fertile material will result in some fissioning. Also, there will be some transmutations such as 27 day half-life Pa.sup.233 into U.sup.234 which is more fissile to fast neutrons but will capture slow neutrons and transmute into fissile U.sup.235. Although such isotopes as Th.sup.233, Pa.sup.234, and U.sup.239 have short half-lives, they are most fissionable to neutrons of intermediate energies. Thus, later-born neutrons from the mostly-fusion explosion will cause some fissioning in these just-born isotopes. Rough calculations indicate that, with optimization of the various parameters, the energy from the desired all-fusion explosion for the production of fissile isotopes will, in fact, be about two-thirds fusion and one-third fission energy. Hence, the product will be about 90% U.sup.233 uranium if thorium is the fertile material and about 90% Pu.sup.239 plutonium if depleted uranium is the fertile material. (5) Although the addition of large quantities of regular hydrogen atoms (protium) in the form of hydrides will make the recovery of tritium and deuterium most difficult, the use of much protium will mean that the quantities of fertile materials needed in the blanket described in (4) would be greatly lessened. The fertile material could mostly be in the form of such hydrides as UH.sub.3, ThH.sub.2, and Th.sub.4 H.sub.15. Surrounding the blanket containing fertile materials could be a blanket which contains much NaH and reflects many neutrons back towards the fertile material. The advantage of protium is more than due to its very high neutron scatter cross-section-it is by far the best moderating atom-and it is important that these neutrons are moderated down to energies whereat they are readily captured by fertile atoms. Rough calculations indicate that for a system wherein there is about 200 grams of fissile atoms produced each explosion that only about 0.1 gram of tritium and perhaps about 10 grams of deuterium need be "lost" per explosion cycle by the use of much protium. Of course the deuterium is recoverable by complex processing of the hydrogen within the system. The tritium decays into He.sup.3 which can be easily separated out of the system with the other inert gases. The 0.1 gram of tritium needed could be produced in another reactor system. (6) The working fluid of such a reactor system should be of an alkali metal, more specifically of molten sodium. As in this invention with its all-fission embodiment, the molten sodium will be as a very lean slurry containing actinides, hydrides, fission products, etc. If there is a desire to produce much tritium, there could be much lithium within the working fluid and/or within the blankets. If water is used as the working fluid, there would be the "loss" of the tritium and deuterium used in the centroidal fusioning portion and there would have to be the refining of the material used for the neutron multiplying blanket. If BeO is used in this blanket, the neutron multiplying would be less than with a metallic beryllium blanket. A major disadvantage in the use of water as the working fluid is in the fact that heated water becomes steam. Such steam would exert a great pressure upon the containing chamber's walls. Before the next explosion, the vapor pressure within the chamber should be reduced to a few mm of mercury to avoid the excessive transmission of shock-blast waves to the containing chamber's walls. (7) The ignition means for the contained mostly fusion explosion is much different than those used in military applications. Within the reactor system, it is best to avoid the introduction of any atoms that do not blend into the current chemistry of the system. For example, the use of an oxidizer, such as oxygen compounded with say nitrogen, will introduce oxygen into the system. Sodium combines most readily with oxygen and moten sodium with some sodium oxide dissolved therein is most corrosive. As is now publicly disclosed, there has never been a fusion explosion of any magnitude that has not been initiated by a fission explosion. If such a means is to be employed in the sub-kiloton size of explosions which would be used in contained explosion systems, there becomes the difficulty that most of the explosion resulting therefrom will be of fissioning. That is because the fusion-born neutrons will cause much more fissioning. Explicitly, if by very compressive means a fission explosion is producible by use of a kilogram of Pu.sup.239 and but 1/2% of said plutonium fissions and produces an explosion about equal to say 95 tons of TNT which ignites fusionable material. If there is then energy of 95 tons from such fusioning, there will be over three times as many neutrons produced as were produced by that fissioning, and those fusion neutrons have upwards of seven times the energy of the fission-born neutrons. These fusion-born neutrons will most likely be multiplied by the reflecting-tamping blanket probably substantially surrounding the fissioning material. Then it can be expected that those fusion-born neutrons and neutrons from neutron-multiplying reactions, such as reaction (3 ), will then cause much more fissioning in the remaining 99.5% of the kilogram of fissile material. Although the time of a fission explosion might be timed in hundreds of nano-seconds, the timing of fusion explosions could be measured in nano-seconds. Thus, the neutrons from the fusion explosion will be reaching the fissile material long before it has been dispersed. That is, these fusion-born neutrons will be causing fissions when the configuration of fissile material has say a "k" of 0.90 or so. If such is the situation, there would be a further multiplication of fissioning by about ten times. In other words, even if only one-third of the fusion-born neutrons cause a fissioning, the resulting fissioning would be about ten times the initial 95 tons of energy. Thus, it can be seen that where there is a kilogram or so of fissile material used to ignite some fusionable material, there will be a synergetic explosion wherein most of the energy will be from fissionings. (Unless the resulting explosion has a total energy measured in more than hundreds of kilotons.) In fact, it is commonly known from the field of weapons technology that where maximum blast is desired, the fission-fusion material of a large thermonuclear explosive is surrounded by a massive tamper of depleted uranium which is fast neutron fissioned by the fusion-born neutrons. This inexpensive tamper increases the total energy produced several-fold and the resulting debris is most radioactively "dirty". Nuclear weapons are made to be used many months after manufacture and under changing and different environmental conditions. Also, the resulting pollution from materials therein are of no consequence. On the other hand, peaceful nuclear explosives used within containing chambers are made at the longest a few minutes before use in "constant" environmental conditions (the inside of the containing chamber). There is every effort to avoid introducing other atoms into the milieu of the reactor system. With a contained nuclear explosion reactor system, it is possible to have a very massive and most expensive fusion ignition means that is external of the explosion containing chamber and is reusable. Such means would be most absurd for military situations but for peaceful production of energy and fissile materials, it is most economical (in cost per unit of energy and fissile material produced) and practical. Such means could produce most powerful pulses of photons (from laser systems), ions, electrons, and/or neutralized beams of particles which can cause the ignition of a small target of fusional material. It is possible that a very small mass of fissile material can be so compressed that it can be caused to become a "micro" fission explosion that could cause surrounding fusionable material to be ignited into a larger and synergetic explosion, etc. It should be noted that deuterium-tritide near a "micro-fission explosion" would act as a neutron-reflector and as a neutron multiplier (reaction 1) even more so if they were compressed also. Note that such fissile atoms as U.sup.233 and Pu.sup.239 form most dense hydrides which might find use in such synergetic ignition means. Chemical explosive means, such as are used in nuclear weapons, might cause a density doubling which reduces the critical mass to a fourth of its normal value. Most powerful pulses which cause outside layers to ablate outwardly and hence cause tremendous inward pressures could increase the density a hundred-fold or so. This means that a fission explosion could be produced with say a quarter-gram of fissile material! Even if most of such fissile material fissions, the quantity of energy produced would be on the order of three or so tons of TNT. It should be pointed out that the presence of many high Z atoms, such as actinides and/or fission products, greatly attentuates fusion reactions. (8) The larger the explosion, the greater the economical advantage of a mostly fusion explosion because: (a) The ratio of expensive tritium to deuterium is lower in larger explosion systems; PA1 (b) The size of the different blankets, for optimum breeding, does not change much with greatly increased size of contained explosion; and PA1 (c) The cost of the fusion ignition system need not increase much with greatly increased final explosive power. Thus, with contained explosions of greater magnitude, the factors which increase somewhat proportionally are the containing chamber, the heat-exchanging-precipitating means, and the quantity of very lean slurry with the equipment to pump it and to control its entry into the containing chamber. Since the costs of fabrications, processings, and of fusion ignition does not increase appreciably with larger contained explosions, the larger contained explosion systems are more economical in per unit cost of useful energy output and in per unit cost of fissile isotope produced. The factors limiting the maximum practical size of such systems are total cost, difficulty of effectively using so much energy in or from a single location, and the potential problems of having so much energy and fissile material coming from a single reactor system. Since there is a shock-blast from each contained explosion and for other reasons such systems would be placed in locations somewhat isolated from higher population densities. The column-glob concept, as disclosed in this invention, can be used for the containment and energy absorption of nuclear explosion reactor systems of all-fission, fission-fusion, or all-fusion systems. Such an all-fusion (no actinide fissioning) reactor system could include the use of an all-fusion explosion contained by column-globs which contain lithium. The use of massive column-globs and the apparatus herein disclosed is not limited to use for the containment of nuclear explosions in reactor systems. Such column-globs could be used in conjunction with programmed projectile-caused explosions. Such programmed explosions produce much useful thermal energy which can be withdrawn from the working fluid after it has been collected and passed through a heat-exchanging means. Such working fluid could be water-steam-water, sodium hydroxide, or with great care molten alkali metals, or even mixtures of compounds. If powdered coal were contained within the water as a dense slurry in the centroidal portion whereat most of the kinetic energy of the meteor-material is converted into very high temperature gases, then there would be much production of carbon-monoxide gas and hydrogen gas by this endothermic reaction: EQU (10) H.sub.2 O+C.fwdarw.H.sub.2 +CO Such gases, known as "water gas" could be used as fuels, or for further chemical purposes. It is possible that such programmed projectile-explosions could be the means by which useful and valuable materials are delivered to a planet or moon. Since materials such as nickel, cobalt, and platinum are found in the metallic state in some meteoroid bodies and the delivery of such materials by projectile-explosion is much less costly than other means the use of column-globs of say mostly sodium hydroxide inside a containing chamber will be of much greater import than the energy produced thereby. Thus, the use of column-globs, as disclosed in this invention, could be of use in contained fission explosions, in contained fission-fusion explosions, in contained all-fusion explosions (no actinide fissioning--but with fissioning of light atoms (such as D, Li-7, and/or Be), and in meteor-explosions within containing chambers. It will be readily apparent from the foregoing Specification that modifications may be made to the reactor system and method disclosed therein without departing from the spirit and scope of this invention. |
description | In the nuclear industry there is a strong trend towards FPGA-based safety I&C systems and modules in contrast to currently used CPU-based systems. FPGAs offer a number of advantages especially in the context of complex systems with many parallel input and output signals. Currently all project-specific engineering tasks for CPU-based I&C platforms can be executed by non-electronics-experts with the help of signal-flow-oriented, human-readable diagrams. A toolchain automatically translates these diagrams into C-code that can be compiled and run on a CPU-based safety I&C system. This methodology can be qualified for safety applications because the binary results of the whole toolchain are highly predictable. This, however, differentiates a CPU-based approach from an FPGA based approach: due to the nature of FPGAs and their toolchains even small changes on the design entry level may lead to previously unpredictable, completely different timing characteristics of the final chip. Therefore, the safety I&C industry does not follow a diagram-based approach together with an FPGA backend so far. Instead, electronics experts are needed to do the respective FPGA design entry using well-known techniques based on hardware description languages such as VHDL. This leads to either fixed designs that have to be reused under different circumstances or very complex project engineering tasks involving specialists not only from the nuclear application domain but also from the FPGA-design domain. Furthermore, every FPGA-design needs to be qualified very carefully by employing carefully designed development and verification processes. In another context, beyond the nuclear sector, partial reconfiguration for FPGAs has been proposed. See e.g. U.S. Pat. No. 7,669,168 B1: “Method and apparatus for dynamically connecting modules in a programmable device”. The basic idea here is to have a database of locally pre-routed low-level logic blocks that can individually be combined to a bitstream that configures the FPGA. In principle, partial reconfiguration would be a solution to the given problem if applied properly, but: A complex and thus hard to qualify toolchain must cater for this feature which is currently not widely supplied and not very stable. A certain module only fits into a specific slot (location) on the chip with dedicated connections to certain pins. If e.g. a voting block is needed on pins 1-4 and a filter block is needed on pins 10-20 the necessary module library would have to contain a voting block exactly on those pins (plus voting blocks on other pin combinations). The same applies to filter blocks and all other logic function blocks—so the resulting, prequalified library would have to be very large: All kinds of blocks are needed for a potentially large number of slots. Slots for blocks that are connected to certain pins have a fixed size—thus a simple gate consumes as much logic resources as a complex filter function. So grouping of size classes for logic blocks would be necessary which will further restrict valid pin-function combinations. There have been lots of other practical issues with existing tools such as e.g. clock restrictions (not every block may use every clock) or granularity (blocks need to be rather large with specific boundaries—so also large chips can only be divided into a few slots). Only a few different FPGAs support partial reconfiguration at all—namely flash-based or antifuse-based FPGAs do normally not, which unfortunately are especially suitable for the given context. All in all, partial reconfiguration is an elegant and powerful solution for a number of problems but does not quite fit to the given situation which explicitly does not need the full power of entirely dynamically (re-) configurable logic blocks but just a few dynamic pin to function-block connections. The primary focus of partial reconfiguration is to dynamically (re-) configure parts of the FPGA after being powered up in the sense of time multiplexing of logic blocks to reduce the needed hardware resources or to cater for in-field updates. This is clearly not planned in the given application. Additionally, it cannot be regarded as mature technology and is therefore hardly suited for nuclear I&C systems which have to be supported for decades. Therefore, it is an objective of the present invention to keep the proven diagram-centric project-specific engineering approach while still reaping the benefits of FPGA technology and while avoiding the above-mentioned problems with regard to partial reconfiguration technology. According to the invention this objective is achieved by a circuit arrangement, in particular for a safety I&C system of a nuclear power plant, disposed on a logic board, the arrangement comprising: a generic FPGA with a plurality of logic function units, a set of internal routing resources for interconnections between the logic function units, and a plurality of pins, at least one application specific PLD with a plurality of pins, and a plurality of point-to-point connections between the pins of the FGPA and the pins of the PLD via circuit tracks of the logic board, wherein the internal routing resources of the FPGA are not used to connect the logic functions units with each other, but instead the PLD operates as an application-specific, FPGA-external switch-matrix for the point-to-point connections and hence for the logic function units. The used range of functions provided by the PLD(s) is mainly or exclusively restricted to the role as a switch-matrix for the FPGA. Preferred embodiments and enhancements are subject of the dependent claims and the subsequent detailed description. In very simple words, the concept underlying the present invention is an advancement of the general idea that the whole logic to be implemented can be split onto several devices whereas one (or some) of these are fixed and one (or some) of these need to be adapted to project-specific (application-specific) needs. The basic idea according to the present invention is to limit the degrees of freedom for the project-dynamic FPGA part of the system in order to simplify the tool chain (which also eases qualification). The approach is based on the idea to develop one or more pre-qualified FPGA designs containing a superset of potentially needed logical functions. In other words, there is a generic, pre-configured FPGA with a number of domain-specific (i.e. suitable for I&C tasks in a nuclear power plant), but application-generic logic functions. These are not adapted to project-specific needs but they can be applied in a flexible-enough way to still employ a diagram-based project-engineering flow by having the possibility to connect the according function units or blocks with each other in a project-specific way. One precondition is the fact that many current FPGA devices offer vast amounts of logic in comparison to what is typically needed for safety I&C applications. This allows it to develop (and carefully qualify) FPGA designs that contain a superset of logic blocks that may be needed for different projects. Examples of such designs may have the following characteristics: Basic Digital Signals, e.g. includes dozens of: logic functions (AND/OR/XOR . . . ), voting blocks, delay blocks, alarm blocks, backplane bus interfaces . . . . Filter and Controller: filter blocks, controller units (PID, PI, . . . ), signal integrator, signal differentiator, RMS units, . . . . Special Functions: algorithms, e.g. related to certain nuclear aspects Basic Analog Signals, e.g. dozens of: serial digital interfaces (SPI, I2S, . . . ), compare units, calculating units (add, subtract, . . . ), delay blocks, backplane bus interfaces If practical, FPGA master images can of course also contain function blocks from different domains (digital, analog) that are grouped together to befit certain typical applications. Such master FPGA images can be utilized for I&C applications in the following ways: A) CPLD Approach The idea is to combine a large FPGA with a large number of pins (e.g. 500 user I/Os) with one or more CPLDs surrounding it. The FPGA master image(s) are completely frozen and designed in a way that all FPGA pins are connected to well-defined functions. The CPLD(s) work as a programmable switch matrix that connects the e.g. 50-60 input/output pins of the complex logic daughter board to the FPGA pins in a project-specific way, including all connections between several FPGA functions. Contrary to FPGAs, CPLDs offer a lot less hardware resources (which make them virtually unusable as primary programmable logic device—even for the given application) but they offer strictly predictable timing and hence a comparatively simple tool chain. Only the configuration of the CPLD(s) (plus some pre-programmed reference values if applicable, see below) defines the project-specific function and hence all signal of the FPGA board. Dynamic (=diagram-centric) CPLD tool-chains are therefore a lot more manageable and offering easier qualification than FPGA tool chains. The CPLDs can additionally perform integrity checks, e.g. by constantly reading (and checking) the FPGA configuration or by communicating with other FPGA-implemented integrity-check mechanisms. It is thus possible to develop a project-specific engineering flow that allows the (semi-) automatic creation of respective CPLD images. Main advantages of this approach include: Project-engineering can stay the same as for current CPU-based I&C platforms—(almost) the same front-end schematics can even be implemented diverse CPU-based and FPGA-based. FPGA-technology's potential can be almost fully used. Due to the fact that specific functions are tied to specific pins, there may be situations where this approach is inferior to a “classic” FPGA approach. By FPGA's standards low project-engineering costs while still having a high degree of flexibility to cater for different project needs. Low maintenance effort as only a few master images plus the development tool chain needs to be cared for. Conceptually high complexities are feasible—the number of available pins on commercial devices seems to be the limiting factor. Disadvantages on the other hand include: “Real” CPLDs are clearly on the road to obsolescence and might not be readily available in the distant future. CPLDs with a high pin count are scarce (if available at all)—thus perhaps more than one CPLD is needed per FPGA. Costs of additional CPLD as overhead. However, the disadvantages do not tangle the feasibility of the concept in a fundamental way and the advantages outweigh the disadvantages by far. B) External Crossbar Switch Approach/FGPA Approach Instead of a CPLD, a dedicated crossbar switch IC or even another “marshalling” FPGA—preferably with a very special, tightly controlled tool chain that can only create switch-configuration images—that are configured with the help of a non-volatile memory or a local CPU may be applied. If a dedicated FPGA is merely used for the marshalling, even a black-box tool chain is usable (this is also true for the CPLD approach described above): An automatic VHDL generator creates the needed marshalling matrix code for the FPGA which is compiled to a bitstream by a vendor-specific tool chain. In order to qualify project-specific marshalling devices, a generic measurement/qualification system (e.g. PCB) is developed that contains the same type of marshalling device surrounded by test infrastructure. This qualification system only serves the purpose to automatically determine 100% of any switch matrix image's characteristics (e.g. connections-made, input-output-timings) and creates a report thereof. The 100% characteristics determination naturally includes also 100% testing. Project engineers need to test their final marshalling-switch image with the help of said qualified, dedicated test hardware (which of course can be re-used) to proof that the black-box tool chain has worked correctly. Therefore the complex FPGA tool chain doesn't matter anymore and large, convenient FPGAs can be used instead of old/mature CPLD or other exotic devices. Furthermore, obsolescence is also no problem as this concept works for any FPGA as long as there is a qualification/test board for this specific FPGA type available. This approach has all the advantages of the CPLD approach mentioned above and provides an efficient way to deal with obsolescence as it is not tied to specific devices and can be migrated to other devices for obsolescence or diversity reasons. The disadvantages mainly concern the slightly more complex development of suitable software tools and testing devices. Besides, Generic Array Logic devices (GALs) may be considered for easy qualification if there are still devices available which will not be discontinued soon. C) Fuse/Anti-Fuse ASIC Approach This approach is similar to the previous one with the exception that instead of a marshalling FPGA a one-time programmable fuse/anti-fuse ASIC is proposed. Background to this concept is the fact that fuse/anti-fuse FPGAs offer a number of advantages as they represent real hardware in a way that “programming” them is merely the last step of the hardware fabrication. However, fuse-based FPGAs are not readily available on the market anymore and anti-fuse-based FPGAs are rather expensive. As a remedy, one may resort to developing one's own (custom) fuse/anti-fuse routing device which is rather simple as it needs not contain any logic elements but “only” programmable routes. The needed techniques are rather old and well proven and tested anyway. Advantages of this approach include: The marshalling is implemented in real hardware with no power-up issues or single-event upsets that need to be taken into account. As this solution is one-time-programmable, the achievable level of cyber security is potentially higher than with other solutions. The related drawbacks might include the corresponding development efforts and long-term stability issues with respect to the ASICs. D) PCB Approach This approach is similar to the previous one. It is dedicated to the idea of using a Printed Circuit Board (PCB) instead of a CPLD or FPGA to provide the marshalling for the master FPGA. Again, considerable efforts are to be expected for the development, the fabrication and the qualification of suitable (custom) PCBs. On the other hand the needed techniques are also well proven and tested. E) Bitstream-Patch Approach: Within this approach the FPGA is designed similarly to option a) but the function blocks are not connected to FPGA pins. Instead they are routed to well-defined routing switches within the FPGA fabric. FPGAs offer several sorts of wiring resources to interconnect the various parts of the circuit which can be differentiated between local connections and direct connections. These are arranged in a cross-bar-switch architecture, incorporating lots of switches whose setting forms an integral part of the whole FPGA configuration. The idea behind this approach is it to have a fixed master image that may be altered only by manipulating a pre-defined, small set of wiring (routing) switches (e.g. 50 pieces on a FPGA possessing thousands of them). This is done directly on configuration-file-level using low-level bitstream manipulation tools. These tools naturally must implement means to ensure integrity of the whole image. The selection of the switches and the fixed routing of all other logic resources must ensure sufficient timing reserves under all circumstances, no matter how the switches are set. The project-specific engineering flow thus supports the static patch of prequalified master FPGA images to cover the application-specific configuration. F) Programmable Switch-Matrix Approach: Within this approach the FPGA master image contains additionally a programmable switch matrix (multiplexer) logic block that reads a configuration from an FPGA-external, non-volatile memory and toggles the connection to all logic blocks on the FPGA accordingly. However, the necessary multiplexer-structures are only applicable for a rather small number of inputs and outputs. This not necessarily prevents the usage in the given context as no full NxM crossbar switch is needed: clever partitioning of pins and functions may reduce the necessary logic and routing resources to a manageable amount. Common to all these ways is that the FPGA may read reference values (e.g. needed for comparators) from a non-volatile memory also connected to the FPGA. If SRAM-based FPGA(s) are used this memory unit may also contain several master images that can be selected by e.g. DIP switches, jumpers, etc. In order to ensure the integrity of reference values and/or images, encryption algorithms may be applied. In summary, the advantages related to the present invention include but are not limited to the fact that the currently used I&C engineering methodology among CPU-based systems remains usable within the FPGA framework with all its advantages: No electronics experts for project-specific engineering are needed. No project-specific HDL-code which is much more difficult to qualify than self-explaining diagrams. This results in massively reduced project-specific engineering costs. High flexibility with regard to application scenarios in comparison to fixed designs bound to specific reactor types or specific I&C systems and functions. FIG. 1 depicts, in the manner of a rough outline, a complex logic board 2 of a safety Instrumentation & Control (I&C) system 4 to be used in a nuclear power plant 6. This board is also called a motherboard. The actual logic can be implemented on a daughterboard 8 being exchangeable connected to the motherboard 2. Traditionally, the daughterboard 8 (if present) 8 CPU-based, i.e. comprises a Central Processing Unit (CPU) with a fixed instruction set and a sequential work order as the main computing resource. The daughterboard 8 is connected, via a suitable digital interface 10 via connectors, to an input circuit 12 on the one hand and an output circuit 14 on the other hand. The input circuit 12 provides means for conditioning the analog and/or digital input signals provided via external input/output (I/O) connectors 16. These means typically comprise Analog-to-Digital Converters (ADCs), corresponding interfaces, filters, buffers, sync circuits and the like. Correspondingly, the output circuit 14 converts the digital output signals of the daughterboard 8 into suitable analog and/or digital signals for the peripheral devices, in particular actors, that are connect to the motherboard 2 via the external I/O 16. To this end, the output circuit 14 typically comprises Digital-to-Analog Converters (DACs), drivers, relays and the like. While the logic for safety I&C architectures has traditionally been implemented within CPU-based systems, there is a growing trend towards FPGA-based systems, in particular due to their greater flexibility in the context of complex systems with many parallel input and output signals. However, special care has to be taken in order to comply with the strict demands in the nuclear industry in terms of Verification and Validation (V&V), also called qualification, of the input/output sets and characteristics of the logic devices. FIG. 2 depicts a FPGA-based daughterboard 8 for use with the motherboard 2 of FIG. 1, whose design is particularly well-suited to cope with this problem. FIG. 3 picks an important detail from FIG. 2. Of course, a separate daughterboard may not be necessary if the whole circuitry including periphery and/or external interfaces is implemented on a single main board instead. As indicated in FIG. 3, the daughterboard 8 holds a generic Field Programmable Gate Array (FPGA) 18 with a large number of logic functions provided by according logic function units 20 or logic function blocks (in short: logic units or logic blocks), in particular simple logic gates and if necessary also more complex function like adders, comparators, Proportional-Integral-Derivative (PID) and other controllers, filters and the like. The logic units 20 therefore may consist of/comprise/combine several elementary logic resources or logic blocks of the FPGA. The FPGA 18 also comprises a set of (re-) configurable internal routing resources (programmable switches) that normally allow for project-specific interconnections of the logic units 20. However, due to the constraints mentioned above, the FPGA-internal routing resources are not used to connect the logic units 20 with each other in the system according to FIGS. 2 and 3. Instead, a Programmable Logic Device (PLD) 22 whose pins are connected to corresponding pins of the FPGA 18 via circuit tracks 24 of the daughter board 8 (point-to-point connections) acts as an FPGA-external switch-matrix and thus provides the configurable routing functions which are needed for a project-specific interconnection of the FPGA's logic units 20. Hence, the PLD 22 can also be called “auxiliary PLD” or “marshalling PLD” or “switch-matrix PLD” or “switch-PLD”. The PLD 22 which acts as a switch-matrix for the logic units 20 of the generic FPGA 18 may be a custom-fabricated Application Specific Integrated Circuit (ASIC) or a Printed Circuit Board (PCB). In a preferred embodiment, however, it is a (at least one or even several of them) Complex Programmable Logic Device (CPLD), and even more preferably a FPGA which is programmed according to the prevailing project-specific needs and hence provides the necessary interconnections between the logic units 20 of the generic FPGA 18. While the marshalling PLD 22 may contain a considerable amount of logic resources on its own, these are actually not used at all or only in a very limited range at the most. Instead, the actually used features of the auxiliary PLD 22 are essentially restricted to the routing/switch-matrix operations for the generic FPGA 18 as described above. This way, V&V of the corresponding development/programming tools for the PLD 22 is considerably eased as discussed in more detail below. Preferably, the auxiliary PLD 22 does not only interconnect the logic units 20 of the generic FPGA 18 in a suitable project-specific way but also routes the FPGA's external digital input/output signals from/to the motherboard 2 via the digital I/O interface 10. According to the switch-matrix programmed into the PLD 22, any pin of the FPGA 18 can be configured as input and/or output pin. The daughterboard 8 may also be equipped with a number of Non-Volatile Memory (NVM) 26 modules. By way of example, one of these NVMs 26 contains the pre-defined switch configuration which is loaded into the PLD 22 during power-up or setup. It may be programmed/checked through the motherboard 2 as indicated by the dotted line in FIG. 2. Another NVM 26 contains the pre-defined logic definitions to be loaded into the FPGA 18 during power-up. A third NVM 26 may contain a set of reference/parameter values to be loaded into the FPGA 18 during power-up. The NVMs 26 may be combined physically. An auxiliary module 28 may contain certain helper functions, e.g. for PLD configuration, integrity checks or power supply monitoring, including monitoring of the daughter board's local power supply 30. Alternatively, such functions may at least partly be implemented within the PLD 22 as an exception to the general rule not to make use of the PLD's logic resources. Furthermore, FIG. 4 illustrates an exemplary engineering flow related to the development, programming, and verification of the system illustrated in the previous figures. The contents of the seven boxes of the flow diagram shall be understood in the following way: 1. Design entry is similar to today's methods—a schematic editor (e.g. graphical block diagram editor) is used that allows the creation of diagrams consisting of building blocks (function library, e.g. adder, comparator, voter) that are connected with each other in a project-specific way. The resulting wiring diagram can then follow a proven Verification & Validation (V&V) process that includes manual reviews. At this stage the difference between a wiring diagram that will be implemented on a CPU-based system and one that will be implemented on an FPGA-based systems are not existing or minor. 2. A new piece of software “compiles” this diagram by selecting one or more master FPGAs (partitioning of the resulting design) and determining the necessary pin connections of the used master FPGA images. 3. An automatic VHDL generator creates the needed marshalling matrix code for the FPGA. The resulting VHDL is trivial as it only contains connections and no logic—although as a later option this may change in favor of e.g. some fixed logic blocks in this switch-FPGA that e.g. perform functions like power-up configuration or self-test. 4. The actual configuration bitstream is created by a hard-to-qualify, vendor-specific tool chain including basic V&V mechanisms such as a static timing analysis. 5. The resulting image is downloaded to an independent test hardware that contains the same FPGA device as the final target system and whose sole purpose is the V&V of marshalling FPGAs. This test equipment performs 100% testing of the marshalling FPGA—whereas the term “100%” testing needs to be defined in accordance with relevant authorities and certification agencies. It may become necessary to develop/apply diverse/redundant test systems to preclude common cause errors with regard to the testing equipment. In any case, to check inputs and outputs independently from the tool chain is one reliable way to qualify an otherwise hard-to-qualify tool chain. 6. This test equipment also (semi-) automatically creates a test report that can (automatically) be compared against the specification from step 2. 7. All relevant files are now archived and ready to be used in the final hardware platform. Finally, while the invention has been mainly described within the context of a safety I&C system for a nuclear power plant other industrial or military applications are of course also feasible. FPGA (Field Programmable Gate Array): Modern fine-grained programmable Integrated Circuit which is designed to be configured by a customer or a designer after manufacturing—hence “field programmable”. It comprises a number of configurable logic resources (logic blocks) with internal Lookup Tables (LUTs) and flexible routing resources, i.e. a hierarchy of reconfigurable interconnects that allow the blocks to be “wired together”. In principle, every logic circuit can be mapped on the resources of a FPGA. CPLD (Complex Programmable Logic Device): Old coarse-grained programmable Integrated Circuit which comprises several macrocells (AND- and OR-matrices, flip-flops etc.) In principle, every logic circuit can be mapped on the resources of a CPLD, but due to size limitations it is better suited for simple tasks. PLD (Programmable Logic Device): Generic classification term for FPGAs, CPLDs and other programmable logic devices. VHDL (Very High Speed Integrated Circuit Hardware Description Language): Language which is used to describe logic circuits, which shall then be mapped on the resources of a PLD. Also usable for the creation of test-benches for PLDs. CPU (Central Processing Unit): Main processor (e.g. of a computer) with a fixed instruction set and a sequential work order. A sequence of instructions for a CPU is called program or, on a higher level, software. ASIC (Application Specific Integrated Circuit): Chip with a fixed logic design suited for a specific application. CPUs or FPGAs are also ASICs, but as their application is to be programmable by the end user, the end user must program the desired behavior into the chip. PCB (Printed Circuit Board): Board consisting of one or more layers to connect mounted components to each other or to connectors. A typical example for a PCB is the mainboard of a computer, where CPU, chipset, memory, connectors etc. are mounted. Safety I&C (Safety Instrumentation & Control): System for a safety application, which collects information of the current state of the application (e.g. the temperature in a nuclear power reactor), evaluates this information (e.g. is the temperature in the nuclear reactor above a predefined threshold?) and acts accordingly to keep the application in a safe state (e.g. decrease the power output of a nuclear reactor, when the temperature is too high). 2 complex logic board/motherboard 4 safety I&C system 6 nuclear power plant 8 daughterboard 10 digital interface 12 input circuit 14 output circuit 16 external I/O 18 FPGA 20 logic function unit/block 22 PLD 24 circuit track 26 NVM 28 auxiliary module 30 local power supply |
|
047284900 | claims | 1. An improved fuel rod spacer for use in a nuclear reactor fuel assembly containing a plurality of elongated fuel rods, said spacer comprising: (a) inner means defining a plurality of inner cell openings for receiving therethrough respective ones of said fuel rods in spaced apart and generally parallel extending relation, said inner means having outer spaced apart terminal end portions; (b) outer peripheral means attached to said respective outer terminal end portions of said inner means to define a number of perimeter cell openings for receiving therethrough other ones of said fuel rods in spaced apart and generally parallel extending relation, said perimeter cell openings being arranged to encompass said inner cell openings as a group, said inner means defining a border which surrounds said inner cell openings as a group and separates them from said perimeter cell openings; and (c) a plurality of coolant flow diverting scoops mounted on said outer peripheral means in spaced apart relation and along a common coolant flow receiving side thereof so as to extend inwardly along and in spaced relation from a common coolant flow receiving side of respective ones of said inner means terminal end portions and within the respective spaces between said other ones of said fuel rods when received through said perimeter cell openings, said scoops terminating at inner ends being disposed generally proximate said border defined by said inner means; (d) said each scoop including a mounting portion and a flow deflecting portion, said mounting portion being attached to said outer peripheral means, said flow deflecting portion including said inner end of said scoop and being connected at an outer end to said mounting portion, said outer end of said flow deflecting portion being spaced farther from a respective one of said inner means terminal end portions than said inner end of said flow deflecting portion such that said flow deflecting portion extends from said mounting portion of said scoop in an inclined relation and toward said respective one of said inner means terminal end portions. (a) a plurality of interleaved inner straps having terminal end portions and arranged in an egg-crate configuration in defining a plurality of inner cell openings for receiving therethrough respective ones of said fuel rods in spaced apart and generally parallel extending relation; (b) an outer peripheral strap attached to said respective terminal end portions of said inner straps to define a number of perimeter cell openings for receiving therethrough other ones of said fuel rods in spaced apart and generally parallel extending relation, said perimeter cell openings being arranged to encompass said inner cells openings as a group, said inner straps defining a border which surrounds said inner cell openings as a group and separates them from said perimeter cell openings; and (c) a plurality of coolant flow diverting scoops mounted on said outer peripheral strap in spaced apart relation and along a common coolant flow receiving side thereof so as to extend inwardly along and in spaced relation from a common coolant flow receiving side of respective ones of said inner strap terminal end portions and within the respective spaces between said outer ones of said fuel rods when received through said perimeter cell openings, said scoops terminating at inner ends being disposed generally proximate said border defined by said inner straps; (d) said each scoop including a mounting portion and a flow deflecting portion, said mounting portion being in the form of an extension of said outer peripheral strap, said flow deflecting portion including said inner end of said scoop and being connected at an outer end to said mounting portion, said outer end of said flow deflecting portion being spaced farther from a respective one of said inner strap terminal end portions than said inner end of said flow deflecting portion such that said flow deflecting portion extends from said mounting portion of said scoop in an inclined relation and toward said respective one of said inner strap terminal end portions. (a) a plurality of interleaved inner straps having terminal end portions and arranged in an egg-crate configuration to define a plurality of inner cell openings which receive therethrough respective ones of said fuel rods in spaced apart and generally parallel extending relation; (b) an outer peripheral strap attached to said respective terminal end portions of said inner straps to define a number of perimeter cell openings which receive therethrough other ones of said fuel rods in spaced apart and generally parallel extending relation, said perimeter cell openings being arranged to encompass said inner cell openings as a group, said inner straps defining a border which surrounds said inner cell openings as a group and separates them from said perimeter cell openings; and (c) a plurality of coolant flow diverting scoops mounted on said outer peripheral strap in spaced apart relation and along an upstream side thereof so as to extend inwardly along and in spaced relation from an upstream side of respective ones of said inner strap terminal end portions and within the respective spaces between said other ones of said fuel rods received through said perimeter cell openings, said scoops terminating at inner ends being disposed generally below said border defined by said inner straps; (d) said each scoop including a mounting portion and a flow deflecting portion, said mounting portion being in the form of an extension of said outer peripheral strap, said flow deflecting portion including said inner end of said scoop and being connected at an outer end to said mounting portion, said outer end of said flow deflecting portion being spaced farther from a respective one of said inner strap terminal end portions than said inner end of said flow deflecting portion such that said flow deflecting portion extends from said mounting potion of said scoop in an inclined relation thereto, toward said respective one of said inner strap terminal end portions and across the path of a portion of the coolant flow toward said perimeter cell openings. 2. The improved spacer as recited in claim 1, wherein said mounting portion extends away from said side of said peripheral means generally parallel to said fuel rods when received in said perimeter cell openings. 3. The improved spacer as recited in claim 1, wherein said flow deflecting portion has a longitudinally-extending tapered shape. 4. The improved spacer as recited in claim 1, wherein said flow deflecting portion has a longitudinally-extending arcuate shape. 5. An improved fuel rod spacer for use in a nuclear reactor fuel assembly containing a plurality of elongated fuel rods, said spacer comprising: 6. The improved spacer as recited in claim 5, wherein said mounting portion of said each scoop extends away from said side of said peripheral strap generally parallel to said fuel rods when received in said perimeter cell openings. 7. The improved spacer as recited in claim 5, wherein said flow deflecting portion has a longitudinally-extending tapered shape. 8. The improved spacer as recited in claim 5, wherein said flow deflecting portion has a longitudinally-extending arcuate shape. 9. In a fuel assembly having an array of spaced fuel rods, an outer hollow tubular flow channel surrounding said fuel rods so as to direct flow of coolant/moderator fluid therealong and a water cross extending through said channel and having a plurality of radially extending members dividing said array of fuel rods into a plurality of separate fuel rod mini-bundle subassemblies, each subassembly having a plurality of spacers being displaced from one another along the length of said subassembly between the bottom and top thereof and surrounding said fuel rods thereof so as to maintain them in side-by-side spaced relationship, at least one of said spacers being an improved spacer comprising: 10. The fuel assembly as recited in claim 9, wherein said mounting portion of said each scoop extends away from said upstream side of said peripheral strap generally parallel to said fuel rods when received in said perimeter cell openings. 11. The fuel assembly as recited in claim 9, wherein said flow deflecting portion has a longitudinally-extending tapered shape. 12. The fuel assembly as recited in claim 9, wherein said flow deflecting portion has a longitudinally-extending arcuate shape. 13. The fuel assembly as recited in claim 9, wherein at least the three uppermost ones of said spacers are in the form of said improved spacer. |
summary | ||
abstract | A sealing device for a device for measuring a fill level in a fluid container, particularly in a pressure container of a nuclear facility, includes at least one thermocouple which is connected by a pressure pipe to the pressure container and a pipeline connected to the pressure pipe to an evaluation unit. The pressure pipe and the pipeline are connected to each other by a pipe screw connection including a central part having two threaded pieces. One threaded piece is connected by a screw connection to a pipe sleeve and the other threaded piece is connected by a further screw connection to the pressure pipe. |
|
059490837 | claims | 1. A process for forming a container for nuclear fuel assemblies, comprising the steps of: obtaining a thick shell of forged steel having a circular cross section and outer and inner walls; lathe-turning the outer and inner walls coaxially to produce a cylindrical body having a circular cross section; grinding the outer wall of the lathe-turned body to produce at least one flat surface on the outer wall along its entire height; and mounting at least one crescent shaped section having a cross section which comprises an arc of a circle with a radius identical to that of the inner wall subtended by a chord against the inner wall, said at least one flat surface being parallel to the chord. 2. A process according to claim 1, wherein said grinding produces an even number of flat surfaces disposed in pairs opposite to each other. 3. A process according to claim 2, wherein said grinding produces 2, 4 or 6 flat surfaces which are disposed symmetrically around the circular cross section, and wherein 2, 4 or 6 crescent-shaped sections are mounted against the inner wall, each crescent-shaped section having a chord mounted parallel to a flat surface. 4. A process according to claim 1, wherein the crescent shaped sections are mounted to the inner wall by screwing. 5. A process according to claim 1, additionally comprising spray coating the inner wall and crescent-shaped sections. 6. A process according to claim 5, wherein the spray coating comprises an Al-Zn deposit effected by a Schoop's metal spraying process. |
041359747 | description | DESCRIPTION OF PREFERRED EMBODIMENT Referring to FIGS. 1a and 1b, there is shown a pressure vessel 10 supported from a skirt 12 and having a close-fitting shield vessel 14 essentially surrounding the lower portion of the pressure vessel 10. A core barrel 16 is supportedly suspended within the pressure vessel 10 from a ledge 18 near the top of the pressure vessel 10 and is additionally supported by radial key members 20 near the bottom of the pressure vessel 10. The pressure vessel 10 includes an inlet nozzle 22 which conveys a coolant, such as liquid sodium, to an inlet annulus 24 from which the sodium is conveyed to a bottom manifold 26. An outlet nozzle 28 extends through the pressure vessel 10 and the core barrel 16 to convey the liquid sodium from the pressure vessel 10. A number of laterally extending plates are supported within the core barrel 16. These plates include two lower core plates 30 and 32, an upper core plate 34, and an upper support plate 36. As previously indicated, the LMFBR core 37 is generally cylindrical in shape and made up of hexagonal section fuel assemblies 38 surrounded by similarly shaped blanket and reflector assemblies. Control rod assemblies are also interspersed throughout the core and the control rods are connected to mechanisms 42 for movement with respect to the core. The fuel assemblies 38 are upwardly biased and allowed to expand. Springs 35 are provided as the lower nozzle 39 for this purpose (see FIG. 2). In order to reduce bypass leakage of the various core assembly components and restrict lateral movement of the fuel assemblies 38, the inlet and outlet nozzles 39 and 39' of the fuel assemblies 38 are designed so as to expand to achieve a relatively close clearance with the core support plates 30, 32 and 34 when the reactor is at operating temperatures. This involves use of materials with different thermal expansion rates. Assume component fabrication at 100.degree. F. and normal operating inlet and outlet temperatures of 750.degree. and 1050.degree. F. This gives a thermal expansion range of 650.degree. for the inlet nozzles 39 and 950.degree. for the outlet nozzles 39'. Assume that acceptable materials having the following thermal expansion rates are available: Core Assemblies (Austenitic Stainless Steel) 8.8 .times. 10.sup.-6 Lower Core Support (Nickel Alloy) 6.2 .times. 10.sup.-6 Upper Core Support (Nickel Alloy) 7.8 .times. 10.sup.-6 If a nominal inlet nozzle 39 with a diameter of 3.00 in. is chosen, and no "hot" clearance is desired, the design should use a clearance at 100.degree. equal to (8.8 - 6.2) .times. 10.sup.-6 .times. 650.degree. .times. 3.00 inches = 0.0051 in. An outlet nozzle 39' designed under the same constraints with an O.D. of 5.00 in. would have a cold clearance equal to (8.8 .times. 7.8) .times. 10.sup.-6 .times. 950.degree. .times. 5.00 inches = 0.0048 in. Tolerances to avoid interference of parts would increase the clearance required. A double layered lower core support plate structure comprising plates 30 and 32 provides core lateral stability since the upper plate 32 and lower plate 30 offer two reference points to keep the various core components upright when the upper core support plate 34 has been removed. A certain amount of flexibility is desirable so adjacent assemblies 38 may be pushed aside when an individual assembly 38 is to be removed. Thus a 20:1 ratio between core plate spacing, and overall element length, combined with a nozzle diametral clearance of, for example, 0.025 in. at refueling temperature, would allow adjacent assemblies 38 to be moved approximately 0.50 in. radially from an assembly 38 which was to be replaced. Thermal expansion is thus utilized to close nozzle gaps and a layered lower core support structure is utilized to restrict movement. The spacing between fuel, control rod, blanket, and reflector assemblies 38 is fixed at their extremities by the pitch and tolerances of the nozzle receptacle holes in the upper and lower core plates 30, 32 and 34. Thermal gradients and radiation induced swelling may cause bowing of the assemblies 38 and spacing variations along the length of the assemblies 38 with the resulting perturbation of core physics described previously. In order to minimize the amount of bowing and the corresponding gap variation, raised hard surfaced spacer pads 40 are provided at various elevations along the length of each assembly 38. These are shown on the fuel assembly 38 in FIG. 2. The pads 40 must be located outside the active core region to aviod radiation induced swelling. The gap spacing between pads represents a trade off of the various features desired. A relatively large gap would allow ease of refueling and allow more thermal and radiation induced bowing at the expense of core stability. Too small a gap would make it difficult to remove assemblies 38 for refueling. The intent of the assembly and spacer design is to size the gaps between assemblies 38 so that they will be closed, or nearly closed by the time that the reactor reaches significant temperatures and power levels, while minimizing the amount of inward bowing that can occur during the reactor startup. The closing of these gaps is accelerated by the proper choice of materials for upper and lower core supports 30, 32 and 34. The materials must have a lower rate of thermal expansion than the material for core assemblies 38. This is particularly important in the case of the lower core supports 30 and 32 and the pads 40 below the fuel area of the assemblies as no significant temperature differential develops in this area and gap closure is accomplished only by differential thermal expansion and assembly bowing. For example, the 100.degree. F. gap spacing which will close to zero when the lower core plates 30 and 32 and core assemblies 38 reach a temperature of 750.degree. F. may be determined as follows: Applying the thermal expansion rates applied previously: EQU (8.8 - 6.2) .times. 10.sup.-6 .times. 650.degree. .times. 5.75 inches = 0.0097 in. A gap of these dimensions would be approximately half closed at refueling temperatures of 400.degree. F. By way of further example, the 100.degree. F. gap spacing for pads 40 above the active core which will close to zero when the upper core plate 34 is at 100.degree. F. and the contact pads 40 at 900.degree. F. may be determined in a slightly more complex manner. The upper core plate 34 can be expected to operate at a higher temperature than the contact pads 40 due to temperature peaking in the individual fuel assemblies 38 which will reduce wall temperatures. Additionally, if the fuel assemblies 38 are to be kept reasonably straight, the pad location needs to be displaced only about 2/3rds as far as the upper core plate 34. Therefore, using expansion rates for components listed previously: Core Assembly: (8.8 .times. 10.sup.-6 .times. 800.degree. .times. 5.75 = 0.045 in./element Core Plate: (7.8 .times. 10.sup.-6 .times. 900.degree. .times. 5.75 = 0.0404 in./element The differential is insignificant. The desired gap is equal to approximately 1/3rd the displacement, or 0.010 in. to 0.015 in. per element. The reactor core described thus far is positioned first by the nozzles at either end of the various assemblies 38. The net effect of thermal and radiation induced bowing on core components is expected to be directed inward, closing contact pad gaps. However, the core must also be equipped with a peripheral restraint system 42 acting both above and below the active fuel zone. At start-up and low power levels, a restraint system 42 compliments the core assembly positioning features of the contact pads 40 and assembly end supports by limiting random outward motion, and restraining repositioned fuel assemblies 38 which would be expected to be bowed outward, if bowed at all. At higher power levels, restraint at the below core contact pads 40 restricts outward motion due to bowing in the upper sections of fuel assemblies 38. Both the above and below core restraint systems may be designed to limit core expansion due to radiation induced swelling of fuel assemblies 38 by stressing contact areas to the point where creep becomes significant. The core restraint unit 42 (see FIGS. 2 and 3) is designed to apply restraining force through former plates 43 at the core periphery. The restraint may be applied to contact pads 40 immediately above the active core. Or, the restraint may be applied to contact pads 40 both above and below the active core 45. Beneath the active core region, a "cold" clearance should be provided between a fixed stop 47 and the outermost core assembly contact pads 40 of approximately 0.010 in. In order to contribute effective bowing restraint at operating temperatures and power levels the restraint system 42 should be able to apply a force of 2000 lbs. per peripheral assembly 38 after a restraint displacement of 0.020 in. At the same time the restraint must have thermal expansion characteristics to match those of the lower core support structure 30 and 32 and fuel assemblies 38 which undergo a thermal expansion of approximately 0.23 in. from 100.degree. F. to the 750.degree. F. inlet temperature at full power operation. If the restraint system 42 is not sufficiently sensitive to the thermal expansion of the core components, it is possible to crush the outer fuel and blanket assemblies. The restraint system 42 illustrated uses leaf, or beam type springs 44, because this configuration is relatively insensitive to thermal deformation due to temperature gradients along its length. The springs 44 may be fixed at one end 49 and have one or more intermediate supports in the region of the core restraint positions, as will be explained. As shown in FIG. 2, the restraint spring 44 is compensated for core radial thermal expansion through its mounting and support points. The mounting point may be the lower core support plate 32 or the reactor thermal liner 51. Both of these structures closely follow the reactor core inlet temperature. Intermediate supports 54 and 56 (detailed in FIG. 2) may be designed to supplement the characteristics of the restraint spring 44. Assuming that the thermal liner 51 has a different thermal expansion coefficient than the core support plate 32, the intermediate supports 54 and 56 would be rings or relatively stiff bands. These rings would be made of material to match the thermal expansion characteristics of the core support plate 32, and be radially keyed to the vessel thermal liner 51. In addition to serving as a close tolerance reference point for the upper end of the restraint spring 44, the primary support 54 is positioned to increase the mechanical advantage of the spring 44. The secondary support ring 56 positioned beyond normal restraint travel, will cause a significant increase in the spring constant if brought into contact. This increased force may be used to more positively restrain the core, and to promote creep, if radiation induced swelling becomes excessive in the contact areas. The location of the primary restraint 54 and secondary restraint 56 may be interchanged from that shown in the illustration in order to modify restraint characteristics. The restraining force is transferred to the former plates 43 from the restraining means 44, 54, and 56 via a load arm 57. The core restraint unit 42 is designed to apply a radial restraining force through former plates 43 in contact with the core assembly pads 40 immediately above the active core zone. During normal operation, the fuel assembly temperature gradients are expected to provide a definite inward bowing force, so the primary requirement of the restraint is to limit outward core deflection which might be caused by previously bowed assemblies, and to provide positive restraint in case of excessive assembly swelling. The unit would be designed with a "cold" clearance of 0.010 in. The restraint spring 44 would be designed to apply approximately half the force applied by the lower core restraint 47. Core materials in this area see maximum temperatures of approximately 900.degree. F., as described, while the thermal liner 51 on which the restraint members 54 and 56 would be mounted would not greatly exceed the maximum core inlet temperature of 750.degree.. A radial expansion of core assemblies 38 of 0.40 in. would be expected, but the fuel assembly gaps previously described would reduce this to a net value of approximately 0.25 in. Support rings 54 and 56 of material with the thermal expansion characteristics of the lower core support plate 32 would have the following expansion at a radius of approximately 65 in.: EQU (6.2) .times. 10.sup.-6 .times. 65 inches .times. 650.degree. F. = 0.262 in. Since the upper and lower core plates 30, 32 and 34 (shown in FIG. 1) are fabricated of materials having a coefficient of thermal expansion lower than the rest of the internals support structures, a problem arises in connecting components having differences in radial thermal expansion. The lower core plate 30 may be supported by cylindrical columns 56 attached to the lower support structure 58. The difference in radial thermal expansion between the two members 30 and 58 is taken up in bending of the columns 56 connecting the two. In a similar manner, the upper core plate 34 is attached to tubular support columns 60 which transmit loads to the upper support plate 36. Again differences in radial thermal expansion are compensated for, by bending of the upper support columns 60. |
abstract | Provided is a method for manufacturing a cylindrical member which includes end bending of respective end portions of a plate material in a longitudinal direction, primary grooving of respective end surfaces of the plate material subjected to end bending, bending of the plate material to a ring shape, secondary grooving of respective end surfaces of the plate material subjected to bending in a ring shape, and joining of respective end surfaces of the plate material. Therefore, it is possible to manufacture a high-quality cylindrical member. |
|
summary | ||
047059516 | abstract | A wafer processing system including wafer handling arms incorporated into vacuum isolation valves is described. A loadlock with elevator and optical sensors is used to inventory and position a cassette of wafers. The wafers in the cassette can be randomly accessed. A computer is used to control the system according to a task status table independent of time sequence. |
description | The present invention relates to a radiation image acquiring device having a pixelated measurement system to acquire an image of a distribution of incident radiation. As application of a radiation measurement device to a device in the nuclear medicine field, there is a single photon emission computed tomography (SPECT) using a gamma camera. The SPECT provides a transaxial image by measuring a distribution of a compound containing a radioactive isotope. The mainstream of the conventional SPECT device is a combination of a scintillator made of a single crystal with multiple photomultiplier tubes. Such SPECT devices obtain the location of radiation by centroid computation. However, in such a method, the finest possible resolution is about 10 mm, which is insufficient for the practical use in clinical activity. Therefore, there has been a demand for SPECT devices having higher resolution. There has recently been developed a pixelated detector as a device having higher resolution. Examples of the pixelated detector include one formed of a scintillator, one formed of a semiconductor, and the like. Any of such pixelated detectors acquire a position signal per unit of a small detector, i.e., per pixel. Therefore, the intrinsic special resolution of the detector is determined by the pixel size, and spatially discrete measurement is performed. There has been developed a device even having a pixel size of 1.2 mm, which achieves the resolution of 10 mm or less, resulting in significant improvement. There has also been developed and improved a trans axial reconstruction method, making a significant contribution to the improvement in resolution. A filtered back-projection method (FBP method) and a successive approximation method (MLEM, OSEM or the like) without resolution recovery have heretofore been used. Furthermore, a successive approximation method with resolution recovery has also recently been developed. This method enables reconstruction considering geometric configurations of the collimator and the detector, and physical factors such as scattered radiation. Therefore, more accurate images is provided. The following description of the pixelated detector is provided by using the terms “detector” and “detector group.” The detector means one included in one pixel having any shape, while the detector group means an assembly of the detectors arrayed. The detector generally has a rectangular shape. When seen from the radiation incident side, the detector group has a configuration in which rectangles are densely packed. In order that all the detectors included in the detector group can have uniform sensitivity, the through-holes in the collimator and the detectors are often arranged on a one-to-one basis. Moreover, in terms of ease in handling, the through-hole generally has a rectangular shape corresponding to the shape of the detector. When the detector has the rectangular shape, two to four surfaces of each detector come into contact with the adjacent detectors, and these surfaces are defined as “boundary surfaces of the detector”. In the conventional device, the ceptor of the collimator is disposed on the boundary surface. In this regard, there is a generally known problem that moire is generated when there is a positional displacement between the collimator and the detector. In order to solve this problem, there has been disclosed a configuration in which a collimator and a detector group are rotated relative to each other (Patent Literature 2). In this configuration, even when the collimator is displaced from a predetermined position, the area of the ceptor traversing the detector is maintained constant. A SPECT imaging device with high spatial resolution and high sensitivity has been demanded in clinical practice. There are many factors that determine the resolution and sensitivity, such as a distance from a radiation source, the thickness of the ceptor, radiation energy, scatter, and absorption. Among these factors, the height of the ceptor and the size of the opening are significantly responsible for the determination of the resolution and sensitivity. In order to achieve high resolution, the arrival direction of radiation entering the detector needs to be limited by the collimator. Therefore, a field of view of the detector on the measurement target may be narrowed by the collimator. As such a collimator, there has been known a LEHR (low energy high resolution) collimator, for example. However, such limitation costs the sensitivity. In order to achieve high sensitivity, the hole length of the collimator needs to be reduced. As such a collimator, there have been known a LEGP (low energy general purpose) collimator and a LEHS (low energy high sensitivity) collimator. However, the shortened hole length of the collimator deteriorates the resolution. As described above, the conventional device cannot achieve both the high resolution and high sensitivity. Therefore, the collimators need to be replaced according to the purpose, leading to increased workload at a clinical site. Therefore, as a device that achieves both sensitivity and resolution, a new type of SPECT device has been invented, including two or more detectors in one rectangular through-hole. This SPECT device has been proven to achieve higher resolution than the conventional device in which the through-holes and the detectors correspond on a one-to-one basis, when the SPECT and conventional devices have the through-holes in the same size (Patent Literature 1 and Non-Patent Literature 1). Patent Literature 1: PCT/FR2006/002360 (WO2008/046971) “Gamma-camera using the depth of interaction in a detector” Patent Literature 2: Japanese Patent No. 3928647 Patent Literature 3: Japanese Patent Application Publication No. 2010-78350 Non-Patent literature 1: C. Robert et al. (2008) 2008 IEEE Nuclear Science Symposium Conference Record Vol. 6, pp. 4246-4251 In the SPECT device, in order to acquire a uniform image without uneven sensitivity or artifacts, it is important to properly align the collimator and the detector with each other. Particularly, the SPECT device having a configuration, in which two or more detectors are included in one through-hole and the sides of the detectors and the sides of the ceptors coincide with each other, requires higher accuracy in alignment of the collimator than the conventional device in which the through-holes and the detectors correspond on a one-to-one basis. This is because of the following reasons. In the configuration in which two or more detectors are included in one through-hole and the ceptors are disposed on the extensions of the boundary surfaces of the detectors, a positional displacement of the collimator exerts a more serious influence. In this case, the positional displacement of the collimator causes a cyclic streaky pattern to appear. This is because the ceptors are disposed on some of the detectors and no ceptors are disposed on the other some of the detectors. Therefore, a displacement of the collimator causes uneven sensitivity in a cyclic streaky pattern. Note that since the uneven sensitivity is determined based on the positional relationship between the ceptors and the detectors, the cycle is about several pixels. It is known that ring artifacts occur when reconstruction is performed using measurement data with such streaky uneven sensitivity. The uneven sensitivity in short cycles causes artifacts in short cycles, and the fine structures in the transaxial image are lost, leading to significant deterioration in image quality. Therefore, the configuration, in which two or more detectors are included in one through-hole and the ceptors are disposed on the extensions of the boundary surfaces of the detectors, requires more precise alignment of the collimator. As an alignment method for the conventional collimator in which the through-holes and the detectors correspond on a one-to-one basis, there has been proposed a method using the fact that a profile based on a predetermined radiation source is symmetrical when there is no positional displacement of the collimator (Patent Literature 3). However, in the configuration in which two or more detectors are included in one through-hole, a profile based on a predetermined radiation source is not symmetrical even when there is no positional displacement of the collimator. The method of Patent Literature 3 cannot be adopted. Therefore, it is an object of the present invention to provide a radiation image acquiring device capable of acquiring an image without artifacts by correcting a positional displacement between a collimator and a detector. A radiation image acquiring device including: a detector configured to measure a radiation; a collimator including a through-hole having one or more detectors disposed therein and configured to limit an incident direction of the radiation; and a positional displacement measuring unit configured to measure a positional displacement between the detector and the collimator by use of a profile of a radiation source measured by the detector based on the radiation source disposed corresponding to a predetermined detector. The present invention provides a radiation image acquiring device capable of acquiring an image without artifacts by correcting a positional displacement between a collimator and a detector. With reference to the drawings, a radiation image acquiring device according to the present invention is described below. Description is provided for a configuration of a SPECT system and image reconstruction firstly, and then for an influence of occurrence of a positional displacement of a collimator. At the end, a method for obtaining positional information of the collimator is described. As shown in FIG. 1, the SPECT system includes a gantry 10, cameras (radiation image acquiring devices) 11A and 11B, a data processing device 12, a display device 13, and the like. A subject 15 receives a radioactive drug, e.g., a drug containing 99mTc having a half-life of 6 hours. Emitted γ ray from 99mTc in the body of the subject 15 lying down on a bed 14 are detected by the cameras 11A and 11B supported by the gantry 10 to acquire a transaxial image (tomographic image). Since the cameras 11A and 11B have the same configuration, the camera 11A is described here. The camera 11A includes a collimator 26 and a detector 21. The collimator 26 has a function to screen the γ ray emitted from the body of the subject 15 and to allow only the γ ray in a certain direction to pass therethrough. The detector 21 detects the γ ray passing through the collimator 26. The camera 11A includes an application specific integrated circuit (ASIC) 25 for measuring a detection signal for γ ray (referred to as a γ ray detection signal). As for the γ ray detection signal, an ID of the detector 21 that has detected the γ ray, a peak value of the detected γ ray, and detection time thereof are inputted to the ASIC 25 through a detector substrate 23 and an ASIC substrate 24. The detector 21, the detector substrate 23, the ASIC substrate 24, the ASIC 25 and the collimator 26 are surrounded by a light/γ ray/electromagnetic shield 29 made of iron, lead or the like to shield light, γ rays and electromagnetic waves. The data processing device 12 includes a storage unit and a transaxial image information creation unit (not shown). The data processing device 12 retrieves packet data including the detector (channel) ID and the data of the measured peak value and detection time of the γ ray to create a planar image or create transaxial image information by converting the packet data into sinogram data, and displays the created planar image or transaxial image information on the display device 13. The cameras 11A and 11B is mobavable in a radial direction and a circumferential direction of the gantry 10. During shooting of a transaxial image, the cameras 11A and 11B are rotated about a gantry attachment part to identify the location of a tumor by detecting γ rays generated from the radioactive drug accumulated in the tumor or the like in the body of the subject 15. As shown in FIGS. 4 to 8, the detector 21 is divided for each pixel, and a group of detectors 21A is configured, in which a number of the detectors 21 are arranged. Therefore, unlike a scintillator made of one large crystal, the detection signal is collected for each detector 21, i.e., for each pixel. Note that, although the group of detectors 21A is divided into pixels, an electrode 22 may be divided into pixels as shown in FIG. 5. Alternatively, as shown in FIGS. 6A and 6B, the detectors 21 may be partially integrally provided. As shown in FIG. 7, the electrodes 22 may be provided on the top and the bottom. As shown in FIG. 8, a scintillator and a panel detector may be combined. As shown in FIG. 3, the collimator 26 made of lead has through-holes 27, and the through-holes 27 are arranged in a grid pattern. The through-holes 27 are compartmentalized by a ceptor 28. In general, the through-holes 27 and the detectors 21 correspond on a one-to-one basis. In this embodiment, description is given of the case where the area of an opening of one of the through-holes 27 in the collimator 26 is equal to the area of four detectors 21, as shown in FIG. 9. However, the present invention is also applicable to the case where one through-hole 27 includes N (one or two or more) detectors. Note that, in the following description, it is assumed that x, y and z (shown only in FIG. 3) directions are specified and the detectors 21 are arranged within an xy plane, as shown in FIGS. 3, 9 and the like. Next, description is given of image reconstruction executed by the data processing device. When the group of detectors 21A forms a certain angle with a measurement target, a count number yi of a certain detector i is obtained as follows: where λj is a count number of detection reconstruction pixels j.yi=ΣCijλj Expression (1)Here, Cij represents a probability of detection by the detector i. From Expression (1), an image is reconstructed using a successive approximation reconstruction method (MLEM, OSEM, MAP and the like). By incorporating a point response function of the detector 21 into successive approximation image reconstruction, spatial resolution is capable of being recovered. The point response function is a probability that the detector 21 detects radiation generated from a point radiation source, and is equal to the detection probability Cij in Expression (1). The use of the point response function enables a more accurate image to be reconstructed with the successive approximation reconstruction method such as MLEM and OSEM. Next, with reference to FIG. 10, description is given of the influence by occurrence of a positional displacement of the collimator 26. As parameters shown in FIG. 10, l, Δl, t, d and T represent the height of the collimator 26, distance between the collimator 26 and the detector 21, thickness of the ceptor 28, length of one of the sides of the detector 21, and length of a dead region 31, respectively. As shown in FIG. 10, it is difficult, due to the physical limitations, that the distance Δl between the detector 21 and the collimator 26 is set to 0. The leakage radiation from the adjacent pixel enters through a gap therebetween. The leakage radiation is detected by the detector 21 located near the ceptor 28. When the collimator 26 is displaced in the x direction, a distribution of the leakage radiation also changes along with the collimator 26. Therefore, when a positional displacement occurs between the collimator 26 and the detector 21, the count number of the radiation detected by the detector 21 changes, and the point response function also changes. As shown in FIG. 2, the point response function when the detectors 21 are disposed with the ceptor 28 interposed therebetween changes significantly with respect to the displacement. When the number of the detectors included in the through-hole 27 is two or more, the ceptor 28 is located on a certain detector 21 if the collimator 26 is displaced. Thus, the absence of the ceptor 28 on the other detector 21 causes uneven sensitivity, leading to a change in the point response function. The uneven sensitivity appears as a cyclic streaky pattern. As an example, FIGS. 11A to 11C show simulated images obtained with the configuration shown in FIG. 9, in the case of irradiation of a uniform planar source. It is assumed that the planar source is placed in a position 50 mm above an upper end of the collimator 26, and that l=26 mm, Δl=6 mm, d=1.4 mm, and t=0.4 mm. FIG. 11A shows the case where there is no displacement between the collimator 26 and the detector 21. FIG. 11B shows the case where the position of the collimator 26 is displaced by 7.14% (0.1 mm) of a detector pitch (1.4 mm) in the x direction from the no displacement state of FIG. 11A. Likewise, FIG. 11C shows the case where the position of the collimator 26 is displaced by 14.28% (0.2 mm). When there is no positional displacement of the collimator 26, a uniform image is acquired. However, if even a slight positional displacement occurs, peaks and troughs corresponding to the count number appear alternately in lines perpendicular to the direction of the positional displacement. Generally, when performing tomography, more than one planar image is acquired while changing the angle with respect to an object. It is known that, when a certain streaky pattern appears in the planar image regardless of the angle, a ring artifact appears in a reconstructed image. In the above case, since the uneven sensitivity is in short cycles, a short-cycle ring artifact occurs. The short-cycle artifact becomes a factor that destroys a fine structure of the transaxial image and significantly deteriorates image quality. In this event, the ring artifact occurs even when reconstruction is performed with an image reconstruction method (FBP or the like) using no point response function. The cyclic pattern remains as the cyclic pattern even after the reconstruction, and becomes an artifact. The short-cycle artifact appears even in the case of successive approximation reconstruction using the point response function when there is “no displacement”. This is because the point response function in the case where there is “no displacement” does not reproduce a cyclic pattern, recovery cannot be made. FIG. 12 shows an image obtained by reconstructing projection data created by simulation from numerical phantom when there is no displacement of the collimator 26. In the numerical phantom, a region where a radioactive drug exists and a pixel value in a cold spot are set to 1 and 0, respectively. FIG. 13 shows an image of an absolute value of a difference between reconstructed images obtained by simulation when there is no displacement of the collimator 26 and when the position of the collimator 26 is displaced by 7% of the detector pitch in the x direction. It is confirmed that streaky artifacts appear. It is seen that these artifacts destroy the fine structure of the transaxial image and significantly deteriorate image quality. Next, description is given of a method for obtaining an amount of positional displacement of the collimator 26. As shown in FIG. 14, considering the case where detectors are one-dimensionally arranged in the x direction, it is assumed that a profile measured when the radiation source is present on the even-numbered detector pixel (pixel No. 0) is Peven. Likewise, it is assumed that a profile measured when the radiation source is present on the odd-numbered detector pixel (pixel No. 1) (not shown) is Podd. FIG. 15 shows Peven and Podd obtained by ray trace simulation. Here, it is assumed that l=15 mm, Δl=13 mm, d=1.4 mm, and t=0.4 mm. It is also assumed that a distance between the radiation source and the upper end (surface) of the collimator 26 is 100 mm Meanwhile, FIG. 16 shows a profile Podd′ (assuming the case where there is no positional displacement of the collimator 26) obtained by inverting Podd shown in FIG. 15 about pixel No. 0.5. As shown in FIG. 16, Peven and Podd′ coincide completely with each other. Therefore, when there is no positional displacement of the collimator, residual sum of squares of Peven and Podd′ is 0. FIG. 17 shows a relationship between the amount of positional displacement of the collimator 26 in the x direction and the residual sum of squares of Peven and Podd′. As shown in FIG. 17, the residual sum of squares is increased when the positional displacement is increased in a positive direction. Due to the periodicity of the through-holes 27 in the collimator 26 and the detector pitch, the residual sum of squares reaches its peak when the positional displacement is 0.7 mm. A positional displacement in a negative direction also shows the same tendency. As described above, by obtaining the residual sum of squares of Peven and Podd′ through measurement based on an arbitrary radiation source during alignment of the collimator 26, a positional displacement amount (a positional displacement amount Dx in the x direction and a positional displacement amount Dy in the y direction) is capable of being estimated from FIG. 17. Note that, as described above, Peven and Podd may be obtained by disposing the radiation source on the even-numbered and odd-numbered detector pixels and measuring profiles. Alternatively, as shown in FIG. 18, Peven and Podd may also be obtained in one measurement by disposing a line source 33 at an angle θ with respect to the y-axis (disposing the radiation sources such that the positions thereof are on the even-numbered or odd-numbered detector pixels) and measuring profiles in the x direction. In this event, it is required to determine whether or not the radiation source is located on the even-numbered or odd-numbered detector pixel. The positions of the radiation sources are capable of being determined by obtaining the positions of the centroids of the profiles obtained by the measurement, for example. The data processing device 12 includes a position identification unit for specifying such positions of the radiation sources. Note that the profile in the y direction may be measured by disposing the line source 33 at the angle θ with respect to the x-axis (disposing the radiation sources such that the positions thereof are on the even-numbered or odd-numbered detector pixels). Alternatively, if an X-ray CT device (originally equipped with an X-ray source) is available, the position may be determined from a CT image of the source. From a practical perspective, the measurement is performed using the line source 22 as shown in FIG. 18 to obtain the profile in the x direction for each of the detector pixel positions in the y direction, and thus obtain the position of the centroid of each profile in the x direction. Note that the use of the X-ray source of the X-ray CT device eliminates the need to separately prepare the line source 33. Here, the profile in the x direction is expressed by the count in the vertical axis while designating the detector pixel positions with integers in the horizontal axis. Then, after sorting out the rounded values of the positions of the centroid between even numbers and odd numbers, average values of profile groups corresponding to the sorted even numbered and odd numbered pixels may be set as Peven and Podd, respectively. Such acquisition of the amount of positional displacement of the collimator 26 is performed by the data processing device 12. Next, description is given of a method for determining a positional displacement direction of the collimator 26. FIG. 19 shows a state where only the even-numbered pixels in Peven and Podd′ are plotted when there is no positional displacement of the collimator shown in FIG. 16 (Peven and Podd′ overlap with each other). FIG. 19 shows that the plotting of the even-numbered pixels brings about a main peak and a sub-peak. Such plotting also makes it easier to visually see if the collimator 26 is displaced in the positive direction or negative direction. FIGS. 20A to 20D show Peven and Podd′ (only the even-numbered pixels are plotted) when the positional displacement of the collimator 26 is −1.2 mm, −0.2 mm, +0.2 mm and +1.2 mm. In the case where the collimator is displaced by +0.2 mm, for example, when the main peak of Peven is located at the left of the main peak of Podd′ and the sub-peak is located at the right of the main peak, the positional displacement is found from calculation to be occurring within a range of 0 mm to 0.7 mm in the positive direction. Therefore, the positional displacement amount is estimated on FIG. 17 by obtaining the residual sum of squares of Peven and Podd′ through the measurement of the radiation source during alignment of the collimator 26. Then, the positional displacement direction is determined based on the positional relationship between the main peak and the sub-peak as shown in FIGS. 20A to 20D. Thereafter, a collimator moving mechanism 34 is used to move the collimator 26 for the positional displacement amount. This is capable of performing accurate alignment (see FIG. 21). The collimator moving mechanism 34 may be configured to include, for example, an unillustrated motor and a connecting rod that is moved back and forth by the rotation of the motor to move the collimator 26. The collimator moving mechanism 34 is configured to operate upon receipt of a signal from the data processing device 12 as a positional displacement measuring unit, and to move the collimator 26 to a predetermined position. Note that more than one collimator moving mechanism 34 may be provided and operated to align the collimator 26. Note that the positional displacement amount before or after the alignment of the collimator 26 may be displayed on the display device 13 (on a screen of a personal computer). Thus, an operator is informed of the positional displacement amount and a final result of the alignment. Note that, as for the display of the positional displacement, an alignment direction may also be displayed by an arrow or the like, thus enabling the operator to visually see a relative positional displacement. Note that the collimator may also be manually aligned without using the collimator moving mechanism 34. Note that the positional relationship between the main peak and the sub-peak is capable of being visually read from the profile. However, positions of the main peak and the sub-peak is capable of being obtained by a program for detecting peaks, and the positional displacement direction is capable of being automatically determined from the obtained positions of the main peak and the sub-peak. Alternatively, a correlation between Peven when there is a positional displacement (−1.4 to +1.4 mm) obtained by simulation and Peven obtained beforehand by experiment is capable of being obtained (e.g., the residual sum of squares), and the positional displacement direction is capable of being determined from positional displacement information of Peven obtained by simulation having the strongest correlation. Although the above description is given of the positional displacement in the x direction, positional displacement information for a positional displacement in the y direction is also capable of being obtained in the same manner. Furthermore, positional displacement information is also capable of being obtained in the same manner for the case where the collimator 26 is rotated as shown in FIG. 22. In this case, positional displacement information may be obtained from the profile of the radiation source in the x direction at both ends of the collimator 26 in the y direction, and positional displacement information may be similarly obtained from the profile of the radiation source in the y direction at both ends of the collimator 26 in the x direction. Such determination of the positional displacement direction of the collimator 26 is performed by the data processing device 12. Incidentally, the hole pitch (the pitch of the through-holes 27) may vary from one location of the collimator 26 to another according to manufacturing accuracy of the collimator 26. Alternatively, when a number of the detectors 21 are mounted for each module, an influence of a gap formed between the modules may change the detector pitch. In such a case, it is difficult to eliminate the positional displacement between the collimator 26 and the detectors 21 in the entire region of the collimator 26. Therefore, positional displacements are measured at multiple locations (e.g., for each module), and the collimator 26 is aligned so as to minimize the sum of the positional displacement amounts in the respective modules. Alternatively, the collimator 26 is aligned such that the positional displacement amount is smaller than a positional displacement amount that causes no artifacts in a reconstructed image. In this event, by displaying the positional displacement amount at each location after the alignment of the collimator 26 on the display device 13 (on the screen of the personal computer), the operator may be informed of the final result of the alignment. Furthermore, the positional displacement for each module may be displayed on the display device 13. Thus, the operator sees if the positional displacement occurs in the entire region or locally occurs in a certain module. Alternatively, in each module, positional displacement amounts at multiple spots of the collimator in the x direction may be obtained, and the collimator 26 may be aligned in the x direction such that all the positional displacement amounts are smaller than a certain threshold. Likewise, positional displacement amounts at multiple spots of the collimator in the y direction may be obtained, and the collimator 26 may be aligned in the y direction such that all the positional displacement amounts are smaller than a certain threshold. Although the above description is given of the case where four detectors (2×2) are included in each of the through-holes 27 in the collimator 26, the number of the detectors is not limited to four in this embodiment. The present invention is also applicable to the case where m detectors in the x direction and n detectors in the y direction (m×n detectors) are included in each of the through-holes 27 in the collimator 26. Here, m and n are integers. Next, the alignment in the x direction is described. When the detector pixel positions are designated as a position counted from 0, it is assumed that a profile when the radiation source is disposed above the m×ix-th (ix is an integer) detector pixel in the x direction is Pa, and a profile when the radiation source is disposed above the m×ix+(m−1)th detector pixel is Pb. Here, m×ix and m×ix+(m−1) as the positions of the detector pixels correspond respectively to the positions of the detector pixel in the positive direction and the detector pixel in the negative direction, which are closest to the ceptor 28 of the collimator 26. In this event, Pb is inverted about the detector pixel position m×ix+(m−1)/2 to obtain Pb′. Then, the residual sum of squares of Pa and Pb′ obtained by actual measurement is obtained, and a positional displacement amount Dx in the x direction is estimated from a graph of a relationship between the amount of positional displacement of the collimator 26 and the residual sum of squares of Pa and Pb′. Next, only the m×jx-th (jx is an integer) values in Pa and Pb′ are plotted to obtain pa and pb′, and a positional displacement direction is determined based on a positional relationship between main peaks and sub-peaks of pa and pb′. Likewise, it is possible to obtain a positional displacement amount in the y direction and a positional displacement direction in the y direction. In the alignment in the y direction, when the detector pixel positions are designated as numbers counted from 0, it is assumed that a profile measured when the radiation source is disposed above the n×iy-th (iy is an integer) detector pixel in the y direction is Pc, and a profile measured when the radiation source is disposed above the n×iy+(n−1)th detector pixel is Pd. In this event, Pd is inverted about the detector pixel position n×iy+(n−1)/2 to obtain Pd′. Then, the residual sum of squares of Pc and Pd′ obtained by actual measurement is obtained, and a positional displacement amount Dy in the y direction is estimated from a graph of a relationship between the amount of positional displacement of the collimator 26 and the residual sum of squares of Pc and Pd′. The radiation image acquiring device according to this embodiment described above optimally corrects a positional displacement between the detector 21 (the group of detectors 21A) and the collimator 26 based on positional displacement information obtained by measuring the positional displacement between the detector 21 (the group of detectors 21A) and the collimator 26 by using a radiation source profile measured by the detector 21 based on the radiation source disposed corresponding to a predetermined detector 21. Therefore, the radiation image acquiring device capable of acquiring an image without artifacts is achieved. Moreover, during the alignment of the collimator 26, by obtaining the residual sum of squares of Peven and Podd through the measurement based on an arbitrary radiation source, a positional displacement amount is capable of being estimated from the graph (FIG. 17) showing a relationship between the amount of positional displacement of the collimator 26 in the x direction and the residual sum of squares of Peven and Podd′. Thus, the positional displacement between the detector 21 (the group of detectors 21A) and the collimator 26 is optimally corrected. Therefore, the radiation image acquiring device capable of acquiring an image without artifacts is achieved. A radiation image acquiring device according to this embodiment performs alignment of a collimator 26 by measuring a profile of a radiation source disposed immediately above an intermediate position between detector pixels, immediately above the position of a ceptor 28 of the collimator 26, or immediately above an intermediate position between the ceptors 28. As shown in FIG. 14, in a configuration in which four detectors 21 are included in each of through-holes 27 in the collimator 26, a profile obtained when the radiation source is disposed above the even-numbered detector pixel has a distribution that is asymmetric about the position where the radiation source is disposed. Meanwhile, when there is no displacement of the collimator 26, as shown in FIG. 23, a profile obtained when the radiation source is disposed immediately above an intermediate position between the even-numbered and odd-numbered detector pixels has a distribution that is symmetric about the position where the radiation source is disposed. Using this, the alignment of the collimator 26 is capable of being performed by measuring a profile while disposing the radiation source immediately above the intermediate position between the detector pixels (a boundary surface 30 between the detectors 21), obtaining an asymmetry degree of the profile, and moving the collimator 26 so as to minimize the asymmetry degree. For example, as shown in FIG. 18, the line source 33 is disposed, a profile in the x direction is obtained for each of the detector pixel positions in the y direction, and the position of the centroid of each profile in the x direction is obtained. Then, an average value of the profiles is obtained, in which the position of the centroid in the x direction is at the intermediate position between the detector pixels, and the collimator 26 is moved so as to minimize the asymmetry degree of the averaged profile. This method is not limited to the case where four detectors 21 are included in each of the through-holes 27 in the collimator 26. With the method generalized into a configuration in which a number of detectors 21 are included in each of the through-holes 27 in the collimator 26, the alignment of the collimator is capable of being performed by measuring a profile of a radiation source disposed immediately above the position of the ceptor 28 of the collimator 26 or immediately above the intermediate position between the ceptors 28, obtaining an asymmetry degree of the profile, and moving the collimator 26 so as to minimize the asymmetry degree. Although the present invention has been described above, the present invention is not limited to the above embodiments, but is capable of being implemented by making appropriate changes. While the point radiation source, the line source or the like is used as the radiation source in the above embodiments, the present invention is not limited thereto. A point radiation source obtained by collimating an X-ray source may be used as the radiation source. Thus, the X-ray source may be optimally used as the point radiation source. Alternatively, a line source obtained by collimating an X-ray source may be used as the radiation source. Thus, the X-ray source is optimally used as the line source. 12 data processing device (positional displacement measuring unit) 13 display device 21 detector 21A group of detectors 26 collimator 27 through-hole 28 ceptor |
|
abstract | A method to capture, retain and remove debris falling into a nuclear reactor fuel bundle assembly including a bundle of fuel rods mounted below an upper tie plate and housed in a hollow metal channel, the method includes: inserting a debris shield in the upper tie plate; maintaining the shield in the upper tie plate and above the fuel rods, and water rods, while the fuel bundle assembly is in an operating nuclear reactor core; capturing debris falling in the fuel assembly on the debris shield; after capturing the debris, removing the fuel bundle assembly with the inserted debris shield from the nuclear reactor core to a maintenance/fuel inspection pool and thereafter removing the debris shield from the upper tie plate, cleaning and then reinserting the cleaned debris shield back into the upper tie plate, and moving the fuel bundle assembly from the maintenance/fuel inspection pool back into the nuclear reactor core. |
|
claims | 1. An X-ray source for delivering X-rays along an optical axis with a predefined energy, intensity and spatial distribution to a desired region of a sample, comprising: a linear accelerator with a thin anode having a thickness less than or equal to about 50 microns that creates a conical spatial distribution characterized by an angle less than of plus or minus 5 degrees in relation to the optical axis for X-rays with an energy below 110 keV and an electron trap that deflects and absorbs an electron beam that penetrates through the thin anode; and conditioning optics which shape, direct and monochromatize the X-rays that emerge from the thin anode by cutting a narrow line from a continuous spectrum in the region of the spectrum below 110 keV. 2. The X-ray source of claim 1 wherein the electron trap includes a magnet for changing the trajectory of electrons penetrating through the anode and a cell made of a material that absorbs the X-ray beam emerging from the anode. claim 1 3. The X-ray source of claim 1 wherein the conditioning optics create a focused X-ray beam. claim 1 4. The X-ray system of claim 1 wherein the conditioning optics create a parallel X-ray beam. claim 1 5. The X-ray system of claim 1 wherein the conditioning optics create an X-ray beam with a predefined divergency. claim 1 6. The X-ray source of claim 1 wherein the conditioning optics comprise: claim 1 entrance and exit slits and a stop diaphragm that protect the sample from bombardment by the X-rays, other than those reflected from the conditioning optics, the stop diaphragm being positioned before the sample. 7. The X-ray source of claim 6 wherein the slits have an inner surface and the stop diaphragm has an outer surface, the inner surface of the slits and the outer surface of the diaphragm being parallel to an edge of the X-ray beam that impinges thereupon. claim 6 8. The X-ray source of claim 1 wherein the X-rays have an energy from 5 keV to 110 keV and are characterized by a shape that varies in cross-section of a parallel beam from 10 microns to 3 millimeters and a focus size down to 10 microns. claim 1 9. The X-ray source of claim 1 wherein the linear accelerator accelerates the electron beam emitted from an electron gun up to 15 MeV. claim 1 10. The X-ray source of claim 1 wherein the wavelength of the X-rays is up to 200 Angstroms. claim 1 11. The X-ray source of claim 1 wherein the wavelength of the X-rays is between 0.1 Angstroms-1.25 Angstroms. claim 1 12. A method for using the X-ray source claimed in claim 1 , comprising the steps of: claim 1 directing the X-rays toward a sample; and analyzing a structure of the sample with a detector, wherein the time required to analyze the structure of the sample is significantly decreased by increasing the flux density of monochromatic x-rays delivered to the sample. 13. A method of generating X-rays comprising the steps of: providing an X-ray beam from a thin anode having a thickness less than or equal to about 50 microns that is directed along an optical axis; separating an electron beam from the X-ray beam by an electron trap that deflects and absorbs an electron beam that penetrates through the thin anode; and directing the X-ray beam through conditioning optics to produce a monochromatic, shaped beam having a predetermined energy by cutting off a narrow portion of a continuous spectrum in the region of the spectrum below 110 keV. |
|
050849093 | abstract | Color enhanced topaz, beryl, tourmalines, quartz, diamonds and other gem material products, both natural and synthetic, and the process by which they are color-enhanced are described. In this process rough or finished gemstones of virtually any size, in particular very large single pieces weighing approximately one hundred carats up to 10 kilograms, are placed in a very high energy gamma ray field for extended periods of time, on the order of 50 hrs to 1000 hrs, or more, to give total exposures of 400 megagards up to 8000 megagards. The gamma flux used may be in the range of 0.5 MEV up to 30 MEV or more. In particular, the rays will be substantially higher energy than those available from conventional cobalt 60 or cesium 137 and similar sources. |
abstract | A residual heat removal ventilation system for spent fuel dry storage facility of nuclear power plant includes a natural ventilation apparatus and a forced ventilation apparatus, comprising a cold air intake chamber, a hot air removal chamber, a pipeline, a ventilation heat shield cylinder, a heat removal fan, and an air cooling equipment having certain connecting relationships and being correspondingly arranged in a storeroom, an operating room and a ventilation equipment room. The system doesn't require storing spent fuel in a pool storage manner. The safety of the spent fuel doesn't rely on power equipment, thus not only reducing routine maintenance, saving energy, but also has inherent safety. Furthermore, the system can be used to cool spent fuel storage canisters within spent fuel storage facility of pebble bed high temperature gas-cooled reactor nuclear power plant, and discharge residual heat of spent fuel storage canisters to the external environment. |
|
claims | 1. A method for identifying fissile material within an interrogated vessel, comprising:casting an incident photon beam from an electron beam accelerator through the interrogated vessel on the fissile material;detecting an emerging photon beam within an energy range from about 1 MeV to about 50 MeV from the fissile material with an array of fission-fragment detectors, a first set of scintillator paddles, and a second set of scintillator paddles, wherein the array of fission-fragment detectors, the first set of scintillator paddles, and the second set of scintillator paddles (a) are arranged sequentially in a direct path of the emerging photon beam such that each receives the emerging photon beam, and (b) are sensitive to different ranges of photon beam energy;obtaining a first signal from the array of fission-fragment detectors, a second signal from the first set of scintillator paddles, and a third signal from the second set of scintillator paddles, each signal indicative of photon yield within the different ranges of photon beam energy; anddetermining a photon energy regime of the emerging photon beam through identification of a drop in photon yield in at least one of the three signals, the determined photon energy regime identifying the fissile material within the interrogated vessel. 2. The method of claim 1, wherein said identifying comprises determining a range of an atomic number of a material in a container. 3. The method of claim 1, wherein detecting the emerging photon beam from the material with the array of fission-fragment detectors comprises detecting an energy range of the emerging photon beam in a range between about 10 MeV to 20 MeV. 4. The method of claim 1, wherein detecting the emerging photon beam from the material with the first set of scintillator paddles comprises detecting an energy range of the emerging photon beam in a range up to about 6 MeV. 5. The method of claim 1, wherein detecting the emerging photon beam from the material with the second set of scintillator paddles comprises detecting an energy range of the emerging photon beam exceeding about 6 MeV. 6. The method of claim 1, further comprising creating a photon distribution energy curve using a combination of the first signal from the array of fission-fragment detectors, the second signal from the first set of scintillator paddles, and the third signal from the second set of scintillator paddles. 7. The method of claim 1, wherein casting an incident photon beam from the electron beam accelerator comprises directing an electron beam onto a radiator for producing a photon beam through bremsstrahlung process. 8. The method of claim 1, further comprising producing electron positron pairs with a convertor coupled to the second set of scintillator paddles. 9. The method of claim 8, further comprising detecting an energy range of the electron positron pairs exceeding about 6 MeV. 10. The method of claim 1, wherein the array of fission fragment detectors is sensitive to a range of photon beam energy between about 10 MeV and 20 MeV, the first set of scintillator paddles is sensitive to a range of photon beam energy up to about 6 MeV, and the second set of scintillator paddles is sensitive to a range of photon beam energy above about 6 MeV. 11. The method of claim 10, wherein the first and second set of scintillator paddles comprise plastic scintillator paddles. 12. The method of claim 1, wherein the array of fission fragment detectors, the first set of scintillator paddles, and the second set of scintillator paddles are sensitive to different, but overlapping ranges of photon beam energy. |
|
description | The present application is a continuation of U.S. application Ser. No. 10/177,970, filed Jun. 21, 2002, now U.S. Pat. No. 7,378,670 which claims the benefit of U.S. Provisional Application No. 60/300,313, filed Jun. 22, 2001. U.S. application Ser. Nos. 10/177,970 and 60/300,313 are incorporated herein by reference. The present invention generally concerns a shielding assembly for use in a semiconductor manufacturing apparatus, such as an ion implantation apparatus. Ion implantation devices are used in semiconductor manufacturing to introduce dopants into semiconductor wafers. Generally, an ion implantation device includes an ion source that generates charged dopant particles and an extraction electrode that extracts the particles from the ion source and initiates an ion beam along a beam path toward a target (e.g., a semiconductor wafer). Downstream of the extraction electrode, the ion beam passes through a mass analyzing chamber, which selectively separates components from the ion beam so that only ions of the desired mass are directed toward the target. The mass analyzing chamber typically is fluidly connected to a vacuum pump for establishing a sub-atmospheric pressure inside the chamber. From the mass analyzing chamber, the ion beam passes through an accelerator for accelerating the ions into a target chamber, wherein the ions are implanted into the target. Ion implantation devices are complex and expensive to operate and maintain. A particular problem in the use of ion implantation devices is that impurities generated during the doping process accumulate on the internal surfaces of the device, and especially the internal surfaces of the mass analyzing chamber. Consequently, the internal surfaces of the ion implantation device, typically made of metallic materials, such as stainless steel or aluminum, must be cleaned on a regular basis to ensure that the device operates within specified parameters. Typically, the internal surfaces are manually cleaned with hydrogen peroxide or other suitable solvents. However, the process of manually cleaning the internal surfaces of the device is time consuming and difficult due to the small spaces within the device and lack of access to the surfaces requiring such cleaning. When re-starting the ion implantation device following the cleaning process, the vacuum pump is activated to re-establish a sub-atmospheric pressure in the mass analyzing chamber, which in turn can cause excessive outgassing of residual solvent in the chamber. Removal of the gaseous solvent from the chamber requires additional pump-down time before the device can be put back on line. Thus, it would be desirable to minimize or eliminate the use of solvents, such as hydrogen peroxide, in ion implantation devices to reduce downtime and increase the production yield in the manufacture of semiconductor devices. Another problem area with conventional mass analyzing chambers is that the metallic inner surfaces of the chamber are subject to wear by the high-energy ion beam that passes through the chamber. Metallic material eroded or sputtered from the inner surfaces of the chamber is transported to and implanted into the semiconductor wafer. As a result of this contamination, the semiconductor wafer will have degraded performance, reliability and functionality. Accordingly, a continuing need exists for improvements in ion implantation systems used for the fabrication of semiconductor devices. The present disclosure generally pertains to removable shielding devices that can be used to cover, or shield, the inner surfaces of a semiconductor manufacturing apparatus, such as an ion implantation apparatus, for the purpose preventing impurities produced during operation of the apparatus from accumulating on the inner surfaces of the apparatus. In one representative embodiment, a method for using of an ion implantation apparatus having a mass analyzing chamber includes providing a removable shielding assembly for the mass analyzing chamber, the shielding assembly comprising at least first and second removable shielding members. The shielding assembly is installed in the mass analyzing chamber such that the first shielding member covers a first magnetic area inside the mass analyzing chamber and the second shielding member covers a second magnetic area inside the mass analyzing chamber. With the shielding assembly installed in the mass analyzing chamber, the ion implantation apparatus can be operated in a conventional manner for implanting ions into a semiconductor wafer. To ensure that the ion implantation apparatus operates within process parameters, the ion implantation apparatus is shut down after a period of use and the shielding assembly is removed from the mass analyzing chamber and cleaned to remove any contamination. The shielding assembly may be cleaned with a suitable cleaning agent, such as hydrogen peroxide, alcohol, acetone or other suitable solvents. Thereafter, the shielding assembly may be treated using conventional techniques (e.g., heating the shielding assembly) to remove residual cleaning agent on the shielding assembly to minimize outgassing when the shielding assembly is re-installed and the apparatus is re-started. Once the shielding assembly is cleaned and treated as described, it can be re-installed in the mass analyzing chamber for further processing of semiconductor wafers. In another representative embodiment, a shielding member for use inside a mass analyzing chamber of an ion implantation apparatus comprises a unitary body configured to cover a magnetic area in the mass analyzing chamber. The shielding member desirably is made of graphite or impregnated graphite and configured to be easily removable from the mass analyzing chamber to permit cleaning of the shielding member outside of the mass analyzing chamber. In particular embodiments, the body has a raised central portion for covering a magnet core and a substantially flat outer portion surrounding the central portion, with the central portion being generally oblong with parallel, opposing sides and arcuate, opposing ends extending between the respective sides. According to yet another representative embodiment, an ion implanting apparatus includes a mass analyzing chamber having a bottom surface and a top surface, with the bottom surface having a bottom magnetic area and a top surface having a top magnetic area. A first shielding member includes a unitary body having a raised central portion for completely covering the bottom magnetic area and an outer portion substantially surrounding the central portion. A second shielding member includes a unitary body having a raised central portion for completely covering the top magnetic area and an outer portion substantially surrounding the central portion. Desirably, the first and second shielding members are configured to be easily removable from the mass analyzing chamber to facilitate cleaning of the shielding members. The apparatus also can include third and fourth shielding members, with the third shielding member configured to cover a side surface inside the mass analyzing chamber extending between the top and bottom surfaces, and the fourth shielding member configured to cover a portion of the top surface of the chamber in a side-by-side relationship with the second shielding member. A support mechanism, adapted for mounting in the mass analyzing chamber, may be used to assist in supporting the fourth shielding member in the chamber. The support mechanism desirably is configured to vary the tilt of the fourth shielding member relative to the top surface. In one embodiment, the support mechanism comprises a body and at least one adjusting bolt threadably received in the body. When mounted in the chamber, the fourth shielding member is supported on the adjusting bolt of the support mechanism such that adjusting the bolt is effective to vary the tilt of the fourth shielding member relative to the top surface. These and other features will be more fully appreciated when the following detailed description is read in conjunction with the accompanying drawings. Referring first to FIG. 1, there is shown a mass analyzing chamber of an ion implantation device, indicated generally at 10, according to one embodiment. The chamber 10 comprises a shell 12, which includes side walls 13 and 14, a bottom wall 16, a top wall 18, a rear wall 20, and a front wall (not shown) in opposing relationship with the rear wall 20. The shell 12 typically is made of metallic materials, such as stainless steel or aluminum. Side walls 13 and 14, bottom wall 16, top wall 18, and rear wall 20 have respective inner surfaces 32, 34, 36, 38, and 39, respectively, as shown. The chamber 10 also has first and second analyzing magnets 22a and 22b, having magnet cores 24a and 24b, respectively, in a spaced apart and opposing configuration. Magnet cores 24a, 24b are positioned in respective raised portions 26 and 28 of the bottom wall 16 and top wall 18, respectively. The portions of the bottom wall 16 and top wall 18 covering magnet cores 24a, 24b form respective “magnetic areas” of the bottom wall and top wall. Thus, in the illustrated embodiment, for example, raised portions 26 and 28 form such “magnetic areas” in the bottom and top wall, respectively. One or more removable shielding members may be installed inside the chamber 10 for covering, or shielding, portions of the inner surfaces of the shell 12 to assist in preventing process by-products from accumulating on those surfaces during use of the ion implantation device. As used herein, to “cover” a surface with a shielding member means to place a surface of the shielding member in a juxtaposed relationship with the surface to be covered. Accordingly, a shielding member may be in direct contact with or spaced from the surface to be covered. In the embodiment of FIG. 1, for example, a shielding assembly includes a plurality of shielding members 30a, 30b, 30c, 30d, and 30e, with shielding member 30a covering the inner surface 36 of the bottom wall 16, shielding member 30b covering the inner surface 38 of top wall 18, shielding member 30c covering the inner surface 32 of side wall 13, shielding member 30d covering the inner surface 34 of side wall 14, and shielding member 30e covering the inner surface 39 of rear wall 20. An additional shielding member (not shown) may be used to cover the inner surface of the front wall (not shown). In the illustrated mass analyzing chamber 10, all of the inner surfaces of the shell 12 are covered by shielding members to prevent any process by-products from accumulating on the inner surfaces of the shell 12. However, this is not a requirement. In alternative embodiments, for example, shielding members may be used to cover only the inner surfaces of the shell 12 on which the accumulation of process by-products mostly occurs. In some mass analyzing chambers, for example, the accumulation of process by-products mostly occurs on the magnetic areas inside the chamber. In such cases, covering only the magnetic areas may be effective to limit the accumulation of process by-products on the inner surfaces of the shell to an acceptable level. The shielding members 30a, 30b, 30c, 30d, and 30e in the illustrated configuration are shaped generally to correspond to the shape of the surfaces they cover. Accordingly, shielding members 30c, 30d, and 30e are substantially planar to correspond to side walls 13 and 14 and rear wall 20, and shielding members 30a and 30b have raised central portions corresponding to raised portions 26 and 28 of the bottom wall 16 and top wall 18, respectively. It should be understood, however, that the shape of the shielding members may be varied as needed to accommodate the shapes of different chambers or as otherwise desired. The shielding members 30a, 30b, 30c, 30d, and 30e may be secured to the inner surfaces of the shell 12 in any suitable manner, such as with bolts or other types of fasteners, or indirectly by engagement with one or more other shielding members (by, e.g., abutment or a dove-tail joint). Desirably, the shielding members 30a, 30b, 30c, 30d, and 30e are configured to be easily removable from the chamber 10 to facilitate cleaning of the shielding members, as further described below. In addition, the shielding members 30a, 30b, 30c, 30d, and 30e desirably are made of graphite, or alternatively, graphite impregnated with resin or other suitable materials (commonly known as “impregnated graphite”). Preferably, isotropic graphite may be used, although this is not required. Other composite or carbon-based materials, such as glassy carbon or carbon fiber reinforced composites, also may be used. In alternative embodiments, the shielding members 30a, 30b, 30c, 30d, and 30e may be made of a metallic material coated with an overlying layer of graphite or impregnated graphite. However, this construction is less desirable than shielding members made entirely of graphite or impregnated graphite because the ion beam may cause the overlying graphite layer to erode away, thereby exposing the metallic material and subjecting the semiconductor wafer to contamination from sputtered metal particles. The ion implantation device may be used to implant dopants into semiconductor wafers, as generally known in the art. In operation, process by-products accumulate on the shielding members 30a, 30b, 30c, 30d, and 30e inside the chamber 10. To ensure that the device operates within accepted process parameters, the shielding members 30a, 30b, 30c, 30d, and 30e are removed from the chamber 10 after a period of use and cleaned with a suitable solvent (e.g., hydrogen peroxide, alcohol, or acetone) to remove any by-products that may have accumulated on their surfaces. The shielding members can then be treated using known techniques to remove any residual solvent, such as by heating the shielding members. In this manner, outgassing resulting from residual solvent in the chamber can be avoided. Thereafter, the shielding members are re-installed inside the chamber 10 and the device can be re-started for further processing of semiconductor wafers. The use of the shielding members provides several advantages. For example, the cleaning process described above is easier and can be accomplished much faster than the traditional method of cleaning the inner surfaces of the chamber. In an alternative approach to the foregoing method, two sets of shielding members can be provided for a single ion implantation apparatus. Thus, while one set is being cleaned, the other set can be used in the chamber, thereby further decreasing the down time of the apparatus. Moreover, by minimizing or totally eliminating the use of a solvent (e.g., hydrogen peroxide) to clean the inside of the chamber 10, little, if any, outgassing of the solvent occurs when the device is re-started. As a result, less time is required to pump down the chamber before the device is put back on line for further processing of semiconductor wafers. Thus, by using the shielding members, the number of worker-hours required for maintaining the device, as well as the amount of time the device is kept off-line, is significantly reduced and the production yield of semiconductor devices is increased. In addition, as noted above, a problem associated with some conventional mass analyzing chambers is that metallic material eroded or sputtered from the inner surfaces of the chamber contaminates the semiconductor wafer being processed. However, contamination caused by graphite or impregnated graphite does not affect semiconductor performance to the extent of contamination caused by metallic materials like stainless steel or aluminum. Thus, the use of graphite or impregnated graphite shielding members provides the additional advantage of minimizing wafer contamination caused by metal sputtering. In addition, as mentioned above, the shielding members are configured to be easily removable from the chamber. This allows periodic replacement of the shielding members before the shielding members are worn away and the underlying metal surfaces of the chamber are exposed. Referring to FIG. 2, there is shown a shielding assembly, indicated generally at 40, according to another embodiment. The shielding assembly 40 includes a first shielding member 44, a second shielding member 46, a third shielding member 48, a fourth shielding member 50, and a fifth shielding member 52 for covering respective surface portions inside a mass analyzing chamber of an ion implantation device. The shielding assembly 40 also includes a support mechanism 54 to assist in supporting the third shielding member 48 inside a chamber, as further described below. In a working embodiment, the shielding assembly 40 is configured for use in the mass analyzing chamber of a model Vision 80 ion implanter, manufactured by Varian Semiconductor Equipment Associates, Inc. of Gloucester, Mass. FIGS. 3 and 4 are schematic illustrations of such a mass analyzing chamber, indicated generally at 56, shown with the shielding assembly 40 installed inside the chamber. As shown, the illustrated mass analyzing chamber 56 comprises a shell 57, which can be made of metal (e.g., stainless steel or aluminum). The shell 57 includes a bottom wall 58, a top wall 60, side walls 62 and 64, a rear wall 66, and a front wall (not shown) in opposing relationship with the rear wall 66. A first magnet 70 having a magnet core 72 and an outer metal layer 73 is disposed on the bottom wall 58. A second magnet 74 having a magnet core 76 and outer metal layer 75 is positioned on the top wall 60, opposite the first magnet 70 (as best shown in FIG. 4). The portion of metal layers 73 and 75 covering magnet cores 72 and 76 define respective “magnetic areas” in the chamber. An arcuate shaped barrier, or wall, 78 extends upwardly from the bottom wall 58 between the side wall 62 and the first magnet 70. A vacuum port 80 is located adjacent the concave surface of the barrier 78 (FIG. 4). The rear wall 66 is formed with an outlet opening 96, through which an ion beam extends during operation of the chamber 56. An extension piece 79 is connected to and extends from the side wall 62. As shown in FIG. 3, the extension piece 79 is spaced from the rear wall 66. The first shielding member 44 is configured to cover the outer metal layer 73 of the first magnet 70 and the second shielding member 46 is configured to cover the outer metal layer 75 of the second magnet 74. In the illustrated embodiment, the first and second shielding members 44, 46, respectively, are identical in construction, and therefore are interchangeable. As best shown in FIG. 2, each of the first and second shielding members 44, 46, has a raised central portion 82 for covering a respective magnet core 72, 76 and a substantially flat outer portion 84 surrounding the central portion 82. The central portion 82 is generally oblong with parallel, opposing sides and arcuate, opposing ends extending between the respective sides so as to correspond to the shape of the magnet cores 72, 76. The outer portion 84 has first and second opposed major surfaces 86 and 88, respectively, with extension pieces 90 extending from the second major surface 88. When shielding members 44 and 46 are installed in the chamber 56, the extension pieces 90 are received in slots (not shown) surrounding magnet cores 72 and 76 so that the respective second surfaces 88 of shielding members 44, 46 lie flat on metal layers 73, 75 (FIG. 4). The first and second shielding members 44, 46 desirably are configured to be easily removable from the chamber 56 to facilitate cleaning. As shown in FIGS. 2 and 3, for example, the first and second shielding members 44, 46 are formed with holes 92 for receiving bolts or screws (not shown) for securing the shielding members to the first and second magnets 70, 74, respectively. In some configurations, the close fit of the shielding members at adjacent edges may also assist in securing the shielding members within the chamber. Referring again to FIGS. 3 and 4, the third shielding member 48 is configured to cover a portion of the top wall 60, in a side-by-side relationship with the second shielding member 46. In this manner, the third shielding member 48 serves as an “extension” of the second shielding member 46. Shielding member 48 can be formed with a lip portion 100 extending along one side thereof, which overlaps an adjacent lip portion 102 of the second shielding member 46 when the second and third shielding members are installed in the chamber 56. The support mechanism 54 is used to assist in supporting the third shielding member 48 in the chamber 56. As best shown in FIG. 2, the support mechanism 54 in the illustrated configuration comprises a body 104, with one or more adjusting screws 106 threadably received in corresponding holes 108 formed in the top surface of the body 104. The support mechanism 54 also is formed with a longitudinally extending slot, or channel, 110 (FIG. 2) adapted to receive, in a slidable manner, the top edge of the extension piece 79 (FIGS. 3 and 4). As shown in FIGS. 3 and 4, when the support mechanism 54 is positioned on the extension piece 79, the third shielding member 48 rests on top of the adjusting screws 106 so that adjustment of the screws 106 is effective to vary the tilt or angle of shielding member 48 relative to the top wall 60. Accordingly, when installing shielding member 48, the adjusting screws 106 can be adjusted as needed to support the third shielding member 48 substantially parallel to the top wall 60, as shown in FIG. 4. The fourth shielding member 50 is configured to cover a portion of the rear wall 66 of the chamber extending between the first magnet 70 and the second magnet 74. The fourth shielding member 50 is formed with an aperture 94 that is positioned and sized to correspond with the outlet opening 96 in the rear wall 66. The fourth shielding member 48 has one or more holes 98 sized to receive respective bolts (not shown) for releasably securing the shielding member to the rear wall 66. The fifth shielding member 52 is configured to cover a top portion of the barrier 78. The fifth shielding member 52, which is shaped to correspond to the curvature of the barrier 78, is formed with a slot, or channel, 68 in its bottom surface for receiving the top edge of the barrier 78 (FIG. 4). Desirably, the components of the shielding assembly 40 (i.e., the first shielding member 44, the second shielding member 46, the third shielding member 48, the fourth shielding member 50, the fifth shielding member 52, and the support mechanism 54) are made of graphite or impregnated graphite because, as noted above, such materials do not seriously affect semiconductor performance. The shielding assembly 40 can be used in the manner described above in connection with the embodiment of FIG. 1. For example, during a scheduled shut-down of the ion implanter, the components of the shielding assembly 40 are removed from the chamber 56, cleaned with a suitable cleaning agent, and then treated to remove the cleaning agent from the shielding assembly. If desired or needed, the inner surfaces of the chamber not covered by the shielding members during use also may be cleaned using a suitable solvent to remove any process by-products that may have accumulated on these surfaces. After the shielding assembly 40 is cleaned and treated, the shielding assembly 40 is re-installed in the chamber 56 and the ion implanter is re-started for further processing of semiconductor wafers. The shapes and sizes of the shielding members 44, 46, 48, 50, and 52 may be varied as needed to accommodate the shapes of different chambers or as otherwise desired. For example, in an alternative embodiment, the second shielding member 46 and the third shielding member 48 can be combined to form a single shielding member having a unitary construction for covering both the second magnet 74 and the portion of top wall 60 covered by shielding member 48. Advantageously, this embodiment may be easier and quicker to install and remove from the chamber. In another embodiment, the width of the fourth shielding member 50 can be extended toward the side wall 62 so as to cover additional surface area of the rear wall 66. In addition, additional shielding members can be provided for covering other surfaces inside the chamber 56. For example, an additional shielding member can be installed in a side-by-side relationship with the fourth shielding member 50 so as to cover the exposed area of the rear wall 66 between shielding member 50 and side wall 62 (FIGS. 3 and 4). In alternative embodiments, shielding members can be provided for covering one or both surfaces of the extension piece 79 or the bottom wall 58 between the side wall 62 and the first magnet 70. Although shielding members 44, 46, 50, and 52 are shown positioned directly adjacent corresponding inner surfaces, it is also possible to space these shielding members from the inner surfaces (such as shielding member 48), provided the shielding members do not interfere with operation of the ion implantation apparatus. Advantageously, the illustrated embodiments are designed to be retrofitted into existing ion implantation apparatus in current use. Moreover, the embodiments of the present disclosure can be extended to semiconductor manufacturing devices other than ion implantation devices, such as sputtering apparatus and plasma etch apparatus. For instance, removable graphite or impregnated graphite shielding members can be used to cover the inner surfaces of such devices to shield the surfaces from impurities produced during operation of the devices and/or to prevent erosion of the surfaces. The invention has been described with respect to particular embodiments and modes of action for illustrative purposes only. The present invention may be subject to many modifications and changes without departing from the spirit or essential characteristics thereof. We therefore claim as our invention all such modifications as come within the scope of the following claims. |
|
description | The present application is related to U.S. Pat. No. 6,972,421 issued on Dec. 6, 2005, and entitled, EXTREME ULTRAVIOLET LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/897,664 filed on Aug. 31, 2007, entitled GAS MANAGEMENT SYSTEM FOR A LASER PRODUCED PLASMA EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/323,397 filed on Dec. 29, 2005, entitled EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/358,992 filed on Feb. 21, 2006, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/827,803 filed on Jul. 13, 2007, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE HAVING A DROPLET STREAM PRODUCED USING A MODULATED DISTURBANCE WAVE, co-pending U.S. patent application Ser. No. 11/786,145 filed on Apr. 10, 2007, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/107,535 filed on Apr. 14, 2005, entitled EXTREME ULTRAVIOLET LIGHT SOURCE, which is a continuation of U.S. patent application Ser. No. 10/409,254 filed on Apr. 8, 2003, entitled EXTREME ULTRAVIOLET LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/358,988 filed on Feb. 21, 2006, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE WITH PRE-PULSE, co-pending U.S. patent application Ser. No. 11/067,124 filed on Feb. 25, 2005, entitled METHOD AND APPARATUS FOR EUV PLASMA SOURCE TARGET DELIVERY, co-pending U.S. patent application Ser. No. 11/174,443 filed on Jun. 29, 2005, entitled LPP EUV PLASMA SOURCE MATERIAL TARGET DELIVERY SYSTEM, co-pending U.S. SOURCE MATERIAL DISPENSER FOR EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/358,992 filed on Feb. 21, 2006, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/174,299 filed on Jun. 29, 2005, and entitled, LPP EUV LIGHT SOURCE DRIVE LASER SYSTEM, co-pending U.S. patent application Ser. No. 11/406,216 filed on Apr. 17, 2006 entitled ALTERNATIVE FUELS FOR EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/580,414 filed on Oct. 13, 2006 entitled, DRIVE LASER DELIVERY SYSTEMS FOR EUV LIGHT SOURCE, and co-pending U.S. patent application Ser. No. 11/644,153 filed on Dec. 22, 2006 entitled, LASER PRODUCED PLASMA EUV LIGHT SOURCE, co-pending U.S. patent application Ser. No. 11/505,177 filed on Aug. 16, 2006, entitled EUV OPTICS, co-pending U.S. patent application Ser. No. 11/452,588 filed on Jun. 14, 2006 entitled DRIVE LASER FOR EUV LIGHT SOURCE, co-pending U.S. Pat. No. 6,928,093, issued to Webb, et al. on Aug. 9, 2005, entitled LONG DELAY AND HIGH TIS PULSE STRETCHER, U.S. application Ser. No. 11/394,512, filed on Mar. 31, 2006 and titled CONFOCAL PULSE STRETCHER, U.S. application Ser. No. 11/138,001 filed on May 26, 2005 and titled SYSTEMS AND METHODS FOR IMPLEMENTING AN INTERACTION BETWEEN A LASER SHAPED AS A LINE BEAM AND A FILM DEPOSITED ON A SUBSTRATE, and U.S. application Ser. No. 10/141,216, filed on May 7, 2002, now U.S. Pat. No. 6,693,939, and titled, LASER LITHOGRAPHY LIGHT SOURCE WITH BEAM DELIVERY, U.S. Pat. No. 6,625,191 issued to Knowles et al on Sep. 23, 2003 entitled VERY NARROW BAND, TWO CHAMBER, HIGH REP RATE GAS DISCHARGE LASER SYSTEM, U.S. application Ser. No. 10/012,002, U.S. Pat. No. 6,549,551 issued to Ness et al on Apr. 15, 2003 entitled INJECTION SEEDED LASER WITH PRECISE TIMING CONTROL, U.S. application Ser. No. 09/848,043, and U.S. Pat. No. 6,567,450 issued to Myers et al on May 20, 2003 entitled VERY NAROW BAND, TWO CHAMBER, HIGH REP RATE GAS DISCHARGE LASER SYSTEM, U.S. application Ser. No. 09/943,343, co-pending U.S. patent application Ser. No. 11/509,925 filed on Aug. 25, 2006, entitled SOURCE MATERIAL COLLECTION UNIT FOR A LASER PRODUCED PLASMA EUV LIGHT SOURCE, the entire contents of each of which are hereby incorporated by reference herein. The present disclosure relates to extreme ultraviolet (“EUV”) light sources which provide EUV light from a plasma that is created from a target material and collected and directed to an intermediate region for utilization outside of the EUV light source chamber, e.g. by a lithography scanner/stepper. Extreme ultraviolet light, e.g., electromagnetic radiation having wavelengths of around 50 nm or less (also sometimes referred to as soft x-rays), and including light at a wavelength of about 13.5 nm, can be used in photolithography processes to produce extremely small features in substrates, e.g., silicon wafers. At this wavelength, nearly all known solid materials absorb a significant fraction of EUV light passing through the material. Thus, one generated, EUV light must be transmitted through vacuum of gas and reflected (since refracting lenses are generally unavailable) by mirrors (e.g. grazing incidence or near normal incidence multi-layer mirrors) along the entire path from the point of generation to the workpiece requiring exposure (e.g. wafer, flat panel, etc.) Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. These elements can include, but are not limited to xenon, tin, water, lithium. In one such method, often termed laser-produced-plasma (“LPP”) the required plasma can be produced by irradiating a target material, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. In some cases, other suitable energy beam (e.g. electron beam) may be used in place of the laser. In another method, often termed electric discharge-produced-plasma (“DPP”), the plasma may be produced by disposing a material having the required line-emitting element between a pair of electrodes and then generating an electrical discharge between the electrodes. In more theoretical terms, LPP light sources generate EUV radiation by depositing laser energy into a source element, such as xenon (Xe), tin (Sn) or lithium (Li), creating a highly ionized plasma with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma in all directions. In one common arrangement, a near-normal-incidence mirror (often termed a “collector mirror”) having an ellipsoidal shape is positioned at a distance from the plasma to collect, direct (and in some arrangements, focus) the light to an intermediate location, e.g., focal point. The collected light may then be relayed from the intermediate location to a set of scanner optics and ultimately to a wafer. In a typical setup, the EUV light must travel within the light source about 1-2 m from the plasma to the intermediate location, and as a consequence, it may be advantageous, in certain circumstances, to limit the atmosphere in the light source chamber to gases having relatively low absorptance of in-band EUV light. For EUV light sources designed for use in high volume manufacturing (HVM) environments, e.g. exposing 100 wafers per hour or more, the lifetime of the collector mirror can be a critical parameter affecting efficiency, downtime, and ultimately, cost. During operation, debris are generated as a by-product of the plasma which can degrade the collector mirror surface and other optics. These debris can be in the form of high-energy ions, neutral atoms and clusters of target material. Of these three types of debris, the most hazardous for the collector mirror coating is typically the ion flux. In the absence of debris mitigation and/or collector cleaning techniques, the deposition of target materials and contaminants, as well as sputtering of the collector multilayer coating and implantation of incident particles can reduce the reflectivity of the mirror substantially. In this regard, co-pending, co-owned U.S. patent application Ser. No. 11/786,145 filed on Apr. 10, 2007, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, (the contents of which are hereby incorporated by reference herein) discloses a device in which a flowing buffer gas such as hydrogen at pressures at or above about 100 mTorr is used in the chamber to slow ions in the plasma to below about 30 eV before the ions reach the collector mirror, which is typically located about 15 cm from the plasma. It is currently envisioned that about 100 W of EUV power, or more, will need to be delivered to a scanner/stepper to allow for efficient high volume EUV photolithography. To obtain this output power, a 5-20 kW drive laser, e.g. CO2 laser, may be used to irradiate a source material such as a stream of tin droplets. Of the 5-20 kW of power delivered within the EUV light source chamber, calculations indicate that about 20%-80% of this power may be transferred to a buffer gas in the chamber. Unlike the relatively harsh environment of the light source (which as indicated above may include debris, source material vapor and compounds, cleaning etchants such as HBr, ion slowing buffer gas(es) such as hydrogen, (which may be at relatively high pressures and/or relatively high flow rates), heat, etc.,) the environment within the stepper/scanner is typically more benign. Indeed, within the chamber of a stepper/scanner (which typically includes complex optics to establish illumination, patterning and projection as well as complex mechanical arrangements to move the wafer stage relative to the patterning optics, e.g. reticle), a near vacuum environment which is nearly completely free of the debris, gas(es), pressures and/or heat which may be found in the light source chamber, is desirable. However, as indicated above, solid, non EUV absorbing materials are unavailable to establish a suitable barrier between, e.g. a light source chamber and scanner optics chamber, and, as a consequence, more complex arrangements must be developed to separate these environments while still passing EUV light from one chamber to another. With the above in mind, applicants disclose systems for managing gas flow between chambers of an extreme ultraviolet (EUV) photolithography apparatus, and corresponding methods of use. In a first aspect, a flow management system for an extreme ultraviolet lithography apparatus is described herein which may comprise a first enclosing wall at least partially surrounding a first space; a system generating plasma in the first space, the plasma emitting extreme ultraviolet light; a second enclosing wall at least partially surrounding a second space; an elongated body restricting flow from the first space to the second space, the body at least partially surrounding a passageway and having a first open end allowing EUV light to enter the passageway from the first space and a second open end allowing EUV light to exit the passageway into the second space, the body shaped to establish a location having a reduced cross-sectional area relative to the first and second ends; and a flow of gas exiting an aperture, the aperture positioned to introduce gas into the passageway at a position between the first end of the body and the location having a reduced cross-sectional area. In one embodiment of this aspect, the system may further comprise a source generating an electromagnetic field in the passageway to produce a plasma therein. For example, the source may comprise a radio-frequency coil for creating an inductively coupled discharge plasma in the passageway and/or the source may produce a direct current electrode discharge in the passageway. For this aspect, the plasma may be a glow discharge or a corona discharge plasma. In another setup, the source may produce a radio-frequency electrode discharge in the passageway which may be a glow discharge or corona discharge. In one arrangement of this aspect, the aperture may comprise a hole formed in the elongated body, and in a particular arrangement, the system may comprise a plurality of apertures, each aperture positioned to introduce gas into the passageway at a respective position between the first end of the body and the location having a reduced cross-sectional area. In one setup, the system may comprise one or more nozzles directing flow from the aperture(s) toward the first end of the elongated body. In a particular embodiment of this aspect, a temperature control system may be provided maintaining the temperature of the elongated body within a predetermined range. In one embodiment of this aspect, at least one vane may be disposed in the passageway of the elongated body. In another aspect of the present disclosure, an extreme ultraviolet lithography apparatus may comprise a first chamber having gas disposed therein; a second chamber having gas disposed therein; an intermediary chamber in fluid communication with the second chamber; an elongated body restricting flow from the first chamber to the intermediary chamber, the body at least partially surrounding a passageway and having a first open end allowing EUV light to enter the passageway and a second open end allowing EUV light to exit the passageway; a flow of gas exiting an aperture, the aperture positioned to introduce gas into the passageway at a position between the first end and the second end of the body; and a pump removing gas from the intermediary chamber. In one embodiment of this aspect, the pump may cooperate with the flow of gas exiting the aperture and the operational pressures within the first and second chambers to establish a gas flow directed from the second chamber into the intermediary chamber and a gas flow from the aperture through the first open end of the elongated body and into the first chamber. In another aspect, an apparatus may comprise a first enclosing structure surrounding a first volume; a system generating a plasma at a plasma site in the first volume, the plasma producing EUV radiation and ions exiting the plasma; an optic positioned in the first volume and distanced from the site by a distance, d; a gas disposed between the plasma site and optic, the gas establishing a gas number density sufficient to operate over the distance, d, to reduce ion energy below 100 eV before the ions reach the optic; a second enclosing structure surrounding a second volume; and a system coupling the second volume to the first volume to allow EUV radiation to pass from the first volume to the second volume and operable to establish a gas flow directed from the second volume into the system and a gas flow from the system into the first volume. In one embodiment of this aspect, a gas may be disposed in the first volume at a pressure P1, a gas may be disposed in the second volume at a pressure P2, with P1>P2. In a particular arrangement of this aspect, the system may comprise an intermediary chamber in fluid communication with the second volume; an elongated body restricting flow from the first volume to the intermediary chamber, the body at least partially surrounding a passageway and having a first open end allowing EUV light to enter the passageway and a second open end allowing EUV light to exit the passageway; a flow of gas exiting an aperture, the aperture positioned to introduce gas into the passageway at a location between the first end and the second end of the body; and a pump removing gas from the intermediary chamber. In one implementation of this aspect, a multi-channel structure may be disposed in the first volume. With initial reference to FIG. 1 there is shown a schematic simplified view, according to one aspect of an embodiment, of selected portions of an EUV photolithography apparatus, generally designated 10″, for e.g. exposing a substrate such as a wafer, flat panel workpiece, etc. with EUV light. For the apparatus 10″ a device 12″ utilizing EUV light, (e.g. an integrated circuit lithography tool such as a stepper, scanner, step and scan system, direct write system, device using a contact and/or proximity mask, etc.) may be provided having at least one chamber 13″, the chamber(s) containing one or more optics (not shown) to, for example, illuminate a patterning means (not shown) such as a reticle, projection optic(s) (not shown) for projecting a patterned beam onto a substrate (not shown), and a mechanical assembly (not shown) for generating a controlled relative movement between the substrate and patterning means. As further shown, the apparatus 10″ may include an EUV light source 20″ having a chamber 26″, e.g., a discharge produced plasma (DPP) EUV light source (see FIG. 1A), a laser produced plasma (LPP) EUV light source (see FIG. 1B), or any other type of EUV light source known in the pertinent art such as a hybrid (having laser and electrical discharge), synchrotron, etc. FIG. 1 also shows that the apparatus may include a coupling system 14″ having at least one chamber 16″ managing and/or limiting gas flow between the light source chamber 26″ and the chamber 13″ of the device 12″ while allowing EUV light to pass from the light source 20″ into the device 12″. For example, as detailed further below, the coupling system 14″, which may constitute a so-called “gaslock”, may have subsystems to both introduce and remove gas from the chamber 16″. FIG. 1A illustrates an apparatus 10′ having an DPP light source 20′, a device 12′ utilizing EUV light, (e.g. stepper, scanner, etc.) and a coupling system 14′ managing and/or limiting gas flow between the light source chamber 26′ and the chamber 13′ of the device 12′ while allowing EUV light to pass from the light source 20′ into the device 12′. As shown, the source 20′ may be a so-called dense plasma focus device producing plasma at plasma site in a chamber 26′, although it is to be appreciated that other discharge produced plasma (DPP) devices such as a conventional z pinch device, a hollow cathode z-pinch or a capillary discharge may be used. For the apparatus 10′ shown, the source 20′ may include a pair of electrodes 31 which may be, for example, arranged co-axially. In one setup, the central electrode may be hollow and an active gas 33, such as Xenon, tin vapor, lithium vapor, etc., may be passed through the hollow electrode to the plasma site. The central electrode may then be pulsed by an electrical pulse power system to a relatively high electrical potential relative to the outer electrode. Capacitance values, anode length and shape, and active gas delivery systems may be optimized to increase EUV light output. Also, one or both of the electrodes may be cooled, for example, by circulating water through the electrode wall(s) and/or using a heat pipe cooling system. FIG. 1A further shows that a mirror 35 may be used to collect EUV radiation produced in the plasma and direct the radiation in a desired direction such as toward an intermediate focus 40′ located within the chamber 16′ of the coupling system 14′. Although a single grazing incidence mirror is shown, it is to be appreciated that a plurality of grazing incidence mirrors, arranged in a nested configuration, may be used. The reflecting surface of each mirror may be made of molybdenum, palladium, ruthenium, rhodium, gold or tungsten, for example. FIG. 1A also shows that a conical nested debris collector 37 may be disposed in the chamber 26′ between the electrodes 31 and mirror 35 having surfaces aligned with light rays extending out from the pinch site and directed toward the mirror 35. In addition, a buffer gas 39 such as hydrogen, helium, argon or combinations thereof, may be introduced into the chamber 26′, as shown, and directed through the debris collector 37 for subsequent removal from the chamber 26′ via pump 41. More details regarding a DPP light source may be found in U.S. Pat. No. 6,972,421 issued on Dec. 6, 2005, and entitled, EXTREME ULTRAVIOLET LIGHT SOURCE, the entire contents of which are hereby incorporated by reference herein. FIG. 1B illustrates an apparatus 10″ having an LPP light source 20″, which, as shown, may include a system 22 for generating a train of light pulses and delivering the light pulses into a light source chamber 26. For the source 20″, the light pulses may travel along one or more beam paths from the system 22 and into the chamber 26 to illuminate one or more targets at an irradiation region 28. Suitable lasers for use in the device 22 shown in FIG. 1 may include a pulsed laser device, e.g., a pulsed gas discharge CO2 laser device producing radiation at 9.3 μm or 10.6 μm, e.g., with DC or RF excitation, operating at relatively high power, e.g., 10 kW or higher and high pulse repetition rate, e.g., 50 kHz or more. In one particular implementation, the laser may have a MOPA configuration with multiple stages of axial-flow RF-pumped CO2 amplification and having a seed pulse that is initiated by a Q-switched Master Oscillator (MO) with low energy and high repetition rate, e.g., capable of 50 kHz operation. From the MO, the laser pulse may then be amplified, shaped, and/or focused before entering the LPP chamber. Continuously pumped CO2 amplifiers may be used for the system 22. For example, a suitable CO2 laser device having an oscillator and three amplifiers (O-PA1-PA2-PA3 configuration) is disclosed in co-pending U.S. patent application Ser. No. 11/174,299 filed on Jun. 29, 2005, and entitled, LPP EUV LIGHT SOURCE DRIVE LASER SYSTEM, the entire contents of which are hereby incorporated by reference herein. Depending on the specific application, other types of lasers may also be suitable, e.g., an excimer or molecular fluorine laser operating at high power and high pulse repetition rate. Examples include, a solid state laser, e.g., having a fiber, rod or disk shaped active media, a MOPA configured excimer laser system, e.g., as shown in U.S. Pat. Nos. 6,625,191, 6,549,551, and 6,567,450, an excimer laser having one or more chambers, e.g., an oscillator chamber and one or more amplifying chambers (with the amplifying chambers in parallel or in series), a master oscillator/power oscillator (MOPO) arrangement, a power oscillator/power amplifier (POPA) arrangement, or a solid state laser that seeds one or more excimer or molecular fluorine amplifier or oscillator chambers, may be suitable. Other designs are possible. As further shown in FIG. 1B, the EUV light source 20 may also include a target material delivery system 90, e.g., delivering droplets of a target material into the interior of a chamber 26 to the irradiation region 28 where the droplets will interact with one or more light pulses, e.g., zero, one, or more than one pre-pulse(s) and thereafter one or more main pulses, to ultimately produce a plasma and generate an EUV emission. The target material may include, but is not necessarily limited to, a material that includes tin, lithium, xenon or combinations thereof. The EUV emitting element, e.g., tin, lithium, xenon, etc., may be in the form of liquid droplets and/or solid particles contained within liquid droplets or any other form which delivers the EUV emitting element to the irradiation region 28 in discrete, semi-continuous and/or continuous amounts. For example, the element tin may be used as pure tin, as a tin compound, e.g., SnBr4, SnBr2, SnH4, as a tin alloy, e.g., tin-gallium alloys, tin-indium alloys, tin-indium-gallium alloys, or a combination thereof. Depending on the material used, the target material may be presented to the irradiation region 28 at various temperatures including room temperature or near room temperature (e.g., tin alloys, SnBr4) at an elevated temperature, (e.g., pure tin) or at temperatures below room temperature, (e.g., SnH4), and in some cases, can be relatively volatile, e.g., SnBr4. More details concerning the use of these materials in an LPP EUV source is provided in co-pending U.S. patent application Ser. No. 11/406,216 filed on Apr. 17, 2006 entitled ALTERNATIVE FUELS FOR EUV LIGHT SOURCE, the contents of which are hereby incorporated by reference herein. Continuing with FIG. 1B, the EUV light source 20 may also include an optic 30, e.g., a collector mirror in the form of a truncated ellipsoid having, e.g., a graded multi-layer coating with alternating layers of molybdenum and silicon on a substrate, e.g. SiC, polycrystalline Si, single crystal Si, etc. FIG. 1B shows that the optic 30 may be formed with a through-hole to allow the light pulses generated by the system 22 to pass through the optic 30 to reach the irradiation region 28. As shown, the optic 30 may be, e.g., an ellipsoidal mirror that has a first focus within or near the irradiation region 28 and a second focus at a so-called intermediate region 40 where the EUV light may be output from the EUV light source 20 pass through a coupling system 14 and be input to a device 12 utilizing EUV light, e.g., an integrated circuit lithography tool such as a stepper, scanner, step and scan system, direct write system, device using a contact and/or proximity mask, etc. Also shown, the optic 30 may be positioned such that the closest operable point on the optic 30 is located at a distance, d from the irradiation region 28. It is to be appreciated that other optics may be used in place of the ellipsoidal mirror for collecting and directing light to an intermediate location for subsequent delivery to a device utilizing EUV light, for example the optic may be parabolic or may be configured to deliver a beam having a ring-shaped cross-section to an intermediate location, see e.g. co-pending U.S. patent application Ser. No. 11/505,177 filed on Aug. 16, 2006, entitled EUV OPTICS, the contents of which are hereby incorporated by reference. For the source 20, a temperature control system may be used to maintain the optic 30 within a pre-selected operational temperature range. The temperature control system may include heating, e.g. one or more ohmic heaters placed on the collector mirror substrate backside, and/or cooling, e.g. one or more cooling channels formed in the collector mirror substrate to pass a heat exchange fluid, e.g. water or liquid gallium. As used herein, the term “optic” and its derivatives includes, but is not necessarily limited to, components which reflect and/or transmit and/or operate on incident light and includes, but is not limited to, lenses, windows, filters, e.g. spectral filters, wedges, prisms, grisms, gradings, etalons, diffusers, transmission fibers, detectors and other instrument components, apertures, axicons, stops and mirrors including multi-layer mirrors, near-normal incidence mirrors, grazing incidence mirrors, specular reflectors and diffuse reflectors. Moreover, unless otherwise specified, the term “optic” as used herein and its derivatives is not meant to be limited to components which operate solely or to advantage within one or more specific wavelength range(s) such as at or near the EUV output light wavelength, the irradiation laser wavelength, a wavelength suitable for metrology or any other particular wavelength or wavelength band. Continuing with reference to FIG. 1B, the EUV light source 20 may also include an EUV controller 60, which may also include a drive laser control system 65 for triggering one or more lamps and/or laser devices in the system 22 to thereby generate light pulses for delivery into the chamber 26, and/or for controlling beam delivery, e.g. optics moveable via actuator to adjust beam focusing, beam steering, beam shape, etc. A suitable beam delivery system for pulse shaping, focusing, steering and/or adjusting the focal power of the pulses is disclosed in co-pending U.S. patent application Ser. No. 11/358,992 filed on Feb. 21, 2006, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, the contents of which are hereby incorporated by reference herein. As disclosed therein, one or more beam delivery system optics may be in fluid communication with the chamber 26. Pulse shaping may include adjusting pulse duration, using, for example a pulse stretcher and/or pulse trimming. The EUV light source 20 may also include a droplet position detection system which may include one or more droplet imagers 70 that provide an output indicative of the position of one or more droplets, e.g., relative to the irradiation region 28. The imager(s) 70 may provide this output to a droplet position detection feedback system 62, which can, e.g., compute a droplet position and trajectory, from which a droplet error can be computed, e.g., on a droplet by droplet basis or on average. The droplet error may then be provided as an input to the controller 60, which can, for example, provide a position, direction and/or timing correction signal to the system 22 to control a source timing circuit and/or to control a beam position and shaping system, e.g., to change the location and/or focal power of the light pulses being delivered to the irradiation region 28 in the chamber 26. Also for the EUV light source 20, the target material delivery system 90 may have a control system operable in response to a signal (which in some implementations may include the droplet error described above, or some quantity derived therefrom) from the controller 60, to e.g., modify the release point, release timing and/or droplet modulation to correct for errors in the droplets arriving at the desired irradiation region 28. For the EUV light source 20, the droplet delivery mechanism may include, for example, a droplet dispenser creating either 1) one or more streams of droplets exiting the dispenser or 2) one or more continuous streams which exit the dispenser and subsequently break into droplets due to surface tension. In either case, droplets may be generated and delivered to the irradiation region 28 such that one or more droplets may simultaneously reside in the irradiation region 28 allowing one or more droplets to be simultaneously irradiated by an initial pulse, e.g., pre-pulse to form an expanded target suitable for exposure to one or more subsequent laser pulse(s), e.g., main pulse(s), to generate an EUV emission. In one embodiment, a multi-orifice dispenser may be used to create a “showerhead-type” effect. In general, for the EUV light source 20, the droplet dispenser may be modulating or non-modulating and may include one or several orifice(s) through which target material is passed to create one or more droplet streams. More details regarding the dispensers described above and their relative advantages may be found in co-pending U.S. patent application Ser. No. 11/358,988 filed on Feb. 21, 2006, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE WITH PRE-PULSE, co-pending U.S. patent application Ser. No. 11/067,124 filed on Feb. 25, 2005, entitled METHOD AND APPARATUS FOR EUV PLASMA SOURCE TARGET DELIVERY, and co-pending U.S. patent application Ser. No. 11/174,443 filed on Jun. 29, 2005, entitled LPP EUV PLASMA SOURCE MATERIAL TARGET DELIVERY SYSTEM, co-pending U.S. patent application Ser. No. 11/827,803 filed on Jul. 13, 2007, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE HAVING A DROPLET STREAM PRODUCED USING A MODULATED DISTURBANCE WAVE, the contents of each of which are hereby incorporated by reference herein. The EUV light source 20 may include one or more EUV metrology instruments (not shown) for measuring various properties of the EUV light generated by the source 20. These properties may include, for example, intensity (e.g., total intensity or intensity within a particular spectral band), spectral bandwidth, polarization, etc. For the EUV light source 20, the instrument(s) may be configured to operate while the downstream tool, e.g., photolithography scanner, is on-line, e.g., by sampling a portion of the EUV output, e.g., using a pickoff mirror or sampling “uncollected” EUV light, and/or may operate while the downstream tool, e.g., photolithography scanner, is off-line, for example, by measuring the entire EUV output of the EUV light source 20. As indicated above, irradiation of a target at the irradiation region 28 produces a plasma and generates an EUV emission. In addition, as a by-product of this process, ions may be generated which exit the plasma, typically, in all directions. Generally, the ion's initial energy exiting the plasma will vary over a range, with the range being affected by a number of factors including, but not limited to, the wavelength, energy, intensity and pulse-shape of the irradiating light, and the composition, size, shape and form of the target material. Also indicated above, these ions may, if unabated, degrade nearby optics, such as mirrors, laser input windows, metrology windows, filters, etc. For the sources 20, 20′ shown in FIGS. 1A and 1B, a gas, e.g. flowing or static, may be disposed between the plasma site and optic 30 (mirror 35), the gas establishing a gas number density, n, (i.e. number of molecules/volume) sufficient to operate over the distance, d, where d is the nearest distance between the plasma site and optic 30/mirror 35 to reduce ion energy to a target maximum energy level before the ions reach the optic 30/mirror 35. For example, a gas number density sufficient to reduce ion energy to a target maximum energy level between about 10-200 eV, and in some cases below 30 eV may be provided. For operation of the device shown in FIGS. 1A and 1B, it is contemplated that the gas establishing a target gas number density over the distance, d, will be present, and flowing, during EUV light generation. Factors which may be considered in selecting a suitable gas composition and gas number density include the ion stopping power of the gas composition (e.g. slowing ions below about 30 eV over a distance of about 10-30 cm) and the EUV absorption of the gas as a function of number density (e.g. for an LPP source, providing an acceptable in-band EEV absorption over a distance of about 1-2 m as the EUV light travels from the plasma to the collector mirror and then on to the intermediate region 40. Suitable gases may, depending on the specific application, include hydrogen e.g., greater than 50 percent hydrogen (protium and/or deuterium isotopes), helium and combinations thereof. For example, for a plasma generating ions having a maximum initial ion energy (e.g. about 5-10 keV) and distance, d, of about 15 cm from the plasma, a suitable gas for reducing ion energy below about 30 eV may be hydrogen gas at a pressure of about 500 mtorr at room temperature. For some arrangements, pressures in the range of about 500 mtorr to 200 mtorr may be employed. SRIM (Stopping and Range of Ions in Matter) software (available at www-srim-org website) can be used to determine the gas number density (operable over a given distance, d) that is required to reduce the energy of an ion (having an initial ion energy) to below a selected energy. From the number density, the expected EUV absorption by the gas can be calculated. It is to be further appreciated that gas introduced into the chamber may react with light, ions and/or the plasma to dissociate and/or create ions, e.g. atomic hydrogen and/or hydrogen ions which may be effective for cleaning/etching and/or ion slowing. FIG. 1B further shows that the light source 20 may include a gas management system 100 which may include a regulated gas source for introducing one or more gas(es) into the chamber 26, an adjustable pump for removing gas from the chamber 26, and, in some cases, a closed loop flow path recycling and/or re-introducing gas removed from the chamber 26. Although the EUV light source gas management system is described in detail herein with reference to the LPP source shown in FIGS. 1B and 2, it is to be appreciated that most of the following disclosure is equally applicable to the DPP source 20′ shown in FIG. 1A. Continuing with FIG. 1B, it will be seen that a gas management system 102 may be provided to introduce gas(es), remove gas(es), and/or regulate the flow of gas(es) within the chamber 16 of the coupling system 14, and a gas management system 104 may be provided to introduce gas(es), remove gas(es), and/or regulate the flow of gas(es) within the chamber 13 of the device 12 utilizing EUV light. For example, the gas management systems 100, 102, 104 may include a pump forcing gas through a closed loop flow path, a heat exchanger removing heat from gas flowing in the flow path, and/or a filter removing at least a portion of a target species from gas flowing in the flow path, e.g. contaminants which may degrade optical components and/or absorb EUV light. A valve, regulator(s), or similar device may be provided to meter the amount of gas which is directed to the pumps. Also, in some cases, a conditioner may be provided to dilute and/or scrub gas(es) prior to release to the surroundings. Removal of gas from a chamber 13, 15, 26 via one or more pump(s) may be performed to maintain a constant gas pressure in the respective chamber 13, 15, 26 in response to gas additions from the gas management system 100, 102, 104, and/or to remove contaminants, vapor, metal dust, etc. from a chamber 13, 15, 26, and/or to establish a pressure gradient in a chamber 13, 15, 26, e.g. to maintain a relatively high pressure between the optic 30 and irradiation region 28 and a smaller, relatively low pressure between the irradiation region 28 and the intermediate region 40. In addition, the pump(s), heat exchanger(s) and filter(s) may cooperate to remove heat and thereby control the temperature within a chamber 13, 15, 26, e.g. to control the temperature of the optic 30 and/or to remove contaminants, vapor, metal dust, etc. from a chamber 13, 15, 26 and/or to provide a pressure gradient in a chamber 13, 15, 26, e.g. to maintain a relatively high pressure between the optic 28 and irradiation region 28 and a smaller, relatively low pressure between the irradiation region 28 and the intermediate region 40. Control of the gas source(s) and pump(s) may be used, concertedly, to maintain a selected gas pressure/pressure gradient and/or to maintain a selected flow rate within or through a chamber 13, 15, 26 and/or to maintain a selected gas composition, e.g. a selected ratio of several gases, e.g. H2, HBr, He, etc. Typically, the selected flow rate(s) may depend, among other things, on the light source power input to the chamber, the amount of gas mixing, the efficient of the heat exchanger(s), the efficiency of other component cooling systems, e.g. the collector mirror cooling system, and/or the gas requirements/limitations in the other chambers. By way of example, for a Sn target and CO2 laser system with the optic 30 positioned about 15 cm from the irradiation site 28, a laser pulse energy of about 500 mJ and an EUV output repetition rate in the range of 10-100 kHz, a flow rate of about 200-400 slm (standard liters per minute) or greater, may be employed within the chamber 26. For the light source 20, the gas management system 100 may introduce several gases, for example H2, He, Ar and HBr, either separately and independently, or the gas may be introduced as a mixture. Moreover, although FIG. 1B illustrates the gas being introduced at one location, it is to be appreciated that the gas may be introduced at multiple locations, may be removed at multiple locations and/or may be evacuated for circulation at multiple locations. The gas may be supplied via a tank or may be generated locally. For example, the gas source may include an on-demand hydrogen/deuterium generator. Several types are available including a device with extracts hydrogen/deuterium from water/heavy water using a proton exchange membrane. Such a device is marketed and sold by Domnick Hunter under the product name Hydrogen Generator, for example see the www-domnickhunter-com website for details. Depending on the gas used, a conditioner may be provided such as an appropriate chemical scrubber, e.g. to scrub etchant gas vapors, and/or a source of a diluent gas to dilute the exiting gas prior to release into the surroundings. For example, when H2 is used (which tends to be explosive at gas concentrations of 4-25%), a diluent gas such as N2 or air may be used to reduce the H2 concentration before release (generally below 4% and more preferably below 0.4%). Alternatively, or in addition to the use of a diluent gas, a catalytic converter, possibly having a Platinum catalyst may be used to convert hydrogen to water. Suitable gases for reducing ion energy may include, but are not limited to, hydrogen (protium and deuterium isotopes), helium and combinations thereof. In addition, a cleaning/etching gas for removing contaminants that have deposited on surfaces of optics may be included such as a gas having a halogen. For example, the etchant gas may include HBr, HI, Br2, Cl2, HCl, or combinations thereof. By way of example, a suitable composition when Sn or a Sn compound is used as the target material may include 50-99% H2 and 1-50% HBr. It is believed that these ion mitigation techniques may be used to suppress ion flux (i.e., the energy-integrated signal) by at least 4 orders of magnitude with an acceptable level of EUV absorption. In some cases, the collector mirror reflective coating may have about 500 sacrificial layers and still provide full EUV reflectivity. Taking into account a measured erosion rate of 0.2 layers per Million pulses (in the absence of ion mitigation) and the suppression factor of 104 (due to the above-described mitigation), a collector lifetime exceeding 1012 pulses is estimated corresponding to about 1 year of operation of the collector mirror in a high volume manufacturing environment. The use of an ion stopping gas and/or etchant gas(es) as described above, may, depending on the specific application, be used alone or in combination with one or more other ion mitigation techniques such as the use of a foil shield (with or without a slowing or deflecting gas), and the use of an electric and/or magnetic field(s) to deflect or slow ions and/or the use of pulse shaping to reduce ion flux may, see e.g. co-pending U.S. patent application Ser. No. 11/786,145 filed on Apr. 10, 2007, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, the contents of which are hereby incorporated by reference. FIG. 2 shows the gas management components of an EUV photolithography apparatus 200 having an EUV light source with a chamber 26 in which an optic 30 formed with a through-hole is disposed, e.g. a near-normal incidence, ellipsoidal collector mirror for directing EUV light from an irradiation region 28, e.g. where a target material droplet is irradiated by a drive laser (not shown in FIG. 2) producing EUV radiation, to an intermediate region 40 within the coupling system 14. As shown, the EUV light from the optic 30 passes through a coupling system 14 and is input to a device 12 utilizing EUV light, such as a lithography stepper, scanner, etc. As shown in FIG. 2, the gas management system may include an enclosing structure defining one or more closed loop flow paths, the enclosing structure having a vessel, e.g. chamber 26, in fluid communication with one or more guideways 204a,b, each guideway 204a,b being external to the chamber 26. Continuing with FIG. 2, it can be seen that within each closed loop flow path, gas is directed through the through-hole formed in the optic 30 and toward the irradiation region 28. From the through-hole, a portion of the gas flows through heat exchanger 206 and into pumps 208a,b. For the optic 30 shown in FIG. 2, the through-hole also functions to pass a laser beam from a laser source (not shown) to the irradiation region 28, although, other through-holes may be provided and used to flow gas through the optic 30. Moreover, other suitable flow paths may be established within the chamber 26, for example, see co-pending U.S. patent application Ser. No. 11/897,664 filed on Aug. 31, 2007, entitled GAS MANAGEMENT SYSTEM FOR A LASER PRODUCED PLASMA EUV LIGHT SOURCE, the contents of which are hereby incorporated by reference herein. For the apparatus 200 shown, heat exchanger 206 may consist of a plurality of spaced apart, parallel, annularly shaped metal plates, with each plate extending around the circumference of the chamber 26. One, some or all of the plates may be formed with one or more internal passages to pass a heat exchange fluid, e.g. water, to cool each plate. The heat exchanger 206 may function to cool gas flowing through the exchanger 206 and/or to condense target material vapors that may undesirably absorb EUV radiation and/or foul optics, e.g. tin vapor when tin is used as a target material. Once cooled, the gas may pass through pumps 208a,b, which may be, for example, a turbo-pump or a roots-type booster, and thereafter be directed through an external guideway to a location where the gas will, once again flow through the through-hole formed in the optic 30. It is to be appreciated that one or more flow regulators (not shown) may be provided, e.g. one regulator near each pump, to balance flow throughout the gas management system. FIGS. 2 and 2A also show that a portion of the gas from the through-hole formed in the optic 30 may flow within chamber 26 through multi-channel structure 210. As seen there, the multi-channel structure 210 may be disposed between the irradiation location 28 and the intermediate point 40 and may include a plurality of concentric, conical shaped vanes 212 that are arranged to allow light to travel from the optic 30 to the intermediate region 40 and may be designed to minimize EUV light obscuration. In addition, vane location may be selected to correspond to light paths which are unusable by the scanner 202, due, e.g. to obstructions in the scanner. One or more radial members 213 may be provided to support the concentric, conical shaped vanes. FIG. 2B shows another embodiment in which the vanes 212″ consist of flat plates converging toward the intermediate region 40, as shown in FIG. 2. A flange 211 may be provided to restrict flow between the wall of the chamber 26 and the multi-channel structure 210, as shown. FIGS. 3 and 4 show an alternative arrangement for a multi-channel structure 210′ which includes a plurality of radially oriented vanes 212′. Alternatively, a multi-channel structure having both concentric conical and radial vanes may be employed. For the multi-channel structures, 210, 210′, 210″ shown in FIGS. 2A, 2B and 3, one, some or all of the vanes may be formed with internal passages to flow a heat exchange fluid, e.g. water or liquid gallium, to cool each vane. The multi-channel structures, 210, 210′, 210″ may function to cool gas flowing through the multi-channel structures, 210, 210′, 210″ and/or to condense target material vapors that may undesirably absorb EUV radiation, e.g. tin vapor when tin is used as a target material and/or to provide significant resistance to gas flow, thus, establishing a pressure gradient in the chamber 26 with a relatively high gas pressure upstream of the multi-channel structures, 210, 210′, 210″, e.g. between the irradiation region 28 and optic 30 to e.g. provide ion stopping and/or etching power, and a relatively low gas pressure downstream of the multi-channel structures, 210, 210′, 210″, e.g. between the multi-channel structures, 210, 210′, 210″ and the intermediate region 40, to e.g. minimize EUV absorption and/or to minimize flow directed toward the coupling system 14 and device utilizing EUV light 202. For the device shown, the multi-channel structures, 210, 210′, 210″ may be positioned to receive source material from irradiation zone 28. As disclosed herein, depending on the specific application, the structure 210, 210′, 210″ may be used alone or in combination with one or more other debris mitigation techniques such as the use of an ion slowing gas as described above, the use of a foil shield (with or without an ion slowing or deflecting gas), the use of an electric and/or magnetic field(s) to deflect or slow ions, and the use of a pulse-shaped beam. A beam stop may be provided which may be separate from, attached to or formed integral with the multi-channel structure 210, 210′, 210″. In the operation of the device, a target material, such as a droplet, is irradiated by one or more pulses to generate plasma. Typically, irradiated target material moves along the beam direction and spreads into a wide solid angle. A large portion of the material may be collected by the multi-channel structure 210, 210′, 210″, which also may be temperature controlled. For example, a temperature controlled beam stop for collecting and directing LPP target material is disclosed and claimed in co-pending U.S. patent application Ser. No. 11/509,925 filed on Aug. 25, 2006, entitled SOURCE MATERIAL COLLECTION UNIT FOR A LASER PRODUCED PLASMA EUV LIGHT SOURCE, the entire contents of which are hereby incorporated by reference herein. See also co-pending U.S. patent application Ser. No. 11/786,145 filed on Apr. 10, 2007, entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE, the entire contents of which are hereby incorporated by reference herein. By-products of the target material irradiation may include metal dust, target material vapor and micro-droplets or clusters and can be in several forms, for example, when tin, e.g., pure tin, or a tin compound, e.g., SnBr4, SnH4, SnBr2 etc, is used as the source material, the by-products may include tin and tin compounds including oxides. Dusts and other contaminates, e.g., from collector mirror erosion, etc. may also be present in the chamber. These by-products may, among other things, damage optics and absorb/scatter EUV radiation. By way of example, and not limitation, the multi-channel structure 210, 210′, 210″ may function to collect liquids and solids (in some cases remelting solids) and/or condense vapors. For a target material containing Sn, some or all of the operable surfaces of the multi-channel structure 210, 210′, 210″ may be maintained at a temperature above the melting point of Sn, e.g., above about 230 C. At this temperature, micro-droplets may stick to the surface of the multi-channel structure 210, 210′, 210″, and in some cases, flow downwardly by gravitational force. Solidified metal dust may be re-melted into the molten material and also flow downward. The compounds of Sn (e.g., oxides) may also be trapped by the liquid flow and removed from the chamber. The multi-channel structure 210, 210′, 210″ may have inter-connecting channels (not shown) for directing liquid metal flow from surfaces to the bottom where the liquid metal may be collected. The location and direction of the channels may be configured relative to the EUV source orientation (e.g. the light source axis may be tilted relative to horizontal at about 28 degrees) to ensure proper flow of liquid on the multi-channel structure 210, 210′, 210″. On the other hand, in some applications, some or all of the operable surfaces of the multi-channel structure 210, 210′, 210″ may be maintained at a temperature below the melting point of Sn, e.g., below about 230 C (for a target material containing Sn). At these temperatures, condensation is promoted and liquids and solids may be allowed to accumulate on the multi-channel structure 210, 210′, 210″. The multi-channel structure 210, 210′, 210″ may also function as a cold trap condensing vapors, e.g., Sn vapor present in the chamber. FIG. 2 shows that from the multi-channel structures, 210, gas flows generally in the direction of the intermediate region 40. FIG. 2 also shows that some, a portion, or all of the gas exiting the multi-channel structures, 210 may pass through heat exchanger 214 and into pumps 216a,b. For the source shown, heat exchanger 214 may consist of a plurality of spaced apart, parallel, annularly shaped metal plates that extend around the circumference of the chamber 26. One, some or all of the plates may be formed with one or more internal passages to pass a heat exchange fluid, e.g. water, to cool each plate. The heat exchanger 214 may function to cool gas flowing through the exchanger 214 and/or to condense target material vapors that may undesirably absorb EUV radiation, e.g. tin vapor when tin is used as a target material. Once cooled, the gas may pass through pumps 216a,b, which may be, for example, a turbo-pump or a roots-type booster, and thereafter be directed through an external guideway 204a,b to a location where the gas will, once again flow through the through-hole formed in the optic 30. It is to be appreciated that one or more flow regulators (not shown) may be provided, e.g. one regulator near each pump, to balance flow throughout the gas management system. One or both of the guideways 204a,b may include an optional filter 218a,b, e.g. scrubber, and/or an additional, optional, heat exchanger 220a,b. For the light source shown, the filters 218a,b may function to remove at least a portion of a target species, e.g. contaminants that may degrade optical components and/or absorb EUV light, from gas flowing in the flow path. For example, when a tin containing material is used as a source material to generate the plasma, contaminants such as tin hydrides, tin oxides and tin bromides may be present in the gas which may degrade optical components and/or absorb EUV light. These contaminants may be removed using one or more suitable filters, e.g. zeolite filters, cold traps, chemical absorbers, etc. The heat exchangers 220a,b may, for example, consist of a plurality of parallel metal plates, spaced apart and internally cooled, as described above, and may function to cool the gas in the guideway 204a,b and/or condense and thereby remove vapors, e.g. tin vapors from the gas stream. FIG. 2 further shows that the gas management system may include a regulated gas source 222 for selectively introducing, either continuously or in discrete amounts, one or more gas(es) into the chamber 26, e.g. for ion stopping (e.g. H2, (protium and/or deuterium isotopes) and/or He), and/or etching plasma generated debris deposits from surfaces in the chamber 26, such as the surface of optic 30, (e.g. HBr, HI, Br2, Cl2, HCl, H2, or combinations thereof). It is to be appreciated that the gas source 222 may include one or more flow regulators (not shown). FIG. 2 further shows that the gas management system may include an adjustable pump 224, e.g. turbopump or roots booster, and optional conditioner 226, (e.g. to dilute and/or scrub the gas prior to release, as described above, with reference to conditioner 114 shown in FIG. 1) for selectively removing some or all of the gas from the chamber 26, and/or other portions of the gas management system, e.g. guideways 204a,b etc. either continuously or in discreet amounts. In some cases, a heat exchanger (not shown) may be placed upstream of the pump 224 to protect the pump from high temperature gas. Addition of fresh gas to the chamber 26 via gas source 222 and/or removal of gas via pump 224 from the chamber 26 may be performed to remove heat and thereby control the temperature within the chamber 26, and/or to remove contaminants, vapor, metal dust, etc. from the chamber 26, and/or to provide a pressure gradient in the chamber 26, e.g. to maintain a relatively large pressure between the optic 30 and irradiation region 28 and a smaller, relatively low pressure between the irradiation region 28 and the intermediate region 40. Control of the gas source 222 and pumps 216a,b and 224 may be used to maintain a selected gas number density in a selected area of the chamber and/or pressure gradient and/or to maintain a selected flow rate through the chamber 26 and or to maintain a selected gas composition, e.g. a selected ratio of several gases, e.g. H2, HBr, He, etc. FIG. 2 further shows that one of more gas monitors 228 measuring one or more gas characteristic including, but not limited to, gas temperature, pressure, composition, e.g. He/H2 ratio, HBr gas concentration, etc. may be disposed in the chamber 26 or placed in fluid communication therewith to provide one or more signals indicative thereof to a gas management system controller 230, which, in turn, may control the pumps, regulators, etc. to maintain a selected gas temperature, pressure and/or composition. For example, a mass-spectrometer residual gas monitor with differential pumping may be used to measure HBR gas concentration. FIG. 2 also shows that a coupling system 14 may be provided including provisions for maintaining pre-selected flows (flow rates and/or flow directions), temperatures, gas number densities and/or contaminant levels at or near the intermediate region 40. In particular, the coupling system 14 may be designed to meet specifications for one or more specifications that are developed by stepper/scanner manufacturers which typically limit the amount of contaminants, e.g. tin and tin compounds, cleaning/etchant gas(es), e.g. HBr, heat, etc. that enter the stepper/scanner at the EUV light input port. As shown in FIG. 2, gas management near the intermediate region 40 may include the maintenance of a pressure below the pressure at the scanner input such that gas flows from the device 12 and toward the intermediate region 40. FIG. 2 also shows that the gas management system may include a gas source 232 providing a stream of gas that flows from the intermediate region 40 toward the irradiation zone 28 and a pump 234 and optional conditioner 236, as described above, for selectively evacuating the intermediate region 40. FIG. 5 shows an embodiment of a coupling system 1014 managing and/or limiting gas flow between the chamber 26 of the light source 20 and the chamber 13 of the device 12 while allowing EUV light to pass from the light source 20 into the device 12. As shown, the dotted lines 1016 indicate EUV light converging from the source 20 to an intermediate focus 1018 and diverging therefrom into the chamber 13 of the device 12 for use therein. As shown, the coupling system 1014 may include a wall 1012 surrounding an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014 may further include an elongated body 1022 restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014, the body 1022 may be formed to at least partially surrounding a passageway 1024 and having a first open end 1026 allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end 1028 allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022 may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the first end 1026 and second end 1028. As shown, the reduced cross-sectional area may be located at the same position along the optical axis as the intermediate focus 1018, however it is to be appreciated that the necked region of the body 1022 may be distanced from the intermediate focus 1018. It can be noted that the shape of the body 1022 may be shaped to substantially conform to the light cone enter and light cone leaving the intermediate focus 1018. Also shown, the diameter of the end 1026 may be larger that the diameter of the end 1028. Continuing with FIG. 5, it can be seen that the body 1022 may be formed with one or more apertures 1032, e.g. through-hole(s) extending through the wall of the body 1022, to allow gas to be introduced into the passageway 1024 at a position between the first end 1026 of the body 1022 and the location 1030 having a reduced cross-sectional area, e.g. at a distance X along the optical axis from the location 1030 having a reduced cross-sectional area and/or the intermediate focus 1018. More specifically, as shown, gas from a regulated gas source 1034 may be caused to flow in the direction of arrow 1036 into manifold 1038 which as shown, may be disposed to surround the body 1022 at the location of the aperture(s) 1032. For example, the gas from the gas source 1034 may be argon, hydrogen, helium and combinations thereof, or any other gas which has a relatively low EUV absorption and which is allowed to be present in chamber 26 and/or chamber 13. FIG. 5 also shows that a flange 1040 may be provided extending between the outer wall of the coupling system 1014 and the wall of the body 1022 to restrict flow between the chamber 26 of the light source 20 and the intermediary chamber 1020 to the passageway 1024. As shown, the coupling system 1014 may comprise a plurality of apertures 1032, and the apertures may be configured to direct flow entering the passageway 1024 in a direction toward the open end 1026 of the body 1022. In one setup, the system may comprise one or more nozzles (not shown) directing flow entering the passageway 1024 toward the first end 1026 of the elongated body 1022. FIG. 5 further shows that the coupling system 1014 may include a wall 1012 surrounding an intermediary chamber 1020 that includes a volume disposed between the open end 1028 of the body 1022 and the light input aperture of the device 12. For the coupling system 1014 shown, one or more pump(s) 1042 which may be, for example, one or more turbo-pump(s) or roots-type booster(s), may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrows 1044, 1046. Lastly, as shown, a conical shroud 1048 may extend from the device 12 and into the intermediary chamber 1020 and arranged to partially surround the EUV light input aperture 1042 to reduce and/or eliminate flows from the intermediary chamber 1020 into the device 12. With the arrangement shown in FIG. 5 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas from the chamber 13 of the device 12 into the intermediary chamber 1022 (arrow 1050) suppressing contaminant flow into the device 12 and gas flows from the aperture(s) 1032 through the open end 1026 of the elongated body 1022 and into the chamber 26 of the light source 20 (arrow 1052) establishing a counterflow for contaminant diffusion into the body 1022. In particular, these flows (arrows 1050 and 1052) may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. In some cases, the pump(s) 1042 flow rate(s) and regulated gas source 1034 flow rate may be adjusted to direct flow from the passageway 1024 through the open end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). In some cases, the ion slowing buffer gas in chamber 26 may be about 200-600 mtorr (H2) and the pressure at the plane of the aperture(s) 1032 exceeding the chamber 26 pressure. At these pressure levels, the flow regime in the passageway 1024 may be viscous, allowing the gas flow rate (arrow 1054) from the intermediate focus 1018 to the intermediary chamber 1020 to be minimized by lengthening of the body 1022. For the arrangement shown in FIG. 5, the requirement that gas flow in the direction of arrow 1050, for most practical arrangements, may imply that the maximum pressure in the plane of apertures 1032 may be limited by: 1) limits in the pumping speed of pump(s) 1042 and 2) the resistance of the section of the elongated body 1022 from the reduced cross sectional area to the end 1028. The resistance of the elongated body 1022, in turn, depends, for a flow regime that is viscous or molecular, on the cone angle(s), the dimension at the reduced cross sectional area and the length of the body 1022. As described above, introduction of gas from gas source 1034 at a distance from the reduced cross sectional area and/or introducing gas in a direction toward the end 1026 may allow for an increase the flow (arrow 1052) for a given elongated body 1022 and given pump 1042 speed, while maintaining flow in the direction of arrow 1050. Maximizing flow from the body 1022 and into the chamber 26 may be desirable because the suppression factor for diffusion of contaminants (HBr, Sn vapor, Sn compounds) in a direction opposite a gas flow is defined by Pecklet number which, for a cylinder of uniform cross-section, is expressed by the formula: Pe=V*L/D, where V is average flow velocity in the suppression zone, L is the length of the suppression zone and D is diffusion coefficient of the contaminants in the gas. Thus, suppression is higher for high flow velocity. FIG. 5A shows another embodiment of a coupling system 1014′ managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014′ having one or more elements in common with the coupling system 1014 shown in FIG. 5. For the coupling system 1014′ shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014′ may further include an elongated body 1022 restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014′, the body 1022 may be formed to at least partially surrounding a passageway 1024 and having a first open end 1026 allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end 1028 allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022 may be shaped, e.g. having a necked region, to establish at least one location 1030A having a reduced cross-sectional area relative to the ends. Continuing with FIG. 5A, it can be seen that the body 1022 may include one or more nozzles 1031, each nozzle 1031 terminating in a respective aperture 1032, to allow gas to be introduced into the passageway 1024 at a position between the end of the body 1022 and the location 1030 having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032A and into passageway 1024A. FIG. 5B shows that the nozzle 1031′ may be shaped to include a taper (as shown) or other types of shaped nozzle such a de Laval nozzle (not shown), etc. may be used. As shown in FIG. 5C, the nozzles 1031A-D″ may be oriented to introduce the flow into the passageway 1024 radially, or as shown in FIG. 5C, the nozzles 1031A-C′″ may be oriented to introduce the flow into the passageway 1024 tangentially to establish a vortex in the passageway 1024. In some cases, the vortex may increase upstream contaminant suppression by changing the velocity profile across the passageway to reduce the amount of area in the passageway having relatively small velocities. FIGS. 6 and 6A show another embodiment of a coupling system 1014A managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014A having one or more elements in common with the coupling system 1014 shown in FIG. 5. For the coupling system 1014A shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014A may further include an elongated body 1022A restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014A, the body 1022A may be formed to at least partially surrounding a passageway 1024A and having a first open end allowing EUV light to enter the passageway 1024A from the chamber 26 and a second open end allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022A may be shaped, e.g. having a necked region, to establish at least one location 1030A having a reduced cross-sectional area relative to the ends. Continuing with FIG. 6, it can be seen that the body 1022A may be formed with one or more apertures 1032A, e.g. through-hole(s) extending through the wall of the body 1022A, to allow gas to be introduced into the passageway 1024A at a position between the end of the body 1022A and the location 1030A having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032A and into passageway 1024A. FIG. 6 further shows that the coupling system 1014A may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022A and the light input aperture of the device 12. For the coupling system 1014A shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. Cross referencing FIGS. 6 and 6A, it can be seen that one or more vane(s) 1060 may be disposed in the passageway 1024A of the elongated body 1022A. As shown, the vane 1060 may extend across the elongated body 1022A and may extend from the end 1028A to a location between the end 1028A and the intermediate focus 1018. With this arrangement, the vane 1060 may function to reduce flow with passageway 1024A from the reduced cross sectional area 1030A toward the end 1028A of the elongated body 1022A and into the intermediary chamber 1020 (arrow 1054A). As indicated above, increasing the resistance of the elongated body 1022A may allow for an increases pressure in the plane of apertures 1032 thereby suppressing contaminant flow into the chamber 13, while maintaining flow in the direction of arrow 1050, and for a given pump 1042 speed. In more analytical terms, the resistance of a channel to gas flow in a viscous regime depends generally on transverse dimension, D, of the channel as 1/D4. In the case of cylindrical pipe, the transverse dimension is the diameter of the pipe. Thus, a large contribution to the resistance of the body 1022A shown is the necked region while the cone portion between the necked region and end 1028A generally makes a smaller contribution. Nevertheless, this can be improved by dividing the cone into two parts by placing vane at some distance X1 from the necked portion, as shown. This reduces the transverse dimension, and as a consequence, increases resistance. If possible, the vane may be positioned within a shadow caused by an existing EUV light obscuration, e.g. an obscuration generated by beam dump, droplet generator, debris barrier, etc. By way of example, for an obscuration having a thickness of 40 mm and located at about 1000 mm from the intermediate focus, a shadow having a thickness of about 0.2 mm will be established at X1=5 mm on the other side of the intermediate focus. FIG. 7 shows another embodiment of a coupling system 1014B managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014B having one or more elements in common with the coupling system 1014 shown in FIG. 5. For the coupling system 1014B shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014B may further include an elongated body 1022 restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014B, the body 1022 may be formed to at least partially surround a passageway 1024 and have a first open end 1026 allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end 1028 allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022 may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the ends. Continuing with FIG. 7, it can be seen that the body 1022 may be formed with one or more aperture(s) 1032, e.g. through-hole(s) and/or directional nozzle(s) extending through the wall of the body 1022, to allow gas to be introduced into the passageway 1024 at a position between the end of the body 1022 and the location 1030 having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032 and into passageway 1024. FIG. 7 further shows that the coupling system 1014B may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022 and the light input aperture of the device 12. For the coupling system 1014B shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. Continuing with FIG. 7, the coupling system 1014B may include a source 1070 generating an electromagnetic field in the passageway 1024 at or near the location 1030 having a reduced cross-sectional area to produce a plasma 1072 therein. As shown, the source 1070 may comprise one or more radio-frequency (RF) coil(s) for creating an inductively coupled (ICP) discharge plasma 1072 in the passageway 1024. Functionally, a hot region (e.g. plasma 1072) in the gas flow may create a significant drag to gas flow. In general, gas may tend to flow around the plasma region 1072 and along the walls of the body 1022. For the arrangement shown, the walls of the body 1022 may be either non-conductive (e.g. made of ceramic, fused silica, etc.) or may be made of a conductive material (e.g. metal) with a slit (not shown) cut through the wall, e.g. from end 1026 to end 1028 and the slit sealed, e.g. with a non-conductive isolator insert (not shown). With the arrangement shown in FIG. 7 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas from the chamber 13 of the device 12 flows into the intermediary chamber 1022 (arrow 1050) suppressing contaminant flow into the device 12 and gas flows from the aperture(s) 1032 through the open end 1026 of the elongated body 1022 and into the chamber 26 of the light source 20 (arrow 1052) establishing a counterflow for contaminant diffusion into the body 1022. In particular, these flows (arrows 1050 and 1052) may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. In some cases, the pump(s) 1042 flow rate(s) and regulated gas source 1034 flow rate may be adjusted to direct flow from the passageway 1024 through the open end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). In some cases, the ion slowing buffer gas in chamber 26 may be about 200-600 mtorr (H2) and the pressure at the plane of the aperture(s) 1032 may exceed the chamber 26 pressure. At these pressure levels, the flow regime in the passageway 1024 may be viscous, allowing the gas flow rate (arrow 1054) from the intermediate focus 1018 to the intermediary chamber 1020 to be minimized by lengthening of the body 1022. In addition, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted relative to the pressures P1 and P2 to establish a pressure in the location 1030 at the reduced cross sectional area of about 0.3 to 2 torr, which is suitable for an ICP discharge. The ionization may also be facilitated by EEV radiation which has maximum intensity at the intermediate focus. With this arrangement, the plasma 1072 may function to reduce flow within passageway 1024 from the reduced cross sectional area 1030 toward the end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). As indicated above, increasing the resistance within the elongated body 1022 may allow for an increase in pressure in the plane of apertures 1032 thereby suppressing contaminant flow into the chamber 13, while maintaining flow in the direction of arrow 1050, and for a given pump 1042 speed. For the arrangement shown in FIG. 7, the plasma 1072 may have about the same absorption of EUV light as non-ionized gas in this region. For example, the gas may be ionized to Z=1, in which case the ion absorption may be about the same as for neutrals. In particular, the ionization degree at this pressure range may not be very high (e.g. from 1E-3 to 1E-6), and the electron concentration (1E-13 to 1E-10 cm−3) may be relatively low to cause any appreciable absorption of EUV radiation. FIG. 8 shows another embodiment of a coupling system 1014C managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014C having one or more elements in common with the coupling systems shown in FIGS. 5-7. For the coupling system 1014C shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014C may further include a conductive elongated body 1022C, e.g. made of metal or other conductive material, restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014C, the body 1022C may be formed to at least partially surround a passageway 1024 and have a first open end 1026 allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end 1028 allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022 may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the ends. Continuing with FIG. 8, it can be seen that the body 1022 may be formed with one or more aperture(s) 1032, e.g. through-hole(s) and/or directional nozzle(s) extending through the wall of the body 1022, to allow gas to be introduced into the passageway 1024 at a position between the end of the body 1022 and the location 1030 having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032 and into passageway 1024. FIG. 8 further shows that the coupling system 1014C may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022 and the light input aperture of the device 12. For the coupling system 1014C shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. Continuing with FIG. 8, the coupling system 1014C may include a source generating an electromagnetic field in the passageway 1024 between the location 1030 having a reduced cross-sectional area and the end 1028 of the body 1022C to produce plasma 1072C therein. As best appreciated cross referencing FIGS. 8 and 8A, it can be seen that one or more conductive vane(s) 1060C, e.g. made of metal or other conductive material, may be disposed in the passageway 1024C of the elongated body 1022C. As shown, the vane 1060C may extend from the end 1028 to a location between the end 1028A and the intermediate focus 1018. FIG. 8A shows that isolators 1080, 1082 made of an insulating and/or dielectric material may be provided to separate the conductive vane 1060C from the conductive body 1022C. With this arrangement, the vane 1060C may function to reduce flow (as described above) within passageway 1024C from the reduced cross sectional area 1030C toward the end 1028C of the elongated body 1022C and into the intermediary chamber 1020 (arrow 1054C). FIG. 8 further illustrates that the source generating a field in the passageway 1024 to produce plasma 1072C therein may include a source of high voltage (RF or DC with ballast resistor) supplied to the conductive vane 1060C and a conductor 1084 grounding the conductive body 1022C and forming the discharge zone between the vane 1070C and the reduced cross sectional area 1030C. For the structure, the high voltage may be selected to establish either a corona or glow discharge plasma. Functionally, a hot region (e.g. plasma 1072C) in the gas flow may create a significant drag to gas flow. In general, gas may tend to flow around the plasma region 1072C and along the walls of the body 1022C. With the arrangement shown in FIG. 8 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas from the chamber 13 of the device 12 flows into the intermediary chamber 1022 (arrow 1050) suppressing contaminant flow into the device 12 and gas flows from the aperture(s) 1032 through the open end 1026 of the elongated body 1022 and into the chamber 26 of the light source 20 (arrow 1052) establishing a counterflow for contaminant diffusion into the body 1022. In particular, these flows (arrows 1050 and 1052) may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. In some cases, the pump(s) 1042 flow rate(s) and regulated gas source 1034 flow rate may be adjusted to direct flow from the passageway 1024 through the open end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). In some cases, the ion slowing buffer gas in chamber 26 may be about 200-600 mtorr (H2) and the pressure at the plane of the aperture(s) 1032 may exceed the chamber 26 pressure. At these pressure levels, the flow regime in the passageway 1024 may be viscous, allowing the gas flow rate (arrow 1054) from the intermediate focus 1018 to the intermediary chamber 1020 to be minimized by lengthening of the body 1022C. In addition, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted relative to the pressures P1 and P2 to establish a pressure between the vane 1060C and the location 1030 at the reduced cross sectional area of which is suitable for an RF or DC plasma discharge. With this arrangement, the plasma 1072 may function to reduce flow within passageway 1024 from the reduced cross sectional area 1030 toward the end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). As indicated above, increasing the resistance within the elongated body 1022 may allow for an increase in pressure in the plane of apertures 1032 thereby suppressing contaminant flow into the chamber 13, while maintaining flow in the direction of arrow 1050, and for a given pump 1042 speed. FIG. 9 shows another embodiment of a coupling system 1014D managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014D having one or more elements in common with the coupling system 1014 shown in FIG. 7. For the coupling system 1014D shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014D may further include an elongated body 1022 restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014D, the body 1022 may be formed to at least partially surround a passageway 1024 and have a first open end 1026 allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end 1028 allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022 may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the ends 1026, 1028. Continuing with FIG. 9, it can be seen that the body 1022 may be formed with one or more aperture(s) 1032, e.g. through-hole(s) and/or directional nozzle(s) extending through the wall of the body 1022, to allow gas to be introduced into the passageway 1024 at a position between the end 1026 of the body 1022 and the location 1030 having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032 and into passageway 1024. FIG. 9 further shows that the coupling system 1014D may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022 and the light input aperture of the device 12. For the coupling system 1014D shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. Continuing with FIG. 9, the coupling system 1014D may include a source 1070D generating an electromagnetic field in the passageway 1024 between the location 1030 having a reduced cross-sectional area and the end 1026 to produce a plasma 1072D therein. As shown, the source 1070D may comprise one or more radio-frequency (RF) coil(s) for creating an inductively coupled (ICP) discharge plasma 1072D in the passageway 1024. Functionally, a hot region (e.g. plasma 1072D) in the gas flow may create a significant drag to gas flow. In general, gas may tend to flow around the plasma region 1072D and along the walls of the body 1022. As indicated above, the suppression of contaminants from the chamber 26 into the passageway 1022, etc. may be increased by increasing the speed of gas flowing from the apertures 1032 and into the chamber 26. One way to increase this flow speed is to decrease the effective cross-section area of the passageway between the apertures 1032 and chamber 26, e.g. by producing a plasma in the region and forcing gas to flow along the walls of the body 1022. For the arrangement shown, the walls of the body 1022 may be either non-conductive (e.g. made of ceramic, fused silica, etc.) or may be made of a conductive material (e.g. metal) with a slit (not shown) cut through the wall, e.g. from end 1026 to end 1028 and the slit sealed, e.g. with a non-conductive isolator insert (not shown). With the arrangement shown in FIG. 9 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas from the chamber 13 of the device 12 flows into the intermediary chamber 1022 (arrow 1050) suppressing contaminant flow into the device 12 and gas flows from the aperture(s) 1032 through the open end 1026 of the elongated body 1022 and into the chamber 26 of the light source 20 (arrow 1052) establishing a counterflow for contaminant diffusion into the body 1022. In particular, these flows (arrows 1050 and 1052) may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. In some cases, the pump(s) 1042 flow rate(s) and regulated gas source 1034 flow rate may be adjusted to direct flow from the passageway 1024 through the open end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). In some cases, the ion slowing buffer gas in chamber 26 may be about 200-600 mtorr (H2) and the pressure at the plane of the aperture(s) 1032 may exceed the chamber 26 pressure. At these pressure levels, the flow regime in the passageway 1024 may be viscous, allowing the gas flow rate (arrow 1054) from the intermediate focus 1018 to the intermediary chamber 1020 to be minimized by lengthening of the body 1022. In addition, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted relative to the pressures P1 and P2 to establish a pressure in the passageway 1024 between the aperture(s) 1032 and the open end 1026 suitable for an ICP discharge. The ionization may also be facilitated by EUV radiation which increases in intensity as it approaches the intermediate focus. FIG. 10 shows another embodiment of a coupling system 1014E managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014E having one or more elements in common with the coupling system 1014 shown in FIG. 5. For the coupling system 1014E shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014E may further include an elongated body 1022E restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014E, the body 1022E may be formed to at least partially surround passageway 1024A and have a first open end allowing EUV light to enter the passageway 1024A from the chamber 26 and a second open end allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022E may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the ends. Continuing with FIG. 10, it can be seen that the body 1022E may be formed with one or more apertures 1032, e.g. through-hole(s) extending through the wall of the body 1022E, to allow gas to be introduced into the passageway 1024A at a position between the end of the body 1022E and the location 1030A having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032 and into passageway 1024. FIG. 10 further shows that the coupling system 1014E may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022E and the light input aperture of the device 12. For the coupling system 1014E shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. With the arrangement shown in FIG. 10 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas flows in the direction of arrows 1050, 1052 and in some cases 1054 and these flows may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. FIG. 10 also shows that a temperature control system 1100 may be provided maintaining the temperature of all or portions of the elongated body 1022E within a predetermined range. In particular, the temperature control system 1100 may cool all or portions of the inner surface 1102 of the body 1022E to below a preselected temperature to condense one or more contaminant species thereon, thereby preventing the contaminant species from entering the chamber 13 of the device 12. As indicated above, the suppression factor for diffusion of contaminants (HBr, Sn vapor, Sn compounds) opposite to a gas flow is defined by the Pecklet number which is expressed by the formula: Pe=V*L/D, where V is average flow velocity in the suppression zone, L is the length of the suppression zone and D is diffusion coefficient of the contaminants in the gas. However, this approach does not consider the sticking of molecules to the walls 1102 of the body 1022E. If the sticking coefficient of the contaminants exceeds that of gas (e.g. H2, He or Ar from source 1034), then the protection efficiency may be even better. In particular, contaminants such as HBr, SnBr2, SnBr4 have a vapor pressure much lower than the gas. Thus, the probability of condensation of the contaminants on the wall 1102 is much higher than that of gas. If the walls 1102 are cooled to low temperature (for example, using liquid nitrogen), most of the contaminants (including HBr) may be condensed on the walls. The walls 1102 may be cooled either by circulating a fluid (liquid N2 of other) within internal passageways formed in the body 1022E, as shown in FIG. 1, or, alternatively, Peltier elements (not shown) may be used. Cooling the walls 1102 may be applied to the whole length of the body 1022E as shown in FIG. 1, or, may be limited to selected portions such as portion between the apertures 1032 and end 1026. As further shown, cooling may be employed to reduce the surface temperature of the conical shroud 1048E extending from the device 12, to condense contaminants thereon. The reader will quickly appreciate that the some or all of the embodiments described herein may be combined. For example, the temperature control system described herein may be used in conjunction with the vane as described with reference to FIG. 6 and/or the generation of a plasma as described with reference to FIG. 6, 7, 8 or 9. FIG. 11 shows another embodiment of a coupling system 1014F managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EUV light to pass from the light source 20 into the device 12, the coupling system 1014F having one or more elements in common with the coupling system 1014B shown in FIG. 7. For the coupling system 1014F shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014F may further include an elongated body 1022 restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014F, the body 1022 may be formed to at least partially surround a passageway 1024 and have a first open end 1026 allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end 1028 allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022 may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the ends. Continuing with FIG. 11, it can be seen that the body 1022 may be formed with one or more aperture(s) 1032, e.g. through-hole(s) and/or directional nozzle(s) extending through the wall of the body 1022, to allow gas to be introduced into the passageway 1024 at a position between the end of the body 1022 and the location 1030 having a reduced cross-sectional area. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032 and into passageway 1024. FIG. 11 further shows that the coupling system 1014F may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022 and the light input aperture of the device 12. For the coupling system 1014F shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. Continuing with FIG. 11, the coupling system 1014F may include a source 1070 generating an electromagnetic field in the passageway 1024 at or near the location 1030 having a reduced cross-sectional area to produce a plasma 1072 therein. As shown, the source 1070 may comprise one or more radio-frequency (RF) coil(s) for creating an inductively coupled (ICP) discharge plasma 1072 in the passageway 1024. Functionally, a hot region (e.g. plasma 1072) in the gas flow may create a significant drag to gas flow. In general, gas may tend to flow around the plasma region 1072 and along the walls of the body 1022. For the arrangement shown, the walls of the body 1022 may be either non-conductive (e.g. made of ceramic, fused silica, etc.) or may be made of a conductive material (e.g. metal) with a slit (not shown) cut through the wall, e.g. from end 1026 to end 1028 and the slit sealed, e.g. with a non-conductive isolator insert (not shown). With the arrangement shown in FIG. 11 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas from the chamber 13 of the device 12 flows into the intermediary chamber 1022 (arrow 1050) suppressing contaminant flow into the device 12 and gas flows from the aperture(s) 1032 through the open end 1026 of the elongated body 1022 and into the chamber 26 of the light source 20 (arrow 1052) establishing a counterflow for contaminant diffusion into the body 1022. In particular, these flows (arrows 1050 and 1052) may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. In some cases, the pump(s) 1042 flow rate(s) and regulated gas source 1034 flow rate may be adjusted to direct flow from the passageway 1024 through the open end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). In some cases, the ion slowing buffer gas in chamber 26 may be about 200-600 mtorr (H2) and the pressure at the plane of the aperture(s) 1032 may exceed the chamber 26 pressure. At these pressure levels, the flow regime in the passageway 1024 may be viscous, allowing the gas flow rate (arrow 1054) from the intermediate focus 1018 to the intermediary chamber 1020 to be minimized by lengthening of the body 1022. In addition, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted relative to the pressures P1 and P2 to establish a pressure in the location 1030 at the reduced cross sectional area of about 0.5-1 torr which is suitable for an ICP discharge. The ionization may also be facilitated by EUV radiation which has maximum intensity at the intermediate focus. With this arrangement, the plasma 1072 may function to reduce flow within passageway 1024 from the reduced cross sectional area 1030 toward the end 1028 of the elongated body 1022 and into the intermediary chamber 1020 (arrow 1054). As indicated above, increasing the resistance within the elongated body 1022 may allow for an increase in pressure in the plane of apertures 1032 thereby suppressing contaminant flow into the chamber 13, while maintaining flow in the direction of arrow 1050, and for a given pump 1042 speed. FIG. 11 further shows that the coupling system 1014F may include a pair of electrodes 1120, 1122 for generating an electromagnetic field, e.g. a uniform electric field, within a portion of the intermediary chamber 1020 to deflect charged particles that are flowing in the intermediary chamber 1020 toward the input to the device 12 and thereby prevent the charged particles from entering the device 12. In general, a small fraction of contaminants may diffuse through the counter flow (arrow 1052) and pass through the plasma 1072 and into the intermediary chamber 1020 where they may be partially stopped by the gas flow from scanner. Some or all of the contaminants may be charged, e.g. by electron attachment due to high electro-negative properties (e.g. HBr) or by ionization due to low ionization potential compare to carrier gas (e.g. Sn, SnBrx) after passing the plasma region. Typically, the degree of charging of the contaminants will be higher than that of carrier gas. When the charged contaminants arrive at the intermediary chamber 1020, the charged particles may be deflected away from the input aperture of the device 12 by the electric field. In some cases, the IF region may be maintained at a relatively low pressure (˜10 mtorr), e.g. by adjusting the pump 1042 and regulated gas source 1034, where the mean free path is about 1 cm, thus deflection may not be substantially affected by the low pressure barrier gas. When the charged contaminant particles hit electrodes, they will be neutralized and may be pumped away by the pumps together with barrier gas, since the contaminants were removed already from the direct flow into the device 12. The reader will quickly appreciate that the some or all of the embodiments described herein may be combined. For example, the charged-particle deflecting system described herein may be used in conjunction with the vane as described with reference to FIG. 6, the generation of a plasma as described with reference to FIG. 6, 7, 8 or 9 and/or temperature control system as described with reference to FIG. 10. FIG. 12 shows another embodiment of a coupling system 1014G managing and/or limiting gas flow between the chamber 26 of a light source 20 and the chamber 13 of a device 12 while allowing EEV light to pass from the light source 20 into the device 12, the coupling system 1014G having one or more elements in common with the coupling system 1014 shown in FIG. 5. For the coupling system 1014G shown, a wall surrounds an intermediary chamber 1020 that is in fluid communication with the chamber 13 of the device 12. The system 1014G may further include an elongated body 1022G restricting flow from the chamber 26 to the intermediary chamber 1020 and chamber 13 of the device 12. For the system 1014G, the body 1022G may be formed to at least partially surround a passageway 1024 and have a first open end allowing EUV light to enter the passageway 1024 from the chamber 26 and a second open end allowing EUV light to exit the passageway into the intermediary chamber 1020 and chamber 13 of the device 12. As shown, the body 1022G may be shaped, e.g. having a necked region, to establish at least one location 1030 having a reduced cross-sectional area relative to the ends. Continuing with FIG. 12, it can be seen that the body 1022G may be formed with one or more aperture(s) 1032F to allow gas to be introduced into the passageway 1024 and directed toward the end 1026 of the body 1022 arrows 1130, 1132. More specifically, as shown, gas, e.g. argon, hydrogen, helium, etc. from a regulated gas source 1034 may be caused to flow through aperture(s) 1032 and into passageway 1024. For the coupling system 1014G shown, the aperture(s) may be located at or near the intermediate focus, at or near the location 1030 having a reduced cross-sectional area, between the end 1026G and location 1030 having a reduced cross-sectional area, or between the end 1028G and location 1030 having a reduced cross-sectional area. FIG. 12 further shows that the coupling system 1014G may include a wall surrounding an intermediary chamber 1020 that includes a volume disposed between the open end of the body 1022G and the light input aperture of the device 12. For the coupling system 1014G shown, one or more pump(s) 1042 may be positioned to remove gas from the intermediary chamber 1020 and cause gas to flow in the direction of arrow 1044. With the arrangement shown in FIG. 12 and described above, the pump(s) 1042 flow rate and regulated gas source 1034 flow rate may be adjusted such that gas from the chamber 13 of the device 12 flows into the intermediary chamber 1022G (arrow 1050) suppressing contaminant flow into the device 12 and gas flows from the aperture(s) 1032 through the open end 1026 of the elongated body 1022G and into the chamber 26 of the light source 20 (arrow 1052) establishing a counterflow for contaminant diffusion into the body 1022G. In particular, these flows (arrows 1050 and 1052) may be achieved with gas in the chamber 26 of the light source 20 at a pressure P1, gas in the chamber 13 of the device at a pressure P2, with P1>P2. In some cases, the pump(s) 1042 flow rate(s) and regulated gas source 1034 flow rate may be adjusted to direct flow from the passageway 1024 through the open end 1028 of the elongated body 1022G and into the intermediary chamber 1020 (arrow 1054). In some cases, the ion slowing buffer gas in chamber 26 may be about 200-600 mtorr (H2) and the pressure at the plane of the aperture(s) 1032 may exceed the chamber 26 pressure. At these pressure levels, the flow regime in the passageway 1024 may be viscous, allowing the gas flow rate (arrow 1054) from the intermediate focus 1018 to the intermediary chamber 1020 to be minimized by lengthening of the body 1022G. The reader will quickly appreciate that the some or all of the embodiments described herein may be combined. For example, the directed flow system described herein may be used in conjunction with the vane as described with reference to FIG. 6, the generation of a plasma as described with reference to FIG. 6, 7, 8 or 9, temperature control system as described with reference to FIG. 10 and/or charged-particle deflecting system as described with reference to FIG. 11. While the particular embodiment(s) described and illustrated in this patent application in the detail required to satisfy 35 U.S.C. §112 are fully capable of attaining one or more of the above-described purposes for, problems to be solved by, or any other reasons for or objects of the embodiment(s) above described, it is to be understood by those skilled in the art that the above-described embodiment(s) are merely exemplary, illustrative and representative of the subject matter which is broadly contemplated by the present application. Reference to an element in the following Claims in the singular is not intended to mean nor shall it mean in interpreting such Claim element “one and only one” unless explicitly so stated, but rather “one or more”. All structural and functional equivalents to any of the elements of the above-described embodiment(s) that are known or later come to be known to those of ordinary skill in the art are expressly incorporated herein by reference and are intended to be encompassed by the present Claims. Any term used in the Specification and/or in the Claims and expressly given a meaning in the Specification and/or Claims in the present Application shall have that meaning, regardless of any dictionary or other commonly used meaning for such a term. It is not intended or necessary for a device or method discussed in the Specification as an embodiment to address or solve each and every problem discussed in this Application, for it to be encompassed by the present Claims. No element, component, or method step in the present disclosure is intended to be dedicated to the public regardless of whether the element, component, or method step is explicitly recited in the Claims. No claim element in the appended Claims is to be construed under the provisions of 35 U.S.C. §112, sixth paragraph, unless the element is expressly recited using the phrase “means for” or, in the case of a method claim, the element is recited as a “step” instead of an “act”. |
|
claims | 1. A CRUD collection system for removing deposits from a nuclear reactor fuel rod submerged in pool water, the system comprising: a sealable collection head for containing a portion of said fuel rod, the head comprising: at least one rod seal positioned to receive said fuel rod; a liquid inlet communicating with an interior portion of the collection head, the inlet configured to inject a liquid into the interior portion to displace said pool water therefrom; at least one blade positionable in scraping engagement with said fuel rod; and a liquid outlet configured to eliminate a CRUD sample and the liquid from the interior portion; a filter in fluid connection with the liquid outlet. 2. A CRUD collection system as set forth in claim 1 , the system further comprising a control console for controlling the system. claim 1 3. A CRUD collection system as set forth in claim 2 , the control console comprising: claim 2 at least one pump configured to direct the flow of liquid and air into the collection head; and a filter housing for housing the filter, the filter coupled to the liquid outlet of the collection head, the filter configured to remove the CRUD sample from the liquid. 4. A CRUD collection system as set forth in claim 1 , the system further comprising a funnel configured to receive said fuel rod for entry into the collection head. claim 1 5. A CRUD collection system as set forth in claim 1 , the collection head further comprising a openable cover plate that provides access to the interior portion of the collection head when the cover is in an open position. claim 1 6. A CRUD collection system as set forth in claim 1 , wherein the liquid is deionized water. claim 1 7. A CRUD collection system as set forth in claim 1 , wherein the sealable collection head further comprises: claim 1 a watertight collection chamber; and a slide housing for containing a device for moving the at least one movable blade. 8. A CRUD collection system as set forth in claim 1 , wherein the device for moving the at least one movable blade is pneumatically operated. claim 1 9. A CRUD collection system as set forth in claim 8 , wherein the system further comprises an air inlet to provide an air supply for the device. claim 8 10. A CRUD collection system as set forth in claim 1 , wherein the at least one blade is formed of zirconium alloy. claim 1 11. A CRUD collection system as set forth in claim 1 , wherein the at least one blade comprises three blades. claim 1 12. A CRUD collection system as set forth in claim 11 , wherein each of the three blades is separated from another of the three blades by approximately two inches. claim 11 13. A CRUD collection system as set forth in claim 12 , wherein each of the three blades are configured to travel a distance of approximately two inches. claim 12 14. A method for collecting a CRUD sample from a nuclear fuel rod comprising the steps of: inserting the fuel rod in a collection head cover plate; closing the collection head cover plate to enclose said fuel rod in a collection chamber filled with pool water; flushing the collection chamber with deionized water to remove pool water from the collection chamber; scraping said fuel rod with at least one scraping blade to remove the CRUD sample; and filtering the deionized water to remove the CRUD sample. 15. A method for collecting a CRUD sample from a nuclear fuel rod as set forth in claim 14 further comprising the step of: claim 14 removing the filter membrane and the CRUD therefrom. |
|
description | This application is a National Stage of International patent application PCT/EP2012/062675, filed on Jun. 29, 2012, which claims priority to foreign French patent application No. FR 1155880, filed on Jun. 30, 2011, the disclosures of which are incorporated by reference in their entirety. The field of the invention is that of devices that act as emitters, receivers or transceivers of ultrasonic or acoustic waves able to propagate in solids and fluids, which devices will be designated in the description: high-temperature ultrasonic transducers (a.k.a TUSHTs from the French “traducteur ultrasonore haute temperature”), and that are designed to operate at high temperatures, typically above several hundred degrees Celsius. Generally, acoustic waves may be longitudinal waves (propagation in fluids and solids) or transverse waves (propagation in solids and viscous fluids, for example) or combinations of these two types of waves (propagation of surface and guided waves in solids). At the present time there is a need to increase the operating range of ultrasonic or acoustic transducers and their operating lifetime especially under the physical conditions found in the hot plenum of the main vessel of fast neutron reactors cooled with liquid metal. This type of transducer may find applications in fast neutron reactors though for this purpose satisfactory operation of the transducers needs to be obtained notably under the following indicative physical conditions: immersion in liquid metal or alloy (sodium for example); working temperature under normal conditions: 200° C. (reactor shutdown), 550° C. (reactor operating); working temperature under incidental conditions: 700° C.; temperature cycles between 200° C. and 550° C.; occasional temperature gradient (thermal shock): −20° C./s between 550° C. and 400° C.; a flux of fast and thermal neutrons and gamma photons; an operating lifetime of several tens of years (reactor service lifetime: 60 years); and test or initial conditioning temperatures above the operating temperature (about 600° C. for use at 550° C.). These transducers must also be able to operate at room temperature (a few degrees) for laboratory tests. These transducers must be able to operate as emitters of acoustic or ultrasonic waves, as receivers of acoustic or ultrasonic waves, and as transceivers. Lastly, these transducers must be able to operate over a wide range of acoustic or ultrasonic frequencies, typically almost continuously up to several megahertz. Because of their generic features, the improvements to these transducers are also relevant to other fields of applications such as the instrumentation of pressurized-water reactors or indeed even high-temperature instrumentation in non-nuclear industries. As is known, acoustic waves are generated and/or received using an element made of a piezoelectric or magnetostrictive or electromagnetic acoustic (EMAT) material for converting electrical power into mechanical energy and/or vice versa. The (piezoelectric, for example) converter element is separated from the propagation medium of the waves by a protective plate (generally made of a metal or a metal alloy) called, in the description, the support plate (sometimes referred to as an interface plate, separating plate, phase plate, coupling plate, front plate, front face, diaphragm, etc.) or more generally the support, which in practice may be integrated into a portion of a housing or a part to be inspected, or of a waveguide. In order to obtain a satisfactory performance, it is notably recommended to specify: the choice of the converter material; the choice of the support material, and more generally of the housing; the choice and implementation of the type of bond (joint) between the converter material and the support, this bond needing to provide mechanical and acoustic functions, i.e. to be capable of transmitting ultrasound over a wide frequency range (almost continuously up to a few megahertz) and over a wide temperature range (from a few degrees to 550° C., even 700° C.). On its second face, the converter material is coupled to an electrode, this coupling possibly being achieved in the same way as between the converter material and the support, or possibly being achieved differently. Specifically, it may be advantageous for the electrode not to be acoustically coupled to the converter element. The plate may serve as a second electrode. It is necessary for the bond to be compatible with the electrical function of the electrodes (electrode and support, both made of conductive materials), i.e. for it not to introduce between the electrodes and the converter an element the electrical (resistivity) and/or dielectric properties of which may hinder a resistive and/or capacitive, for example, contact electrical coupling between said electrodes and the converter. The following assembly “support/first joint/converter material/second joint/electrode”, denoted “assembly” in the rest of the description, must operate (mechanically, electrically and acoustically) durably and have characteristics that are stable under the extreme conditions listed above. One technique sometimes employed consists in making use of waveguides, one end of which makes contact with the high-temperature medium, the other end, located in a cooler zone that is subjected to a low nuclear flux, bearing a conventional low-temperature transducer. These devices are tricky to implement, notably in the presence of temperature gradients and instabilities. It will moreover be noted that the so-called “high-temperature” ultrasonic transducers commercially available do not allow the required performance to be achieved with respect to temperature range, frequency range, and operating lifetime. This is because these transducers are notably limited by: the piezoelectric converter material having an insufficiently high Curie temperature, for example; the type of joint used between this material and the plate (housing): adhesives, pastes, liquefiable seals, etc. not being able to withstand the temperature experienced or the temperature cycles or gradients, or not being able to withstand the mechanical stresses induced by the temperature or the operation of the transducer, or indeed even causing the transducer to degrade via chemical reaction or attack, etc.; and the type of joint used between this material and the plate; dry compressive contact (screw, spring) for example is not suitable for transmitting high-frequency ultrasound. In addition, the materials used (converter, joint) are often weakened under nuclear radiation conditions. This is why one subject of the present invention is a process for manufacturing a high-temperature ultrasonic transducer, said transducer comprising a steel or metal top electrode, a piezoelectric converter, a steel or metal support ensuring the interface between the converter and the propagation medium of the acoustic waves, a first joint between the support and the piezoelectric crystal, and a second joint between the converter and the top electrode, characterized in that it comprises the following steps to produce said joints: depositing a gold layer then depositing an indium layer on one of the faces of the top electrode, on both faces of the converter, and on one face of the steel support; stacking the support, the converter, and the top electrode, this stack being maintained under pressure; and producing the indium-and-gold-compound-based first and second joints via a brazing and diffusing operation, said brazing and diffusing operation comprising the following steps: a first step of increasing temperature to a first temperature comprised between about 150° C. and about 400° C. and of maintaining this first temperature for a first length of time corresponding to a first plateau; and a second step of increasing temperature to a second temperature comprised between about 400° C. and about 1000° C. and of maintaining this second temperature for a second length of time corresponding to a second plateau. Another subject of the invention is a process for manufacturing a high-temperature ultrasonic transducer, said transducer comprising a steel or metal top electrode, a piezoelectric converter, a steel or metal support ensuring the interface between the converter and the propagation medium of the acoustic waves, a first joint between the support and the piezoelectric crystal, and a second joint between the converter and the top electrode, characterized in that it furthermore comprises the following steps to produce said joints: depositing a gold layer then depositing an indium layer on a first face of the converter, and on one face of the steel support; the second face of the converter being, independently of the treatment carried out on the face of the electrode, left bare, or covered with a gold layer then an indium layer, or covered with a gold layer or a layer of any other, preferably non-oxidizable, material the electrical and dielectric properties of which are compatible with resistive and/or capacitive, for example, contact electrical coupling of the converter and the electrode; the face of the electrode possibly being, independently of the treatment carried out on the second face of the converter, left bare, or covered with a gold layer then an indium layer, or covered with a gold layer or a layer of any other, preferably non-oxidizable, material the electrical and dielectric properties of which are compatible with resistive and/or capacitive, for example, contact electrical coupling of the electrode and the converter; stacking the support and the converter, this stack being maintained under pressure, said first face of the converter facing said support; producing the indium-and-gold-compound-based first joint via a brazing and diffusing operation, said brazing and diffusing operation comprising the following steps: a first step of increasing temperature to a first temperature comprised between about 150° C. and about 400° C. and of maintaining this first temperature for a first length of time corresponding to a first plateau; and a second step of increasing temperature to a second temperature comprised between about 400° C. and about 1000° C. and of maintaining this second temperature for a second length of time corresponding to a second plateau, stacking the top electrode on the converter; and producing the second joint by bringing the converter and the top electrode into contact. Thus, according to the present invention, the fact that the brazing and diffusing operation comprises a first plateau at a “medium” temperature, then a second plateau at a “high” temperature makes it possible to ensure a very high junction quality that is particularly well suited to the applications targeted by the present application. According to one variant of the invention, the piezoelectric material is lithium niobate. The lithium niobate may take any of its forms and chemical compositions and be produced by any process, for example the lithium niobate may be: single-crystal or polycrystalline lithium niobate, congruent, stoichiometric or quasi-stoichiometric lithium niobate, reduced lithium niobate (black lithium niobate), have alternating or inverted polarization domains, be periodically polarized (PPL, periodically poled lithium niobate), and/or be doped (inclusion or substitution of chemical elements); the lithium niobate may be produced using any technique including, for example: pulling using the Czochralski or modified Czochralski method, zone melt processing, or sol-gel processing. According to one variant of the invention, the atomic percentage of indium is lower than about 35%. According to one variant of the invention, the lithium niobate is a natural niobate or a niobate enriched with the lithium-7 isotope. According to one variant of the invention, the lithium niobate has a Z-cut orientation (Y 90°). According to one variant of the invention, the lithium niobate has a 36° Y-cut orientation or a 163° Y-cut orientation. According to one variant of the invention, said first temperature is above the melting point of pure indium. According to one variant of the invention, said first temperature is about 170° C. According to one variant of the invention, said second temperature is about 650° C. According to one variant of the invention, the first temperature has a slight positive gradient during the first length of time. According to one variant of the invention, the first length of time is about 1 hour, the second length of time being about 2 hours, the temperature increase between said first temperature and said second temperature taking about 4 hours. According to one variant of the invention, the steps for producing the brazed joints are carried out under a secondary vacuum possibly of about 10−5 mbar. According to one variant of the invention, the steps for producing the brazed joints are carried out while maintaining the assembly under a moderate compressive stress, which may be less than about 2 kg/cm2. According to one variant of the invention, the process comprises integrating the support/first junction/converter/second junction/top electrode assembly into a housing, said support being a plate integrated into said housing. According to one variant of the invention, said housing comprises aerating means allowing the oxygen content in said housing to be renewed. According to one variant of the invention, the process furthermore comprises, to produce the brazed joints: assembling the assembly made up of the top electrode, the converter and the support, or the converter and the support, in the presence of an intermediate foil based on gold and indium or mixtures of gold and indium between each of the aforementioned elements; and a brazing and diffusing operation. According to one variant of the invention, the process furthermore comprises producing preliminary gold layers on those faces of said elements which are intended to face each other during the brazing assembly operation so as to promote the adherence of said foils based on gold and indium or mixtures of gold and indium. According to one variant of the invention, the layers are deposited by sputtering. According to one variant of the invention, the process furthermore comprises prior to depositing the gold layers, producing tie layers on the faces of the electrode and/or of the converter and/or of the support. The tie layer may also act as a barrier with respect to migration of the gold and/or indium elements into the materials located on either side of the joints, during the depositions and/or under the temperature and pressure conditions preceding the brazing operations. This thus makes it possible to prevent the deposits from penetrating into the materials before the brazing operation. According to one variant of the invention, the one or more tie layers are based on chrome and/or chrome nickel or titanium. According to one variant of the invention, the process furthermore comprises depositing a protective layer on the indium layer. According to one variant of the invention, the protective layer is based on gold. The present invention will be described in the context of the embodiment of an ultrasonic transducer integrated into a housing, and notably to be used to detect hardware flaws, the presence of gas bubbles, etc. in sodium, a medium that is opaque making optical detection impossible, or to detect noise. The advantage of the present invention notably lies in the constituent materials of the joints produced by brazing, which allow acoustic waves to be transmitted over a wide frequency band. The following stacks of layers were produced on each of the elements: support, converter, top electrode, said support corresponding to the plate of a housing. Thus, as illustrated in FIG. 1: A support 1 is covered with a stack of layers consisting of the following layers: a tie layer 11; a gold layer 21; an indium layer 31; and a protective layer 41. A top electrode 2 also comprises the following stack of layers: a protective layer 42; an indium layer 32; a gold layer 22; and a tie layer 12. A converter possibly consisting of a piezoelectric crystal 3 comprises, on its bottom face, the following stack of layers: a protective layer 43i; an indium layer 33i; a gold layer 23i; and a tie layer 13i, and on its top face a symmetric stack of layers, i.e.: a tie layer 13s; a gold layer 23s; an indium layer 33s; a protective layer 43s. Advantageously, the plate type support and the electrode called the top electrode may be made of steel of various sorts, the most commonly used steel being 304L austenitic steel (optionally cast in vacuo). After assembly, the transducer structure illustrated in FIG. 1b is obtained, FIG. 1b showing the first and second joints J1 and J2 located, respectively, between the support and the converter, on the one hand, and between the converter and the top electrode, on the other hand. The converter may be a disk of: congruent single-crystal Z-cut lithium niobate (axis of the disk lying parallel to the “optical” axis of the crystal) produced by the growth technique called “Czochralski” pulling, the planar faces of the lithium niobate discs are initially polished or clear polished; natural lithium niobate enabling high-temperature operation (theoretical limit of about 1140° C.); lithium-7-enriched lithium niobate produced from lithium-7-enriched lithium carbonate having a lithium-7 isotope content higher than 99.9% and natural niobium oxide, and in addition making operation under a high neutron flux possible; or lithium niobate (natural or lithium-7 enriched) possibly having been subjected, before growth of the crystal, to a heat treatment intended to remove CO2 gas from the raw materials. It will be noted that using 304L steel and the crystal cut called the “Z-cut” allows these two materials to be favorably assembled by brazing, the values of their thermal expansion coefficients being sufficiently similar in the plane of the joint. Advantageously, the four layers deposited in succession on each of the elements may be deposited by vacuum sputtering, and this in one and the same cycle, the vacuum not being broken between the various deposition phases. The deposits (their natures and thicknesses) are identical on each of the faces to be assembled by brazing for reasons of symmetry, the thicknesses possibly also not being identical. Two example stacks of metal layers are given below, the first layer being that deposited on the support or the converter or the electrode. ThicknessLayerFunctionMaterial(microns)1st layerTieChromium0.052nd layerBrazingGold53rd layerBrazingIndium24th layerProtectiveGold0.1 ThicknessLayerFunctionMaterial(microns)1st layerTieNickel/Chromium0.0580/202nd layerBrazingGold53rd layerBrazingIndium24th layerProtectiveGold0.15 The junctions J1 and J2 are produced by virtue of the brazing cycle carried out under the following conditions: the parts to be assembled are positioned metallized face against metallized face, and held under moderate pressure (the value conventionally used is a few 102 g/cm2, less than 2 kg/cm2, for converters between 40 and 15 mm in diameter) throughout the cycle; and the parts thus positioned are subjected to a brazing cycle in an oven under vacuum (i.e. at a pressure lower than or equal to 3×10−5 torr, i.e. 4×10−5 mb or 4×10−3 Pa) without gas flow. The brazing cycle comprises two temperature plateaus illustrated in FIG. 2a, in succession: a low-temperature first plateau P1 notably allowing the indium to melt: 170° C. for a length of time T1 equal to 1 hour, the maintenance of this plateau also making degassing possible; a temperature ramp between 170° C. and 650° C., 4 hours in length; a high-temperature second plateau P2: 650° C. for a length of time T2 equal to 2 hours; and a gradual decrease in temperature. A variant brazing cycle is illustrated in FIG. 2b. Specifically, it will be noted that it is also possible to perform the brazing cycles with gradual increases and not strict plateaus. It is also possible to employ intermediate plateaus in order to make it more likely that the parts to be brazed reach temperature equilibrium. Generally, the four metal layers are deposited in succession without breaking vacuum between two successive depositions, and the deposits (their natures and thicknesses) are identical on the two parts to be assembled by brazing for reasons of symmetry, it not however being absolutely necessary for the layers to have equal thicknesses. The thicknesses of indium and gold intended to form the final gold and indium joint layer may advantageously be in a ratio of 2:5, corresponding to a theoretical indium percentage (indium/(indium+gold)) of about 13% by weight and 20% by number of atoms. The specifics of the gold/indium composition and the brazing temperature/length provide the brazed assembly with a higher melting point and debonding temperature, and therefore, in practice, a higher maximum operating temperature, than that obtained with gold/indium-based bonding methods that use higher indium:gold ratios and that are limited to the “low-temperature” indium-melting plateau, i.e. to temperatures similar to that of the plateau P1, for which methods the melting point and debonding temperature of the assembly are too low for the aforementioned applications. On one face of the support 1, on both faces of the converter 3, and on one face of the top electrode 2, a layer of gold, 11, 13i, 13s and 12, respectively, is deposited as illustrated in FIG. 3 (after preliminary deposition of a tie layer, not shown in FIG. 3) and foils, F1 and F2, of gold and indium (indium foil inserted between two gold foils) or of a mixture of gold and indium are inserted between these various elements (in FIG. 3, F1 and F2 may represent a group of foils). Typically, the indium and gold foils may be about ten microns in thickness. It is then possible to carry out one of the brazing cycles described above in order to obtain the transducer comprising the two gold-and-indium-based joints J1 and J2. The assemblies described above may advantageously be integrated into a TUSHT ultrasonic transducer such as shown in FIG. 4, and intended to be able to operate at very high temperatures, potentially about 900 to 1000° C., making it possible to envisage applications in the main vessel of a sodium-cooled fast-neutron nuclear reactor in all its operating regimes. The converter 3 comprises a planar, piezoelectric, lithium niobate disk possibly and typically having a diameter of 40 mm or 15 mm or 6 mm and a thickness of 0.78 mm. The steel plate 1 is flat and may be 1.2 mm in thickness. This conventional value is nonlimiting, it is nevertheless constrained by the requirement for long-term withstand to sodium exposure. The electrode 2 is also made of steel and is flat and conventionally has a thickness comprised between 1 and 2 mm, these values being nonlimiting. The plate is welded to a housing B, also made of steel. The transducer is equipped with an electrical cable Cel (a coaxial cable for example), the core of which is soldered to the electrode and the external steel cladding of which is soldered to the housing and therefore electrically connected to the plate. A nut 4, screwed into the housing, may be brought to bear against the electrode via a washer made of an electrical insulator (of stumatite for example). This nut may be replaced by any device (spring, etc.) performing the same function. The aim of the nut 4 is: to oppose deformation of the plate that could damage the assembly, under the action of an external pressure for example. The dimensions of the nut are specified under physical temperature and pressure conditions representative of a pressurized water reactor vessel (170 bars, 320° C.). The nut is not necessary when operating under the normal conditions found in the main vessel of a liquid-sodium-cooled fast-neutron reactor (under low pressures the dimensions guarantee operation at 550° C. and 40 bars); and in the version with an unbrazed electrode, to press the electrode against the piezoelectric element (electrical continuity via resistive and/or capacitive contact). The number, position and damping of resonant frequencies are adjusted by modifying the thicknesses of the acoustically coupled materials: plate, piezoelectric element, electrode or plate and piezoelectric element, depending on the variant. A TUSHT may simultaneously possess several resonant frequencies (each possessing its own passband) and be used independently or simultaneously at each of these frequencies, as a transceiver for example, meaning that: it is possible to perform measurements at various axial or lateral resolution scales (directivity), said scales being related to the frequency, via the wavelength of the signals; and it is possible to perform measurements at the one or more frequencies that are most appropriate depending on the attenuation of the acoustic waves by the propagation medium, which in general depends on the frequency and which may be a variable. With standard dimensions, brazed TUSHTs may be used as emitters, as receivers, and as transceivers over a wide frequency range (up to 5 MHz at least). Advantageously, the housing is furthermore equipped with aerating tubes Ta, and electrical insulators Iei. A thin gold layer 51 may be provided on the exterior of the housing on the plate 1, in order to facilitate wetting (in the acoustic sense of transmission of ultrasound) by the propagating medium of the ultrasonic waves Multra, if said medium is liquid sodium at a low temperature (lower than 350° C.) for example. The transducer of the present invention may also advantageously comprise: multistrata assemblies containing one or more piezoelectric disks associated to form a Tonpilz transducer, under mechanical stress, with the aim of increasing the efficiency of the transducer (application to nonlinear acoustic techniques) optionally in association with a focusing plate. It may also be advantageous to assemble multielement transducers (composed of juxtaposed blocks) usable in imaging devices implementing electronic or software-based methods for processing signals from the various elements (summations, combinations, delays, etc.). Production may be carried out in various ways and for example: by initially producing a conventional (single element) brazed support/converter/electrode assembly, then by defining blocks by machining notches (by mechanical sawing or by any other method) into the thickness of the assembly (these notches at least passing through the electrode, even the piezoelectric element and penetrating into the plate); by initially producing a single element support/converter/electrode assembly the brazing metallizations of which (on the piezoelectric element, even on the plate and the electrode) are limited (by masking techniques, etc.) to blocks defining the elements of the transducer, then by mechanically separating the blocks by machining notches; or by individually brazing blocks (piezoelectric material and electrodes) cut out beforehand. Lastly, it will be noted that the brazing assembly technique is adaptable to various geometries: axisymmetric bodies of revolution (disks), sheets (parallelepipedal, for example). |
|
claims | 1. A process for manufacturing a high-temperature ultrasonic transducer, said transducer comprising a steel or metal top electrode a piezoelectric converter, a steel or metal support ensuring the interface between the converter and the propagation medium of the acoustic waves, a first joint between the support and a piezoelectric material, and a second joint between the converter and the top electrode, comprising the following steps to produce said joints:depositing a gold layer then depositing an indium layer on one of the faces of the top electrode, on both faces of the converter, and on one face of the steel support;stacking the support, the converter, and the top electrode, this stack being maintained under pressure; andproducing the indium-and-gold-compound-based first and second joints via a brazing and diffusing operation,said brazing and diffusing operation comprising the following steps:a first step of increasing temperature to a first temperature comprised between about 150° C. and about 400° C. and of maintaining this first temperature for a first length of time corresponding to a first plateau; anda second step of increasing temperature to a second temperature comprised between about 400° C. and about 1000° C. and of maintaining this second temperature for a second length of time corresponding to a second plateau. 2. A process for manufacturing a high-temperature ultrasonic transducer, said transducer comprising a steel or metal top electrode, a piezoelectric converter, a steel or metal support ensuring the interface between the converter and the propagation medium of the acoustic waves, a first joint between the support and a piezoelectric material, and a second joint between the converter and the top electrode, comprising the following steps to produce said joints:depositing a gold layer then depositing an indium layer on a first face of the converter, and on one face of the steel support;the second face of the converter being, independently of the treatment carried out on the face of the electrode, left bare, or covered with a gold layer then an indium layer, or covered with a gold layer or a layer of any other, preferably non-oxidizable, material the electrical and dielectric properties of which are compatible with resistive and/or capacitive, for example, contact electrical coupling of the converter and the electrode;the face of the electrode possibly being, independently of the treatment carried out on the second face of the converter, left bare, or covered with a gold layer then an indium layer, or covered with a gold layer or a layer of any other, preferably non-oxidizable, material the electrical and dielectric properties of which are compatible with resistive and/or capacitive, for example, contact electrical coupling of the electrode and the converter;stacking the support and the converter, this stack being maintained under pressure, said first face of the converter facing said support;producing the indium-and-gold-compound-based first joint via a brazing and diffusing operation,said brazing and diffusing operation comprising the following steps:a first step of increasing temperature to a first temperature comprised between about 150° C. and about 400° C. and of maintaining this first temperature for a first length of time corresponding to a first plateau; anda second step of increasing temperature to a second temperature comprised between about 400° C. and about 1000° C. and of maintaining this second temperature for a second length of time corresponding to a second plateau, stacking the top electrode on the converter; andproducing the second joint by bringing the converter and the top electrode into contact. 3. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 1, wherein the piezoelectric material is lithium niobate. 4. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 1, wherein the atomic percentage of indium is lower than about 35%. 5. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 3, wherein the lithium niobate is a natural niobate or a niobate enriched with the lithium-7 isotope. 6. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 3, wherein the lithium niobate has a Z-cut orientation (Y 90°). 7. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 3, wherein the lithium niobate has a 36° Y-cut orientation or a 163° Y-cut orientation. 8. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 1, wherein said first temperature is above the melting point of pure indium. 9. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 8, wherein said first temperature is about 170° C. 10. The process for manufacturing a transducer as claimed in claim 1, wherein said second temperature is about 650° C. 11. The process for manufacturing a transducer as claimed in claim 1, wherein the first temperature has a slight positive gradient during the first length of time. 12. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 1, wherein the first length of time is about 1 hour, the second length of time being about 2 hours, the temperature increase between said first temperature and said second temperature taking about 4 hours. 13. The process for manufacturing a transducer as claimed in claim 1, wherein the steps for producing the brazed joints are carried out under a secondary vacuum possibly of about 10−5 mbar. 14. The process for manufacturing a transducer according to claim 1, wherein the steps for producing the brazed joints are carried out while maintaining the assembly under a moderate compressive stress, which may be less than about 2 kg/cm2. 15. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 1, further comprising integrating the support/first junction/converter/second junction/top electrode assembly into a housing, said support being a plate integrated into said housing. 16. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 15, wherein said housing comprises aerating means allowing the oxygen content in said housing to be renewed. 17. The process for manufacturing a high-temperature ultrasonic transducer as claimed in claim 1, further comprising, to produce the brazed joints:assembling the assembly made up of the top electrode, the converter and the support, or the converter and the support, in the presence of an intermediate foil based on gold and indium or mixtures of gold and indium between each of the aforementioned elements; anda brazing and diffusing operation. 18. The process for manufacturing a transducer as claimed in claim 17, further comprising producing preliminary gold layers on those faces of said elements which are intended to face each other during the brazing assembly operation so as to promote the adherence of said foils based on gold and indium or mixtures of gold and indium. 19. The process for manufacturing a transducer as claimed in claim 1, wherein the layers are deposited by sputtering. 20. The process for manufacturing a transducer as claimed in claim 1, further comprising prior to depositing the gold layers, producing tie layers on the faces of the electrode and/or of the converter and/or of the support. 21. The process for manufacturing a transducer as claimed in claim 20, wherein the one or more tie layers are based on chrome and/or chrome nickel or titanium. 22. The process for manufacturing a transducer as claimed in claim 1, further comprising depositing a protective layer on the indium layer. 23. The process for manufacturing a transducer as claimed in claim 22, wherein the protective layer is based on gold. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.