patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
053295617
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS As shown in FIG. 1, a duplex tube 1 comprises a tubular core 2 made from a zirconium alloy covered externally by a cladding layer 3 made from a second zirconium alloy, the composition of which differs from the composition of the alloy constituting the core 2. The zirconium alloys constituting the core 2 and the cladding layer 3 of the duplex tube 1 are low-alloy zirconium alloys in which the content of alloying elements is less than 1% by weight for each of these elements. The tubular core 2 and the cladding layer 3 therefore have acoustic properties which are extremely similar to each other. Furthermore, the covering or cladding layer 3 has a small thickness, generally between 60 and 80 .mu.m, the metallic core 2 itself having a thickness slightly less than 600 .mu.m. A duplex tube such as that shown in FIG. 1, used as a jacket for a fuel rod of a pressurized-water nuclear reactor assembly, generally has an external diameter of the order of 10 mm and a length of the order of 4 m. In FIG. 2A, the wall of a duplex tube such as that shown in FIG. 1 has been shown in .section, comprising a tubular core 2 covered by a cladding and covering layer joined to the metallic core along a cylindrical interface surface 4. In order to measure the total thickness of the wall of the Jacket consisting of the core 2 and the cladding layer 3, an ultrasonic transducer 5 is used which emits an ultrasonic-wave beam in the direction of the outer surface of the duplex tube, consisting of the outer surface of the cladding layer 3. The tube 1 is immersed in a coupling medium consisting of a liquid permitting the transmission of the ultrasonic waves emitted by the transducer 5. Part of the ultrasonic-wave beam 6 is reflected by the outer surface of the duplex tube in the form of a beam 6' which is collected by the transducer 5 and converted into an electrical signal which is transmitted to a processing unit 7. The corresponding echo 8 can be displayed on an oscillogram giving an image of its amplitude and of its position against a time scale. The ultrasonic beam 6a transmitted through the wall of the duplex tube is reflected, in the form of a beam 6'a, by the inner surface of the core 2 of the duplex tube. The ultrasonic beam 6'a is collected by the transducer 5 which converts it into an electrical signal and enables it, by virtue of the processing module 7, to be displayed on the oscillogram in FIG. 2B in the form of an echo signal 8a. The time lag between the signal 8 and the signal 8a corresponds to twice the time .delta.T taken for the ultrasonic waves to travel through the wall of the tube 1. It is possible to obtain an approximate value for the total thickness e.sub.8 of the jacket corresponding to the thickness of the wall of the duplex tube by assuming that the speeds of propagation of the ultrasonic waves in the metallic core of the Jacket and in the cladding layer are identical. This method of determination is only approximate, inasfar as the speed of propagation V.sub.p of the longitudinal ultrasonic waves in the cladding material is not identical to the speed of propagation V.sub.a of the ultrasonic waves in the material constituting the core of the duplex tube. On the other hand, the method of measuring directly the propagation time of ultrasonic waves does not permit the measurement of the thickness of the cladding layer e.sub.p, the coefficient of reflection of the acoustic waves at the interface 4 between the cladding layer 3 and the core 2 being very small (generally less than 2%), because the acoustic properties of the materials constituting the cladding layer and the core are extremely similar to each other. Furthermore, the cladding layer has a small thickness as compared with the total thickness of the wall, with the result that the differences in the propagation times to be taken into account are themselves very small. In FIGS. 3A, 3B and 3C, three different embodiments of a Foucault-current device have been shown, permitting the measurement of the thickness of an outer cladding layer of a duplex tube 1 consisting of a metallic core covered with a cladding layer, the metallic core and the cladding layer consisting of two zirconiumalloys containing very small quantities of alloying elements. Small variations in alloying measurements in lowalloy alloys can give rise to very considerable variations in the electrical conductivity of these alloys. For example, in the case of zircaloy, which is a zirconium alloy containing tin, a variation of 1% in the tin content gives rise to a variation in conductivity of the order of 50%. Such variations make it possible to apply the technique of induced currents or Foucault currents in order to check the thickness of a cladding layer whose composition differs from that of the metallic core covered by the cladding layer. It is possible to use, as shown in FIG. 3A, a coil 10 comprising a certain number of turns surrounding the tube 1. The coil is supplied by a multi-frequency sinusoidal exciting current via a current source 11 connected to its terminals. The electrical signals corresponding to the induced currents are processed by a a processing unit 12. In the case of this first embodiment of the device for measurement by Foucault currents, in fact the mean value of the thickness of the cladding is measured, which incorporates the possible variations in thickness at the circumference of the tube, or circumferential variations. The variations in thickness over the length of the coil 10, or axial variations, are likewise incorporated. According to this principle, the measurement is also sensitive to the centering of the tube within the coil constituting the Foucault-current probe, so that this centering, even if carried out optimally, is likely to reduce the accuracy of the measurement. A second measurement technique such as that shown in FIG. 3B consists in using a coil 14, the axis of which has a radial direction with respect to the tube 1. The excitation of the coil by a multi-frequency sinusoidal current, by virtue of a current source 11', and the processing of the signals corresponding to the induced currents by a processing unit 12', are carried out in the same way as in the case of the measurement device shown in FIG. 3A. The device such as that shown in FIG. 3B makes it possible to carry out a localized measurement of the thickness of the cladding of the tube 1. As shown in FIG. 3C, it is also possible to use a plurality of coils 15 similar to the coil 14 shown in FIG. 3B and fixed on a common support 16, so that the coils 15, the axes of which extend radially with respect to the tube 1, are arranged about the tube in regularly distributed circumferential positions. It is thus possible to carry out simultaneously thickness measurements at various points distributed about the circumference of the tube. It is also clear that it is possible to sweep the surface of the tube, for example by displacing the tube axially with respect to the Foucault-current probe, as shown by the arrow 13 in FIG. 3A. The frequency of the sinusoidal exciting signal, and the dimensions of the windings (diameter and height) are determined so as to optimize the sensitivity of the measurements to the variations in thickness of the cladding and to minimize the variations of the measurement signals caused by variations in the distance between the coil and the surface of the tube, constituting an air gap. This air-gap or lift-off effect can be considerably reduced by an appropriate choice of the frequency, as indicated in FR-A-2,534,015. In order to improve the quality of the measurement and, in particular, in order to take into account possible variations in electrical conductivity of the alloys constituting the core and the cladding of the tubes, this electrical conductivity being very sensitive to the composition of the alloys, it is possible to use, in addition to the main exciting frequency as defined above, one or more auxiliary frequencies intended to compensate for variations in composition on a given tube or within a given batch of tubes or within a given casting operation. The invention is therefore characterized by the use of a multi-frequency sinusoidal exciting signal having a main frequency and secondary frequencies. It is possible, in particular, to use a second frequency which is sensitive to the mean variation in conductivity of the alloys constituting the core and the cladding, this second frequency being insensitive, or having a very low sensitivity, to the variations in thickness of the core and of the cladding. It is also possible to use two auxiliary frequencies, one of which is sensitive to the variation in conductivity of the base material constituting the core while at the same time being very slightly sensitive to variations in conductivity of the cladding and to variations in thickness of the core and of the cladding, and the other of which is sensitive only to variations in conductivity of the cladding. It is also possible to use a supplementary frequency to carry out measurements and compensations of the lift-off effect. The probe is excited simultaneously by each of the sinusoidal signals having the frequencies determined in the manner described above, and the phase-measurement and amplitude-measurement signals corresponding to each of the sinusoidal signals of determined frequency are digitized and processed, as indicated above, by a processing module and by data-processing means which make it possible to deduce from these signals the value of the thickness of the cladding. The measurement of the thickness of the cladding is obtained either by analysis of the phase of the signal corresponding to the Foucault currents, this method having the advantage of being less sensitive to the variations in lift-off, or by combined analysis of the phase and the amplitude of the signals corresponding to the Foucault currents. In a general manner, the device used for measuring the thickness of the cladding by Foucault currents comprises: a checking head containing the Foucault-current probes and ensuring the positioning of these probes on the tube, and the precise guidance of the tube, PA1 at least one Foucault-current probe fixed on the checking head, PA1 a source of multi-frequency exciting sinusoidal current, PA1 mechanical means for driving and accurate guidance of the tubes past the checking head, PA1 highly accurate means for checking the linear advance of the tubes and for measuring their axial-position, and PA1 means for the acquisition and the data-processing of the Foucault current measurements carried out. The obtention of an accurate value for the thickness e.sub.p, measured by Foucault currents and measurement of the passage time of a longitudinal ultrasonic wave propagating in the total thickness of the jacket in a direction perpendicular to the surface, as shown in FIGS. 2A and 2B, makes it possible to obtain an accurate value for the total thickness of the Jacket. This total thickness of the Jacket e.sub.g is given by the formula e.sub.g =e.sub.p +(.delta.t-e.sub.p /V.sub.p).times.V.sub.a, in which e.sub.p represents the thickness of the cladding measured by Foucault currents, V.sub.p the speed of the longitudinal ultrasonic waves in the cladding material, V.sub.a the speed of the longitudinal ultrasonic waves in the material of the core of the tube, and .delta.t the propagation time of the ultrasonic wave in the total thickness of the jacket. In this expression, e.sub.p /V.sub.p represents the passage time of the ultrasonic wave in the cladding material, (.delta.t-e.sub.p /V.sub.p) represents the passage time of the ultrasonic wave in the core of the tube, (.delta.t-e.sub.p /V.sub.p).times.V.sub.a represents the thickness of the core, for an axial position of the tube which is perfectly determined by virtue of the means for checking and measuring the axial position. This calculation is, of course, only valid in the case where the speeds V.sub.p and V.sub.a are sufficiently different give rise to significant errors during the measurement and calculation of the thickness of the tube. The invention also permits the detection of flaws in cohesion at the interface between the cladding and the core of the tube. The flaws in cohesion are plane, of negligible thickness and parallel to the surface of the tube. It would therefore be very difficult to detect these flaws by Foucault currents. An ultrasonic detection technique is therefore better suited, although the very small depth of the flaw beneath the surface of the tube corresponding to the thickness of the cladding layer (between 80 and 100 .mu.m) constitutes a difficulty in detection of the flaws in cohesion at the interface. It is possible to use techniques for detection by the reflection of ultrasonic waves which are known per se and which are represented in FIGS. 4A, 5A and 6A and on the corresponding oscillograms of FIGS. 4B, 5B and 6B. The chief disadvantage of these reflection detection techniques lies in the need to use ultrasonic waves at a very high frequency, for example at a frequency greater than 100 MHz, which corresponds to wave lengths in the zirconium of less than 50 .mu.m. According to a first reflection detection technique, represented in FIGS. 4A and 4B, ultrasonic waves are emitted in substantially radial directions with respect to the tube, in other words with a substantially normal incidence. In FIG. 4A, an ultrasonic beam 21 has been shown, reflected on the outer surface of the tube, an ultrasonic beam 22 reflected on a flaw 20 situated at the interface 4 between the cladding layer 3 and the core 2 of the tube, and a beam 23 reflected on the inner surface of the tube, the corresponding echoes 24, 25 and 26 being shown in FIG. 4B. The echo signal 26 reflected by the inner surface of the tube has a smaller amplitude than the signal 24 reflected by the outer surface of the tube. The time lag between these two echoes corresponds to twice the passage time of the ultrasonic waves in the thickness of the tube. The echo signal 25 corresponding to a reflection on a flaw 20 at the interface 4 has a smaller amplitude and a very small time lag compared with the signal reflected on the outer surface of the tube because of the very small thickness of the cladding layer 3. This first method of detection is therefore limited by the fact that the flaw is very close to the outer surface of the tube, and hence by the fact that the corresponding echo 25 can be mixed with the echo 24 which has a large time width due to the effect of the electronic amplification of the ultrasonic signal. A second method, illustrated by FIGS. 5A and 5B, consists in using a beam of ultrasonic waves 27 with oblique incidence so that this beam is first reflected by the inner surface of the tube,,then by the flaw 28 at the interface and again by the inner surface of the tube. In this case, the echo 29 corresponding to the reflection on the flaw 28 after an initial reflection on the inner surface of the tube, followed by a second reflection on the inner surface of the tube, has a considerable time lag compared with the echo 24. Similarly, the echo 29 and the immediately following echo 29 reflected by the inner surface of the tube have a small, equivalent amplitude and time width and can therefore be separated easily. This technique can, however, be difficult to implement depending on the nature of the flaw and insofar as it must be carried out with oblique incidence. It may also be necessary to use an ultrasonic transducer with a separate emitter and receiver. A third measuring method is illustrated by FIGS. 6A and 6B. The checking is carried out from the inside of the tube and the ultrasonic beam is emitted with normal incidence so as to obtain a direct reflection on the flaw 30. The echo 31 corresponding to the reflection on the flaw 20 has a smaller amplitude and a large time lag compared with the signal reflected by the inner surface of the tube. Similarly, this echo 31 and the immediately following echo 31' resulting from the reflection on the outer surface of the tube have small, equivalent amplitudes and time widths and can therefore be separated easily. However, this detection method is difficult to implement in an industrial context, insofar as the checking must be effected from the inside of a tube of small diameter and of great length. It is thus difficult to obtain checking rates which are sufficient for use of the method on an industrial scale. Furthermore, the use of ultrasonic waves with very high frequencies has disadvantages in the case of the use of the method in an industrial environment, insofar as this method is sensitive to electronic interference. FIGS. 7A, 7B, 8A and 8B illustrate a technique for detecting flaws in cohesion at the interface between the cladding layer 3 and the core 4 of a duplex tube 1, by transmission of an ultrasonic wave in the wall of the duplex tube constituting a jacket for a fuel rod, the ultrasonic wave then being reflected on the inner surface of the tube, as can be seen in FIG. 7A which relates to a tube or part of a tube which has no flaw in cohesion. In this case, the oscillogram shown in FIG. 7B has a bottom echo 36, the amplitude of which, although less than the amplitude of the input echo 35, is considerable. The application of the method to a sound material therefore results in a virtually integral transmission of the ultrasonic wave at the interface between the cladding layer 3 and the core 2 of the tube. The reflection at the interface 4 is, in fact, negligible insofar as the acoustic impedances of the materials constituting the cladding layer 3 and the core 2 are very similar. Where a flaw in cohesion 37 exists at the interface 4' between the cladding layer 3' and the core 2' of a duplex tube 1', as shown in FIG. 8A, the ultrasonic wave emitted with a virtually normal incidence with respect to the outer surface of the tube cannot be transmitted, or is transmitted only very partially, at the flaw in cohesion 37 situated at the interface 4'. The ultrasonic energy is dissipated by the successive reflections in the thickness of the cladding layer 3'. A highly attentuated, or even non-existent, bottom echo 36' is then obtained. The input echo 35' is widened and represents the dissipation of the ultrasonic energy by successive reflections in the cladding layer. The method therefore makes it possible to distinguish very easily a sound material from a material having flaws in the cohesion. This transmission detection technique can be applied by using a beam of ultrasonic waves, the frequency of which is located at an interval permitting easier implementation of the detection method compared with the reflection detection methods which have been described above. This range of frequencies can lie, for example, between 10 and 20 MHz. Moreover, it is possible to use the ultrasonic transducer with normal incidence, which has advantages for the ease of implementation of the method. These conditions correspond in practice to those which are currently used in the case of checking the thickness of the wall of a fuel rod jacket. In FIG. 9, an ultrasonic transducer, or sensor 40, has been shown which makes it possible to detect flaws in cohesion at the interface of a duplex tube 1. The sensor 40 is designed as to obtain an optimized focusing of the ultrasonic beam 41. Since the flaws in cohesion at the interface of the duplex tube 1 are flaws which are elongated in the direction parallel to the axis of the tube and have a surface parallel to the surface of the tube, it is desired to obtain a focal spot 42 of oblong shape, the longitudinal axis of which extends accurately in a direction parallel to the axis of the tube. The surface 43 of the focusing lens of the sensor has the shape of a cylindrical sector, and the optimum adjustment of the focal spot is obtained by adjusting the orientation of the sensor so that the bottom echo (36 in FIG. 7B) has a maximum amplitude. Furthermore, the sensor must have a wide pass band, which is obtained by high damping. Very narrow echoes are thus obtained and, moreover, the input echo (35 in FIG. 7B) is clearly separated from the bottom echo (36 in FIG. 7B). A better display of the time widening of the input echo (echo 35' in FIG. 8B) upon passage over a flaw in cohesion such as the flaw 37 (FIG. 8A) is also obtained. The sensor 40 is mounted on a mechanical displacement assembly (not shown), which makes it possible to effect a fine adjustment of the focusing of the sensor, of the alignment of the focal spot with respect to the axis of the tube, of the height of the coupling liquid such as water, in other words the distance between the sensor and the tube, and of the incidence of the beam, and, to achieve an accurate guidance of the tube as it passes by in the direction of its axis beneath the ultrasonic sensor 40. The invention, in its various embodiments, therefore makes it possible to check simply, quickly and accurately the thickness and the cohesion of the interface of a duplex tube by using simultaneously ultrasonic checking techniques and Foucault-current checking techniques. The implementation of the device according to the invention can easily be achieved industrially, on a very large number of tubes of great length and of small diameter. It is possible to use ranges of frequencies of the ultrasonic waves which are different from those which have been mentioned and transducers having a form, a structure and dimensions which are adapted to the tubes to be checked. These transducers or sensors can be associated with mechanical adjustment means of any type. The tube can be displaced in its longitudinal direction with respect to the sensor by guide means and drive means of any type. The position of the tube and on the zone being checked can be determined accurately by any suitable means. It is likewise clear that devices can be used for measuring the thickness of the cladding layer by Foucault currents of a type different from those which have been described. The processing modules and the data-processing means associated with the ultrasonic checking sensor and with the Foucault-current measuring means can consist of conventional components which digitize and process the signals, calculate the thickness, display the results in any form and indicate the presence of flaws in the form of easily recognizable messages. Lastly, the invention applies to the checking of any duplex tube used as a jacket element for fuel rods of assemblies for nuclear reactors or in any other fields of industry. Similarly, these types of checking can be applied even more easily to larger tube diameters and thicknesses; the upper limit is fixed by the Foucault-current technique for measuring the thickness of the cladding, and this limit thickness is generally approximately 2 mm in the case of the abovementioned zirconium alloys.
description
This patent application claims priority to U.S. Provisional Patent Application No. 60/857,954, filed Nov. 8, 2006, which is hereby incorporated by reference herein in its entirety. This patent application is related to U.S. patent application Ser. No. 11/342,183, filed Jan. 26, 2006, which is hereby incorporated by reference herein in its entirety. The present disclosure relates generally to semiconductor manufacturing and, more particularly, to techniques for forming shallow junctions. Ion implantation is a process of depositing chemical species into a substrate by direct bombardment of the substrate with energized ions. In semiconductor manufacturing, ion implanters are used primarily for doping processes that alter the type and level of conductivity of target materials. A precise doping profile in an integrated circuit (IC) substrate and its thin-film structure is often crucial for proper IC performance. To achieve a desired doping profile, one or more ion species may be implanted in different doses and at different energies. FIG. 1 depicts a traditional ion implanter system 100 in which a technique for low-temperature ion implantation may be implemented in accordance with an embodiment of the present disclosure. As is typical for most ion implanter systems, the system 100 is housed in a high-vacuum environment. The ion implanter system 100 may comprise an ion source 102, biased to a potential by power supply 101, and a complex series of beam-line components through which an ion beam 10 passes. The series of beam-line components may include, for example, extraction electrodes 104, a 90° magnet analyzer 106, a first deceleration (D1) stage 108, a 70° magnet collimator 110, and a second deceleration (D2) stage 112. Much like a series of optical lenses that manipulate a light beam, the beam-line components can filter and focus the ion beam 10 before steering it towards a target wafer. During ion implantation, the target wafer is typically mounted on a platen 114 that can be moved in one or more dimensions (e.g., translate, rotate, and tilt) by an apparatus, sometimes referred to as a “roplat.” With continued miniaturization of semiconductor devices, there has been an increased demand for ultra-shallow junctions. For example, tremendous effort has been devoted to creating better activated, shallower, and more abrupt source-drain extension junctions to meet the needs of modern complementary metal-oxide-semiconductor (CMOS) devices. To create an abrupt, ultra-shallow junction in a crystalline silicon wafer, for example, an amorphization of the wafer surface may be desirable. Generally, a relatively thick amorphous silicon layer may be preferred since a thin amorphous layer may allow more significant channeling, and so a deeper as-implanted dopant atoms depth distribution and more post-implant damage residing in an end-of-range area beyond the amorphous-crystalline interface. As a result, a thinner amorphous layer may lead to a deeper junction depth, a less abrupt doping profile, an inadequate activation of dopants, and more end-of-range defects after anneal, all of which represent major obstacles in modern CMOS device miniaturization, especially for source-drain extension doping. Amorphization of a silicon wafer can be achieved with a pre-amorphization implant (PAI) process. So far, silicon, germanium, or inert gas atomic ions and some exotic molecular ion species have been used in PAI processes. To further ensure the formation of a shallow yet abrupt junction, a low-thermal-budget anneal is often performed as a preferred post-implant process wherein the temperature of a wafer is ramped up to a high level in a very short time (e.g., to 1000° C. within 5 seconds). A laser or a flash lamp may also be employed for the post-implant anneal. However, the diffusion-less anneal alone may not be enough to prevent all the ion-implanted dopants from diffusing deeper into the wafer. A process known as transient enhanced diffusion (TED), which is driven by excess silicon interstitials created during dopant implantation, can cause a significant amount of certain dopants (e.g., boron, phosphorous) to diffuse further into the wafer. It is possible for the diffusion coefficient of the ion-implanted dopants to increase temporarily by orders of magnitude until the implant damage has been annealed out. It has been discovered that certain species such as carbon (C) and fluorine (F) may reduce the TED effect by reducing the interaction between interstitials and dopant atoms. One existing approach employs a cluster implantation process to place carbon into silicon wafers in order to reduce the TED effect. However, this approach requires not only proprietary cluster implantation equipment, but also exotic, proprietary hydrocarbon molecules as feed materials. Another approach uses atomic species as co-implant materials. In view of the foregoing, it would be desirable to provide techniques for forming shallow junctions which overcomes the above-described inadequacies and shortcomings. Techniques for forming shallow junctions are disclosed. In one particular exemplary embodiment, the techniques may be realized as a method for forming shallow junctions. The method may comprise generating an ion beam comprising molecular ions based on one or more materials selected from a group consisting of: digermane (Ge2H6), germanium nitride (Ge3N4), germanium-fluorine compounds (GFn, wherein n=1, 2, or 3), and other germanium-containing compounds. The method may also comprise causing the ion beam to impact a semiconductor wafer to amorphize at least one portion of the semiconductor wafer prior to ion implantation of dopants into the semiconductor wafer. In accordance with other aspects of this particular exemplary embodiment, the method may further comprise the steps of performing a first ion implantation on the semiconductor wafer to incorporate the dopants into the amorphized portion of the semiconductor wafer, and performing a second ion implantation on the semiconductor wafer to place one or more co-implant species in the semiconductor wafer, the one or more co-implant species being implanted with molecular ions based on one or more materials selected from a group consisting of CF, CF2, ZnCxFy, and CxHyZn wherein Z represents one or more atomic species other than carbon or hydrogen. In accordance with further aspects of this particular exemplary embodiment, the method may further comprise the steps of performing a first ion implantation on the semiconductor wafer to place one or more co-implant species in the semiconductor wafer, the one or more co-implant species being implanted with molecular ions based on one or more materials selected from a group consisting of CF, CF2, ZnCxFy, and CxHyZn, wherein Z represents one or more atomic species other than carbon or hydrogen, and performing a second ion implantation on the semiconductor wafer to incorporate the dopants into the amorphized portion of the semiconductor wafer. In accordance with additional aspects of this particular exemplary embodiment, the method may further comprise performing ion implantation on the semiconductor wafer to incorporate the dopants into the amorphized portion of the semiconductor wafer, wherein, at least at the beginning of the ion implantation, a temperature of the semiconductor wafer is substantially lower than room temperature. The temperature of the semiconductor wafer may be lower than zero degree Celsius. The semiconductor wafer may be pre-chilled to a desired temperature prior to the ion implantation. Alternatively, the temperature of the semiconductor wafer may be maintained at a desired temperature during at least a portion of the ion implantation. In another particular exemplary embodiment, the techniques may be realized as a method for forming shallow junctions. The method may comprise generating an ion beam comprising molecular ions based on one or more materials selected from a group consisting of ZnCxFy and CxHyZn, wherein Z represents one or more atomic species other than carbon or hydrogen. The method may also comprise causing the ion beam to impact a semiconductor wafer to amorphize at least one portion of the semiconductor wafer prior to ion implantation of dopants into the semiconductor wafer. In accordance with other aspects of this particular exemplary embodiment, the impact by the ion beam may further cause one or more co-implant species selected from a group consisting of carbon and fluorine to be placed in one or more predetermined locations in the semiconductor wafer. In accordance with further aspects of this particular exemplary embodiment, the method may further comprise performing a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into the amorphized portion of the semiconductor wafer. In yet another particular exemplary embodiment, the techniques may be realized as an apparatus for forming shallow junctions. The apparatus may comprise an ion source assembly to generate an ion beam comprising molecular ions based on one or more materials selected from a group consisting of: digermane (Ge2H6), germanium nitride (Ge3N4), germanium-fluorine compounds (GFn, wherein n=1, 2, or 3), and other germanium-containing compounds. The apparatus may also comprise one or more beam-line components to cause the ion beam to impact a semiconductor wafer to amorphize at least one portion of the semiconductor wafer prior to ion implantation of dopants into the semiconductor wafer. In accordance with other aspects of this particular exemplary embodiment, the apparatus may be further configured to perform a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into the amorphized portion of the semiconductor wafer. In still another particular exemplary embodiment, the techniques may be realized as an apparatus for forming shallow junctions. The apparatus may comprise an ion source assembly to generate an ion beam comprising molecular ions based on one or more materials selected from a group consisting of ZnCxFy and CxHyZn, wherein Z represents one or more atomic species other than carbon or hydrogen. The apparatus may also comprise one or more beam-line components to cause the ion beam to impact a semiconductor wafer to amorphize at least one portion of the semiconductor wafer prior to ion implantation of dopants into the semiconductor wafer. In accordance with other aspects of this particular exemplary embodiment, the impact by the ion beam may further cause one or more co-implant species selected from a group consisting of carbon and fluorine to be placed in one or more predetermined locations in the semiconductor wafer. In accordance with further aspects of this particular exemplary embodiment, the apparatus may be further configured to perform a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into the amorphized portion of the semiconductor wafer. In a further particular exemplary embodiment, the techniques may be realized as a method for forming shallow junctions. The method may comprise generating an ion beam comprising molecular ions based on one or more carbon- or fluorine-containing molecules that are selected from a group consisting of: CF, CF2, ZnCxFy and CxHyZn, wherein x, y and n are each positive integers, and wherein Z represents one or more atomic species other than carbon, fluorine or hydrogen. The method may also comprise causing the ion beam to impact a semiconductor wafer to place one or more co-implant species selected from a group consisting of carbon and fluorine at predetermined locations in the semiconductor wafer. In accordance with other aspects of this particular exemplary embodiment, the method may further comprise performing a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into the semiconductor wafer. The present disclosure will now be described in more detail with reference to exemplary embodiments thereof as shown in the accompanying drawings. While the present disclosure is described below with reference to exemplary embodiments, it should be understood that the present disclosure is not limited thereto. Those of ordinary skill in the art having access to the teachings herein will recognize additional implementations, modifications, and embodiments, as well as other fields of use, which are within the scope of the present disclosure as described herein, and with respect to which the present disclosure may be of significant utility. Embodiments of the present disclosure may reduce undesired migration of ion-implanted dopants through one or more auxiliary ion implantation processes. Prior to dopant implantation, a pre-amorphization implantation (PAI) may be performed on a target wafer with a molecular ion beam generated from one or more germanium-containing molecules. In addition to the dopant implantation, carbon- or fluorine-containing molecular ions may be co-implanted to place carbon or fluorine species into desired portions of the target wafer to mitigate TED effects. In some embodiments, the PAI and co-implant steps may be merged into one if a suitable molecular ion species is employed. The techniques disclosed herein are not limited to beam-line ion implanters, but are also applicable to other types of ion implanters such as those used for plasma doping (PLAD) or plasma immersion ion implantation (PIII). Referring to FIG. 2, there is shown a flow chart illustrating an exemplary method of forming shallow junctions in accordance with an embodiment of the present disclosure. In step 202, molecular ions may be generated based on digermane (Ge2H6), germanium nitride (Ge3N4), or other similar germanium- and/or silicon-containing compounds. The generation of these molecular ions may take place in an indirectly-heated cathode (IHC) ion source or another type of ion source. The molecular ions may then be extracted to form a molecular ion beam. Ge2H6 and/or Ge3N4 may be preferred over the commonly used germanium fluoride (GeF4) because the latter tends to cause ion source maintenance problems or shorten the ion source lifetime. In step 204, a pre-amorphization implant (PAI) may be performed on a target wafer (e.g., a crystalline silicon wafer) with the molecular ion beam which contains germanium or silicon species. The energy and dose of the molecular ion beam may be controlled such that a portion of the target wafer will be amorphized. The amorphized portion may extend from a surface of the target wafer to a predetermined depth. The PAI step breaks up crystalline structure in the amorphized portion of the target wafer, thereby reducing channeling of ion-implanted dopants. The PAI step may also be used to control a damage profile in the target wafer which in turn may influence post-implant diffusion, dopant activation, and other aspects of final device performance such as leakage current. PAI with a molecular ion beam may be advantageous over PAI with an atomic ion beam due to higher productivity (as a result of either higher effective beam currents or fewer processing steps), faster damage accumulation, and other process advantages. In step 206, ion implantation may be performed to incorporate dopant species into the target wafer. The dopant implantation may involve one or more dopant species and different recipes (i.e., energy, dose, angle). The dopants are typically implanted into the amorphized portion of the target wafer. Optionally, in step 210, the target wafer may be cooled or pre-chilled to accommodate a low-temperature implant of the dopants. For example, a temperature management system may be implemented to keep the target wafer substantially below room temperature during the dopant implantation. Alternatively or additionally, the target wafer and/or its platen may be pre-chilled to a low temperature prior to the start of the dopant implantation. In step 208, carbon- or fluorine-containing molecules may be co-implanted to place carbon or fluorine (“co-implant species”) into the target wafer. Preferably, these co-implant species are located near an end-of-range (EOR) region to form a barrier between the EOR damage and dopant atoms. The co-implanted co-implant species may thus help prevent TED effects from driving dopants deeper into the target wafer. The carbon- or fluorine-containing co-implant species may include but are not limited to: CF, CF2, ZnCxFy, and CxHyZn, wherein x, y and n are each positive integers. Here, Z may represent a single atom or a group of atoms (such as N, Si, NH4). Examples of CxHyZn may include C9H14Si and C7H17N. Z may be chosen because it has a benefit to the overall ion implantation process, or because it has no effect on the process. If Z causes any impairment to the process, it may still be chosen if the impairment can be offset by other advantages. One criterion for choosing a particular Z element/composition may be to improve ion beam generation, such as allowing operation in standard ion sources rather than requiring a specialized source. By implanting separately from the dopant species, the profile of the co-implant species may be optimized. For example, a carborane (C2B10H12) implant (with a boron equivalent energy of 500 eV) has a very similar as-implanted carbon profile to the boron profile. Further reductions in post-anneal boron profile may be achieved if a second carbon implant of higher energy (for example 6 keV) is carried out to place carbon between boron dopants and EOR damage from the PAI step. According to other embodiments, it may be advantageous to select a feed material molecule that contains both a co-implant species and a desired dopant species. For example, in feed materials ZnCxFy or CxHyZn, if the Z atom or atom group is chosen to contain a dopant atom such as boron (B), the co-implant step will also cause the dopant atoms to be simultaneously implanted, thereby possibly reducing a dopant implant step. It should be noted that step 206 does not have to precede step 208. The co-implant step (208) may occur either concurrently with or precede the dopant implantation step (206) or not happen at all. In step 212, post-implant processing of the target wafer may be performed. The post-implant processing typically involves a rapid thermal anneal or a pulsed laser anneal. The post-implant anneal may repair the crystal damages caused by the PAI step and at the same time activate the ion-implanted dopants. FIG. 3 shows a flow chart illustrating another exemplary method of forming shallow junctions in accordance with an embodiment of the present disclosure. In step 302, molecular ions may be generated based on ZnCxFy, CxHyZn, or other similar molecules, wherein x, y and n are each positive integers. The feed materials ZnCxFy or CxHyZn, may be chosen to have sufficient molecular weight and contain at least one of carbon or fluorine species. The generation of these molecular ions may take place preferably in a conventional ion source such as an IHC ion source, although other types of ion sources may also be used. One example of CxHyZn is carborane (C2B10H12). The molecular ions may then be extracted to form a molecular ion beam. In step 304, a pre-amorphization implant (PAI) may be performed on a target wafer (e.g., a crystalline silicon wafer) with the molecular ion beam. The energy and dose of the molecular ion beam may be controlled such that a portion of the target wafer will be amorphized. In addition, the molecular ion beam may at the same time cause carbon or fluorine species (“co-implant species”) to be placed in the target wafer in order to mitigate TED effects. That is, the PAI step and the co-implant step are effectively combined into one step (304). Alternatively, the PAI process may be carried out in two or more steps with different doses, energies, and/or angles of the molecular ion beam, such that a desired profile of co-implant species may be achieved. In step 306, ion implantation may be performed to incorporate dopant species into the target wafer. The dopant implantation may involve one or more dopant species and different recipes (i.e., energy, dose, angle). The dopants are typically implanted into the amorphized portion of the target wafer. Optionally, in step 308, the target wafer may be cooled or pre-chilled to accommodate a low-temperature implant of the dopants. Finally, in step 310, post-implant processing of the target wafer may be performed to repair damages to the crystal lattice and to activate the dopants. FIG. 4 shows a portion of a silicon wafer 402 as it undergoes exemplary processing steps in accordance with an embodiment of the present disclosure. FIG. 4(a) shows the silicon wafer 402 prior to any ion implantation where the silicon crystal lattice may be intact. The silicon wafer 402 is masked with a layer of patterned photoresist 401 to shield those areas that do not need doping. FIG. 4(b) shows the silicon wafer 402 after a pre-amorphization implant (PAI), for example, by a molecular ion beam containing silicon, germanium or other molecular compounds as described above. As a result of the PAI step, a portion of the silicon wafer 402 may have become amorphized, creating an amorphous silicon region 404. FIG. 4(c) shows the silicon wafer 402 after it has further undergone a dopant implant step and a carbon co-implant step. As shown, the dopant implant step, which may be based on either an atomic ion beam or a molecular ion beam, has incorporated dopants 406 into the amorphous silicon region 404. In addition, the carbon co-implant step, which may be based on the carbon-containing co-implant species as described above, has placed carbon species 408 near the boundary between the amorphous silicon region 404 and the underlying crystalline silicon region of the silicon wafer 402. FIG. 4(d) shows the silicon wafer 402 after it is subject to a post-implant diffusion-less anneal step. The diffusion-less anneal step has restored the amorphous silicon region 404 back to a crystalline state. The dopants 406 may become activated and diffuse. The co-implanted carbon species 408 may help confine the dopants 406 to a shallow surface region (404). According to embodiments of the present disclosure, many hydrocarbon species (CnHm, wherein n and m are positive integers) may be suitable for either PAI or co-implant processes (or a PAI-plus-co-implant combination process) as described above. So far, some exotic, proprietary hydrocarbon molecules, produced from an electron-impact type ion source, have been used as feed materials for low-energy carbon implant. More preferably, however, desired hydrocarbon molecular ions (CnHm) may be generated in standard ion sources, such as IHC-type, Bernas-type, or Freeman-type ion sources. Alternatively, radio frequency (RF) or microwave powered ion sources may be used to generate the desired hydrocarbon molecular ions. The techniques for forming shallow junctions as described herein may be advantageously combined with low-temperature ion implantation techniques. For example, a target wafer may be either pre-chilled or continuously cooled such that its temperature stays substantially below room temperature during any of the PAI, co-implant and dopant implant steps. The present disclosure is not to be limited in scope by the specific embodiments described herein. Indeed, other various embodiments of and modifications to the present disclosure, in addition to those described herein, will be apparent to those of ordinary skill in the art from the foregoing description and accompanying drawings. Thus, such other embodiments and modifications are intended to fall within the scope of the present disclosure. Further, although the present disclosure has been described herein in the context of a particular implementation in a particular environment for a particular purpose, those of ordinary skill in the art will recognize that its usefulness is not limited thereto and that the present disclosure may be beneficially implemented in any number of environments for any number of purposes. Accordingly, the claims set forth below should be construed in view of the full breadth and spirit of the present disclosure as described herein.
054232198
claims
1. An inspection device for examining defects in a welded section of a fuel rod comprising: (a) a rotating member freely rotating around a rotation axis, said rotating member having an inspection section within which said fuel rod is at least partially disposed during inspection; (b) a liquid supplying means for filling a liquid medium in said inspection section; (c) a plurality of ultrasonic probes disposed on said rotating member having said inspection section, and wherein said inspection section is disposed radially inside of said plurality of probes in said rotating member. (a) a rotating member freely rotating around a rotation axis and having an inspection section within which said fuel rod is at least partially disposed during inspection; (b) a plurality of ultrasonic probes disposed on said rotating member having said inspection section, and wherein said inspection section is disposed radially inside of said plurality of probes in said rotating member; (c) a non-rotating lid member provided opposite to and in sliding contact with an opening section of said rotating member, and wherein said fuel rod passes through a hole disposed on said non-rotating lid member; (d) said non-rotating lid member including at least one liquid supply passage through which liquid is supplied to said inspection section and at least one liquid collection passage through which liquid is removed from said inspection section. 2. A device as claimed in claim 1, further comprising a chuck mechanism for holding the fuel rod immobile. 3. A device as claimed in claim 1 wherein said rotation axis is arranged horizontal. 4. A device as claimed in claims 2, wherein said chuck mechanism holds fuel rod coaxially to said rotating member. 5. A device as claimed in claim 1, wherein said device is provided with a transport device which moves said rotating member in an axial direction relative to said fuel rod along the rotation axis. 6. A device as claimed in any of claims 1 to 5, wherein a non-rotating lid member is provided opposite to and in sliding contact with an opening section of said rotating member for supporting said fuel rod which passes through a through hole disposed on said non-rotating lid member. 7. A device as claimed in claim 6, wherein said through hole is provided with: a seal member which envelopes said fuel rod tightly; and a lid member which closes said through hole and swings inside the inspection section to open when the fuel rod is inserted through said through hole. 8. A device as claimed in claim 6, wherein said liquid supply means is disposed on a lower part of said non-rotating lid member, and a liquid collection means for collecting liquid flowing out of said inspection section is disposed on an upper portion of said inspection section disposed on an upper portion of said non-rotating lid member. 9. A device as claimed in claim 8, wherein a plurality of liquid flow passages are provided on a rotating lid member at an outer periphery of said rotating lid member; and liquid supply passages are provided opposite to each of said liquid flow passage disposed on the lower part of said non-rotating lid member for supplying liquid to said inspection section of said rotating member; and the liquid collection passages are provided on the upper part of said non-rotating lid member opposite to each of said liquid flow passages for collecting liquid flowing out of said inspection section of said rotating member; wherein the diameters of each of said liquid supply passages and liquid collection passages are larger than the distance between two adjacent liquid flow passages. 10. An inspection device for examining defects in a welded section of a fuel rod comprising: 11. The inspection device of claim 10, further including a rotating lid disposed on said rotating member, said rotating lid including a plurality of liquid flow passages which communicate with said at least one liquid supply passage and said at least one liquid collection passage of said non-rotating lid member. 12. The inspection device of claim 10, further including a transport device which moves said rotating member in an axial direction relative to said fuel rod along the rotation axis. 13. The inspection device of claim 1, wherein said inspection section is in the form of a chamber having a first closed end and a second end axially spaced from said first end, with said second end including a lid having liquid flow passages therein.
052326586
summary
The invention relates to a fuel assembly for a boiling water reactor, having an elongated box extending between a top part and a bottom part, outer surfaces of the box being flat and defining a polygonal outer cross section of the box with rounded corners, and a bundle of fuel rods disposed in the box, the fuel rods being aligned parallel to one another and to the longitudinal axis of the box and being disposed beside one another in rows parallel to the walls of the box. Boiling water reactors contain a core configuration that has a flow of coolant, which also serves as a moderator, from bottom to top, and a plurality of fuel assemblies disposed side by side and parallel to one another in the flow. Each fuel assembly includes an elongated box extending between a top part and a bottom part. The top and bottom parts have flow openings for the coolant. In the interior of the box, there is a bundle of fuel rods, which are aligned parallel to one another and to the longitudinal axis of the box and are disposed side by side in rows parallel to the walls of the box. In interstices between individual fuel assembly boxes, there are absorber elements, which are moved axially into and out of the interstices in order to control the nuclear reaction. The fuel assemblies themselves are packed as tightly as possible in a core zone, but the absorber elements define a minimum spacing between the fuel assemblies. Care must also be taken to ensure that the coolant is introduced through the bottom parts into the interior of the fuel assemblies at a considerable pressure, and the box walls must therefore have a certain mechanical strength, so that during reactor operation they will not bulge outward excessively, which could hinder the mobility of the absorber rods. On the other hand, the box walls should attenuate the neutron flow in the core zone as little as possible, so that limits exist for both the minimum thickness of the box wall and for the selection of the stable material that is required. Usually, the core zone of a boiling water reactor has a geometry in which the outer surfaces of the fuel assembly boxes define a polygonal box cross section with rounded corners. As already mentioned, the interstice between the outer surfaces of adjacent fuel assemblies is defined by the absorber elements disposed at that location, and minimum dimensions also arise for the radius of curvature of the rounded corners, which are determined by measuring lances or other reactor components. If the box therefore has a uniform wall thickness, then the geometry of the reactor core and the wall thickness required for mechanical strength also defines the available space in the interior of the box for the fuel rod bundle. The fuel rods are disposed as regularly as possible in the interior of the box. For instance, in a common commercial reactor type with a square box cross section, they are disposed in nine lengthwise rows and nine crosswise rows at right angles to the lengthwise rows. The lengthwise and crosswise rows each extend parallel to the box walls. However, from the standpoint of a low mean linear rod power, it is advantageous to make the individual fuel rods thinner and instead to provide more fuel rods, for example 10 or even 11 lengthwise and crosswise rows of fuel rods. However, configurations of this kind with 10.times.10 or 11.times.11 fuel rods, with the conventional square basic pattern, have a disadvantageous small spacing between the fuel rods of one row (called the "fuel rod pitch"), and also have a disadvantageous wider spacing of the outermost fuel rod row from the inner wall of the fuel element box, unless the corner fuel rods disposed in the corners are moved impermissibly close to the rounded corners. Fuel element boxes have already been proposed that still have ribs provided on the outside in the region of the rounded corners, in order to increase the stability of the box, although they do have a square internal cross section and a relatively small wall thickness. However, that does mean that less neutron-absorbing material overall is needed for the box, and since, as already explained, there is a predetermined radius of curvature of the rounded corners, the difficulties described, which arise at the transition from fuel assemblies with 9.times.9 fuel rods to fuel assemblies with 10.times.10 or 11.times.11 rods, are not overcome. It is accordingly an object of the invention to provide a fuel assembly for a boiling water reactor with a reinforced box, which overcomes the hereinafore-mentioned disadvantages of the heretofore-known devices of this general type and which does so by improving the geometry of the fuel assembly. With the foregoing and other objects in view there is provided, in accordance with the invention, a fuel assembly for a boiling water reactor, comprising an elongated box extending between a top part and a bottom part, having box walls with flat outer surfaces defining a polygonal outer cross section with rounded corners, an interior and a longitudinal axis, a bundle of fuel rods being disposed in the box and aligned parallel to one another and to the longitudinal axis of the box, the fuel rods being disposed beside one another in rows parallel to the box walls, the box walls having reinforcements protruding into the interior in the vicinity of the rounded corners defining a relatively thickened or increased wall thickness, and the box walls having a relatively reduced wall thickness between the reinforcements. In accordance with another feature of the invention, the bundle of fuel rods has no fuel rod at intersections of two of the rows of fuel rods adjacent to two of the box walls bordering one another at the rounded corners. In accordance with a further feature of the invention, two of the fuel rods in the rows within the bundle are spaced apart by a minimum spacing being equal to a spacing between two fuel rods in a row parallel to one of the box walls. In accordance with an added feature of the invention, the fuel rods of two adjacent rows are staggered relative to one another in the direction of the box walls. In accordance with an additional feature of the invention, the relatively reduced wall thickness is less than approximately 2.4 mm and preferably between 1.5 and 1.7 mm, and the relatively increased wall thickness in the vicinity of the rounded corners is between approximately 2.5 and 3.0 mm and preferably 2.7 to 2.9 mm. With the objects of the invention in view, there is also provided, in a boiling water reactor having a core zone with a given geometry and a plurality of fuel assemblies, each of the fuel assemblies comprising an elongated box having box walls with flat outer surfaces defining a polygonal outer cross section with rounded corners, an interior and a longitudinal axis, the outer cross section being defined by the given geometry of the core zone, a bundle of fuel rods being disposed in the box and aligned parallel to one another and to the longitudinal axis of the box, the fuel rods being disposed beside one another in rows parallel to the box walls, the box walls having reinforcements protruding into the interior in the vicinity of the rounded corners defining a relatively increased wall thickness, and the box walls having a relatively reduced wall thickness between the reinforcements. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a fuel assembly for a boiling water reactor with a reinforced box, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.
description
The present invention relates to a lithographic apparatus, a radiation system, a device manufacturing method, and a radiation generating method. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate. To image smaller features, it has been proposed to use extreme ultraviolet radiation (EUV) with a wavelength in the range of 5-20 nanometers, in particular, 13.5 nanometers, or a charged particle beam, e.g. an ion beam and an electron beam, as the exposure radiation in a lithographic apparatus. These types of radiation need the beam path in the apparatus to be evacuated to avoid absorption. Since there are no known materials suitable to make a refractive optical element for EUV radiation, EUV lithographic apparatus use mirrors in the radiation, illumination and projection systems. Such mirrors are highly susceptible to contamination, thereby reducing their reflectivity and hence the throughput of the apparatus. Further, sources for EUV may produce debris whose entry into the illumination system should be minimized. In order to reduce the chance of debris entering the illumination system, contaminant traps may be used. Such traps are disposed in the radiation system downstream of the source. The traps comprise elements that provide a surface on which debris can deposit. Conventional radiation systems may also comprise a collector which collects the radiation beam. It has been found that debris may also deposit on elements in the collector. The deposit of debris on the collector significantly reduces its operational lifetime before it must be cleaned. It has been found that as the temperature of elements in the contaminant trap increases, the greater the contamination, and hence, the shorter the lifetime of the collector. This is because it has been found that at higher temperatures, the elements of the contaminant trap may become secondary sources of contamination. In particular, certain debris on the elements may be vaporized. The vaporized debris then goes on to further contaminate the collector. Further, in applying more powerful EUV sources causing increasing heat loads, contaminant trap elements may melt and/or vaporize, which may cause a collapse of the entire debris barrier. It is desirable to counteract the effects of a high temperature realized by the contaminant trap. According to an aspect, there is provided a lithographic apparatus comprising a radiation system constructed to provide a beam of radiation from radiation emitted by a radiation source. The radiation system comprises a contaminant trap configured to trap material emanating from the radiation source. The contaminant trap comprises a contaminant engaging surface arranged in the path of the radiation beam that receives the material emanating from the radiation source during propagation of the radiation beam in the radiation system, and a liquid tin cooling system constructed to cool the contaminant trap with liquid tin. The apparatus also comprises an illumination system configured to condition the radiation beam, a support constructed to support a patterning device, the patterning device being configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate table constructed to hold a substrate, and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. According to an aspect, there is provided a radiation system constructed to provide a beam of radiation from radiation emitted by a radiation source. The radiation system comprises a contaminant trap configured to trap material emanating from the radiation source. The contaminant trap comprises a contaminant engaging surface arranged in the path of the radiation beam that receives the material emanating from the radiation source during propagation of the radiation beam in the radiation system. The radiation system further comprises a liquid tin cooling system constructed to cooling the contaminant trap with liquid tin. According to an aspect, there is provided a device manufacturing method trapping material emanating from a radiation source using a contaminant trap comprising a contaminant engaging surface arranging the surface in a radiation beam emitted by the radiation source, cooling the contaminant trap with liquid tin, conditioning the radiation beam, imparting the radiation beam with a pattern in its cross-section using a patterning device to form a patterned radiation beam, and projecting the patterned radiation beam onto a target portion of a substrate. According to an aspect, there is provided a radiation generating method comprising trapping material emanating from a radiation source using a contaminant trap comprising a contaminant engaging surface by arranging the surface in a radiation beam emitted by the radiation source, and cooling the contaminant trap with liquid tin. FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or visible light radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, configured to direct, shape, or control radiation. The support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as desired. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system.” As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be of a transmissive type (e.g. employing a transmissive mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure. Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if desired, may be referred to as a radiation system. The illuminator IL may comprise an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as desired after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 and depict a schematic cross sectional view and a schematic perspective view, respectively, of a contaminant trap 10 comprised in a radiation system according to an embodiment. The radiation system is constructed to provide a beam of radiation from radiation emitted by a radiation source SO (shown in FIG. 1). The radiation source SO can be formed by a discharge plasma. The radiation source SO can be of the EUV type and may be a tin (Sn) based plasma source. Alternatively, the EUV type radiation source SO might use a gas or vapour, such as Xe gas or Li vapor. The contaminant trap 10, which may be considered to be a rotating foil trap, may comprise a rotatable contaminant trap element 8 configured to trap material emanating from the radiation source SO. Thereto, the rotatable contaminant trap element 8 comprises multiple elements arranged in the path of the radiation beam on which the material emanating from the radiation source can deposit during propagation in the radiation system. In the contaminant trap 10 shown in FIGS. 2 and 3, the multiple elements arranged in the path of the radiation beam comprise metal platelets 9, also called foils. The foils or platelets 9 comprise debris or contaminant receiving surfaces that are arranged in the path of the radiation beam to prevent debris, i.e. contaminant material, including particles, thrust by the source from reaching optical components of the radiation system, e.g. a collector and the illuminator IL. The foils are arranged radially around a longitudinal axis O of the contaminant trap 10. The contaminant trap 10 comprises a static part 1, and a plurality of ring-shaped elements 2, 3, 4 and 5 arranged around the static part 1 that support and guide a rotating part 6 on which the rotatable contaminant trap element 8 with the foils 9 is built. The foils 9 form strips that are preferably manufactured from metal, e.g. molybdene. The foils 9 are sealingly connected to the rotating part 6 via a ring-shaped seal 7. The rotating part 6 is driven by a gas flow flowing from flow openings 11 in the exterior of the static part 1. The gas also serves as a bearing between the static part 1 and the rotating part 6. Further, the contaminant trap 10 comprises a channel structure 12, as will be explained in further detail below. The contaminant trap 10 further comprises a liquid tin cooling system constructed to cool the contaminant trap 10, and especially the rotatable contaminant trap element 8, with liquid tin. By cooling the contaminant trap 10 with liquid tin, a contaminant trap 10 is obtained wherein the occurrence of a high temperature may be counteracted. The liquid tin cooling may be considerably more effective than heat transfer via radiation. It is noted that heat conduction via gas particles in the radiation system is relatively poor, due to the vacuum that is applied during operation. Also, conduction via the material of the rotatable contaminant trap element 8 is relatively small since the foils 9 are very thin and material contact to the static part 1 is relatively small as the rotating part 6 is supported via bearings. As a result, liquid tin cooling may significantly improve the transfer of heat. Consequently, the occurrence of high temperatures, e.g. up to and even above 650° C., may be reduced. Since such high temperatures may be avoided, undesired melting processes of elements in the radiation system may also be avoided. Further, by cooling with liquid tin, radiation sources having a relatively large power can be applied, e.g. up to circa 100 kW. By using a liquid tin cooling system, also the frequency of the radiation source and the running time of the apparatus can be relatively high. It is further noted that the application of a liquid tin cooling system in combination with a tin based plasma radiation source leads to the further advantage that no contamination will occur. In addition, no specific redesign regarding material protection is needed since the used materials are already liquid tin compatible due to the applied radiation source. Also, in case of a system malfunctioning or a breakdown, the occurrence of contamination is practically negligible. As a further advantage, a liquid tin cooling system can be applied with significant overpressure, which enables thin channels in the contamination trap 8 without significantly disturbing rotating forces and/or deforming mechanical parts of the trap 8. The cooling liquid tin can be collected for re-use, thereby providing an efficient cooling system. The cooling liquid can e.g. be collected at a bottom of a chamber in which the contaminant trap is arranged. Thus, both the cooling liquid and captured Sn debris emanating from the radiation source can be collected for re-use purposes in the cooling system. By arranging the liquid tin cooling system constructed to condition the temperature of the contaminant trap 10, a solidification process of tin particles may be counteracted. Solid tin particles may induce unbalance of the rotating foils 9, and may cause radiation transmission loss and even failure. Thus, the operation of the source can be stopped without undesired solidification process. As an example, the temperature of the supplied liquid tin can be maintained at a temperature of approximately 250° C. sufficiently high above the melting point of tin. By maintaining the temperature of the supplied liquid tin at a pre-determined degree, the temperature of the contaminant trap 10 may be conditioned, thereby providing a cooling effect when the temperature of the trap 10 tends to increase, and providing a heating effect when the temperature of the trap 10 tends to decrease below the pre-determined liquid tin supply temperature. The radiation system shown in FIGS. 2 and 3 comprises a liquid tin cooling system wherein a closed liquid tin circuit 12 has been arranged inside the static part 1 of the contaminant trap 10. During operation, the closed liquid tin circuit 12 actively cools the static part 1 of the contaminant trap 10. The liquid tin cooling system may comprise a semi-open liquid tin circuit constructed to directly cool a rotating part of the contaminant trap. The circuit than comprises open channel sections at the exterior surface of the trap 8. The liquid tin cooling system may optionally comprise a liquid tin supply channel 13 inside the static part of the contaminant trap, the supply channel 13 extending to a rotating part of the contaminant trap for supplying the liquid tin towards an external surface of said rotating part. The liquid tin cooling system may further comprise a return path along a leading edge of a foil 9 of the contaminant trap 10. The liquid tin may create a capillary flow along the leading edge of the foil, thereby transferring the heat from a segment where the heat load on the foil is relatively high. The return path may be embedded in a foil 9 of the contaminant trap 10, e.g. via a semi open circuit or via interior channels. Due to the geometry and centrifugal flow, the liquid tin will flow radially outwardly and drop towards a bottom of the chamber wherein the trap 10 is arranged. FIGS. 4 and 5 depict schematic cross sectional views of a contaminant trap comprised in a radiation system according to embodiments of the invention. In FIG. 4, the liquid tin cooling system comprises, apart from the closed liquid tin circuit 12 described above, an exterior supply channel 15 having a spray end arranged to spray the rotating part of the contaminant trap 10. In FIG. 5, an exterior supply channel 14 has a spray end that is arranged near a foil 9 of the contaminant trap 10. Initially, the cooling liquid tin covers a leading edge of the foil 9 and than flows over the blades and drops to the bottom of said chamber. It is further noted that the exterior supply channel 15 is inherently cooled by the cooling liquid tin flowing through it. The embodiments described above may provide a reliable tin removal and effective cooling of the contaminant trap. In an embodiment, liquid tin regeneration processes, like filtering and/or chemical cleaning may be performed in the circuit 12 or a supply channel. Further, the radiation system might comprise an external heating system, such as an electrical heating system constructed to enable the system to start up from a situation in which the tin has been solidified, e.g. from a maintenance status. In order to further improve cooling effects of the liquid tin system and/or radiation transmission characteristics of the contaminant trap, a contaminant trap exterior surface can be pre-treated to improve surface wetting characteristics. In an embodiment of a radiation system 100, as shown in FIG. 6, the pre-treating step comprises heating said surface. The heating step is performed by arranging a heating element 104 near the contamination trap 102. The trap 102 is arranged in the path of the radiation beam 105 on which the material emanating from the radiation source 101 can deposit during propagation of the radiation beam 105 in the radiation system 100. By activating the heating element 104, the contaminant trap exterior surface is heated, thereby removing contamination and oxides from its surface. As a consequence, Sn wetting characteristics of the surface and thereby also cooling effects are enhanced, since liquid tin will form a substantially thin coating over the surface. Moreover, the occurrence of small tin droplets is counteracted, thereby also improving a radiation transmission of the contamination trap. The apparatus may further comprise a gas inlet 103 arranged near the contaminant trap 102. By flowing hydrogen gas in a direction D into a chamber in which the contamination trap 102 is arranged, the removal of contamination and oxides from the exterior surface may be improved. The hydrogen gas may be introduced in the chamber before the heating element 104 is activated. In addition to, or in place of applying a separate heating element 104, the radiation source 101 can be activated at a reduced level to act as a heat source. After the pre-treating step, the system can be operated using the liquid tin cooling system. In an embodiment of the radiation system, shown in FIG. 7, the system comprises a radical generating unit or a plasma generating unit 104A that generates hydrogen radicals or a hydrogen plasma, respectively. By activating a radical generating unit, hydrogen molecules that are introduced in the chamber are at least partially transformed into radicals, thereby facilitating the removal of oxides and contaminants in a faster way and/or at a lower temperature. The radical generating unit 104A can be implemented as a hot filament or as a radio frequency discharge element. By employing a plasma generating unit 104A, contaminations on the exterior trap surface can be removed. The surface may be treated by an oxygen plasma. FIG. 8 depicts a schematic cross sectional view of a section of a radiation system according to an embodiment. In particular, FIG. 8 shows a central part 106, which may also be called a plug, of the contaminant trap 8 that is centered with respect to the longitudinal axis O of the trap. A foil 108 is connected to the central part 106. The foil 108 may be formed by a material that is substantially porous. As shown, a liquid tin supply channel 107 ends in the porous structure of the foil 108. During operation, the liquid tin flows via the supply channel 107 into the porous structure, via a path I1, and than via further paths I2, I3, towards the exterior surface of the foil 108. Due to centrifugal forces of the rotating foil 108 with respect to the axis O, the liquid tin flows along the surface via paths I4, I5 towards the radial end of the foil 108, thereby uniformly covering the exterior surface of the foil 108. From the end of the foil 108, the liquid tin drops from the foil 108 via path I6 towards a bottom structure of the chamber, where the Sn can be collected and possibly recycled. By injecting the liquid tin in a porous structure of the foil 108, a substantially uniform injection process is obtained, which may provide a relatively smooth liquid tin layer at the foil surface, which may enhance cooling properties. In an embodiment, at least a segment of the foil is substantially porous. The porous segment of the foil may be located near an end of the liquid tin supply channel 107 and/or near the exterior surface of the foil 108. According to a further aspect, an exterior surface of the contaminant trap, such as an exterior surface of a foil, comprises a top layer having a low oxidation rate, such as gold. By providing a top layer having a relatively low oxidation rate, contaminations and oxides on the exterior surface may be counteracted. As an example, the foil might be formed by a molybdenum kernel covered with a thin gold coating. Optionally, the exterior surface has a low solubility in liquid tin, preferably having a solubility less than about 0.05%, more preferably less than about 0.005%. Thus, the foil is not solved during liquid tin cooling processes. Liquid metals other than Sn may be used. In an embodiment, a Ga—In—Sn, Ga—Sn, or In—Sn alloy may be used. Such alloys are liquid at a lower temperature than Sn, which may increase the cooling rate, reduce a minimum system temperature, and relax the heating specifications. FIGS. 9-14 show experimental results of droplets wetting exterior surfaces of metal plates. In particular, experiments have been performed simulating the wetting behavior of tin. A Ga—In—Sn alloy approaches the wetting behavior of tin. Since the Ga—In—Sn alloy is a liquid at room temperature, the alloy is used for the experiments. The experiments are performed in an argon atmosphere to prevent the Ga component in the alloy to oxidize. FIG. 9 shows a droplet 202 of Ga—In—Sn alloy on a molybdenum (Mo) platelet 201. Similarly, FIG. 10 shows a droplet 203 of Ga—In—Sn alloy on a Mo platelet on which a Ga2O3 coating 204 has been deposited. Both FIGS. 9 and 10 show that hardly any wetting occurs. FIGS. 11 and 12 show different views of a Ga—In—Sn droplet 301 on a gold surface 302. As shown, the droplet 301 smears over the exterior surface 203, thereby providing excellent wetting properties. Further, FIGS. 13 and 14 shows a further experiment using a tin droplet 303. In FIG. 13, the droplet is positioned on a Mo platelet 302 that has been heated in a N2 atmosphere. As shown, poor wetting characteristics are obtained. However, FIG. 14 shows a similar tin droplet 305 that has been brought into contact with a Mo platelet 304 that has been pre-treated with hydrogen radicals according to an aspect of the invention. The droplet 305 now smears again over the surface 304 providing good wetting characteristics, thus improving radiation transmission features of the foil. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured. The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.
claims
1. A nozzle repair method for a nuclear reactor instrumentation nozzle comprising an in-core instrumentation cylinder inserted into an attachment hole in a semi-spherical portion of a nuclear reactor vessel and a groove-weld portion between an inner surface of the semi-spherical portion and an outer surface of the in-core instrumentation cylinder, the semi-spherical portion being provided with a buttered-welding layer having stress corrosion cracking resistance on an inner surface thereof, by using a plug including a plug body having a columnar shape, a vertical wall portion protruding upward from an upper surface of an peripheral portion of the plug body, a concave portion surrounded by the vertical wall portion, a protrusion protruding downward from a lower surface of the plug body, a flat lower surface formed around the protrusion on the lower surface of the plug body, a screw shaft extending downward from a bottom surface of the protrusion and having a screw portion at an end portion thereof, the nozzle repair method comprising:removing the in-core instrumentation cylinder from a reactor vessel by severing the groove weld portion between the groove weld portion and the in-core instrumentation cylinder;forming a plug attachment portion by forming a columnar opening at the inner surface of the semi-spherical portion such that an axis of the columnar opening aligns with an axis of the attachment hole;inserting the plug to the plug attachment portion;deforming the flat lower surface of the plug and a bottom surface of the plug attachment portion by applying a pressing load to the plug toward the semi-spherical portion by attaching a support plate and a nut to the screw portion of the screw shaft such that the support plate is positioned between the nut and an outer surface of the semi-spherical portion, and screwing the nut to the screw portion; andwelding the plug to the plug attachment portion with the flat lower surface of the plug and the bottom surface of the plug attachment portion deformed. 2. The nozzle repair method according to claim 1,wherein the welding includes welding an outer peripheral portion of the plug to the buttered-welding layer while the plug is fitted into the plug attachment portion. 3. The nozzle repair method according to claim 1,wherein the welding includes welding an outer surface of the vertical wall portion to the semi-spherical portion while the plug is fitted into the plug attachment portion.
abstract
The present invention generally relates to a method for detecting the breakage of one or more grounding straps without stopping processing or opening the processing chamber for inspection. In one embodiment, a method for detecting grounding strap breakage in a processing chamber includes monitoring real-time RF related data from plasma generated in the processing chamber. The method also includes comparing the real-time RF related data with a pre-determined threshold RF related data. The method includes generating an alert if the real-time RF related data meets or exceeds the pre-determined threshold RF related data. In one embodiment, the RF related data includes RF frequency, direct current voltage, voltage peak-to-peak, and/or RF reflected power.
048328995
summary
This application is related to copending applications Ser. No. 565,513 entitled "Mechanical Spectral Shift Reactor" by W. J. Dollard et al. now abandoned; Ser. No. 217,056 entitled "Latching Mechanism" by L. Veronesi; now U.S. Pat. No. 4,439,054 dated Mar. 27, 1984 217,054 entitled "Spectral Shift Reactor Control Method" by A. J. Impink, Jr. now U.S. Pat. No. 4,432,930; Ser. No. 217,061 entitled "Spectral Shift Reactor" by W. R. Carlson et al. now abandoned; Ser. No. 217,052 entitled "Displacer Rod For Use In A Mechanical Spectral Shift Reactor" by R. K. Gjertsen et al. now Ser. No. 4,432,934; dated Feb. 21, 1984 No. 217,053 entitled "Mechanical Spectral Shift Reactor" by D. G. Sherwood et al. now abandoned; Ser. No. 217,055 entitled "Hydraulic Drive Mechanism" by L. Veronesi et al. now Pat. No. 4,550,941 dated Nov. 5, 1985; Ser. No. 217,059 entitled "Fuel Assembly For A Nuclear Reactor" by R. K. Gjersten now U.S. Pat. No. 4,381,284 dated Apr. 26, 1983; and Ser. No. 217,051 entitled "Fuel Assembly For A Nuclear Reactor" by R. K. Gjersten et al. now U.S. Pat. No. 4,418,036 dated Nov. 29, 1983, all of which are filed herewith and to Ser. No. 228,007 entitled "Self-Rupturing Gas Moderator Rod For A Nuclear Reactor" by G. R. Marlatt, filed Jan. 23, 1981, now U.S. Pat. No. 4,371,495 dated Feb. 1, 1983, all of which are assigned to the Westinghouse Electric Corporation. BACKGROUND OF THE INVENTION The invention relates to spectral shift reactor control and more particularly to mechanical means for spectral shift reactor control. In typical nuclear reactors, reactivity control is accomplished by varying the amount of neutron absorbing material (poisons) in the reactor core. Generally, neutron absorbing control rods are utilized to perform this function by varying the number and location of the control rods with respect to the reactor core. In addition to control rods, burnable poisons and poisons dissolved in the reactor coolant can be used to control reactivity. In the conventional designs of pressurized water reactors, an excessive amount of reactivity is designed into the reactor core at start-up so that as the reactivity is depleted over the life of the core the excess reactivity may be employed to lengthen the core life. Since an excessive amount of reactivity is designed into the reactor core at the beginning of core life, neutron absorbing material such as soluble boron must be placed in the core at that time in order to properly control the excess reactivity. Over the core life, as reactivity is consumed, the neutron absorbing material is gradually removed from the reactor core so that the original excess reactivity may be used. While this arrangement provides one means of controlling a nuclear reactor over an extended core life, the neutron absorbing material used during core life absorbs neutrons and removes reactivity from the reactor core that could otherwise be used in a more productive manner such as in plutonium fuel production. The consumption of reactivity in this manner without producing a useful product results in a less efficient depletion of uranium and greater fuel costs than could otherwise be achieved. Therefore, it would be advantageous to be able to extend the life of the reactor core without suppressing excess reactivity with neutron absorbing material thereby providing an extended core life with a significantly lower fuel cost. One such method of producing an extended core life while reducing the amount of neutron absorbing material in the reactor core is by the use of "Spectral Shift Control". As is well understood in the art, in one such method the reduction of excess reactivity (and thus neutron absorbing material) is achieved by replacing a large portion of the ordinary reactor coolant water with heavy water. This retards the chain reaction by shifting the neutron spectrum to higher energies and permits the reactor to operate at full power with reduced neutron absorbing material. This shift in the neutron spectrum to a "hardened" spectrum also causes more of the U.sup.238 to be converted to plutonium that is eventually used to produce heat. Thus, the shift from a "soft" to a "hard" spectrum results in more neutrons being consumed by U.sup.238 in a useful manner rather than by poisons. As reactivity is consumed, the heavy wter is gradually replaced with ordinary water so that the reactor core reactivity is maintained at a proper level. By the end of core life, essentially all the heavy water has been replaced by ordinary water while the core reactivity has been maintained. Thus, the reactor can be controlled without the use of neutron absorbing material and without the use of excess reactivity at start-up which results in a significant uranium fuel cost savings. The additional plutonium production also reduces the U.sup.235 enrichment requirements. While the use of heavy water as a substitute for ordinary water can be used to effect the "spectral shift", the use of heavy water can be an expensive and complicated technology. While there exist in the prior art numerous ways of controlling a nuclear reactor, what is needed is apparatus for controlling reactor core moderation in a manner that provides for reduced uranium fuel costs and for an extended reactor core life. SUMMARY OF THE INVENTION The mechanical spectral shift reactor comprises a reactive core having fuel assemblies accommodating both water displacer elements and control rods for selectively changing the volume of water-moderator in the core. The fuel assemblies are arranged in alternating fashion so that one drive mechanism may move displacer elements in more than one fuel assembly without interfering with the movement of control rods or the corresponding control rod drive mechanisms.
claims
1. A device for making pellets including a table provided with a plurality of pressing dies, substantially with the dimensions of the pellets to be made, the dies being positioned according to at least one row upper and lower punches intended to press the powder in the dies, a powder dispenser including a casing provided on an upper wall of a connector to at least one powder feed pipe connected to a hopper, a conveyor for conveying powder into the dispenser, a device for displacing the dispenser on the table according to a reciprocal movement along a first displacement direction, said dispenser being suitable to impulse the powder in a reciprocal movement on a plane of the table along the determined displacement direction, and means for grouping the powder along distinct axes substantially parallel to the displacement direction, in order to simultaneously fill the plurality of pressing dies, each die being positioned on a grouping axis, the grouping means being borne by walls of the casing perpendicular to the displacement direction, the grouping means having a sawtooth section, the sawtooth section being considered along a plane parallel to the plane of the table, the distance separating the dies being equal to the distance separating the bottom parts of the teeth, the dispenser being positioned on the table so that during its displacement, each bottom part between two teeth covers a die of the table. 2. The device according to claim 1, wherein the row of dies is perpendicular to the displacement direction. 3. The device for making pellets according to claim 1, including downstream grouping means and upstream grouping means along the first displacement direction. 4. The device for making pellets according to claim 3, wherein the downstream grouping means are shifted transversely relative to the upstream grouping means by a tooth half-width, so that a tooth tip is facing a bottom part between two consecutive teeth. 5. The device for making pellets according to claim 1, wherein the dispenser includes a longitudinal guide cooperating with a guide means borne by the table. 6. The device for making pellets according to claim 1, wherein the guide of the dispenser include an axial protrusion on each transverse side , sliding in an axial groove fixed relative to the table. 7. The device for making pellets according to claim 6, wherein the axial protrusion is directed towards the casing and wherein at least one of the protrusions is removable. 8. The device for making pellets according to claim 1, wherein the dispenser is connected to a displacement device via two rotationally fixed arms through a first end on each of the faces of the casing, parallel to the displacement direction, respectively, and rotationally mobile through a second end opposite to the first end, said arms each including a cylinder. 9. The device for making pellets according to claim 1, wherein the grouping means are respectively borne by a mobile plate relative to the casing. 10. A device for making pellets including a table provided with a plurality of pressing dies, substantially with the dimensions of the pellets to be made, the dies being positioned according to at least one row, upper and lower punches intended to press the powder in the dies, a powder dispenser including a casing provided on an upper wall of a connector to at least one powder feed pipe connected to a hopper, a conveyor for conveying powder into the dispenser, a device for displacing the dispenser on the table according to a reciprocal movement along a first displacement direction, said dispenser being suitable to impulse the powder in a reciprocal movement on a plane of the table along the determined displacement direction, and means for grouping the powder along distinct axes substantially parallel to the displacement direction, in order to simultaneously fill the plurality of pressing dies with pellets, each die being positioned on a grouping axis, the grouping means being borne by walls of the casing perpendicular to the displacement direction, the grouping means having a sawtooth section, the sawtooth section being considered along a plane parallel to the plane of the table, the distance separating the dies being equal to the distance separating the bottom parts of the teeth, the grouping means being borne by a mobile plate relative to the table, the dispenser being positioned on the table so that during its displacement, each bottom part between two teeth covers a die of the table, said dispenser also including an excitation device capable of causing said plate to vibrate relative to the dies along the displacement direction. 11. The device for making pellets according to claim 10, wherein the excitation device includes an arm firmly attached through an end to the plate and through a second end to an actuator for actuating a reciprocal movement along the displacement direction and suspension. 12. The device for making pellets according to claim 11, wherein the suspension includes at least one spring pressed between the arm and the casing. 13. The device for making pellets according to claim 11, wherein the dispenser includes a seal interposed between the plates and the wall of the casing facing each other. 14. The device for making pellets according to claim 13, wherein the seal is formed by an elastomeric ring with an axis substantially coinciding with that of the arm. 15. The device for making pellets according to claim 11, wherein the suspension is formed by an elastomeric ring interposed between the plate and the wall of the casing facing each other and also forming the seal. 16. The device for making pellets according to claim 15, wherein the ring is maintained between two metal sheet plates so as to form a sandwich plate. 17. The device for making pellets according to claim 16, wherein the metal sheet in contact with the plate is welded and/or riveted on the latter. 18. The making device according to claim 10, wherein the excitation device is of the vibrator type or of the piezoelectric type. 19. The device for making pellets according to claim 1, wherein the dispenser includes a face forming a pusher having the shape of a nose sliding on the slide plane intended for pushing the pellets after they have been pressed. 20. The device for making pellets according to claim 1, including two parallel rows of dies, the dies of each row being equidistant from each other, and the dies of the first row being shifted relative to the dies of the second row by half of the distance separating the dies of the second row. 21. The device for making pellets according to claim 1, wherein the conveyor for conveying the powder includes at least one feed pipe connecting a powder supply hopper to said dispenser, said feed pipe extending in the direction of the rows of dies. 22. The device for making pellets according to claim 1, including a collector for collecting the contents of the dispenser after a cycle for filling the dies, said collector including at least one orifice provided in the table, connected through a pipe to suction means, the orifice being under the dispenser when the latter is in a particular emptying position, the collector transferring the collected powder into the hopper. 23. The device for making pellets according to claim 1, wherein the table is mobile along an axis perpendicular to its planar face. 24. A method for making pellets, with a device for making pellets including a table provided with a plurality of pressing dies, substantially with the dimensions of the pellets to be made, the dies being positioned according to at least one row, upper and lower punches intended to press the powder in the dies, a powder dispenser including a casing provided on an upper wall of a connector to at least one powder feed pipe connected to a hopper, a conveyor for conveying powder into the dispenser, a device for displacing the dispenser on the table according to a reciprocal movement along a first displacement direction, said dispenser being suitable to impulse the powder in a reciprocal movement on a plane of the table along the determined displacement direction, and means for grouping the powder along distinct axes substantially parallel to the displacement direction, in order to simultaneously fill the plurality of pressing dies with pellets, each die being positioned on a grouping axis, the grouping means being borne by walls of the casing perpendicular to the displacement direction, the grouping means having a sawtooth section considered along a plane parallel to the plane of the table, the distance separating the dies being equal to the distance separating the bottom parts of the teeth, the dispenser being positioned on the table so that during its displacement, each bottom part between two teeth covers a die of the table, said method including the steps:filling dies with powder by means of the powder dispenser provided with grouping means along distinct axial directions corresponding to the arrangement of the dies,pressing the powder in the dies,evacuating the pellets. 25. The method according to claim 24, wherein, during the filling step, the dispenser has a reciprocal movement according to a sinusoidal law. 26. The method according to claim 24, wherein, during the filling step, the dispenser has a reciprocal movement according to a triangular law. 27. The method according to claim 24, wherein, during the pressing, the upper punches are displaced according to several velocities. 28. The method according to claim 24, wherein the grouping means are set into vibration.
059784318
claims
1. A method of producing mixed oxide fuel pellets for use in a nuclear reactor, the mixed oxide comprising oxides of at least two fissile elements, the method including the steps of: (i) providing the mixed oxide with a neutron poison to form a fuel; (ii) milling the fuel to form a fuel powder; (iii) treating the milled fuel with a binderless spheroidising step such that the fuel powder is formed into substantially homogeneous agglomerated granules; and (iv) pressing and sintering the fuel resulting from the spheroidising step (iii) to produce a fuel pellet having a continuous homogeneous mixture of the mixed oxide and the neutron poison. 2. A method according to claim 1, in which the fissile elements comprise uranium and plutonium. 3. A method according to claim 1, in which the neutron poison is gadolinia. 4. A method according to claim 1, in which one or more additives are introduced in order to enhance the quality of the fuel pellets. 5. A method according to claim 1, in which the neutron poison is present at between about 0.5 to 10 wt %. 6. A method according to claim 1, in which the neutron poison is present at between 1 to 3 wt %. 7. A method according to claim 1, in which between 20 and 60 wt % of a first fissile element is added, followed by all or substantially all of the second fissile element, followed by the reminder of the first fissile element and the neutron poison. 8. A method according to claim 1, in which the sintering temperature is between 1400 and 1900.degree. C., and preferably between 1650 and 1750.degree. C. 9. A method according to claim 1, in which sintering is conducted in an atmosphere comprising an H.sub.2 and inert gas mixture. 10. A method according to claim 1, in which a H.sub.2 :Ar or H.sub.2 :N.sub.2 atmosphere is used with 5% H.sub.2. 11. A method according to claim 1, in which the sintering temperature is reached by increasing the temperature at a rate equal to or less than 200.degree. C./hour. 12. A method according to claim 1, in which the temperature is increased up to 1000.degree. C. at a rate of, or of less than 200.degree. C. /hour and the temperature is increased from there to the sintering temperature at a rate of, or of less than 50.degree. C. 13. Fuel produced according to claim 1.
summary
054886341
claims
1. In a nuclear fuel assembly, a unitary one-piece lower tie plate grid comprising: a lower grid portion and an upper grid portion; means for supporting fuel rods above the lower tie plate grid including said upper and lower grid portions; said supporting means comprising a plurality of laterally spaced cylindrical bosses having apertures extending therethrough and cylindrical boss portions extending upwardly from said lower grid portion, said bosses being sized for receiving lower ends of the fuel rods in said apertures, said supporting means further including web portions extending upwardly from said lower grid portion and interconnecting said boss portions to define with said boss portions a plurality of flow spaces in said upper grid portion extending from said lower grid portion and opening through an upper surface of said lower tie plate grid; said boss portions having vertical centerlines arranged at the corners of square matrices with said web portions extending linearly between said boss portions along sides of the square matrices and convex portions of said cylindrical boss portions extending between perpendicularly oriented web portions of each matrix, said web portions and said convex portions defining side walls of the flow spaces; said lower grid portion of said lower tie plate grid including a plurality of openings extending therethrough and opening into said flow spaces for separating debris from a coolant flowing through said lower grid portion into said flow spaces between said boss and said web portions. a lower tie plate assembly including an inlet nozzle, a unitary one-piece lower tie plate grid and a transition structure defining a flow volume for receiving coolant entering said nozzle and flowing coolant to said lower tie plate grid; said unitary one-piece lower tie plate grid having a lower grid portion and an upper grid portion; said lower tie plate grid comprising a plurality of laterally spaced cylindrical bosses having apertures extending therethrough and cylindrical boss portions extending upwardly from said lower grid portion, said bosses being sized for receiving lower ends of the fuel rods in said apertures, said lower tie plate grid further including web portions extending upwardly from said lower grid portion and interconnecting said boss portions to define with said boss portions a plurality of flow spaces in said upper grid portion extending from said lower grid portion and opening through an upper surface of said lower tie plate grid; said boss portions having vertical centerlines arranged at the corners of square matrices with said web portions extending linearly between said boss portions along sides of the square matrices and convex portions of said cylindrical boss portions extending between perpendicularly oriented web portions of each matrix, said web portions and said convex portions defining side walls of the flow spaces; said lower grid portion of said lower tie plate grid including a plurality of openings extending therethrough and opening into said flow spaces for receiving the coolant from said flow volume and flowing the coolant through said lower grid portion into said flow spaces between said boss and said web portions; said plurality of openings being aligned with one another along a diagonal direction relative to said square matrices. upper and lower tie plate assemblies; a nuclear fuel bundle between said upper and lower tie plate assemblies and including a plurality of fuel rods; said lower tie plate assembly including means for supporting said nuclear fuel bundles, said lower tie plate assembly further including a lower tie plate grid having a lower grid portion and an upper grid portion; said lower tie plate grid comprising a plurality of laterally spaced cylindrical bosses having apertures extending therethrough and cylindrical boss portions extending upwardly from said lower grid portion, said bosses being sized for receiving lower ends of said fuel rods in said apertures, said supporting means further including web portions extending upwardly from said lower grid portion and interconnecting said boss portions to define with said boss portions a plurality of flow spaces in said upper grid portion extending from said lower grid portion and opening through an upper surface of said lower tie plate grid; said boss portions having vertical centerlines arranged at the corners of square matrices with said web portions extending linearly between said boss portions along sides of the square matrices and convex portions of said cylindrical boss portions extending between perpendicularly oriented web portions of each matrix, said web portions and said convex portions defining side walls of the flow spaces; said lower grid portion of said lower tie plate grid including a plurality of openings extending therethrough and opening into said flow spaces for flowing a coolant flowing through said lower grid portion into said flow spaces between said boss and said web portions; said plurality of openings being aligned with one another along a diagonal section relative to said square matrices. 2. A unitary one-piece lower tie plate grid according to claim 1 wherein said openings have a generally square configuration with linear sides thereof extending about 45.degree. relative to the sides of the square matrices. 3. A unitary one-piece lower tie plate grid according to claim 1 wherein the ratio of the overall thickness of the tie plate grid to the thickness of the lower grid portion thereof is within a range of 5-7 to 1, the ratio of the flow area through said openings to the area of the lower grid portion being about 0.15. 4. A unitary one-piece lower tie plate grid according to claim 1 wherein a first array of said plurality of openings opening into each flow space have a generally square configuration with linear sides, a second array of said plurality of openings opening into each flow space having a plurality of sides in excess of four sides, adjacent sides of each opening of each array thereof having a radius therebetween. 5. A unitary one-piece lower tie plate grid according to claim 4 wherein each opening of said first array thereof being located such that a centerline thereof intersects a diagonal of a square matrix and which diagonal passes through said convex portions, each opening of said second array of said plurality of openings having a side oriented generally parallel to a web portion. 6. A unitary one-piece lower tie plate grid according to claim 1 wherein said openings along a lower surface of said tie plate grid are radiussed, side wall portions of said openings opening into said flow spaces being laterally divergent in a direction toward said upper tie plate surface, and a throat between said radiussed openings and said divergent side wall portions having a minimum cross-sectional area whereby each said opening defines a venturi in a direction toward said flow spaces. 7. A unitary one-piece lower tie plate grid according to claim 6 wherein each said opening is defined by a step adjacent said throat between said radiussed opening and said divergent side wall portions whereby the size of each opening is increased immediately downstream of said step. 8. A unitary one-piece lower tie plate grid according to claim 6 wherein a plurality of said openings extending through said lower grid portion and opening into each flow space between the side walls thereof, said plurality of openings being aligned with one another along a diagonal direction relative to said square matrices. 9. A unitary one-piece lower tie plate grid according to claim 6 wherein the ratio of the overall thickness of the tie plate grid to the thickness of the lower grid portion is within a range of 3-4 to 1, the thickness of the lower grid portion being less than twice the size of the openings. 10. A unitary one-piece lower tie plate grid according to claim 6 wherein a first array of said plurality of openings opening into each flow space have a generally square configuration with linear sides, a second array of said plurality of openings opening into each flow space having a plurality of sides in excess of four sides, adjacent sides of each opening of each array thereof having a radius therebetween, each opening of said first array thereof being located such that a centerline thereof intersects a diagonal of a square matrix and which diagonal passes through said convex portions, each opening of said second array of said plurality of openings having a side oriented generally parallel to a web portion. 11. In a nuclear fuel assembly, a fuel rod support structure, comprising: 12. A fuel rod support structure according to claim 11 wherein said openings along a lower surface of said tie plate grid are radiussed, side wall portions of said openings opening into said flow-spaces being laterally divergent in a direction toward said upper tie plate surface, and a throat between said radiussed openings and said divergent side wall portions having a minimum cross-sectional area whereby each said opening defines a venturi in a direction toward said flow spaces. 13. A fuel rod support structure according to claim 11 wherein a first array of said plurality of openings opening into each flow space having a generally square configuration with linear sides, a second array of said plurality of openings opening into each flow space having a plurality of sides in excess of four sides, adjacent sides of each opening of each array thereof having a radius therebetween, each opening of said first array thereof being located such that a centerline thereof intersects a diagonal of a square matrix and which diagonal passes through said convex portions, each opening of said second array of said plurality of openings having a side oriented generally parallel to a web portion. 14. A nuclear fuel bundle and support therefor comprising: 15. A nuclear fuel bundle and support therefor according to claim 14 wherein said openings along a lower surface of said tie plate are radiussed, side wall portions of said openings opening into said flow spaces being laterally divergent in a direction toward said upper tie plate surface, and a throat between said radiussed openings and said divergent side wall portions having a minimum cross-sectional area whereby each said opening defines a venturi in a direction toward said flow spaces. 16. A nuclear fuel bundle and support therefor according to claim 14 wherein a first array of said plurality of openings opening into each flow space have a generally square configuration with linear sides, a second array of said plurality of openings opening into each flow space having a plurality of sides in excess of four sides, adjacent sides of each opening of each array thereof having a radius therebetween, each opening of said first array thereof being located such that a centerline thereof intersects a diagonal of a square matrix and which diagonal passes through said convex portions, each opening of said second array of said plurality of openings having a side oriented generally parallel to a web portion.
claims
1. A fuel support for a nuclear reactor, comprising:a body insertable into a mounting hole of a core plate, the body defining a plurality of fuel support apertures, each fuel support aperture dimensioned for receiving a lower tie plate of a fuel assembly; anda plurality of lumens, each lumen being directly, fluidly coupled to a different fuel support aperture;wherein at least one lumen is configured for attenuating a fluid flow differently than the fluid flow in at least one other of the lumen. 2. The fuel support of claim 1, further comprising:at least one flange on an outer surface for engaging the core plate;a cavity dimensioned to receive a control rod;a lower end defining a portion of the control rod cavity; andlower apertures about the lower end, each fluidly coupled to a lumen. 3. The fuel support of claim 2, wherein each lumen is fluidly coupled by no more than one fuel support aperture and one lower aperture. 4. The fuel support of claim 2, wherein each lumen is substantially free of multiple bends, angles, and curves from the fuel support aperture to the lower aperture. 5. The fuel support of claim 1, whereineach lumen includes a lower aperture,at least one lumen includes a gradual reduction in a cross-sectional area of the lumen from the respective fuel support aperture to the respective lower aperture. 6. The fuel support of claim 2, wherein at least one lumen includes a single substantial reduction in the cross-sectional area of the lumen between the fuel support aperture and the lower aperture. 7. The fuel support of claim 1, further comprising:a flow control member positioned in the at least one lumen and configured for attenuating the fluid flow through the lumen in which the flow control member is positioned;wherein the flow control member are removably engaged with lumen. 8. The fuel support of claim 7, further comprising:a fixture about, at least a portion of, an interior surface defining each lumen;wherein the fixture is configured to at least partially secure the flow control member within the lumen. 9. The fuel support of claim 8, wherein the fixture includes a lip extending from at least a portion of the interior surface defining the lumen. 10. The fuel support of claim 9, wherein the lip is formed about a circumference of the interior surface of the lumen. 11. The fuel support of claim 8, wherein the fixture is selected from the group consisting of a stepped reduction in the diameter of the lumen, a temporary reduction in the diameter of the lumen, and one or more tabs extending from a portion of the interior surface defining the lumen. 12. The fuel support of claim 8, wherein each fuel support aperture has a substantially square shape,wherein each of the lumen has a substantially circular shape,wherein the flow control member has a substantially square shape dimensioned for positioning within the fuel support apertures, andwherein the fixture is formed from the stepped transition from the substantially square shape to the substantially circular shape. 13. The fuel support of claim 7, wherein the flow control member includes a filter. 14. The fuel support of claim 7, wherein the flow control member includes a plate having one or more orifices configured for attenuating the fluid flow, the one or more orifices having a configuration selected from the group consisting of:a single orifice having a diameter substantially less than a diameter of the lumen;a plurality of orifices, each having a diameter substantially less than a diameter of the lumen;a plurality of orifices, each having a diameter of about one-tenth the diameter of the lumen; anda single orifice having a diameter of between ten and ninety percent of the diameter of the lumen. 15. The fuel support of claim 7, wherein the fuel support aperture, lumen, fixture and flow control member are dimensioned to fixedly secure the flow control member between the lower tie plate of the fuel assembly and the fixture upon insertion of the fuel support member into the fuel support aperture. 16. The fuel support of claim 7, further comprising:a plurality of flow control members each having a different attenuation of fluid flow. 17. The fuel support of claim 16, wherein a first flow control member has a first flow attenuation,wherein a second flow control member has a second flow attenuation that is different than the first flow attenuation,wherein the first flow control member is positioned within a first lumen, andwherein the second flow control member is positioned within a second lumen. 18. The fuel support of claim 17, wherein the first flow attenuation is less than about fifty percent, andwherein the second flow attenuation is greater than about fifty percent. 19. The fuel support of claim 7, wherein the fuel support includes four fuel support apertures, four lumens, and two or more members each positioned in a different lumen, andwherein each member is configured for attenuating a different amount of the fluid flow through the lumen in which it is positioned. 20. A fuel support for a nuclear reactor, comprising:means for mounting a plurality of fuel assemblies within a reactor core,the means for mounting including a plurality of lumens, each lumen fluidly coupling a respective fuel assembly to a respective control rod guide tube,each lumen selectively providing an attenuated fluid flow to at least one of the fuel assemblies mounted by the means for mounting. 21. A fuel support for a nuclear reactor, comprising:a body insertable into a mounting hole of a core plate, the body defining a plurality of fuel support apertures, each fuel support aperture dimensioned for receiving a lower tie plate of a fuel assembly;a cavity dimensioned for receiving a control rod;a plurality of lumens, each directly, fluidly coupled to a different fuel support aperture;a fixture about, at least a portion of, an interior surface defining each lumen; andeach lumen fluidly coupling a respective fuel assembly to a respective control rod guide tube,at least one flow control member configured for attenuating a flow of a fluid through one of the lumen as compared to a flow of fluid through at least one other lumen,the at least one flow control member being dimensioned for positioning within one or more lumen and being at least partially secured therein by the fixture. 22. The fuel support of claim 21, wherein each lumen is configured to provide substantially unobstructed flow of fluid through the lumen absent the flow control member. 23. The fuel support of claim 21, wherein the at least one flow control member includes a plurality of flow control members each configured for attenuating a different amount of fluid flow and each being positioned within a different lumen. 24. The fuel support of claim 23, wherein a first flow control member has a first flow attenuation,wherein a second flow control member has a second flow attenuation that is different than the first flow attenuation,wherein the first flow control member is positioned within a first lumen, andwherein the second flow control member is positioned within a second lumen. 25. The fuel support of claim 1, wherein each lumen fluidly couples a respective fuel assembly to a respective control rod guide tube.
claims
1. A canister for dry storage of nuclear waste material, the canister comprising:a steel tubular first shell defining an internal cavity for storing nuclear waste material;a lid sealably attached to a first end of the first shell;an end closure attached to a second end of the first shell, the end closure comprising a steel base plate and an upturned annular closure flange disposed on a peripheral portion of the base plate;a circumferentially-extending butt joint formed between the annular closure flange and the second end of first shell;a circumferentially-extending butt weld formed at the butt joint which hermetically seals the annular closure flange to the second end of the first shell;a steel annular shell skirt adjoined and welded to a lower portion of the first shell proximate to its second end, the shell skirt extending circumferentially around the lower portion and having a height less than a full height of the first shell, wherein the shell skirt forms a hermetically sealed secondary pressure retention barrier over the butt weld; anda plurality of circumferentially spaced apart plug welds which secure the annular shell skirt directly to the base plate. 2. The canister according to claim 1, wherein the annular closure flange has an edge which is horizontally oriented at the butt joint to mate with the second end of the first shell. 3. The canister according to claim 1, wherein the lid is hermetically sealed to the first end of the first shell by a welded annular joint. 4. The canister according to claim 3, further comprising an annular closure ring welded to the lid and first end of the first shell which covers the welded joint of the lid. 5. The canister according to claim 1, wherein the annular closure flange is formed integrally with the first base plate as a unitary structural part thereof. 6. The canister according to claim 1, further comprising an annular concave surface depression formed in a top surface of the base plate adjacent the annular flange and butt joint. 7. The canister according to claim 1, wherein the first shell has a vertical orientation. 8. The canister according to claim 1, wherein the shell skirt covers the butt joint and is in direct contact with the butt weld. 9. The canister according to claim 1, wherein the first shell, annular shell skirt, base plate, and lid are formed of stainless steel. 10. The canister according to claim 1, wherein the annular shell skirt and first shell are each formed of a stainless steel which is compatible for welding the annular shell skirt to the first shell. 11. The canister according to claim 1, wherein the annular shell skirt comprises a top end welded to the first shell by a circumferential top weld, and a bottom end welded to the base plate by a circumferential bottom weld. 12. The canister according to claim 11, wherein the bottom end of the annular shell skirt is welded to a peripheral side of the base plate by the circumferential bottom weld. 13. The canister according to claim 11, wherein the circumferential top and bottom welds are each fillet welds. 14. The canister according to claim 1, wherein each plug weld extends completely through the annular shell skirt in a radial direction from an exterior surface of the skirt to the base plate. 15. The canister according to claim 1, wherein the annular shell skirt has a height less than half the full height of the first shell.
description
1. Field Example embodiments generally relate to cooling systems for spent nuclear fuel (also known as “used nuclear fuel”). Example embodiments also generally relate to casks including cooling systems for spent nuclear fuel (“SNF”). Additionally, example embodiments generally relate to methods for cooling SNF. 2. Description of Related Art Nuclear fuel discharged from the reactor of a nuclear plant is known as SNF. SNF is intensely radioactive. The associated radioactive decay creates heat, requiring some mechanism for cooling the SNF. Typically, SNF is initially stored in pools of water or other coolant (known as “wet storage”). The water or other coolant may provide both radiation shielding and cooling. As SNF ages, the radioactivity level may drop, as may the associated heat generation. At some point, the heat generation may drop to a point at which wet storage is no longer required. After meeting the minimum wet storage period, the SNF may be removed from the pool and placed in appropriate dry transportation and/or storage systems (known as “dry storage”). SNF from light water reactors is typically cooled at least 5 years in wet storage. Although the Nuclear Regulatory Commission (“NRC”) has authorized transfer to dry storage as early as 3 years, the industry norm is about 10 years. In contrast, SNF from liquid-metal-cooled reactors may be, for example, stored within the reactor vessel pool for a fuel cycle (e.g., 18-24 months) before transfer to dry storage. In the U.S., two basic types of dry transportation and/or storage systems are used, bare-fuel casks and canister-based systems. In bare-fuel casks, assemblies of SNF typically are placed into a basket that is integrated into a cask and the cask is then sealed. In canister-based systems, assemblies of SNF typically are placed into baskets integrated into a thin-walled cylinder, referred to as a canister, and the canister is then sealed. For both types of casks, transportation and long-term storage typically require the use of an overpack to protect the cask or canister against external man-made events and external natural phenomena. The stages of the nuclear fuel cycle may be considered to include processing, enrichment, fabrication of the nuclear fuel, use of the nuclear fuel, storage of the SNF, and reprocessing of the SNF for the enrichment stage. Due to well-publicized problems associated with the reprocessing stage, the storage stage for SNF has become much more important. And due to well-publicized problems associated with the storage stage, particularly concerns about wet storage, the ability to move SNF from wet storage to dry storage as quickly as possible and to store the SNF in dry storage for as long as possible have become the focus of considerable research. Both the ability to move SNF from wet storage to dry storage and to store the SNF in dry storage depend on the ability of the associated dry transportation and/or storage system to dissipate the heat created by the radioactive decay of the SNF. Example embodiments may provide cooling systems for SNF. Example embodiments also may provide casks including cooling systems for SNF. Additionally, example embodiments may provide methods for cooling SNF. In some example embodiments, a cooling system for spent nuclear fuel may comprise a device configured to generate electricity using energy emitted from the spent nuclear fuel. The cooling system may be configured to use the electricity when cooling the spent nuclear fuel. In some example embodiments, the device may be configured to generate electricity using heat emitted from the spent nuclear fuel. In some example embodiments, the device may be configured to generate electricity based on a thermoelectric effect. In some example embodiments, the device may be configured to generate electricity based on a Seebeck effect. In some example embodiments, the device may comprise a radioisotope thermoelectric generator. In some example embodiments, the cooling system may comprise one or more of a compressor, a fan, a pump, and a heat exchanger. In some example embodiments, the cooling system may comprise one or more compressors, fans, pumps, and/or heat exchangers. In some example embodiments, the cooling system may comprise coolant. In some example embodiments, the coolant may comprise one or more of helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), radon (Rn), and nitrogen (N). In some example embodiments, the coolant may comprise one or more of helium (He) gas, neon (Ne) gas, argon (Ar) gas, krypton (Kr) gas, xenon (Xe) gas, radon (Rn) gas, and nitrogen (N2) gas. In some example embodiments, the coolant may comprise air. In some example embodiments, the coolant may comprise water. In some example embodiments, the coolant may comprise liquid sodium. In some example embodiments, a cask for storage, transport, or storage and transport of spent nuclear fuel may comprise the cooling system and/or a container configured to hold the spent nuclear fuel. In some example embodiments, the cooling system may be internal to the container. In some example embodiments, one or more portions of the cooling system may be internal to the container. In some example embodiments, one or more portions of the cooling system may be external to the container. In some example embodiments, the cooling system may comprise coolant. In some example embodiments, the coolant may comprise one or more of helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), radon (Rn), and nitrogen (N). In some example embodiments, the coolant may comprise one or more of helium (He) gas, neon (Ne) gas, argon (Ar) gas, krypton (Kr) gas, xenon (Xe) gas, radon (Rn) gas, and nitrogen (N2) gas. In some example embodiments, the coolant may comprise air. In some example embodiments, the coolant may comprise water. In some example embodiments, the coolant may comprise liquid sodium. In some example embodiments, the cask may further comprise a flowpath for the coolant within the container. The cooling system may be configured to cause the coolant to follow the flowpath when cooling the spent nuclear fuel. In some example embodiments, a method for cooling spent nuclear fuel may comprise generating electricity using energy emitted from the spent nuclear fuel and/or using the electricity in a cooling system for the spent nuclear fuel when cooling the spent nuclear fuel. In some example embodiments, the generating may comprise generating electricity using heat emitted from the spent nuclear fuel. In some example embodiments, the generating may comprise generating electricity based on a thermoelectric effect. In some example embodiments, the generating may comprise generating electricity based on a Seebeck effect. In some example embodiments, the generating may comprise generating electricity using a radioisotope thermoelectric generator These and other features and advantages of this invention are described in, or are apparent from, the following detailed description of various example embodiments of the apparatuses and methods according to the invention. Example embodiments will now be described more fully with reference to the accompanying drawings. Embodiments, however, may be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these example embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope to those skilled in the art. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. It will be understood that when an element is referred to as being “on,” “connected to,” “electrically connected to,” or “coupled to” to another component, it may be directly on, connected to, electrically connected to, or coupled to the other component or intervening components may be present. In contrast, when a component is referred to as being “directly on,” “directly connected to,” “directly electrically connected to,” or “directly coupled to” another component, there are no intervening components present. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that although the terms first, second, third, etc., may be used herein to describe various elements, components, regions, layers, and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, and/or section from another element, component, region, layer, and/or section. For example, a first element, component, region, layer, and/or section could be termed a second element, component, region, layer, and/or section without departing from the teachings of example embodiments. Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper,” and the like may be used herein for ease of description to describe the relationship of one component and/or feature to another component and/or feature, or other component(s) and/or feature(s), as illustrated in the drawings. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and should not be interpreted in an idealized or overly formal sense unless expressly so defined herein. It should also be noted that in some alternative implementations, functions, and/or acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality and/or acts involved. Reference will now be made to example embodiments, which are illustrated in the accompanying drawings, wherein like reference numerals may refer to like components throughout. FIG. 1 is a cut-away view of related art cask 100 for SNF. Cask 100 may be designed for storage, transport, or storage and transport of SNF. As shown in FIG. 1, cask 100 may include inner shell 102 and/or outer shell 104. Depending on its design and/or intended use, cask 100 may include bottom closure 106 and/or lid 108. Typically, inner shell 102 and/or outer shell 104 are welded to bottom closure 106. Typically, inner shell 102 and/or outer shell 104 are bolted (with o-ring or similar seals) or welded to lid 108. As known to a person having ordinary skill in the art (“PHOSITA”), inner shell 102 may comprise steel, such as stainless steel, or other suitable materials. As also known to a PHOSITA, outer shell 104 may comprise steel, such as stainless steel, or other suitable materials. Inner shell 102 and/or outer shell 104 may provide radiation shielding from SNF stored in cask 100. Inner shell 102 and/or outer shell 104 may provide shielding from alpha radiation, beta radiation, electromagnetic radiation (γ-rays, X-rays), and/or neutron radiation. As shown in FIG. 1, cask 100 also may include one or more types of radiation shielding between inner shell 102 and outer shell 104. The one or more types of shielding may include gamma radiation shielding 110 (e.g., primarily designed to shield γ-rays) and/or neutron radiation shielding 112 (e.g., primarily designed to shield neutron radiation). Because gamma radiation shielding 110 may provide thermal and/or other protection to neutron radiation shielding 112, gamma radiation shielding 110 may be closer to inner shell 102 than neutron radiation shielding 112. Also, because gamma radiation shielding 110 may provide thermal and/or other protection to neutron radiation shielding 112, neutron radiation shielding 112 may be closer to outer shell 104 than gamma radiation shielding 110. Alternative arrangements of inner shell 102, outer shell 104, gamma radiation shielding 110, and neutron radiation shielding 112 are known to a PHOSITA. Gamma radiation shielding 110 may include, for example, materials with high density, such as iron, lead (with or without copper), various types of steel, tungsten, and depleted uranium. Bottom closure 106 and/or lid 108 also may provide shielding from gamma radiation, for example, by incorporating one or more materials that provide shielding from gamma radiation. Neutron radiation shielding 112 may include, for example, materials with high concentrations of hydrogen atoms, such as epoxy resins, hafnium hydride, paraffins, polymers, water-ethylene glycol mixtures, water-extended polyesters, zirconium hydride, and/or NS-4-FR (a solid, borated, hydrogenous synthetic polymer). In addition or in the alternative, neutron radiation shielding 112 may include boron, such as borated polyester resin compounds, borated polypropylenes, borated water, boron carbides (e.g., B4C; BORAL®, a composite of aluminum and boron carbide), and/or boron nitrides. In addition or in the alternative, neutron radiation shielding 112 may include, for example, cadmium oxide, gadolinium oxide, and/or samarium oxide. Neutron radiation shielding 112 may include fire retardant materials such as, for example, aluminum hydroxide, calcium hydroxide, magnesium hydroxide, and/or hydrogarnet. Bottom closure 106 and/or lid 108 also may provide shielding from neutron radiation, for example, by incorporating one or more materials that provide shielding from neutron radiation. As shown in FIG. 1, cask 100 also may include optional basket assembly 114 for supporting, for example, one or more fuel assemblies 116 of the SNF, along with one or more other radioactive components 118 (e.g., components of blanket, control, instrumentation, reflector, and/or shield). When supporting fuel assemblies 116 and one or more other radioactive components 118, basket assembly 114 is normally inside inner shell 102. In the alternative, cask 100 may support fuel assemblies 116 and one or more other radioactive components 118 without basket assembly 114. As known to a PHOSITA, cask 100 may include one or more connections between the environment internal to cask 100 and the environment external to cask 100. Such connections may include, for example, one or more drains (not shown) and/or vents (not shown). Typically, the one or more drains and/or vents are in lid 108. Cask 100 also may include a pressure monitoring system (not shown), a temperature monitoring system (not shown), and/or other instrumentation. As known to a PHOSITA, cask 100 may include upper and/or lower trunnions or similar fixtures (not shown) to provide for lifting, rotating, etc., cask 100. FIG. 2 is a top view of related art cask 100 of FIG. 1. As shown in FIGS. 1 and 2, cask 100 may be cylindrical in shape. However, cask 100 may assume other shapes, as well. As shown in FIG. 2, cask 100 may include inner shell 102, outer shell 104, gamma radiation shielding 110, and/or neutron radiation shielding 112. Alternative arrangements of inner shell 102, outer shell 104, gamma radiation shielding 110, and neutron radiation shielding 112 are known to a PHOSITA. As shown in FIG. 2, cask 100 may include optional basket assembly 114 for supporting, for example, one or more fuel assemblies 116 and one or more other radioactive components 118. As shown in FIGS. 1 and 2, basket assembly 114 may be cylindrical in shape. However, in some example embodiments, basket assembly 114 may assume other shapes, as well. Basket assembly 114 may include dividers or similar structures (not shown) to assist in the organization and control of fuel assemblies 116 and one or more other radioactive components 118 to help satisfy nuclear criticality prevention requirements. In addition or in the alternative, basket assembly 114 may provide neutron absorption to help satisfy nuclear criticality prevention requirements. Basket assembly 114 may transfer heat directly and/or indirectly to inner shell 102. Thermal and/or SNF loading/density considerations may limit the number of fuel assemblies 116 and/or other radioactive components 118 that optional basket assembly 114 may support. As shown in FIG. 2, for example, optional basket assembly 114 may support only three fuel assemblies 116, along with one or more other radioactive components 118. In the alternative, optional basket assembly 114 shown in FIG. 2 may support more than three fuel assemblies 116 after potentially extended and expensive delays. As known to a PHOSITA, numerous other arrangements are possible for optional basket assembly 114. However, as also known to a PHOSITA, thermal and/or SNF loading/density considerations should be considered no matter what the arrangement. As shown in FIGS. 1 and 2, fuel assemblies 116 and/or other radioactive components 118 may be cylindrical in shape. However, in some example embodiments, fuel assemblies 116 and/or other radioactive components 118 may assume other shapes, as well. In a top view, for example, the cross-section of fuel assemblies 116 may be rectangular or square. In top view, for example, other radioactive components 118 may assume shapes consistent with their design(s). As known to a PHOSITA, the environment internal to cask 100 typically is controlled when fuel assemblies 116 and/or one or more other radioactive components 118 are in cask 100 (e.g., within inner shell 102). For example, the volume within inner shell 102, not occupied by basket assembly 114, fuel assemblies 116, and/or one or more other radioactive components 118 may be drained of coolant (e.g., water), vacuum dried, and backfilled with an inert gas (typically helium). As known to a PHOSITA, fuel assemblies 116 generate significant heat due to radioactive decay of the SNF. Engineering and safety considerations require that heat to be dissipated. The heat dissipation may be passive in nature, with heat generally flowing from fuel assemblies 116 outward toward outer shell 104, bottom closure 106, and/or lid 108. For example, the heat dissipation may be via one or more of heat conduction through solid materials, natural convection of the inert gas, and thermal radiation. Heat transfer via heat conduction may be improved, for example, by providing multiple heat flow paths from fuel assemblies 116, through basket assembly 114, to inner shell 102 and/or by providing radial heat fins (not shown) between inner shell 102 and outer shell 104. Although heat transfer via natural convection of the inert gas is somewhat disorganized (e.g., occurring throughout the volume within inner shell 102 backfilled with the inert gas), it may be improved, for example, by selection of an inert gas with good heat transfer characteristics (e.g., helium). Heat transfer via thermal radiation may be improved, for example, by increasing the temperature difference between outer shell 104, bottom closure 106, and/or lid 108 and the environment external to cask 100. FIG. 3 is a cut-away view of a cask 300 for SNF according to some example embodiments. Cask 300 may be designed for storage, transport, or storage and transport of SNF. As shown in FIG. 3, cask 300 may include inner shell 302 and/or outer shell 304. Depending on its design and/or intended use, cask 300 may include bottom closure 306 and/or lid 308. Inner shell 302 and/or outer shell 304 may be welded to bottom closure 306. In the alternative, bottom closure 306 may be fixed to inner shell 302 and/or outer shell 304 by bolts or similar devices. Inner shell 302 and/or outer shell 304 may be welded to lid 308. In the alternative, lid 308 may be fixed to inner shell 302 and/or outer shell 304 by bolts or similar devices. In some example embodiments, inner shell 302 may comprise steel, such as stainless steel, or other suitable materials. Outer shell 304 may comprise steel, such as stainless steel, or other suitable materials. Inner shell 302 and/or outer shell 304 may provide radiation shielding from SNF stored in cask 300. Inner shell 302 and/or outer shell 304 may provide shielding from alpha radiation, beta radiation, electromagnetic radiation (γ-rays, X-rays), and/or neutron radiation. As shown in FIG. 3, cask 300 also may include one or more types of radiation shielding between inner shell 302 and outer shell 304. The one or more types of shielding may include gamma radiation shielding 310 (e.g., primarily designed to shield γ-rays) and/or neutron radiation shielding 312 (e.g., primarily designed to shield neutron radiation). Because gamma radiation shielding 310 may provide thermal and/or other protection to neutron radiation shielding 312, gamma radiation shielding 310 may be closer to inner shell 302 than neutron radiation shielding 312. Also, because gamma radiation shielding 310 may provide thermal and/or other protection to neutron radiation shielding 312, neutron radiation shielding 312 may be closer to outer shell 304 than gamma radiation shielding 310. Some example embodiments include alternative arrangements of inner shell 302, outer shell 304, gamma radiation shielding 310, and neutron radiation shielding 312, such as multiple layers of gamma radiation shielding 310 and/or neutron radiation shielding 312. Such multiple layers of gamma radiation shielding 310 and neutron radiation shielding 312 may, for example, alternate in a radial direction. In some example embodiments, gamma radiation shielding 310 may include, for example, materials with high density, such as iron, lead (with or without copper), various types of steel, tungsten, and depleted uranium. Bottom closure 306 and/or lid 308 also may provide shielding from gamma radiation, for example, by incorporating one or more materials that provide shielding from gamma radiation. In some example embodiments, neutron radiation shielding 312 may include, for example, materials with high concentrations of hydrogen atoms, such as epoxy resins, hafnium hydride, paraffins, polymers, water-ethylene glycol mixtures, water-extended polyesters, zirconium hydride, and/or NS-4-FR (a solid, borated, hydrogenous synthetic polymer). In addition or in the alternative, neutron radiation shielding 312 may include boron, such as borated polyester resin compounds, borated polypropylenes, borated water, boron carbides (e.g., B4C; BORAL®, a composite of aluminum and boron carbide), and/or boron nitrides. In addition or in the alternative, neutron radiation shielding 312 may include, for example, cadmium oxide, gadolinium oxide, and/or samarium oxide. Neutron radiation shielding 312 may include fire retardant materials such as, for example, aluminum hydroxide, calcium hydroxide, magnesium hydroxide, and/or hydrogarnet. Bottom closure 306 and/or lid 308 also may provide shielding from neutron radiation, for example, by incorporating one or more materials that provide shielding from neutron radiation. As shown in FIG. 3, cask 300 also may include optional basket assembly 314 for supporting, for example, one or more fuel assemblies 316 of the SNF, along with one or more other radioactive components (e.g., components of blanket, control, instrumentation, reflector, or shield). When supporting fuel assemblies 116 (and/or one or more other radioactive components), basket assembly 314 is normally inside inner shell 302. In the alternative, cask 300 may support fuel assemblies 316 (and/or one or more other radioactive components) without basket assembly 314. In some example embodiments, cask 300 may include one or more connections between the environment internal to cask 300 and the environment external to cask 300. Such connections may include, for example, one or more drains (not shown) and/or vents (not shown). The one or more drains and/or vents may be in bottom closure 306 and/or lid 308. Cask 300 also may include a pressure monitoring system (not shown), a temperature monitoring system (not shown), and/or other instrumentation. In some example embodiments, cask 300 may include upper and/or lower trunnions or similar fixtures (not shown) to provide for lifting, rotating, etc., cask 300. As shown in FIG. 3, cask 300 additionally may include one or more devices 318 configured to generate electricity using energy emitted from the SNF. Devices 318 may be configured to generate electricity using heat emitted from the SNF. Devices 318 may be configured to generate electricity based on a thermoelectric effect. Devices 318 may be configured to generate electricity based on the Seebeck effect. Devices 318 may be part of a radioisotope thermoelectric generator (“RTG”). Devices 318 may be designed to be removable, replaceable, and/or reusable. The term “thermoelectric effect” may refer to the direct conversion of temperature difference to electrical voltage and vice-versa. The direction of heating and/or cooling may depend on the polarity of the applied voltage. Conversely, the polarity of the applied voltage may determine the direction of heating and/or cooling. Thermoelectric effects may include the Seebeck effect, Peltier effect, and Thomson effect. Each of these effects, briefly discussed below, may be reversible. The Seebeck effect, discovered in 1821, may be described as demonstrating that a temperature difference across a parallel pair of dissimilar metals generates voltage. The Peltier effect, discovered in 1834, may be described as demonstrating that an electrical current flowing from a point of one dissimilar metal to a point of another dissimilar metal creates a cooling or heating effect at a junction of the dissimilar metals, depending on the direction of current flow. The Thomson effect, first studied in 1850s, may be described as quantifying the heating or cooling of a current-carrying conductor with a temperature gradient along the conductor. An RTG is a generator configured to generate electrical power from radioactive decay. An RTG may convert heat released from decay of radioactive material to electricity by the Seebeck effect using, for example, an array of thermocouples or thermionic devices. As shown in FIG. 3, inner end 320 of device 318 may be near, at, or in the volume within inner shell 302 (e.g., near or penetrating an inner wall of inner shell 302). As also shown in FIG. 3, outer end 322 of device 318 may be near, at, or outside of cask 300 (e.g., near or penetrating an outer wall of outer shell 304). Device 318 may comprise, for example, a thermocouple, thermionic device, one or more thermocouples connected to each other in series to form a closed loop (e.g., a thermopile), or one or more thermionic devices connected to each other in series to form a closed loop. Devices 318 may be distributed, for example, axially and/or circumferentially around cask 300. Inner end 320 of devices 318 may be vertically distributed, for example, near, at, or in a gas channel defined between inner shell 302 and fuel assemblies 316 (and/or one or more other radioactive components). Inner end 320 of devices 318 may be circumferentially distributed near, at, or in a gas channel defined between inner shell 302 and fuel assemblies 316 (and/or one or more other radioactive components). Inner end 320 of devices 318 may be vertically and circumferentially distributed near, at, or in a gas channel defined between inner shell 302 and fuel assemblies 316 (or one or more other radioactive components), for example, to maximize power generation by devices 318. As shown in FIG. 3, cask 300 may further include device 324 functioning as a cold junction or heat sink. Device 324 may comprise a junction box. Device 324 may be proximate to outer end 322 of device 318. Devices 324 may be designed to be removable, replaceable, and/or reusable. As shown in FIG. 3, cask 300 may further include device 326 configured to use the electricity to cool the SNF. Device 326 may be powered by the electricity generated by device 318. Device 326 may comprise one or more of a compressor, a fan, a pump, and a heat exchanger. Electricity generated by device 318 may be provide to device 326 using, for example, wiring. The wiring (not shown) may be routed from device 318 to device 326 via, for example, bottom closure 306 and/or lid 308. To protect the wiring from contact damage, the wiring may be routed between the inner wall of inner shell 302 and the outer wall of outer shell 304, within bottom closure 306, and/or within lid 308. In addition, the wiring may be routed so as to provide protection from radiation and/or thermal degradation. A cooling system for cask 300 may include device 318, device 326, and a coolant. The cooling system for cask 300 also may include device 324. Device 318 may provide power to device 326, enabling device 326 to force circulation of the coolant within cask 300. The forced circulation in cask 300 may provide improved heat transfer over the natural convection of the inert gas in cask 100. The improved heat transfer may allow selection of a coolant other than helium (He), such as nitrogen (N), which is less expensive than helium (He). The coolant in cask 300 may comprise one or more of helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), radon (Rn), and nitrogen (N). The coolant in cask 300 may comprise one or more gases or inert gases, such as helium (He) gas, neon (Ne) gas, argon (Ar) gas, krypton (Kr) gas, xenon (Xe) gas, radon (Rn) gas, and nitrogen (N2) gas. The coolant may comprise air. The coolant may comprise water. The coolant may comprise water and one or more gases. The coolant comprising water and one or more gases may use evaporative cooling, either alone or in combination with the thermoelectric effect(s). The coolant may comprise a liquid metal or metals (e.g., lead, lead-bismuth, mercury, sodium, or sodium-potassium). As known to a PHOSITA, some of these metals present challenging issues, such as spontaneous ignition on contact with air and violent reaction with water. All of the cooling system may be within a volume defined by the outer wall of outer shell 304. One or more portions of the cooling system may be within a volume defined by the outer wall of outer shell 304. One or more portions of the cooling system may not be within a volume defined by the outer wall of outer shell 304 (e.g., one or more portions of the cooling system may be outside the outer wall of outer shell 304). Higher energy emission from the SNF may cause devices 318 to generate more electricity than lower energy emission from the SNF. Devices 318 generating more electricity may cause devices 326 and/or the cooling system for cask 300 to provide more cooling for the SNF. Lower energy emission from the SNF may cause devices 318 to generate less electricity than higher energy emission from the SNF. Devices 318 generating less electricity may cause devices 326 and/or the cooling system for cask 300 to provide less cooling for the SNF. Effectively, this feedback mechanism may automatically compensate for changes in energy emission by the SNF (i.e., the feedback mechanism may be at least partially self-regulating). The forced circulation in cask 300 may define a flowpath(s) for coolant within cask 300. The cooling system may be configured to cause the coolant to follow the flowpath(s) when cooling the SNF. In some example embodiments, the flowpath(s) may start at device 326, flow up the middle of cask 300 toward lid 308, flow radially outward along lid 308 toward inner wall 302, flow downward along inner wall 302 toward bottom closure 306, and then flow radially inward along bottom closure 306 toward device 326. In some example embodiments, the flowpath(s) may start at device 326, flow radially outward along bottom closure 306 toward inner wall 302, flow upward along inner wall 302 toward lid 308, flow radially inward along lid 308 toward the middle of cask 300, and then flow down the middle of cask 300 toward device 326. Cask 300 may include a single device 326. Device 326 may be, for example, associated with bottom closure 306 (e.g., device 326 may be mounted on bottom closure 306). Device 326 may be, for example, associated with a central portion of bottom closure 306. Installation of bottom closure 306 may position device 326 so that device 326 may drive flow and/or force circulation of the coolant within cask 300. For example, installation of bottom closure 306 may position device 326 on or near a central axis of cask 300. In the alternative, device 326 may be, for example, associated with lid 308 (e.g., device 326 may be mounted on lid 308). Device 326 may be, for example, associated with a central portion of lid 308. Installation of lid 308 may position device 326 so that device 326 may drive flow and/or force circulation of the coolant within cask 300. For example, installation of lid 308 may position device 326 on or near a central axis of cask 300. Device 326 may be powered by one or more devices 318. For example, all power generated by one or more devices 318 may power device 326. Cask 300 may include more than one device 326. A first device 326 may be, for example, associated with bottom closure 306. A second device 326 may be, for example, associated with lid 308. First device 326 may be, for example, associated with a central portion of bottom closure 306 and/or second device 326 may be, for example, associated with a central portion of lid 308. Installation of bottom closure 306 and lid 308 may position first and second devices 326 so that first and second devices 326 may drive flow and/or force circulation of the coolant within cask 300. First and second devices 326 may, for example, effectively work in series to drive flow and/or force circulation of the coolant within cask 300. In addition or in the alternative, first and second devices 326 may, for example, effectively work in parallel to drive flow and/or force circulation of the coolant within cask 300. First and second devices 326 may, for example, be configured to work by themselves or together depending on the status of bottom closure 306 and/or lid 308. First and second devices 326 may be powered by one or more devices 318 in a suitable manner. For example, a first percentage (e.g., 60%) of the power generated by one or more devices 318 may power first device 326, while a second percentage (e.g., 40%) of the power generated by one or more devices 318 may power second device 326. Cask 300 may include multiple devices 326. Multiple devices 326 may be, for example, associated with bottom closure 306. Installation of bottom closure 306 may position multiple devices 326 so that multiple devices 326 may drive flow and/or force circulation of the coolant within cask 300. Multiple devices 326 may be associated, for example, with storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300 or with gaps in the storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300. In the alternative, multiple devices 326 may be, for example, associated with lid 308. Installation of lid 308 may position multiple devices 326 so that multiple devices 326 may drive flow and/or force circulation of the coolant within cask 300. Multiple devices 326 may be associated, for example, with storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300 or with gaps in the storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300. Cask 300 may include multiple devices 326 associated with bottom closure 306 and lid 308. Installation of bottom closure 306 and lid 308 may position multiple devices 326 so that multiple devices 326 may drive flow and/or force circulation of the coolant within cask 300. For example, installation of bottom closure 306 and lid 308 may position multiple devices 326 on or near a central axis of cask 300. In addition or in the alternative, multiple devices 326 may be associated, for example, with storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300 or with gaps in the storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300. Multiple devices 326 may, for example, effectively work in parallel to drive flow and/or force circulation of the coolant within cask 300. In addition or in the alternative, multiple devices 326 may, for example, effectively work in series to drive flow and/or force circulation of the coolant within cask 300. Multiple devices 326 may, for example, be configured to work by themselves or together depending on the status of bottom closure 306 and/or lid 308. Multiple devices 326 may be powered by one or more devices 318 in a suitable manner, as known to a PHOSITA. For example, a first percentage (e.g., 30%) of the power generated by one or more devices 318 may power multiple devices 326 associated with bottom closure 306, while a second percentage (e.g., 70%) of the power generated by one or more devices 318 may power multiple devices 326 associated with lid 308. In some example embodiments, optional basket assembly 314 may include dividers or similar structures (not shown) to assist in the organization and control of fuel assemblies 316 (and/or one or more other radioactive components). The dividers or similar structures may assist devices 326 in defining the flowpath(s). In conjunction with multiple devices 326, for example, dividers or similar structures may define multiple flowpaths associated with storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300 or with gaps in the storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300. For example, in conjunction with multiple devices 326, dividers or similar structures may define individual flowpaths associated with each storage location of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300 or with each gap in the storage location(s) of fuel assemblies 116 (and/or one or more other radioactive components) within cask 300. FIG. 4 is a top view of cask 300 of FIG. 3. As shown in FIGS. 3 and 4, cask 300 may be cylindrical in shape. However, in some example embodiments, cask 300 may assume other shapes, as well. As shown in FIG. 4, cask 300 may include inner shell 302, outer shell 304, gamma radiation shielding 310, and/or neutron radiation shielding 312. Some example embodiments include alternative arrangements of inner shell 302, outer shell 304, gamma radiation shielding 310, and neutron radiation shielding 312. As shown in FIG. 4, cask 300 may include optional basket assembly 314 for supporting, for example, one or more fuel assemblies 316 (and/or one or more other radioactive components). As shown in FIGS. 3 and 4, basket assembly 314 may be cylindrical in shape. However, in some example embodiments, basket assembly 314 may assume other shapes, as well. In some example embodiments, basket assembly 314 may include dividers or similar structures (not shown) to assist in the organization and control of fuel assemblies 316 (and/or one or more other radioactive components) to help satisfy nuclear criticality prevention requirements. In addition or in the alternative, basket assembly 314 may provide neutron absorption to help satisfy nuclear criticality prevention requirements. Basket assembly 314 may transfer heat directly and/or indirectly to inner shell 302. As shown in FIG. 4, basket assembly 314 may support six fuel assemblies 316 (and/or one or more other radioactive components). In the alternative, optional basket assembly 314 may support more than or fewer than six fuel assemblies 316 (and/or one or more other radioactive components). As shown in FIGS. 3 and 4, fuel assemblies 316 may be cylindrical in shape. However, in some example embodiments, fuel assemblies 316 may assume other shapes, as well. In a top view, for example, the cross-section of fuel assemblies 316 may be rectangular or square. In some example embodiments, the environment internal to cask 300 may be controlled when fuel assemblies 316 and/or one or more other radioactive components (not shown) are in cask 300 (e.g., within inner shell 302). For example, the volume within inner shell 302, not occupied by basket assembly 314, fuel assemblies 316, and/or one or more other radioactive components may be drained of coolant (e.g., water, liquid sodium), vacuum dried, and backfilled with a gas. Due to improved heat transfer capabilities, cask 300 may use a coolant that is less expensive than helium gas, such as nitrogen gas. In some example embodiments, fuel assemblies 316 generate significant heat due to radioactive decay of the SNF. Engineering and safety considerations require that heat to be dissipated. The heat dissipation may be passive in nature, with heat generally flowing from fuel assemblies 316 outward toward outer shell 304, bottom closure 306, and/or lid 308. For example, the heat dissipation may be via one or more of heat conduction through solid materials, driven flow and/or forced circulation of the coolant, and thermal radiation. Heat transfer via heat conduction may be improved, for example, by providing multiple heat flow paths from fuel assemblies 316, through basket assembly 314, to inner shell 302 and/or by providing radial heat fins (not shown) between inner shell 302 and outer shell 304. Heat transfer via driven flow and/or forced circulation of the coolant may be improved, for example, by selection of an inert gas with good heat transfer characteristics. Heat transfer via thermal radiation may be improved, for example, by increasing the temperature difference between outer shell 304, bottom closure 306, and/or lid 308 and the environment external to cask 300. Due to improved capabilities, such as improved heat transfer capabilities, cask 300 may allow the storage and/or transportation of SNF at an earlier point in time than related art cask 100. In addition or in the alternative, a single cask 300 may accept a higher load and/or density of SNF, allowing the storage and/or transportation of SNF more efficiently than related art cask 100. These improved capabilities may result in direct cost savings due, for example, to more rapid refueling/return of reactor to power operation and/or reduction in the number of casks required to store and/or transport a given amount of SNF. These improved capabilities also may result in indirect cost savings due, for example, to reduced radiation exposure to personnel and/or reduced operating time of the associated equipment. Cask 300 may be used in a manner similar to bare-fuel casks. In some example embodiments, bare-fuel cask 300 may be used for transportation and/or of SNF within a nuclear plant. In some example embodiments, lid 308 of bare-fuel cask 300 may include a mechanism(s) (not shown) for withdrawing SNF from the core of the nuclear reactor. Bare-fuel cask 300 may be suspended above the core, and the mechanism(s) in lid 308 may be used to withdrawing SNF from the core up into bare-fuel cask 300. When bare-fuel cask 300 is loaded, bottom closure 306 may be used to seal bare-fuel cask 300. Bottom closure 306 may include device 326. When bare-fuel cask 300 is sealed, bare-fuel cask 300 may be drained and backfilled with coolant. Device 326 may drive flow and/or force circulation of the coolant to cool the SNF. The SNF may be stored in the bare-fuel cask 300 and/or transported in the bare-fuel cask 300. In addition or in the alternative, when bare-fuel cask 300 is loaded, bare-fuel cask 300 may be at least partially filled with coolant without sealing bare-fuel cask 300. Device 326 may drive flow and/or force circulation of the coolant to cool the SNF. The SNF may be transported in the bare-fuel cask 300. The transportation may include, for example, moving bare-fuel cask 300 loaded with SNF from above the core to another position within the nuclear plant. The another position may include, for example, a temporary storage location for bare-fuel cask 300 or a storage location for SNF, such as an SNF storage rack within the nuclear plant (e.g., a fuel service center inside a refueling or containment building). If bare-fuel cask 300 is suspended, for example, above an SNF storage rack, the mechanism(s) in lid 308 may be used to lower the SNF into the storage rack and/or to withdraw the SNF from the storage rack. In some example embodiments, bare-fuel cask 300 may be used during defueling the reactor core, refueling the reactor core, and/or rearranging fuel assemblies within the reactor core. In some example embodiments, when bare-fuel cask 300 is sealed, bare-fuel cask 300 may be protected by a suitable storage or transportation overpack. Such overpacks may include concrete and/or steel. Such overpacks may protect bare-fuel cask 300 from external natural phenomena and/or made-made events. Such overpacks may provide radiation shielding and/or heat dissipation. These and other uses of bare-fuel cask 300 may apply to fuel assemblies 316 and/or other radioactive components. In the alternative, cask 300 may be used in a manner similar to the canister in canister-based systems. In some example embodiments, canister-based cask 300 with bottom closure 306 (e.g., welded) may be positioned near the core of the nuclear reactor. A crane or similar device may withdraw the SNF from the core, move the SNF to canister-based cask 300, and lower the SNF into canister-based cask 300. When canister-based cask 300 is loaded, lid 308 may be used to seal canister-based cask 300 (e.g., bolted or welded). In some example embodiments, bottom closure 306 may include device 326. When canister-based cask 300 is sealed, canister-based cask 300 may be drained and backfilled with coolant. Device 326 may drive flow and/or force circulation of the coolant to cool the SNF. The SNF may be stored in the canister-based cask 300 and/or transported in the canister-based cask 300. In some example embodiments, when canister-based cask 300 is sealed, canister-based cask 300 may be protected by a suitable storage or transportation overpack. As known to a PHOSITA, such overpacks typically include concrete and/or steel. As known to a PHOSITA, such overpacks typically protect canister-based cask 300 from external natural phenomena and/or made-made events. As known to a PHOSITA, such overpacks typically provide radiation shielding and/or heat dissipation. The ability of cask 300 to be used in a manner similar to bare-fuel casks, to be used in a manner similar to the canister in canister-based systems, and/or to be used to transport SNF within the nuclear plant provides significant advantages in terms of cost, design, and flexibility over related art cask 100. FIG. 5 is a flowchart of a method for cooling SNF according to some example embodiments. As shown in S525 of FIG. 5, electricity may be generated using energy emitted from the SNF, for example, from radioactive decay of the SNF (e.g., alpha decay, beta decay, and/or gamma rays). The energy emitted from the SNF may include heat. Thus, the electricity may be generated, for example, using this heat emitted from the SNF. The electricity may be generated based on a thermoelectric effect. The thermoelectric effect may be the Seebeck effect. Thus, the electricity may be generated, for example, based on the Seebeck effect. The electricity may be generated using an RTG. The RTG may include one or more arrays of thermocouples and/or thermionic devices. Thus, the electricity may be generated, for example, using the one or more arrays of thermocouples and/or thermionic devices. As shown in S550 of FIG. 5, the generated electricity may be used in a cooling system for the SNF when cooling the SNF. The generated electricity may be used to provide power to the cooling system. The electricity may be used, for example, to power a device configured to drive flow of coolant that cools the SNF. The electricity may be used to power a device configured to force circulation of the coolant that cools the SNF. The driven flow and/or forced circulation may provide improved heat transfer from the SNF over natural convection, natural circulation, or other non-driven flow and/or non-forced circulation systems. The method also may include defining one or more flowpaths for the coolant. In addition, the method may include causing the coolant to follow the one or more flowpaths when cooling the SNF. Initially, the SNF may be placed in wet storage. The water or other coolant may provide both radiation shielding and cooling. In wet storage, the ultimate heat sink for energy emitted from the SNF may be the environment (e.g., the atmosphere), albeit indirectly via the wet storage pool (sometimes supplemented by one or more cooling systems associated with the wet storage pool). After the SNF is placed in dry storage, the ultimate heat sink for energy emitted from the SNF also may be the environment (e.g., the atmosphere), albeit more directly than when in wet storage. Suitable arrangements for the ultimate heat sink are known to a PHOSITA. For bare-fuel casks, cask 300 may provide radiation shielding. Cask 300 also may provide cooling, for example, via heat conduction through solid materials, driven flow and/or forced circulation of coolant, and/or thermal radiation. In addition, if an overpack is used, the overpack also may provide radiation shielding. Further, the overpack may provide cooling, for example, via heat conduction through solid materials, natural convection of air between cask 300 and the overpack, and/or thermal radiation. For canister-based systems, cask 300—used in a manner similar to the canister in canister-based systems—may provide cooling, for example, via heat conduction through solid materials, driven flow and/or forced circulation of coolant, and/or thermal radiation. In addition, if an overpack is used, the overpack also may provide radiation shielding. Further, the overpack may provide cooling, for example, via heat conduction through solid materials, natural convection of air between cask 300 and the overpack, and/or thermal radiation. While example embodiments have been particularly shown and described, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present invention as defined by the following claims.
054405980
abstract
A nuclear fuel bundle includes a square array of fuel rods each having a concentration of enriched uranium and plutonium. Each rod of an interior array of the rods also has a concentration of gadolinium. The interior array of rods is surrounded by an exterior array of rods void of gadolinium. By this design, usage of plutonium in the nuclear reactor is enhanced.
056132387
claims
1. A method of decontaminating soil containing hazardous metals, which comprises the steps of: (a) mixing in a closed vessel an ammoniacal liquid with a soil contaminated with at least one ion of a hazardous metal or metalloid to form a dispersion or slurry; (b) separating an ammoniacal liquid-containing product from the dispersion or slurry of step (a) to yield a soil residue sufficiently free of ions of said hazardous metal or metalloid to permit reclamation, and (c) separating the ammoniacal liquid from the ammoniacal liquid-containing product of step (b) to yield a hazardous metal or metalloid-containing residue for disposal or further treatment. (a) mixing in a closed vessel an ammoniacal liquid with a soil contaminated with at least one ion of a hazardous metal or metalloid to form a dispersion or slurry; (b) allowing soil particles to selectively precipitate from the dispersion or slurry of step (a) to provide a lower phase comprising a precipitate of soil particulates while forming an upper liquid-solid phase comprising soil fines dispersed in said ammoniacal liquid; (c) separating said upper liquid-solid phase from said lower phase, the precipitate of soil particulates of said lower phase being sufficiently free of ions of said hazardous metal or metalloid to permit reclamation of said soil particulates, and (d) separating the ammoniacal liquid of said upper liquid-solid phase to yield a residue comprising the hazardous metal or metalloid for disposal or further treatment. (a) mixing in a closed vessel an ammoniacal liquid with a soil contaminated with at least one ion of a hazardous metal or metalloid to form a dispersion or slurry; (b) treating the dispersion or slurry of step (a) with solvated electrons by contacting with a reactive metal selected from the group consisting of an alkali metal, alkaline earth metal and aluminum; (c) separating an ammoniacal liquid-containing product from said dispersion or slurry of step (b) to yield a soil residue sufficiently free of ions of the hazardous metal or metalloid to permit reclamation of the soil, and (d) separating said ammoniacal liquid from said ammoniacal liquid-containing product of step (c) to yield a hazardous metal or metalloid-containing residue for disposal or further treatment. 2. The method of claim 1 wherein the ammoniacal liquid is anhydrous liquid ammonia or an ammonia-containing solution. 3. The method of claim 2 wherein the ion of said hazardous metal or metalloid is a member selected from the group consisting of radioactive metals, nonradioactive metals and mixtures thereof. 4. The method of claim 2 wherein the ion of said hazardous metal or metalloid is nonradioactive and is a member selected from the group consisting of arsenic, antimony, selenium, cadmium, cobalt, mercury, chromium, lead and mixtures thereof. 5. The method of claim 2 wherein said ammoniacal liquid-containing product of step (b) comprises a coordination compound formed from the ion of a hazardous non-radioactive metal or metalloid and ammonia. 6. The method of claim 5 wherein the coordination compound is a metal-ammonia ligand complex. 7. The method of claim 2 wherein said ammoniacal liquid-containing product of Step (b) comprises a co-ordination compound formed from the ion of a hazardous metal or metalloid and cyanide ion. 8. The method of claim 1 including the step of recycling the ammoniacal liquid of step (c). 9. The method of claim 1 including the addition of a chelating agent to said closed vessel. 10. The method of claim 1 wherein the contaminated soil comprises mainly sand and includes the step of adding a clay to said vessel. 11. A method of decontaminating soil containing hazardous metals, which comprises the steps of: 12. The method of claim 11 including the step of recovering and recycling the ammoniacal liquid from step (d). 13. The method of claim 11 wherein the ammoniacal liquid of step (a) is anhydrous liquid ammonia or an ammonia-containing solution. 14. The method of claim 11 wherein the ion of said hazardous metal or metalloid is a member selected from the group consisting of radioactive metals, nonradioactive metals and mixtures thereof. 15. The method of claim 11 wherein the ion of said hazardous metal or metalloid is nonradioactive and is a member selected from the group consisting of arsenic, antimony, selenium, cadmium, cobalt, mercury, chromium, lead and mixtures thereof. 16. The method of claim 14 wherein the soil comprises a member selected from the group consisting of clay, disintegrated rock, sand, organic matter and mixtures thereof. 17. The method of claim 11 including the addition of a chelating agent to said closed vessel. 18. The method of claim 11 including the addition of a ligand complexing agent to said closed vessel. 19. The method of claim 18 wherein the ligand complexing agent is a cyanide ion yielding salt or compound. 20. The method of claim 11 wherein the soil is mainly sand and step (a) includes the addition of a clay to said closed vessel. 21. The method of claim 11 wherein the soil of step (a) comprises a mixed waste. 22. The method of claim 21 wherein said mixed waste comprises an ion of a hazardous nonradioactive metal or metalloid and a nuclear waste. 23. The method of claim 21 wherein said mixed waste comprises an ion of a hazardous nonradioactive metal or metalloid and an ion of a radioactive isotopic metal. 24. The method of claim 22 wherein the nuclear waste comprises at least one radionuclide. 25. The method of claim 24 wherein the radionuclide is a member of the actinide series. 26. The method of claim 23 wherein the radioactive isotopic metal is a member selected from the group consisting of uranium, plutonium, thorium and mixtures thereof. 27. A method of decontaminating soil, which comprises the steps of: 28. The method of claim 27 wherein step (b) is performed by circulating at least a portion of the ammoniacal liquid through a by-pass containing the reactive metal to form solvated electrons, which are recirculated to the closed vessel for treating the contaminated soil. 29. The method of claim 27 wherein the ion of the hazardous metal or metalloid is a member selected from the group consisting of radioactive metals, nonradioactive metals and mixtures thereof. 30. The method of claim 29 wherein the ammoniacal liquid of step (a) is anhydrous liquid ammonia or an ammonia-containing solution. 31. The method of claim 29 wherein the ion of said hazardous metal or metalloid is nonradioactive and is a member selected from the group consisting of arsenic, antimony, selenium, cadmium, cobalt, mercury, chromium, lead and mixtures thereof. 32. The method of claim 30 wherein the soil is contaminated with chromium VI, and is reduced by the solvated electrons. 33. The method of claim 29 wherein the soil comprises a member selected from the group consisting of clay, disintegrated rock, sand, organic matter and mixtures thereof. 34. The method of claim 29 wherein the soil is mainly sand and step (a) includes the addition of a clay to the vessel. 35. The method of claim 27 wherein the soil of step (a) comprises a mixed waste. 36. The method of claim 35 wherein the mixed waste comprises an ion of a hazardous nonradioactive metal or metalloid and a nuclear waste. 37. The method of claim 35 wherein the mixed waste comprises an ion of a hazardous nonradioactive metal or metalloid and an ion of a radioactive isotopic metal. 38. The method of claim 36 wherein the nuclear waste comprises at least one radionuclide. 39. The method of claim 38 wherein the radionuclide is a member of the actinide series. 40. The method of claim 39 wherein the radioactive isotopic metal is a member selected from the group consisting of uranium, plutonium, thorium and mixtures thereof. 41. The method of claim 35 wherein the mixed waste comprises an ion of a hazardous metal or metalloid and an organic compound. 42. The method of claim 41 wherein the organic compound is a halogenated organic compound. 43. The method of claim 42 wherein the halogenated organic compound is a member selected from the group consisting of PCBs, dioxin and pesticides.
summary
058898301
abstract
A nuclear power plant has a reactor core and a containment chamber for receiving core melt of the reactor core. A cooling system for cooling the containment chamber includes a flooding container to be filled with coolant fluid. A cooling pipe leads from the flooding container to the containment chamber. A passively opening closure element closes the cooling pipe in the flooding container and opens as a function of a level of the coolant fluid.
description
The present application claims priority from Japanese Patent Application No. 2010-036046 filed on Feb. 22, 2010 and Japanese Patent Application No. 2010-294239 filed on Dec. 28, 2010, the disclosures of each of which are incorporated herein by reference in their entirety. 1. Technical Field The present disclosure relates to an extreme ultraviolet (EUV) light generation apparatus in which a target material is irradiated with a laser beam to thereby generate the EUV light. 2. Related Art In recent years, as semiconductor processes become finer, photolithography has been making rapid progress toward finer fabrication. In the next generation, microfabrication at 70 nm to 45 nm, further, microfabrication at 32 nm and beyond will be required. Accordingly, in order to fulfill the requirement for microfabrication at 32 nm and beyond, for example, an exposure device is expected to be developed where an EUV light generation apparatus for generating EUV light having a wavelength of approximately 13 nm is combined with reduced projection reflective optics. As the EUV light generation apparatus, there are three kinds of light generation apparatuses, which include an LPP (laser produced plasma) type light generation apparatus using plasma generated by irradiating a target material with a laser beam, a DPP (discharge produced plasma) type light generation apparatus using plasma generated by electric discharge, and an SR (synchrotron radiation) type light generation apparatus using orbital radiation. An EUV light generation apparatus in accordance with one aspect of the present disclosure is used in combination with a laser system, and the apparatus may include: a chamber provided with at least one inlet port for introducing a laser beam outputted from the laser system into the chamber; a target supply unit provided to the chamber for supplying a target material to a predetermined region inside the chamber, where the target material is irradiated with the laser beam; at least one optical element disposed inside the chamber; a magnetic field generation unit for generating a magnetic field around the predetermined region; an ion collection unit disposed in a direction of a line of magnetic force of the magnetic field for collection an ion generated when the target material is irradiated with the laser beam and flowing along the line of magnetic force; and a gas introduction unit for introducing an etching gas into the chamber. These and other objects, features, aspects, and advantages of the present disclosure will become apparent to those skilled in the art from the following detailed description, which, taken in conjunction with the annexed drawings, discloses preferred embodiments of the present disclosure. Hereinafter, embodiments for implementing the present disclosure will be described in detail with reference to the accompanying drawings. In the subsequent description, each drawing merely illustrates shape, size, and positional relationship of members schematically to the extent that enables the content of the present disclosure to be understood. Accordingly, the present disclosure is not limited to the shape, the size, and the positional relationship of the members illustrated in each drawing. In order to simplify the drawings, a part of hatching along a section is omitted. Further, numerical values indicated hereafter are merely preferred examples of the present disclosure. Accordingly, the present disclosure is not limited to the indicated numerical values. First, an EUV light generation apparatus in accordance with a first embodiment of the present disclosure will be described in detail with reference to the drawings. FIG. 1 is a sectional view illustrating a schematic configuration of an exposure device and the EUV light generation apparatus in accordance with the first embodiment. As shown in FIG. 1, an EUV light generation apparatus 1 in accordance with the first embodiment includes a well-sealed chamber 10, a droplet generator 16 for supplying a target material in a form of a liquid droplet (droplet D) toward a plasma generation site P1 located at substantially the center of the chamber 10, and a droplet collection unit 17 for collecting a droplet which has passed the plasma generation site P1. The chamber 10 may be provided with an exhaust pump (not shown). The droplet generator 16 stores a liquid-state target material, such as molten tin (Sn), which serves as a material for generating EUV light, and is provided with a nozzle 16a having an opening at its tip facing toward the plasma generation site P1. The liquid-state target material stored in the droplet generator 16 is outputted as a liquid droplet D through the nozzle 16a toward the plasma generation site P1. It is to be noted that the target material is not limited to the molten Sn, and various molten metals or other materials may be employed in accordance with a desired wavelength of EUV light to be obtained. Further, a target is not limited to a liquid target, but a solid target may be used. As shown in FIG. 1, the EUV light generation apparatus 1 includes an EUV collector mirror 12 that selectively reflects EUV light emitted at the plasma generation site P1. A laser beam L1 outputted from a driver laser device (not shown) enters the chamber 10 through a window 11 in synchronized timing as the droplet D arrives at the plasma generation site P1. The laser beam L1, traveling through a through-hole 12a provided at substantially the center of the EUV collector mirror 12 from a rear side (opposite side of the reflective side) of the EUV collector mirror 12, is focused by a focusing optical system (not shown) on the droplet D at the plasma generation site P1. With this, the droplet D is ionized and turned into plasma around the plasma generation site P1, and EUV light L2 is emitted from this plasma. A beam dump 18 for preventing the laser beam L1 from entering into an exposure device 100 may be provided to an opposite side from the EUV collector mirror 12 on an axis AX. The EUV light L2 emitted at the plasma generation site P1 is selectively reflected by the EUV collector mirror 12. When the EUV collector mirror 12, for example, is an ellipsoidal concave mirror having a first focus and a second focus, the EUV collector mirror 12 is disposed such that the first focus coincides with the plasma generation site P1 where the EUV light is emitted and the second focus coincides with an intermediate focus IF where the reflected EUV light is focused. With this configuration, the EUV light emitted at the plasma generation site P1 can be imaged at the intermediate focus IF. The configuration is such that the intermediate focus IF is located inside an exposure device connection unit 19 which serves to connect the EUV light generation apparatus 1 and the exposure device 100. Accordingly, the EUV light L2 generated at the plasma generation site P1, having been selectively reflected by the EUV collector mirror 12, is focused at the intermediate focus IF inside the exposure device connection unit 19. Note that although a beam axis of the laser beam L1 coincides with the axis AX of the EUV light L2 in this embodiment, they do not necessarily have to coincide with each other. The EUV light L2 focused at the intermediate focus IF is thereafter introduced into the exposure device 100. In the exposure device 100, a stage 110 which is movable horizontally and which holds a workpiece W101, such as a semiconductor substrate or a glass substrate, to be processed, and an exposure optical system including one or more mirrors M71 through M75 and a reflective mask M73 which shapes the profile of the inputted EUV light L2 to a pattern to be transferred and focuses the EUV light L2 on the workpiece W101 on the stage 110. Thus, the pattern on the mask M73 by the EUV light L2 having propagated through the exposure optical system is imaged on the workpiece W101, whereby a desired pattern is transferred. More specifically, the exposure device 100 includes an illumination optical system for illuminating the mask M73, and a reduced projection optical system for performing reduced projection of the mask pattern on the mask M73 and images onto the workpiece W101 such as a wafer. The illumination optical system includes the mirrors M71 and M72 and illuminates the reflective mask M73. The reduced projection optical system includes the mirrors M74 and M75 and images the mask pattern on the reflective mask M73 onto the workpiece W101. FIG. 2 is a sectional view illustrating a schematic configuration of the EUV light generation apparatus in accordance with the first embodiment. FIG. 2, however, is a section taken along a plane containing the axis AX and along a plane different from that shown in FIG. 1. As shown in FIG. 2, the EUV light generation apparatus 1 includes, in addition to the configuration shown in FIG. 1, a pair of magnets 14 disposed outside the chamber 10, a pair of ion collection units 13 disposed on a central line of magnetic force lines MF of a magnetic field generated by the pair of magnets 14, and an exhaust pump 20 for maintaining the pressure inside the chamber low. The magnets 14, for example, are superconducting electromagnets constituting a magnetic field generation unit, and a magnetic field in a range of 0 through approximately 2 T (tesla) can be generated steadily in a large space by applying current to coils 14a thereof. The pair of magnets 14 is disposed such that they face each other with the plasma generation site P1 located therebetween and such that they are coaxially aligned with their axes passing though the plasma generation site P1. Thus, a charged particle such as an Sn ion generated around the plasma generation site P1 (hereinafter simply referred as ionized debris) is trapped in the magnetic field generated so as to pass through the plasma generation site P1. The trapped ionized debris is subjected to the Lorentz force from the magnetic field, and travels along the magnetic force lines MF revolving around the magnetic force lines MF. With this, an ion flow FL of which a sectional area along a plane perpendicular to the direction of the magnetic force lines MF is limited within a certain range is formed. The ion flow FL flows in two directions along the direction of the magnetic field away from the plasma generation site P1. Further, a pair of ion collection units 13 is disposed on the central line of the magnetic force lines MF of the magnetic field generated by the pair of magnets 14 at positions where the ion collection units 13 face each other with the plasma generation site P1 located therebetween. The ionized debris generated around the plasma generation site P1 and having been turned into the ion flow FL, being trapped in the magnetic field, flows along the magnetic force lines MF, to thereby be collected into either one of the ion collection units 13. Further, as shown in FIG. 2, the EUV light generation apparatus 1 includes an etching gas introduction unit 15 disposed to face an optical element such as a reflective surface of the EUV collector mirror 12 disposed inside the chamber 10. The etching gas introduction unit 15 introduces an etching gas into the chamber 10 for etching a deposited target material (Sn). The target material deposited on the optical element reacts with the etching gas introduced by the etching gas introduction unit 15 to thereby be removed. As the etching gas, hydrogen (H2) gas, halogen gas such as F, Cl, and Br, or a gas containing the above may be used. When, for example, Sn is used as the target material and hydrogen gas is used as the etching gas, Sn deposited on the optical element reacts with hydrogen to generate gaseous SnH4, to thereby be removed off a surface of the optical element. Note that the gas (such as SnH4) generated through a reaction between the target material and the etching gas may be discharged from the chamber 10 by the exhaust pump 20 or the like connected to the chamber 10. A free radical source for dissociating (turning into a free radical) the etching gas may be provided around an outlet port of the etching gas introduction unit 15. Examples of the free radical source include a plasma source, W-filament, and microwave. With this, the etching gas can be supplied into the chamber 10 as a free radical particle having a high reactivity with the deposited target material. This makes it possible to efficiently remove the target material deposited on the optical element inside the chamber 10. When, for example, hydrogen gas is used as the etching gas and Sn is used as the target material, a hydrogen radical and Sn react efficiently to thereby generate gaseous SnH4. Here, hydrogen gas may be turned into a hydrogen radical with light having a wavelength of ultraviolet or the like emitted at the plasma generation site P1. FIG. 3 is a sectional view illustrating a schematic configuration of the EUV light generation apparatus in accordance with the first embodiment, to which a unit for introducing the etching gas into the chamber and a sensor for detecting pressure inside the chamber are added. The unit for introducing the etching gas includes an etching gas tank 24, a mass flow controller MFC 22 for controlling a flow rate of the etching gas, and the etching gas introduction unit 15. Further, the chamber 10 is provided, via piping, with a pressure sensor 25 for measuring the pressure inside the chamber. With an EUV light generation apparatus 1A configured as such, the pressure inside the chamber 10 can be regulated by adjusting the flow rate of the etching gas or a discharge rate of the exhaust pump. FIG. 4 is a graph showing a relationship between a magnetic flux density generated at the plasma generation site P1 and a deposition rate of Sn to be deposited on a surface of the EUV collector mirror 12, in a case where the etching gas is not introduced into the chamber. In FIG. 4, the vertical axis represents the deposition rate of Sn, and the horizontal axis represents the magnetic flux density indicating the strength of the magnetic field. When the magnetic flux density is between 0 T and 0.5 T, the deposition rate of Sn has monotonically decreased. On the other hand, in a region where the magnetic flux density is at or above 0.5 T, the deposition rate of Sn has been substantially constant. Based on this result, it is contemplated that the ionized debris is trapped in the magnetic field when the magnetic flux density is in a range between 0 and 0.5 T. It is also contemplated, however, that neutral particles and minute particles which are not charged and cannot be trapped in the magnetic field are deposited when the magnetic flux density is at or above 0.5 T. Thus, in order to etch the deposited Sn of the uncharged neutral particles and minutes particles, hydrogen gas containing hydrogen radicals has been introduced as the etching gas into the vacuum chamber. In this example, hydrogen gas has been passed through a free radical source 15A for turning the hydrogen gas into free radicals, whereby a mixed gas of the hydrogen gas and the hydrogen radicals has been introduced into the chamber. FIG. 5 shows a relationship between the flow rate of the hydrogen gas and the etching rate of Sn on the surface of the EUV collector mirror 12. The vertical axis represents the etching rate (nm/min) of Sn, and the horizontal axis represents the mass flow rate (sccm) of the hydrogen gas. Note that the etching rate of Sn was measured under the condition where the pressure inside the chamber was at or below 10 Pa. When, for example, the deposition rate of Sn on the surface of the EUV collector mirror 12 is at 0.04 nm/min, adjusting the mass flow rate of the hydrogen gas to a range between 25 sccm and 131 sccm prevents Sn from being deposited on the surface of the EUV collector mirror 12. Next, a description will be provided below that as the pressure inside the chamber 10 increases, the trapping effect of ions by the magnetic field decreases. When the gas pressure inside the chamber 10 (hereinafter referred to as chamber pressure) is increased, collision between the ionized debris traveling along the magnetic force lines MF and molecules (or atoms) inside the chamber 10 occurs frequently. As a result, the ionized debris that is trapped in the magnetic field and travels therein deviates largely from the path extending along the magnetic force lines MF, and diffuses to the outside with respect to the central line of the magnetic field. That is, as the chamber pressure is increased, the cross sectional area of the ion flow FL flowing in the direction of the magnetic field increases. As the chamber pressure is further increased, the stopping distance of the traveling ions becomes shorter, whereby the ions cannot be collected. Here, the diffusion width of the ionized debris caused by colliding with the molecules (or atoms) depends on the atmosphere gas inside the chamber 10, particularly on the type and the pressure of the etching gas introduced into the chamber 10. FIG. 6 shows a result of a Monte Carlo simulation (SRIM) for finding a trajectory of an Sn ion when the Sn ion of 1 keV enters the hydrogen gas atmosphere where the gas pressure is 1 Pa and the magnetic field having the magnetic flux density of 0.6 T in the direction of entry. Further, FIG. 7 shows a result of a Monte Carlo simulation (SRIM) for finding a trajectory of an Sn ion when the Sn ion of 0.01 keV enters the Xe gas atmosphere where the gas pressure is 1 Pa and the magnetic field having the magnetic flux density of 0.6 T in the direction of entry. Note that in FIG. 6 and FIG. 7, the horizontal axis represents the distance in the direction of entry, that is, the moving distance in the direction of entry, and the vertical axis represents the moving distance in the direction perpendicular to the direction of entry, that is, the diffusion width σ. First, as shown in FIG. 6, the Sn ion that has entered the hydrogen gas atmosphere is not diffused substantially when the moving distance is around a few hundred millimeters, but as it travels in the hydrogen gas, the diffusion width σ increases. On the other hand, the Sn ion that has entered the Xe gas atmosphere is largely diffused immediately after it has entered the Xe gas, and it stops without moving much. Further, while the stopping distance of the Sn ion in the direction of entry in the hydrogen gas is approximately 6 m, the stopping distance of the Sn ion in the direction of entry in the Xe gas is as short as approximately 300 mm at most. This is because the hydrogen molecule has sufficiently small mass with respect to the Sn ion, and thus the energy loss at collision is small; whereas, the Xe atom has substantially the same mass as the Sn ion, and thus the energy loss at collision is large. Based on these, it is contemplated that in order to prevent the ionized debris from being diffused, a gas that is relatively light in mass, such as hydrogen gas, is preferably used as the etching gas. Further, FIG. 8 shows a relationship between the chamber pressure and the diffusion width of the Sn ion found by a Monte Carlo simulation (SRIM) in accordance with the first embodiment. In FIG. 8, a line Lh1 represents the dependency of the diffusion width σ on the chamber pressure in a case where hydrogen gas is used as the atmosphere gas and the moving distance of the Sn ion in the direction of entry is 100 mm, and a line Lh2 represents the dependency of the diffusion width σ on the chamber pressure in a case where hydrogen gas is used as the atmosphere gas and the moving distance of the Sn ion in the direction of entry is 200 mm. Further, a line Lxe1 represents the dependency of the diffusion width σ on the chamber pressure in a case where Xe gas is used as the atmosphere gas and the moving distance of the Sn ion in the direction of entry is 100 mm, and a line Lxe2 represents the dependency of the diffusion width σ on the chamber pressure in a case where Xe gas is used as the atmosphere gas and the moving distance of the Sn ion in the direction of entry is 200 mm. As shown in FIG. 8, when the hydrogen gas is used as the atmosphere gas, the diffusion width σ is at or below 1 mm when the chamber pressure is approximately at or below 6×10−1 Pa in the case where the moving distance in the direction of entry is 100 mm, or when the chamber pressure is approximately at or below 3 Pa in the case where the moving distance is 200 mm. On the other hand, when the Xe gas is used as the atmosphere gas, the diffusion width σ is at or below 1 mm when the chamber pressure is approximately at or below 3.5×10−4 Pa in the case where the moving distance in the direction of entry is 100 mm, or when the chamber pressure is approximately at or below 8×10−5 Pa when the moving distance is 200 mm. Here, a mass ratio of hydrogen gas to an Sn ion is sufficiently small with respect to a mass ratio of Xe gas to an Sn ion. Accordingly, using hydrogen gas as the atmosphere gas makes it possible to obtain substantially the same diffusion width σ as the case where the Xe gas is used as the atmosphere gas even when the chamber pressure is increased approximately by four orders. That is, using hydrogen gas as the atmosphere gas makes it possible to increase the chamber pressure without increasing the diffusion width σ, in comparison to the case where the Xe gas is used. Based on the above, it is contemplated that, in order to remove the deposited Sn more efficiently, hydrogen gas or hydrogen radical is preferably used as the etching gas. Note that Xe gas does not react with Sn; thus, Xe gas cannot be used as the etching gas. However, Xe gas is effective in stopping Sn debris having high energy. Thus, in the first embodiment, the magnetic flux density B of the magnetic field generated around the plasma generation site P1 and the positional relationship of the EUV collector mirror 12 and the ion collection unit 13 with respect to the plasma generation site P1 are arranged as follows. FIG. 9 shows an exemplary positional relationship among the plasma generation site P1, the EUV collector mirror 12, and the ion collection unit 13 in accordance with the first embodiment. In the example shown in FIG. 9, hydrogen gas is used as the etching gas, Sn is used as the target material, the magnetic flux density B of the magnetic field generated around the plasma generation site P1 is 0.6 T, a radius r1 of the EUV collector mirror 12 is 200 mm, a distance 11 between an edge of the ion flow FL in the direction perpendicular to the direction of the magnetic field and an edge of the EUV collector mirror 12 is 6 mm. Note that when the magnetic flux density is 0.6 T, a radius r2 of the ion flow FL in a vacuum state is 25 mm. Further, the diffusion width of the Sn ion including a safety factor is designated by W1h. Under this condition, when, for example, the safety factor is 3 (W1h=3σ) and the chamber pressure is at 10 Pa, the probability of an Sn ion being incident on the EUV collector mirror 12 is as small as 1.35×10−3 Further, when, for example, the safety factor is 6 (W1h=6σ) and the chamber pressure is 4 Pa, the probability of an Sn ion being incident on the EUV collector mirror 12 is 1.78×10−10, which is thus further improved. Furthermore, when, for example, the safety factor is 9 (W1h=9σ) and the chamber pressure is 2 Pa, the probability of an Sn ion being incident on the EUV collector mirror 12 is 1.13×10−19, which is negligibly small. Under the condition shown in FIG. 9, ionized debris incident on the ion collection unit 13 and ionized debris incident on the EUV collector mirror 12 were measured. FIG. 10 is a diagram for describing an experiment in which an amount of ionized debris incident on the ion collection unit 13 and on the EUV collector mirror 12 shown in FIG. 9 is measured. As shown in FIG. 10, in this experiment, a Faraday cup F1 is disposed in place of the ion collection unit 13, and a Faraday cup F2 is disposed in place of the edge of the EUV collector mirror 12. The Faraday cup F1 may be disposed at a location corresponding to the edge of the EUV collector mirror 12, as shown in FIG. 10. Further, FIG. 11 shows a temporal change in current flowing into the Faraday cup (F1) disposed on an axis of the magnetic field in a case where the interior of the chamber is kept in vacuum and in a case where the interior of the chamber is under low-pressure hydrogen gas atmosphere as shown in FIG. 10. FIG. 12 shows a temporal change in current flowing into the Faraday cup (F2) disposed off an axis of the magnetic field in a case where the interior of the chamber is kept in vacuum and in a case where the interior of the chamber is under low-pressure hydrogen gas atmosphere as shown in FIG. 10. A line L11 shown in FIG. 11 represents a detected waveform in the Faraday cup F1 in a case where the chamber 10 is kept in vacuum and the magnetic field with the magnetic flux density of 0.6 T is generated. Under this condition, relatively large, short pulsed current was detected in the Faraday cup F1. On the other hand, a line L22 shown in FIG. 12 represents a detected waveform in the Faraday cup F2 under the same condition as the above. Under this condition, pulsed current was not detected in the Faraday cup F2. Based on these, keeping the chamber in vacuum allows almost all ions to reach the Faraday cup F1. On the other hand, the ions do not reach the Faraday cup F2 corresponding to the EUV collector mirror 12. This indicates that the ionized Sn debris having high energy is not incident on the EUV collector mirror 12. In other words, compared to the current detected in the Faraday cup F1, the current detected in the Faraday cup F2 is sufficiently small, which indicates that almost all ionized debris can be collected in the Faraday cup F1 (corresponding to ion collection unit 13). Meanwhile, a line L12 shown in FIG. 11 represents a detected waveform in the Faraday cup F1 in a case where hydrogen gas is introduced into the chamber 10 to increase the pressure thereinside and the magnetic field having the magnetic flux density of 0.6 T is generated. Under this condition, relatively large, short pulsed current was detected in the Faraday cup F1. On the other hand, a line L21 shown in FIG. 12 represents a detected waveform in the Faraday cup F2 under the same condition as the above. Under this condition, small, pulsed current was detected in the Faraday cup F2. This case corresponds to a case where the ionized Sn debris is incident on the EUV collector mirror. FIG. 13 shows values of integral of current detected in each Faraday cup in a case where hydrogen gas pressure inside the chamber is varied as shown in FIG. 10. As shown in FIG. 13, when the hydrogen gas pressure is below 8 Pa, the values of integral of the current detected in the Faraday cups F1 and F2 shown in FIG. 10 do not change substantially. This indicates that the positional relationship with respect to the magnetic flux density B as shown in FIG. 9 enables to collect almost all generated ionized debris in the ion collection unit 13 while the chamber pressure containing hydrogen gas does not exceed at least 8 Pa. Accordingly, when hydrogen gas is used as the etching gas, keeping the hydrogen gas pressure inside the chamber 10 at least at or below 8 Pa allows to collect the ionized debris generated around the plasma generation site P1 using the magnetic field and to prevent a layer of the target material from being formed on the surface of the optical element inside the chamber 10. The above experimental result matched with the simulation result. Next, FIG. 14 is a graph showing a relationship between an averaged stopping distance of Sn ions and the hydrogen gas pressure. The vertical axis represents the averaged stopping distance (mm), and the horizontal axis represents the hydrogen gas pressure (Pa). The higher the hydrogen gas pressure is, the shorter the averaged stopping distance d1 is. For example, in order to trap Sn ions in the magnetic field at a position 200 mm away from the plasma generation site P1 to collect Sn, the hydrogen gas pressure needs to be at or below 15 Pa. Further, in order to trap the Sn ions in the magnetic field at a position 46 mm away from the plasma generation site P1 to collect Sn, the hydrogen gas pressure needs to be at or below 100 Pa. As described above, in the first embodiment, the distance between the optical element and the edge of the ion flow FL is adjusted such that the optical element such as the EUV collector mirror 12 is not in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. With this, the ionized debris generated around the plasma generation site P1 can be collected using the magnetic field while a layer of the target material can be prevented from being formed on the surface of the optical element inside the chamber 10. In the above description, a case where the diffused ionized debris is prevented from being incident on the EUV collector mirror 12 has been exemplified. However, the present disclosure is not limited thereto. FIG. 15 illustrates an exemplary positional relationship among the plasma generation site, the EUV collector mirror, and the nozzle of the droplet generator in accordance with the first embodiment. As shown in FIG. 15, for example, the tip of the nozzle 16a of the droplet generator 16 is preferably positioned as close to the plasma generation site P1 as possible so that the droplet generator 16 can supply the droplet D with high precision and at favorable timing to the plasma generation site P1. However, if the ionized debris is deposited onto the tip of the nozzle 16a, the droplet D may not be outputted with high precision through the tip of the nozzle 16a. Thus, in the first embodiment, as shown in FIG. 15, a distance 12 between the edge of the ion flow FL and the tip of the nozzle 16a is regulated such that the tip of the nozzle 16a is not disposed in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. Note that in this example, the direction into which the target is outputted is inclined with respect to the direction of the magnetic field. Without being limited to this example, however, the arrangement may be such that the direction into which the target is outputted is substantially perpendicular to the direction of the magnetic field, and the ionized debris does not reach the target material outlet port of the nozzle 16a. Further, FIG. 16 illustrates an exemplary positional relationship among the plasma generation site, the EUV collector mirror, and a measuring unit in accordance with the first embodiment. A measuring unit M1 for measuring light intensity or the like of the EUV light L2 emitted at the plasma generation site P1 is also preferably disposed as close to the plasma generation site P1 as possible in order to improve its measuring accuracy. Thus, in the first embodiment, a distance 13 between the edge of the ion flow FL and the tip of the measuring unit M1 is regulated, as shown in FIG. 16, such that the tip of the measuring unit M1 is not disposed in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. Note that in this embodiment, a case of the measuring unit M1 for measuring the light intensity was exemplified, but without being limited to thereto, the embodiment can be applied to any sensor disposed inside the chamber. It may be applied, for example, to a detector for detecting the position of the target. Furthermore, FIG. 17 illustrates an exemplary positional relationship among the plasma generation site, the EUV collector mirror, and an etching gas introduction unit in accordance with the first embodiment. The ionized debris generated around the plasma generation site P1 tends to be deposited onto the optical element disposed around the plasma generation site P1. Thus, the etching gas introduction unit 15 for introducing the etching gas into the chamber 10 is preferably disposed around the plasma generation site P1. Therefore, in the first embodiment, a distance 14 between the edge of the ion flow FL and the tip of the etching gas introduction unit 15 is regulated, as shown in FIG. 17, such that the tip of the etching gas introduction unit 15 is not disposed in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. Note that the etching gas introduction unit 15 may be a radical introduction port for introducing the hydrogen radial or the like into the chamber 10. FIG. 18 illustrates an exemplary positional relationship among the plasma generation site, the EUV collector mirror, and a free radical source in accordance with the first embodiment. As described above, the etching gas introduced into the chamber 10 is preferably a free radical that is highly reactive with the target material. Thus, in the first embodiment, as shown in FIG. 18, the free radical source 15A may be disposed at the tip of the etching gas introduction unit 15. With this, the etching gas supplied from the etching gas introduction unit 15 can be turned into a free radical by the free radical source 15A and the etching gas that has been turned into a free radical can be supplied into the chamber 10. However, as described with reference to FIG. 17, a part (free radical source 15A) for introducing the etching gas into the chamber 10 is preferably disposed around the plasma generation site P1. Thus, in the first embodiment, a distance 15 between the edge of the ion flow FL and the tip of the free radical source 15A is regulated, as shown in FIG. 18, such that the tip of the free radical source 15A is not disposed in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. FIG. 19 illustrates an exemplary positional relationship among the plasma generation site, the EUV collector mirror, and an electrostatic suction type droplet generator in accordance with the first embodiment. The droplet generator 16 in accordance with the first embodiment may be replaced by an electrostatic suction type droplet generator 16A shown in FIG. 20. FIG. 20 illustrates a schematic configuration of the electrostatic suction type droplet generator shown in FIG. 19. As shown in FIG. 20, the electrostatic suction type droplet generator 16A includes a tank 16-1 for storing liquid state molten Sn thereinside, an electrode 16c for grounding the molten Sn inside the nozzle 16a projecting from the tank 16-1, and a suction electrode 16b disposed to face the electrode 16c with a space therebetween. The molten Sn inside the tank 16-1 may be heated to or above its melting point by a heater 16-2 provided around the tank 16-1, for example. Further, the suction electrode 16b is spaced from the electrode 16c by an insulator 16d and is fixed thereto. With this configuration, applying voltage of a several kV to the suction electrode 16b in pulses allows to pull out the droplet D through the tip of the nozzle 16a on demand. Note that even in a case where the electrostatic suction type droplet generator 16A is used, similarly to the case where the droplet generator 16 is used, the tip of the nozzle 16a is preferably disposed as close to the plasma generation site 91 as possible. Thus, in the first embodiment, a distance 16 between the edge of the ion flow FL and the tip of the suction electrode 16b is regulated, as shown in FIG. 19, such that the suction electrode 16b positioned to face the nozzle 16a is not disposed in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. Further, FIG. 21 illustrates an exemplary positional relationship among the plasma generation site, the EUV collector mirror, and an electrostatic suction and acceleration type droplet generator in accordance with the first embodiment. The droplet generator 16 in accordance with the first embodiment may be replaced by an electrostatic suction and acceleration type droplet generator 16B shown in FIG. 22. FIG. 22 illustrates a schematic configuration of the electrostatic suction and acceleration type droplet generator shown in FIG. 21. As shown in FIG. 22, the electrostatic suction and acceleration type droplet generator 163 includes, in addition to the configuration similar to that of the electrostatic suction type droplet generator 16A shown in FIG. 20, an acceleration electrode 16e disposed to face the suction electrode 16b. With this configuration, applying voltage of a several kV to the suction electrode 16b in pulses and applying voltage of a several ten kV or above to the acceleration electrode 16e enables to accelerate the droplet D pulled out through the tip of the nozzle 16a on demand. In a case where the electrostatic suction type droplet generator 16A is used as described above, or in a case where the droplet is charged and is accelerated, high voltage of a several kV needs to be applied to an electrode facing a nozzle opening of the droplet generator. However, when the gas pressure inside the chamber 10 is high, insulation breakdown occurs in the gas; thus, high voltage cannot be applied. For this reason, when there is an element to which high voltage is applied, the maximum value of the gas pressure needs to be limited. For example, when the above-described electrostatic suction type droplet generator 16A or the acceleration electrode 16e is used, the chamber pressure is preferably kept approximately at or below 0.2 Pa. Note that even in a case where the electrostatic suction and acceleration type droplet generator 16B is used, similarly to the case where the droplet generator 16 is used, the tip of the nozzle 16a is preferably disposed as close to the plasma generation site P1 as possible. Thus, in the first embodiment, a distance 17 between the edge of the ion flow FL and the acceleration electrode 16e is regulated, as shown in FIG. 21, such that the acceleration electrode 16e positioned to away from the nozzle 16a is not disposed in a range where the ionized debris is diffused, and the pressure of the etching gas inside the chamber 10 is optimized. As described above, the optical element in accordance with the first embodiment includes, without being limited to the EUV collector mirror 12, various optical elements disposed inside the chamber 10. Further, elements such as the target generation nozzle through which the target is generated, various sensors, and the etching gas introduction unit or the like disposed inside the chamber are also included. Next, an EUV light generation apparatus in accordance with a second embodiment of the present disclosure will be described in detail with reference to the drawing. FIG. 23 is a sectional view illustrating a schematic configuration of the EUV light generation apparatus in accordance with the second embodiment. As shown in FIG. 23, an EUV light generation apparatus 2 in accordance with the second embodiment includes, in addition to the configuration similar to that of the EUV light generation apparatus 1 in accordance with the first embodiment, an ion sensor 21 disposed close to the ion collection unit 13, a mass flow controller (MFC) 22 for controlling the flow rate of the etching gas introduced into the chamber 10 from the etching gas introduction unit 15, the MFC 22 being disposed between the gas tank 24 for storing the etching gas and the etching gas introduction unit 15, a controller 23 for controlling the MFC 22 based on the amount of ions detected at the ion sensor 21, an exhaust pump 20 for discharging the gas inside the chamber 10, and a pressure sensor 25 for detecting the chamber pressure. Note that the gas tank 24 was omitted in the above-described first embodiment, but it is also provided to the EUV light generation apparatus 1. Further, the MFC 22 and the controller 23 regulates the flow rate of the etching gas such that the gas pressure inside the chamber 10 is at the gas pressure at which the diffusion width of the ionized debris is shorter than the distance between the edge of the ion flow FL in which the ionized debris travels while being trapped in the magnetic field and the optical element, and controls the exhaust pump 20 to control the discharge rate of the gas to be discharged. The ion sensor 21 detects the amount of the ionized debris that did not enter the ion collection unit 13 because it was diffused by the gas (mainly etching gas) inside the chamber 10. Thus, the ion sensor 21 is disposed next to the ion collection unit 13 and as close to the ion collection unit 13 as possible. The controller 23 controls the MFC 22 when an ion is detected at the ion sensor 21 or when the amount of ions detected at the ion sensor 21 exceeds a predetermined threshold value, whereby the flow rate of the etching gas flowing into the etching gas introduction unit 15 from the gas tank 24 is temporarily reduced. With this, the amount of the etching gas introduced into the chamber 10 can be adjusted to lower the chamber pressure. As a result, the diffusion width of the ionized debris trapped in the magnetic field is reduced, and the amount of ionized debris that does not flow into the ion collection unit 13 is reduced. Alternatively, the flow rate at the mass flow controller (MFC) 22 for controlling the flow rate of the etching gas is kept constant, and the discharge rate at the exhaust pump 20 may be controlled. This way, by optimizing the gas pressure inside the chamber 10 based on the actual amount of the ionized debris that does not flow into the ion collection unit 13, the ionized debris generated around the plasma generation site P1 can be collected into the ion collection unit 13 more reliably. With this, the ionized debris generated around the plasma generation site P1 can be collected using the magnetic field, and a layer of the target material can be prevented from being formed on the surface of the optical element inside the chamber 10 more reliably. Note that in the above description, the ion sensor 21 is disposed close to the ion collection unit 13, and the amount of the ionized debris that does not flow into the ion collection unit 13 is detected. However, without being limited thereto, the ion sensor 21 connected to the controller 23 may be disposed close to various optical elements, such as the EUV collector mirror 12, the nozzle 16a of the droplet generator 16, the measuring unit M1, the etching gas introduction unit 15, or the free radical source 15A, whereby the amount of the ionized debris incident on these optical elements may be detected. Based on the detected result, the chamber pressure may be controlled using at least either one of the MFC 22 and the exhaust pump 20. Next, an EUV light generation apparatus in accordance with a third embodiment will be described in detail with reference to the drawing. FIG. 24 is a sectional view illustrating a schematic configuration of the EUV light generation apparatus in accordance with the third embodiment. As shown in FIG. 24, an EUV light generation apparatus 3 in accordance with the third embodiment includes, in addition to the configuration similar to that of the EUV light generation apparatus 2 in accordance with the above-described second embodiment, a magnet controller 31 connected to each of the pair of magnets 14. The magnet controller 31 and the controller 23 function as a magnetic field intensity control unit for controlling the intensity of the magnetic field such that the magnetic flux density of the magnetic field generated around the plasma generation site P1 is the magnetic flux density at which the diffusion width of the ionized debris is shorter than the distance between the edge of the ion flow FL flowing while being trapped in the magnetic field and the optical element. In this case, a correlation between current applied to the coil 14a and the magnetic flux density of the magnetic field generated around the plasma generation site P1 may be calculated in advance, and current applied to the coil 14a may be controlled so that the magnetic field of a desired magnetic flux density can be generated. Note that a magnetic sensor (not shown) may be provided around the magnet 14 to measure the magnetic flux density. When the magnetic sensor is provided, the magnet controller 31 may control the intensity of the magnetic field based on the magnetic flux density value detected by the magnetic sensor. In this case, a correlation between a magnetic flux density at a position where the magnetic flux density is measured by the magnet sensor and a magnetic flux density of the magnetic field generated around the plasma generation site P1 may be calculated in advance, and current applied to the coil 14a may be controlled so that the magnetic field of a desired magnetic flux density can be generated. Under the control of the controller 23, the magnet controller 31 controls the intensity of the magnetic field generated by the pair of magnets 14. That is, the controller 23 controls the MFC 22 to temporarily reduce the flow rate of the etching gas flowing into the etching gas introduction unit 15 from the gas tank 24 and controls the magnet controller 31 when an ion is detected at the ion sensor 21 or when the amount of ions detected at the ion sensor 21 exceeds a predetermined threshold value, whereby the intensity of the magnetic field generated around the plasma generation site P1 is increased. With this, the amount of the etching gas introduced into the chamber 10 is adjusted to lower the chamber pressure and to increase the magnetic flux density, whereby the diffusion width of the ionized debris can be reduced. As a result, the diffusion width of the ionized debris trapped in the magnetic field is reduced, and the amount of the ionized debris that does not flow into the ion collection unit 13 is reduced. This way, by optimizing the gas pressure inside the chamber 10 and the magnetic flux density based on the actual amount of the ionized debris that does not flow into the ion collection unit 13, the ionized debris generated around the plasma generation site P1 can be collected into the ion collection unit 13 more reliably. With this, the ionized debris generated around the plasma generation site P1 can be collected using the magnetic field, and a layer of the target material can be prevented from being formed on the surface of the optical element inside the chamber 10 more reliably. Note that in the above description, the ion sensor 21 is disposed close to the ion collection unit 13 to detect the amount of the ionized debris that does not flow into the ion collection unit 13. However, without being limited thereto, the ion sensor 21 connected to the controller 23 may be disposed close to various optical elements, such as the EUV collector mirror 12, the nozzle 16a of the droplet generator 16, the measuring unit M1, the etching gas introduction unit 15, or the free radical source 15A, whereby the amount of the ionized debris incident on these optical elements may be detected. Next, an EUV light generation apparatus in accordance with a fourth embodiment will be described in detail with reference to the drawings. FIGS. 25 and 26 are sectional views each illustrating a schematic configuration of the EUV light generation apparatus in accordance with the fourth embodiment. FIG. 26 is a sectional view taken along a plane containing the axis AX and along a different plane from that shown in FIG. 25. As shown in FIGS. 25 and 26, an EUV light generation apparatus 4 in accordance with the fourth embodiment includes a configuration similar to that of any one of the EUV light generation apparatuses of the above first through third embodiments, but the coils 14a for generating the magnetic field around the plasma generation site P1 are disposed inside the chamber 10. Note that the chamber 10 is connected to the exposure device connection unit 19 via a gate valve 49 for maintaining the airtightness of the chamber 10. Further, the EUV light generation apparatus 4 includes a magnetic core 42 extending cylindrically toward the plasma generation site P1 from a bore of each coil 14a. This makes it possible to generate a strong magnetic field around the plasma generation site P1. As a result, a strong magnetic field around the plasma generation site P1 can be generated while effects of the magnetic field on the measuring devices or the like therearound are minimized. Further, the central line of magnetic force of the magnetic field generated by the magnetic cores 42 of which tips face each other with the plasma generation site P1 therebetween passes through the plasma generation site P1, and the direction thereof coincides with axes of the cylindrical magnetic cores 42. Accordingly, the ion collection unit 13 is disposed at the center of the bore of each coil 14a and inside each magnetic core 42. Further, a diameter of an opening of each magnetic core 42 toward the plasma generation site P1 is at least larger than the value in which a diffusion width of the ion debris is added to the diameter of the ion flow FL, similarly to the positional relationship between the edge of the ion flow FL and the edge of the EUV collector mirror 12. With this, the ionized debris generated around the plasma generation site P1 can reliably be introduced into the interior of the magnetic core 42. As a result, the ionized debris generated around the plasma generation site P1 can be trapped in the magnetic field generated intensively around the plasma generation site P1 and thereafter be collected into the ion collection unit 13 disposed at the bottom of the magnetic core 42. With the above configuration, the ionized debris can be trapped using a stronger magnetic field; thus, even when the etching gas pressure inside the chamber 10 is increased, the diffusion width of the ionized debris can be minimized. As a result, in a configuration where the ionized debris generated around the plasma generation site P1 is collected using the magnetic field, a layer of the target material can more reliably be prevented from being formed on the surface of the optical element inside the chamber 10. In this embodiment, the magnetic cores 42 can be disposed close to the plasma generation site P1; thus, even when the etching gas pressure is increased, the ionized debris can be trapped. For example, in a case where an etching gas including hydrogen gas or a hydrogen radical is used, even when the chamber pressure is increased up to 100 Pa, the ionized debris can be trapped and collected, and Sn deposited on the EUV collector mirror 12 can etched. Further, the magnetic cores 42 disposed inside the chamber 10 extend into an obscuration region E1 from the coils 14a disposed outside the obscuration region E1. Here, FIG. 27 illustrates a far field pattern formed on A-A plane by the EUV light shown in FIG. 26. As shown in FIG. 27, the EUV light L2 includes the obscuration region E1 along a plane perpendicular to the axis AX. The obscuration region E1 refers to a region corresponding to an angular range where the EUV light L2 collected by the EUV collector mirror 12 is not used in the exposure device 100. In the following description, a three-dimensional volumetric region contained in the angular range of the EUV light L2 which is not used in the exposure device 100 is referred to as the obscuration region E1. As described above, the EUV light L2 in the obscuration region E1 is not used for exposure in the exposure device 100. Thus, even when the EUV light L2 in the obscuration region E1 is not inputted into the exposure device 100, exposure performance or throughput of the exposure device 100 is not affected at all. Therefore, in the fourth embodiment, as shown in FIG. 28, the magnetic cores 42 are made to extend into the obscuration region E1. With this, the tips of the magnetic cores 42 can be disposed even closer to the plasma generation site P1. As a result, a stronger magnetic field can be generated in a smaller region around the plasma generation site P1. Note that FIG. 28 illustrates an exemplary positional relationship between the magnetic cores and the obscuration region in accordance with the fourth embodiment. Further, as shown in FIG. 29, the magnetic core 42 that extends into the obscuration region E1, for example, is preferably coated with a coating film 42c made of a material that is less likely to be sputtered by the ionized debris, the material including tungsten (W), tin (Sn), ruthenium (Ru), molybdenum (Mo), silicon (Si), carbon (C) or the like. Further, it may be coated with Cu or Ti that is highly wettable with Sn. With this, the magnetic core 42 is prevented from being sputtered, whereby debris of the material of the magnetic core 42 is prevented from being generated inside the chamber 10. Note that FIG. 29 illustrates an exemplary positional relationship among the ion flow, the magnetic core, the coil, and the ion collection unit in accordance with the fourth embodiment. Further, as shown in FIG. 29, the ion collection unit 13 is also preferably coated with a coating film 13c made of a material similar to that for the coating film 42c. With this, the ion collection unit 13 can be prevented from being sputtered. Other configurations are the similar to those of any one of the above-described first through third embodiments; thus, the duplicate description thereof is omitted here. Note that a driver laser LD10 shown in FIGS. 25 and 26 is a configuration of which description is omitted in each of the above embodiments. However, it can be provided in each embodiment, and it serves as a light source of the laser beam L1. An EUV light generation apparatus in accordance with a fifth embodiment of the present disclosure will be described in detail with reference to the drawing. FIG. 30 is a sectional view illustrating a schematic configuration of the EUV light generation apparatus in accordance with the fifth embodiment. As shown in FIG. 30, an EUV light source device 5 in accordance with the fifth embodiment includes a similar configuration as that of the EUV light generation apparatus 2 in accordance with the above-described second embodiment, but includes only one magnet 14. That is, in the fifth embodiment, a case where the magnetic field is generated around the plasma generation site P1 by a single coil configured of the one magnet 14 will be exemplified. When plasma is generated around the plasma generation site P1, ions are trapped in the magnetic field and travel in the direction of the magnetic field. The magnetic flux density at the side of the magnet 14 is higher with respect to the plasma generation site P1. On the other hand, the magnetic flux density at the side where the magnet 14 is not disposed is lower with respect to the plasma generation site P1. Thus, in accordance with the state of the magnetic flux density, the ion collection unit 13 at the side where the magnet 14 is not disposed is replaced by an ion collection unit 13A having a larger opening than the ion collection unit 13 at the side where the magnet 14. In the fifth embodiment configured as such, the ion collection unit 13 and the ion collection unit 13A are disposed with their opening size being adjusted. In the fifth embodiment, however, is not limited to the case where the ion collection unit 13 and the ion collection unit 13A have differing opening diameters, but the ion collection unit 13 may be disposed in place of the ion collection unit 13A. In such configuration, the controller 23 detects the pressure inside the chamber 10 by a signal from the pressure sensor 25 to thereby control the flow rate of the etching gas with the MFC 22, or controls the discharge rate of the exhaust pump 20 to thereby control the etching gas pressure to desired pressure. The above-described embodiments and the modifications thereof are merely examples for implementing the present disclosure, and the present disclosure is not limited thereto. Further, making various modifications in accordance with the specification is within the scope of the present disclosure, and it is apparent that the various other embodiments can be made from the above description without departing from the scope of the present disclosure. For example, it is needless to state that the modifications indicated for each of the embodiments can be applied to the other embodiments.
045029873
claims
1. An improved method for preparing internally gelated nuclear reactor fuel spherules via a sol-gel process wherein a concentrated hexamethylene-tetramine-urea solution is employed with a solution of metals selected from the group consisting of uranium, plutonium, thorium, and mixtures thereof in spherule-forming operations, the improvement comprising the step of heat-treating the HMTA-urea solution for a sufficient duration without causing excessive urea decomposition for effecting control of the crystallite size of phases present in the resulting spherules prior to the addition of the solution of metals and conducting of said spherule-forming operations. 2. The method of claim 1 wherein said sufficient duration is from about 30 to 90 minutes. 3. The method of claim 1 wherein the solution is heated from ambient temperature to boiling in about thirty minutes and maintained at the boiling temperature for up to about 60 minutes, and wherein the boiling solution is cooled to about ambient temperature within about 30 to 40 minutes. 4. The method of claim 3 wherein the boiling temperature is about 104? C. 5. An article produced by the method of claim 1 derived by internal gelation within a sol-gel process of a concentrated hexamethylene-tetramine-urea solution, said article characterized by boiling and cooling the HMTA-urea solution prior to engagement in said process.
061513768
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 shows a fuel assembly according to the invention. The fuel assembly comprises an upper handle 1, a lower end portion 2 and a plurality of fuel units 3 stacked one above the other. Each fuel unit comprises a plurality of fuel rods 4 arranged in parallel and in spaced relationship to each other in a given lattice. Further, each fuel unit 3 comprises a top tie plate 5 and a bottom tie plate 6 for attachment of the fuel rods 4 in their respective positions in the lattice. The fuel units 3 are stacked on top of each other in the longitudinal direction of the fuel assembly and they are stacked in such a way that the top tie plate 5 in one fuel unit is facing the bottom tie plate 6 in the next fuel unit in the stack and so that the fuel rods 4 in all the fuel units 3 are parallel to one another. A fuel rod 4 contains fissionable material in the form of a stack of fuel pellets 7b of uranium arranged in a cladding tube 7a. A coolant is adapted to flow from below and up through the fuel assembly. FIG. 2 shows that a fuel assembly is enclosed in a fuel channel 8 with a substantially square cross section. The fuel channel 8 is provided with a hollow support member 9 of cruciform cross section, which is secured to the four walls of the fuel channel 8. In the central channel 14 formed of the support member 9, moderator water flows. The fuel channel with the support member surrounds four vertical channel-formed parts 10, so-called sub-channels, with an at least substantially square cross section. The four sub-channels each comprises a stack of fuel units 3. Each fuel unit comprises 24 fuel rods 4 arranged in a symmetrical 5.times.5 lattice. The fuel assembly in FIG. 2 comprises 10.times.10 fuel rod positions. By a fuel rod position is meant a position in the lattice. All the fuel rod positions in the lattice need not be occupied by fuel rods 4. In certain fuel assemblies, a number of fuel rods 4 are replaced by one or a plurality of water channels. The introduction of a water channel changes the number of fuel rods 4 but not the number of fuel rod positions. FIG. 2a shows an alternative embodiment of a fuel assembly of the same type as that shown in FIG. 1. The fuel assembly is provided with an internal vertical channel 14a through which water is conducted from below and upwards through the fuel assembly. The channel 14a is surrounded by a tube 9a with a substantially square cross section. The fuel units 3 are kept in position by being threaded onto the tube which surrounds the vertical channel 14a. FIG. 2b shows an additional embodiment of a fuel assembly of the same type as that shown in FIG. 1. The fuel assembly is provided with two central vertical water rods 14b through which water is conducted from below and upwards through the fuel assembly. The water rods 14b have a diameter which is somewhat larger than the diameter of the fuel rods 4 and are formed with a substantially circular cross section. The fuel units 3 are kept in position by being fitted onto the water rods 14b. FIG. 3 shows a pressurized-water fuel assembly. In the same way as the fuel assembly in FIG. 1, it comprises a plurality of fuel units 3 stacked on top of each other. Each fuel unit 3 comprises a plurality of fuel rods 4 arranged in parallel and in spaced relationship to each other in a given lattice. Each fuel unit 3 further comprises a top tie plate 5 and a bottom tie plate 6 for attachment of the fuel rods 4 in their respective positions in the lattice. The fuel units 3 are stacked on top of each other in the longitudinal direction of the fuel assembly and they are stacked in such a way that the top tie plate 5 in one fuel unit 3 faces the bottom tie plate 6 in the next fuel unit 3 in the stack, and so that the fuel rods 4 in all the fuel elements 3 are parallel to each other. A fuel rod 4 contains fissionable material in the form of a stack of fuel pellets 7b of uranium arranged in a cladding tube 7a. A coolant is adapted to flow from below and upwards through the fuel assembly. A number of so-called control rod guide tubes 4b are arranged extending through the whole fuel assembly. The control rod guide tubes 4b are intended to receive finger-shaped control rods (not shown) which are inserted into and withdrawn from, respectively, the guide tubes 4b for the purpose of controlling the power of the nuclear reactor. The guide tubes 4b extend between a top part 15a and a bottom part 16a. The top part 15a is arranged above the uppermost fuel unit 3 in the fuel assembly and the bottom part 16a is arranged below the lowermost fuel unit 3 in the fuel assembly. FIG. 4 shows a fuel rod 4 for a fuel assembly according to FIG. 1, 2, 2a or 2b. The fuel rod 4 comprises, as mentioned above, a cladding tube 7a and a stack of fuel pellets 7b arranged therein. The cladding tube 7a is sealed at the top by a top plug 15 and at the bottom by a bottom plug 16. In FIG. 4 a top plug 15 is shown which is adapted to partially surround part of the column with fuel pellets 7b. The inner diameter of the top plug 15 corresponds to the inner diameter of the cladding tube 7a so as to obtain an even inner side of the fuel rod 4. The top plug 15 is formed with an internal cavity, an axial gap 15a, in which fission gases may accumulate. The axial gap 15a is also intended to allow thermal expansion of the column of fuel pellets 7a. The fuel rod 4 has a material thickness which around the axial gap 15a is larger than in the rest of the fuel rod 4 (see reference numeral 15b). In FIG. 4, the thicker material 15b around the axial gap 15a is achieved in the top plug 15 which, is thickened radially outwardly. In an alternative embodiment, the cladding tube 7a may be provide with a larger material thickness 15b in the region which is intended to surround an axial gap 15a. To reduce the release of fission gases, the fuel pellets 7b, as shown in FIG. 4, may be formed with a through-hole 17. In this way, the maximum temperature arising in the central part of the fuel pellets 7a, and hence the release of fission gases, is reduced. By providing the fuel pellets 7b with holes 17, a fission gap space, distributed in the axial direction, is also achieved, whereby the axial gap 15a in the upper end of the rod 4 may be reduced to a corresponding extent. The axial extent of the axial gap 15a is dependent on the length of the fuel rod 4. As an example may be mentioned that, for a fuel rod 4 which has a length of the order of magnitude of 300 millimeters, the axial extent of the axial gap 15a is of the order of magnitude of 2-5 millimeters. Further, the fuel pellets 7b are formed with cup-shaped upper and lower end surfaces (see reference numeral 18). Because of the thermal expansion, the fuel pellets 7b grow more in the central, warmer parts than in the outer, colder parts. The cup shape 18 thus allows thermal expansion to a certain extent before t he axial gap 15a is utilized for this purpose. It is important to form the thicker material 15b around the axial gap 15 such that it gives rise to as small a pressure drop as possible. The fuel rod 4 therefore exhibits a smooth transfer between the outer diameter of the cladding tube 7a and the largest diameter of the top plug 15. For the same reason, the upper par t of the top plug 15 is provided with rounded corners. FIG. 5 shows an alternative embodiment of the outer surface of the top plug 15. This embodiment is intended to give rise to a lower pressure drop in comparison with the embodiment shown in FIG. 4. The transition (see reference numeral 15c) between the smaller and larger diameters of the fuel rod 4 is here made longer than that shown in FIG. 4. In the same way, the upper part of the reference numeral 15d is provided with a more elongated transition. FIGS. 6a and 6b show an embodiment of the top plug 15 where the plug has been provided with mixing vanes 19. The mixing vanes 19 are formed as bars extending from the outer surface of the top plug 15 in a direction across the flow direction of the coolant and in a direction parallel to the top plug 15. The mixing vanes 19 may be one or more in number. In an advantageous embodiment of the invention, four mixing vanes 19 are arranged evenly distributed along the outer surface of the top plug 15. In FIGS. 6a and 6b, the mixing vanes 19 are shown straight and with an inclination. The inclination may be chosen to be an angle .alpha. in relation to the center axis C of the top plug 15 which is of the order of magnitude of 15.degree.-40.degree.. Alternatively, the mixing vanes 19 may be formed with a curved shape to further increase the mixing of the coolant and hence its cooling capacity. Through the otherwise coil-shaped appearance of the top plug 15, the velocity of the coolant is increased upon passage thereof, thus increasing the power of the mixing vanes 19. In FIG. 7 an embodiment is shown in which the top plug 15 is provided with flanges 20. The flanges 20 are formed so as to extend out from the outer surface of the top plug 15 in a direction across the flow direction of the coolant and in a direction parallel to the center axis C of the top plug 15. This embodiment of the top plug 15 is particularly suitable to arrange in the lower part of the fuel assembly where the need of mixing of the coolant is smaller than in the upper part of the fuel assembly. The object of the flanges 20 is to increase the hydrogen-absorbing ability of the material so that the remainder of the top plug 15 may be given a smaller material thickness. With this embodiment, the hydrogen-absorbing quantity of material may be increased, resulting in a small pressure drop. At the same time, the material thickness in the rest of the top plug may be reduced to a corresponding extent. The mixing vanes 19 and the flanges 20 also provide a larger surface transmitting heat to the coolant. FIG. 8 shows in a view from above, a top tie plate 21 for retaining the upper ends of the fuel rods 4. The top tie plate 21 comprises a plurality of flow openings 22 for passage of the flow flowing upwards through the fuel assembly. Further, top tie plate 21 comprises openings 23 for receiving and positioning pins 24 arranged in the upper part of the top plug 15. In an advantageous embodiment, the mixing vanes 19 are adapted so as to be completely or partially covered by the surfaces of the top tie plate 21 which are formed across the flow direction between the flow openings 22. In this way, the pressure loss caused by the mixing vanes 19 and the flanges 20, respectively, is limited.
051805464
claims
1. A reactor system comprising: conversion means for converting kinetic energy of vapor flow into another form of energy; and a natural-circulation reactor relying on free-surface separation to extract a vapor phase, and said reactor including transfer means for transferring vapor from said vapor exit to said conversion means, said transfer means being in fluid communication with said vapor exit and said conversion means; and replenishment means for supplying a liquid to replace fluid transferred to said conversion means so as to maintain a relatively constant liquid level within said vessel, said replenishment means being in fluid communication with said vessel through said liquid input. 2. A reactor system as recited in claim 1 wherein said channel means comprises a circular series of tubes.
summary
summary
051788241
abstract
Particulates from a source fall into a tundish which discharges to a collection chamber. The build-up of particulates in the chamber is sensed, and a valve opened at the bottom of the chamber when a pre-determined level of the particulates is sensed in the chamber. A valve at the top of the chamber may be closed at the same time so that pressurized air can be injected into the chamber to expel the particulates through the bottom of the chamber through the valve. A filter circuit may be connected to the tundish to assist in removing particulates from the tundish.
053717740
abstract
An X-ray lithography beamline imaging system has a single condenser mirror with an aspherical reflecting surface, with symmetry only about a plane, without axial symmetry. For an X-ray beam emitted along a y axis and diverging along x and z axes, the reflecting surface is asymmetrical about the y axis and has different focusing power in directions along the x and z axes in an imaging plane orthogonal to the y axis and spaced from the mirror along the y axis such that the mirror reflects and focuses the X-ray beam to a point along the y axis in the imaging plane and to a line along the x axis in the imaging plane.. This invention was made with United States Government support awarded by the National Science Foundation (NSF), Grant No. ECS-8921165, and the Naval Research Laboratory (NRL), Grant No. N00014-91-J-1876. The United States Government has certain rights in this invention.
062787586
abstract
An improved support grid of a nuclear reactor fuel assembly is described. The support grid has a plurality of first and second straps which are assembled so as to form an eggcrate structure defining grid cells in a square arrangement. The support grid includes a plurality of mixing vanes integrally formed on a side edge of the first and second straps adjacent to an area where the straps cross. The mixing vanes slanted to be adjacent to a fuel rod are each shaped to show no welding apertures formed therein in their horizontal planes of projection thereby maximizing the coolant impinging area to agitate and swirl a coolant flow.
051503913
claims
1. An exposure apparatus for transferring a pattern of an original onto a workpiece, said apparatus comprising: a blocking member for defining a rectangular exposure region with respect to at least one of the original and the workpiece, wherein the exposure for the pattern transfer can be effected with the exposure region defined by said blocking member; a plurality of detection systems for detecting a positional deviation between the original and the workpiece, each of said detection systems is disposed so as to be associated with at least one of four sides of the rectangular exposure region; a plurality of first movable stages each being provided so as to be associated with at least one of the four sides, each of said first movable stages being adapted to carry thereon one of said detection systems disposed to be associated with a corresponding side, and each of said first movable stages comprises a single-axis stage movable in a direction parallel to a corresponding side; and a plurality of second movable stages each for carrying thereon corresponding one of said first movable stages, each of said second movable stages comprising a single-axis stage movable in a direction perpendicular to a corresponding side and in a direction parallel to the rectangular exposure region, and each of said second movable stages being operable to displace said light blocking member to change the rectangular exposure region. 2. An apparatus according to claim 1, wherein each of said detection systems projects light to at least one of the original and the workpiece, and receives light reflected therefrom to detect the positional deviation. 3. An apparatus according to claim 2, wherein said light blocking member has a portion effective to block illumination light for the pattern transfer but to transmit light to be used for the detection of positional deviation by said detecting systems. 4. An apparatus according to claim 1, wherein said blocking member has a size sufficient for preventing impingement of scattered light, from the original, during the exposure, upon said detection systems. 5. An apparatus according to claim 1, wherein said detection systems, said first stages and said second stages are provided so as to be associated with the four sides, respectively.
abstract
The present invention provides a drawing apparatus including a generation device configured to generate drawing data, a blanking device configured to blank a beam, and a blanking controller includes a first storage device, a second storage device, and a third storage device configured to respectively store the drawing data generated by the generation device, and being configured to control operations of the first storage device, the second storage device, and the third storage device so that an operation of storing the drawing data generated by the generation device in a selected one of the first storage device and the second storage device, and an operation of reading out the drawing data stored in the other of the first storage device and the second storage device, and storing the readout drawing data in the third storage device are executed in parallel.
053125975
abstract
An apparatus for recovering hydrogen and separating its isotopes. The apparatus includes a housing bearing at least a fluid inlet and a fluid outlet. A baffle is disposed within the housing, attached thereto by a bracket. A hollow conduit is coiled about the baffle, in spaced relation to the baffle and the housing. The coiled conduit is at least partially filled with a hydride. The hydride can be heated to a high temperature and cooled to a low temperature quickly by circulating a heat transfer fluid in the housing. The spacing between the baffle and the housing maximizes the heat exchange rate between the fluid in the housing and the hydride in the conduit. The apparatus can be used to recover hydrogen isotopes (protium, deuterium and tritium) from gaseous mixtures, or to separate hydrogen isotopes from each other.
062947893
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention generally relates to screens which convert incident radiation, such as X-rays, into spectral emissions such as visible light. More particularly, the present invention relates to a radiation intensifying screen having two luminescent material layers with different spectral emission wavelength ranges and different emission maximum wavelengths separated by a reflective-transmissive layer which reflects the spectral emissions emanating from one of the luminescent material layers and allows spectral emissions from the other layer to pass therethrough. The configuration of the screen increases spatial resolution for a given screen speed. 2. Description of the Prior Art X-ray intensifying screens have been widely used in medical and industrial imaging systems. An intensifying screen is a device that absorbs incident x-ray radiation and converts the incident radiation energy into spectral emissions of predetermined wavelengths. The radiation absorbing, spectral emitting material in an intensifying screen is typically a phosphor. The wavelengths of the spectral emissions from most phosphors used in intensifying screens are typically in the visible portion of the electromagnetic spectrum. The spectral emissions from the phosphor is received by a detector (such as radiographic film) which is responsive to the wavelengths of the spectral emissions to form an image of an object that has been subjected to the incident radiation. One of the common objects of medical imaging is to maximize image contrast and spatial resolution while minimizing radiation dose to the patient. Spatial resolution is related to the ability of an imaging system to reproduce an image of an object faithfully. Generally, conditions that increase the intensification factor or speed of the screen typically reduce its spatial resolution. Speed or intensification factor increases can be obtained by increasing the thickness of the radiation absorbing, luminescent layer and by using relatively larger phosphor crystals. Resolution can generally be improved by using smaller phosphor crystals and thinner radiation absorbing, luminescent layers. The intensification factor of screens can also be increased by using a reflective layer adjacent to the radiation absorbing, luminescent or phosphor layer. Referring to FIG. 1, a conventional X-ray intensifying screen 10 of the reflective type typically comprises a protective layer 12, a radiation absorbing, luminescent layer 14, a reflective layer 16 and a backing layer 18. Incident X-ray absorption at locations A and B in the radiation absorbing, luminescent layer produces spectral emissions in the visible light wavelength range in the layer which emit isotropically through the layer. Spectral emissions in the form of visible light A', B' emitted towards the protective layer have a relatively short path before emerging from the screen, thereby producing image information with relatively high spatial resolution. On the other hand, spectral emissions in the form of visible light A", B" emitted toward the backing layer may be reflected at the reflective layer and, if so, then exits the screen from the protective layer side. As compared to the light photons A', B' emitted towards the protective layer, the reflected light photons A", B" travel a longer path in the phosphor and generally have a large lateral dispersion from their emission sites. The longer lateral dispersion results in reduced spatial resolution. As those skilled in the art will appreciate, the purpose of the reflective layer in an X-ray intensifying screen is to increase the speed of the luminescent screen which may enable suitable image contrast at a lower radiation dosage. Although this reflective layer nearly doubles the amount of visible light that can emerge from the screen, it does so at the expense of screen spatial resolution. In some applications such as mammography where high resolution is required, the reflective layer is not used. This improves the screen's spatial resolution at the expense of screen speed. As a result, high radiation doses to the objects (e.g., patients) being imaged are generally required to get adequate image contrast. It would be desirable to increase the speed of an intensifying screen without severely degrading spatial resolution, Stated in the alternative, it would be desirable to increase the speed of an intensifying screen of a given spatial resolution or increase the spatial resolution of an intensifying screen of a given speed. SUMMARY OF THE INVENTION Accordingly, it is a principal object of the present invention to provide a screen which converts incident X-ray radiation into visible light. It is another object of the present invention to increase the spatial resolution of an intensifying screen of a given speed. It is another object of the present invention to increase the speed of an intensifying screen of a given spatial resolution. These objects are accomplished, at least in part, by providing a radiation intensifying screen which includes a first radiation absorbing, luminescent layer formed from a first luminescing mate rial capable of producing a spectral emissions maximum at first predetermined wavelength in response to incident radiation and a second radiation absorbing, luminescent layer formed from a second luminescing material capable of producing a spectral emissions maximum at a second predetermined wavelength which is different from the first predetermined wavelength in response to incident radiation. The intensifying screen also includes a reflective-transmissive layer, disposed between the first and second luminescent layers, for reflecting incident spectral emissions emanating from the first luminescent layer at the first predetermined wavelength and for allowing spectral emissions emanating from the second luminescent layer at the second predetermined wavelength to pass there through. In addition to the first and second luminescent layers and the first reflective layer, the screen optionally includes a backing layer disposed adjacent to the second luminescent layer and, if desired, a secondary reflective layer, disposed between the second luminescent layer and the backing layer, for reflecting incident spectral emissions emanating from the second luminescent layer at the second predetermined wavelength.
041727622
description
DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 shows a control rod 10 comprising a cylindrical clad 12 in which are stacked B.sub.4 C absorber pellets 14, 16. In a typical nuclear reactor the stack of pellets 14 extends upward for 12 feet or more, but only the lower portion of the rod 10 is of concern in the present embodiment. Approximately 10 inches of the lower portion of the rod 10 contains B.sub.4 C pellets 16 having a smaller radius than the remainder of the pellets 14. Depending on the particular reactor design, the smaller radius pellets may extend over a distance of up to about 18 inches. The pellets 14 and 16 are sealed within the clad 12, the lower seal 20 being welded to the clad 12 and separated from the end pellets 16 by a spacer 18 having a radius substantially that of the clad inner radius. The end pellets 16 are circumferentially wrapped with a metallic integral sleeve 22 which, in the preferred embodiment, substantially fills the space between the end pellets 16 and the clad 12. FIG. 2 shows the sleeve 22 prior to insertion in the control rod 10. The pellets 16 are wrapped with the sleeve 22 and the combination is inserted into the clad 12 before the end cap 20 and spacer 18 are welded in place. As shown in FIG. 1, the upper portion 24 of the sleeve 22 abuts the bottom surface 26 of the lowermost regular pellets 16 and is thereby restricted from sliding out of proper position. When the control rod 10 is in the withdrawn position relative to the reactor core (not shown) the end cap 20 is only a few inches from the fuel, and the lower end of the rod 10 is irradiated by a substantial neutron flux. The flux decreases sharply with distance from the reactor core such that at a point in the control rod 10 about a foot from the end cap 20, the problem to which the invention is addressed becomes of minimal importance. When exposed to a neutron flux, the B.sub.4 C pellets expand at a rate approximately proportional to the number of neutron absorptions occurring in the B.sub.4 C pellets. Thus, even with the control rod withdrawn above the reactor core, neutrons continue to be absorbed at a significant rate in the lower end pellets 16. The sleeve 22 accommodates this expansion by compressing as the end pellets 16 expand so that little of the pellets expansion force is transmitted to the clad 12. As described above, the clad 12 is not permitted to expand significantly because of the possibility it will jam in its control rod guide tube (not shown). The maximum permitted clad strain is determined for each reactor during the licensing proceedings. Use of the present invention therefore permits the end pellets 16 in the lower portion of the control rod 10 to experience a substantially higher integrated exposure than the remainder of the control rod pellets 14, without unduly limiting the useful lifetime of the rod 10. The upper portion of the control rod 10 receives significant exposure only when the rod 10 is inserted in the reactor core, but the lower portion receives radiation not only when it is in the reactor core, but also as discussed above, when the rod 10 is withdrawn from the core. Some control rods in nuclear reactors are used not only for quickly shutting down the reactor by means of rapid and full length insertion, but also for regulating the shape of the neutron flux and the power output in the core. These control rods are frequently manipulated into and out of the reactor core as part of normal plant operating procedures. This manipulation causes small chips and particles of B.sub.4 C from the stack of pellets 14 to work their way down into the lower portion of the rod 10 and, in the absence of an effective barrier, to fill portions of the gap between the lower pellets 16 and adjacent clad 12. Such entrapped chips quickly deform or perforate the clad 12 upon expansion of the pellet after relatively little neutron exposure. As shown in FIG. 1, the sleeve 22 can be sized to serve as an effective barrier to prevent significant movement of any matter from the regular pellets 14 to the end pellets 16. Control rods used for regulating the power must be capable of withstanding the heat generated in the B.sub.4 C pellets when they are exposed to the maximum permitted full power neutron fluxes. It is likely that the control rod end region will in some cases be in the peak neutron flux of the reactor core, and thus the sleeve 22 must have thermal conducting properties that will enable the heat generated in the end pellets 16 to be dissipated through the clad 12, without the temperature of the end pellets exceeding design limit values, typically the melting temperature of B.sub.4 C. A problem arises in this connection since materials having the desirable compressibility for sleeve 22 usually lack the desired thermal conductivity. In the preferred embodiment of the invention, sleeve material made from 22.5% theoretical density type 347 stainless steel has been found to provide the minimum acceptable thermal conductivity and also to provide satisfactory compressibility. In a typical control rod design wherein the stainless steel clad inner diameter is 0.745 inches and the clad thickness is 0.036 inches, a sleeve thickness of 0.032 inches and end pellet diameter of 0.674 inches have been found to give satisfactory results. Assuming a design limit on clad stress of 65,000 psi (hot unirradiated yield strength), 65% of the B-10 atoms may be consumed by neutron absorption with a resulting 6.5% increase in pellet diameter, before this stress limit is reached. Destruction of all B-10 atoms would produce about 10% increase in pellet radius. The B.sub.4 C pellet centerline temperature under the assumption that a new control rod is inserted into the reactor core such that the end region is exposed to a steady state thermal reactor total flux of 3.0.times.10.sup.14 neutrons per second per square centimeter, is 2,200.degree. F., which is below the B.sub.4 C melting temperature of 2,500.degree. F. The compressibility of the 22.5% theoretical density type 347 stainless steel sleeve 22 is characterized by approximately 50 percent continuous load deflection for an applied stress of 5,000 to 6,000 psi. This material has a minimum thermal conductivity of 3.5 BTU per hour per square foot per degree F. For the particular embodiment shown in FIG. 1, improvement in rod lifetime can be expected with any sleeve material having a thermal conductivity of at least 3.00 BTU per hour per square foot per degree F. and a minimum linear compression rate of 1.0 percent per 1,000 psi continuous load deflection. Type 347 stainless steel having a range of theoretical density between 15 percent and 30 percent has been found to be a satisfactory material for most PWR applications. Satisfactory sleeves can also be made from essentially pure fibrous nickel. Porous type 347 stainless steel is available from commercial sources such as the Technetics Division of the Brunswick Corporation. The compressibility and thermal conductivity required in specific reactors will depend on the neutron flux in the core and at the core boundary, the desired lifetime of the control rod, the reactivity worth required in the rod, and the dimensions of the rod. The balancing of these factors in order to choose the optimum sleeve is well within the ordinary skill of practitioners of this art.
abstract
A system for controlling the temperature of a semiconductor workpiece processing tool and surrounding structure, thereby reducing the deposition rates within an ion implanter. A faraday flag structure comprising a conductive strike plate coupled to a circuit for monitoring ions striking the strike plate to obtain an indication of the and a base supporting the strike plate that includes a thermally conductive material surrounding at least a portion of an outer perimeter of the strike plate. The faraday flag structure base defines a conduit for routing coolant through the thermally conductive material surrounding the strike plate. Positioned below the faraday flag is a thermally controlled cold trap that receives and retains foreign material appearing in ion implanter.
description
The present application claims priority from Japanese Patent application serial no. 2010-80068, filed on Mar. 31, 2010, the content of which is hereby incorporated by reference into this application. 1. Technical Field The present invention relates to a fine motion control rod drive mechanism handling apparatus and a method of handling a fine motion control rod drive mechanism for attaching and detaching a fine motion control rod drive mechanism. 2. Background Art A fine motion control rod drive mechanism is provided with four kinds of components of a control rod drive mechanism body, a spool piece, a motor bracket, and a motor unit. The fine motion control rod drive mechanism is attached to and detached from control rod drive mechanism housings installed side by side crosswise at a pitch of about 300 mm at the bottom of a reactor pressure vessel by a fine motion control rod drive mechanism handling apparatus. In Japanese Patent Laid-open No. 2000-329892, an attaching and detaching attachment of the motor unit being used in the fine motion control rod drive mechanism is described. The motor unit attachment for fitting phase of a flange of the motor unit to phase of a flange of a motor bracket and for executing fine adjustment of the attaching position of the motor unit by using a rotational position adjusting means when attaching the motor unit is described. Patent literature 1: Japanese Patent Laid-open No. 2000-329892 However, in the prior art described in Japanese Patent Laid-open No. 2000-329892, there exists the following problem. Conventionally, when attaching the motor unit to the motor bracket, it is necessary to mesh outer teeth of a gear coupling on the motor unit side with inner teeth of a gear coupling on the spool piece side in the tip-root position of the gear. When the gear coupling on the motor unit side is not meshed with the gear coupling on the spool piece side in the tip-root position phase of the gear, the leading edge faces of the gear couplings make contact with each other, thereby not meshing with each other, thus a bolt wrench assembly of the fine motion control rod drive mechanism handling apparatus cannot ascend and the motor unit cannot be attached to the motor bracket. Therefore, it becomes necessary to descend the bolt wrench assembly, adjust a rotational position at the tip-root position of the gear of the gear coupling on the motor unit side by visually confirming the tip-root position of the gear of the motor unit so as to mesh with the tip-root position of the gear of the gear coupling on the spool piece side, ascend the bolt wrench assembly again, and repeatedly execute the attaching operation of the motor unit to the motor bracket. An object of the present invention is to provide a fine motion control rod drive mechanism handling apparatus and a method of handling a fine motion control rod drive mechanism capable of improving operability for attaching a motor unit and of shortening the attaching operation time. The present invention for accomplishing the above object is characterized in that in a fine motion control rod drive mechanism handling apparatus provided with a motor unit attachment for attaching a motor unit of a fine motion control rod drive mechanism to a motor bracket, the fine motion control rod drive mechanism handling apparatus has a rotational mechanism for rotating the motor unit and a spring mechanism for pressing the motor unit to the bracket. According to the present invention, the attaching operation time of the motor unit can be shortened. Hereinafter, each embodiment will be explained with reference to drawings. [Embodiment 1] (Explanation of the Fine Motion Control Rod Drive Mechanism) FIG. 4 is a longitudinal sectional view showing an overall schematic structure of a fine motion control rod drive mechanism 16 to which a fine motion control rod drive mechanism handling apparatus is applied. In FIG. 4, the fine motion control rod drive mechanism 16 is provided with a control rod drive mechanism body 13 having a mechanism for moving up and down a control rod 18 disposed in a reactor pressure vessel 100 and a rotary shaft 20, a spool piece 14 having a magnet coupling 23 for transmitting the turning force by magnetic force via the pressure resistant portion joined to the rotary shaft 20, a motor unit 7 having a built-in motor as a drive source, and a motor bracket 15 for connecting the motor unit 7 and spool piece 14. The control rod drive mechanism body 13 is disposed in a control rod drive mechanism housing 12 connected to a bottom head portion of the reactor pressure vessel 100. FIG. 2 is an explanatory drawing showing a preparation state of operation for attaching a motor unit by using a fine motion control rod drive mechanism handling apparatus. The fine motion control rod drive mechanism 16 has four kinds of components of the control rod drive mechanism body 13, spool piece 14, motor bracket 15, and motor unit 7. The components thereof are each attached to and detached from the control rod drive mechanism housings installed side by side crosswise at a pitch of about 300 mm at the bottom head portion of the reactor pressure vessel by using the fine motion control rod drive mechanism handling apparatus. The fine motion control rod drive mechanism handling apparatus has four kinds of attachments of a control rod drive mechanism body attachment, a spool piece attachment, a motor bracket attachment, and a motor unit attachment in correspondence with the four kinds of components composing the fine motion control rod drive mechanism 16. The attachment attached to a head portion of the bolt wrench assembly 11 of the fine motion control rod drive mechanism handling apparatus is changed one of the above four attachment depending on the target component of the fine motion control rod drive mechanism for executing attaching and detaching, thus each device can be attached and detached. FIG. 3 is an explanatory drawing showing operation for attaching a motor unit, using a fine motion control rod drive mechanism handling apparatus. A motor unit attachment 6 is attached to the attachment of the head portion of the bolt wrench assembly 11. The bolt wrench assembly 11 is ascended by the fine motion control rod drive mechanism handling apparatus, and then the motor unit 7 is attached to the motor bracket 15 with an attaching bolt 17. Further, the fine motion control rod drive mechanism 16, in which the control rod drive mechanism body 13, spool piece 14, motor bracket 15, and motor unit 7 are each bolt-joined, is provided with a rotary shafts 19 and 20. The rotary shaft 19 transmits drive force from the motor unit which is a rotation drive source to the magnet coupling 23 via a gear coupling 8 on the motor unit side and a gear coupling 21 on the spool piece side. The rotary shaft 20 transmits the drive force from the magnet coupling 23 to the mechanism for driving the control rod so as to ascend in the pressure partition. To hold the control rod, the brake in the motor unit 7 is used. When the motor unit 7 is removed from the motor bracket 15, the rotary shaft 20 rotates in a withdrawal direction of the control rod 18. To prevent rotation of the rotary shaft 20 and gear coupling 21 of the spool piece 14, a rotation stopping mechanism (not shown) is installed in the spool piece 14. Also in the motor bracket 15, a rotation stopping mechanism 22 is installed. (Explanation of the Motor Unit Attachment) FIG. 1 is a longitudinal sectional view showing a fine motion control rod drive mechanism handling apparatus according to present embodiment and shows a state that the motor unit 7 is put on the motor unit attachment 6 of the fine motion control rod drive mechanism handling apparatus. In FIG. 1, the motor unit attachment 6 has mainly a bracket 1 for putting the motor unit 7 on, a spring mechanism 2 (an elasticity portion) as an elasticity member for relieving the load when the bracket 1 is pressed, a casing 3 for supporting an entire device including the motor unit 7, and a shaft 5 having a connection portion connected to the bolt wrench assembly 11. The bracket 1 of the motor unit attachment 6 includes a bolt wrench 27 for tightening an attaching bolt 17 for attaching the motor unit 7 to the motor bracket 15 and a positioning pin 4 for positioning the motor unit 7 in the rotational direction. Further, the motor unit attachment 6 operates in accordance with the operation of the bolt wrench assembly 11 of the fine motion control rod drive mechanism handling apparatus that rotates at a specified angle. In addition, the motor unit attachment 6 has a load meter 10 for measuring the load and a limit switch 9 for detecting the movement limit. (Explanation of the Motor Unit Attaching Operation of the Fine Motion Control Rod Drive Mechanism) Next, the operation of attaching the motor unit 7 of the fine motion control rod drive mechanism 16 will be explained. As shown in FIG. 2, the motor unit attachment 6 is attached to the bolt wrench assembly 11 at the head portion of the fine motion control rod drive mechanism handling apparatus. The bolt wrench assembly 11 where the motor unit attachment 6 is already attached is positioned to a position for attaching the motor unit 7. After positioning, the motor unit 7 being an attaching object is put on the motor unit attachment 6 attached to the bolt wrench assembly 11. As shown in FIG. 3, the bolt wrench assembly 11 is ascended and the motor unit 7 is mounted to the motor bracket 15 attached to the spool piece 14 fixed to the control rod drive mechanism housing 12, by the bolt wrench assembly 11. When the bolt wrench assembly 11 ascends, the outer teeth of the gear coupling 8 of the motor unit 7 and the inner teeth of the gear coupling 21 of the spool piece 14 mesh with each other, and the bolt wrench assembly 11 ascends straight, thus the motor unit 7 is pressed in, and the motor unit 7 is mounted to the motor bracket 15. After mounting the motor unit 7, the attaching bolt 17 of the motor unit 7 is tightened by the bolt wrench 27 of the motor unit attachment 6, and the motor unit 7 is attached to the motor bracket 15. After attaching the motor unit 7, if the bolt wrench assembly 11 with the motor unit attachment 6 attached to it is descended, the motor unit 7 and the motor unit attachment 6 are separated from each other. Furthermore, the bolt wrench assembly 11 is descended, and the motor unit attachment 6 is detached from the bolt wrench assembly 11, thus the attaching operation of the motor unit 7 is finished. (Explanation of the Motor Unit Detaching Operation of the Fine Motion Control Rod Drive Mechanism) Next, the operation of detaching the motor unit 7 of the fine motion control rod drive mechanism 16 will be explained. The position of the bolt wrench assembly 11 of the fine motion control rod drive mechanism handling apparatus is positioned to the motor unit a position of the motor unit 11 being a detaching object mounted to the motor bracket 15. The motor unit attachment 6 is attached to the bolt wrench assembly 11, and the bolt wrench assembly 11 with the motor unit attachment 6 attached to it is ascended, and the motor unit attachment 6 is mounted to the motor unit 7 to be detached. After mounting the motor unit attachment 6, the attaching bolt 17 of the motor unit 7 is loosened by the bolt wrench 27 of the motor unit attachment 6, and the motor unit 7 is detached from the motor bracket 15. The detached motor unit 7 is put on the top of the motor unit attachment 7 and if the bolt wrench assembly 11 is descended, it is separated from the motor bracket. The bolt wrench assembly 11 is descended furthermore, and the motor unit 7 is taken out from the motor unit attachment 6. The motor unit attachment 6 is detached from the bolt wrench assembly 11, thus the motor unit detaching operation is finished. A method for attaching the motor unit 7 in the embodiment 1 by using the fine motion control rod drive mechanism handling apparatus will be explained with reference to FIGS. 5 and 6. FIG. 5 shows a flowchart of operation procedure for attaching a motor unit 7 and FIG. 6 shows specific contents of processes shown in FIG. 5. A case that the gear coupling 8 on the motor unit side shown in FIG. 5 and the gear coupling 21 on the spool piece side do not coincide with each other in the tip-root phase of the gear will be described below. The motor unit 7 is attached to the motor unit attachment 6 (step S1). After that, the motor unit 7 is ascended (step S2). FIG. 6(a) shows a state where the motor unit attachment 6 is attached to the bolt wrench assembly 11 of the fine motion control rod drive mechanism handling apparatus, and the bolt wrench assembly 11 has been ascended with the motor unit attachment 6 on which the motor unit 7 is put. The ascent and descent is executed by a mechanism for ascending and descending the bolt wrench assembly 11 by a motor drive. The mechanism for ascending and descending the bolt wrench assembly 11 is attached to the fine motion control rod drive mechanism handling apparatus. The bolt wrench assembly 11 is positioned to the specified attaching position of the motor unit 7, and then the motor unit 7 is ascended. At this time, the motor unit attachment 6 and motor unit 7 are ascended in a state where they have been rotated by a specified angle on the axis relative to the bolt joint position to the motor bracket 15. In this rotation, the motor unit attachment 6 and motor unit 7 can be rotated by a rotational mechanism installed on the bolt wrench assembly 11. As an example of the rotational mechanism, a motor may be cited. Further, the motor unit 7 is attached in accordance with the positioning pin 4 in the rotational direction of the motor unit attachment 6, thus the position in the rotational direction is not changed. Whether the tip-root phase of the gear of the gear coupling 8 on the motor unit side and the tip-root phase of the gear of the gear coupling 21 on the spool piece side coincide with each other or not is determined (step S3). When this determination is “YES”, that is, these tip-root phases coincide with each other; operation of step S7 is executed. The operation of the steps S7 and S8 will be described later. When that determination is “No”, that is, these tip-root phases do not coincide with each other; operation of step S4 is executed. The motor unit 7 is ascended (step S4). FIG. 6(b) shows a state that the motor unit attachment 6, and the bolt wrench assembly 11 with the motor unit 7 attached are ascended and end faces of the gear coupling 8 and the gear coupling 21 are in contact with each other. Outer teeth 84 of the gear coupling 8 on the motor unit side and inner teeth 82 of the gear coupling 21 on the spool piece side are shaped as shown in FIGS. 7(a) and 7(b). When the motor unit 7 ascends, if the outer teeth 84 of the gear coupling 8 on the motor unit side and the inner teeth 82 of the gear coupling 21 on the spool piece side do not mesh with each other in the tip-root position of the gear, a tip end of the gear coupling 8 on the motor unit side come into contact with an end face of the gear coupling 21 on the spool piece side. Further, when the outer teeth 84 and inner teeth 82 do not mesh with each other in the tip-root position of the gear, the tip-root positions of both gears may be detected based on the information from the sensor for detecting the respective rotational angles of the outer teeth 84 of the gear coupling 8 on the motor unit side and the inner teeth 82 of the gear coupling 21 on the spool piece side and the design data of the respective gears. And, the procedures shown in FIG. 6(c) and the subsequent drawings may be executed so as to phase-focus the shifted positions using the detected positions. In a state that the end faces of the gear couplings come into contact with each other, the bolt wrench assembly 11 is ascended furthermore, so that to prevent the gear couplings from application of an excessive load, the spring mechanism 2 built in the motor bracket 15 of the motor unit attachment 6 is contracted. Consequently, in the motor unit attachment 6, a state that a pressing load is acted upward by the spring reaction force is kept. The gear coupling 8 on the motor unit side and internal parts can be prevented from damage because excessive load is not added to the gear couplings by the spring mechanism. Furthermore, the bolt wrench assembly 11 is ascended, so that the position of the motor unit 7 is not changed. However, the spring mechanism 2 is compressed. The movement amount of the bolt wrench assembly 11 is detected by the position detector installed in the bolt wrench assembly 11, and the bolt wrench assembly 11 is stopped at a preset position. The position detector measures the movement amount by the position detector for detecting the rotational angle of the motor on the side of the fine motion control rod drive mechanism handling apparatus. At this time, the ascending height of the bolt wrench assembly 11 should be such that the attaching faces of the motor unit 7 and motor bracket 15 are within the range free of contact with each other when the outer teeth of the gear coupling 8 on the motor unit side and the inner teeth of the gear coupling 21 on the spool piece side mesh with each other in the tip and root of the gear and the gear coupling 8 on the motor unit side is inserted in the gear coupling 21 on the spool piece side. One reason of setting the attaching faces of the motor unit 7 and motor bracket 15 within the range free of contact with each other is that if the motor unit 7 is lifted up beyond the range in which the motor unit 7 come into contact with the motor bracket 15, when the motor unit 7 is rotated by the next operation and the gears coincide with each other in the phase, the motor unit 7 is lifted up by an upward pressing load of the spring mechanism 2 and the motor unit 7 collides with the motor bracket 15 and is damaged, so that the damage of the motor unit 7 and motor bracket 15 must be prevented, and the other is that, if the faces of the motor unit 7 and motor bracket 15 make contact with each other after the gears coincide with each other in the phase during rotation, the rotation that must be performed before the bolt joint position comes up becomes impossible, so this must be prevented. In addition, to detect that the end faces of the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side make contact with each other, when the spring mechanism 2 of the motor unit attachment 6 is contracted and the bracket 1 descends, a limit switch 9 installed in the motor unit attachment 6 can detect it. When the limit switch 9 detects the descent of the bracket 1, the ascent of the motor unit 7 is stopped. Further, when the end faces of the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side make contact with each other and the upward pressing load acts on the bracket 1 of the motor unit attachment 6, the upward pressing load can be confirmed by an increase of a measurement value measured by the load meter 10 installed at the lower end of the motor unit attachment 6. After the end faces of the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side made contact with each other and the ascent of the motor unit 7 was stopped, the motor unit 7 attached to the bolt wrench assembly 11 is rotated (step S5). FIG. 6(c) shows a state that the bolt wrench assembly 11 has been rotated in the state shown in FIG. 6(b) and the motor unit attachment 6 and motor unit 7 have been rotated together. When the upward pressing force by the spring mechanism 2 built in the motor unit attachment 6 is acted on the bracket 1, the bolt wrench assembly 11 rotates the motor unit attachment 6 and motor unit 7. Consequently, between the gear coupling 21 on the spool piece side and the gear coupling 8 on the motor unit side, the gear coupling 8 on the motor unit side rotates relatively. In this rotation, the gear coupling 8 on the motor unit side is rotated by the motor (not shown) installed on the bolt wrench assembly 11 of the fine motion control rod drive mechanism handling apparatus. At this time, in the motor unit 7, the upward pressing force of the spring mechanism 2 built in the motor unit attachment 6 is generated, and the spring force of the spring mechanism 2 built in the motor unit attachment 6 is set so that upward pressing force smaller than the resistance due to the sliding friction during relative rotation will be obtained in the state that the end faces of the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side make contact with each other. The motor unit 7 is inserted into the motor bracket 15 (step S6). FIG. 6(d) shows a state that the motor unit attachment 6 and motor unit 7 were rotated in the state shown in FIG. 6(c), and the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincided with each other in the tip-root phase of the gear, and the bracket 1 and motor unit 7 were pressed up by the upward pressing force of the spring mechanism 2 built in the motor unit attachment 6, and the gear coupling 8 on the motor unit side has been inserted into the gear coupling 21 on the spool piece side, thus the gears of the gear couplings 8 and 21 have meshed with each other. At this time, that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side have coincided with each other in the tip-root phase of the gear, can be detected by the limit switch 9 installed in the motor unit attachment 6 because the bracket 1 of the motor unit attachment 6 is lifted up by the upward pressing force of the spring mechanism 2. Furthermore, since the value of the load meter 10 installed at the lower end of the motor unit attachment 6 is reduced by the release from the upward pressing force of the spring mechanism 2, it can be confirmed that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side have coincided with each other in the tip-root phase of the gear. Additionally, as for a rotational angle of the motor unit 7, the motor unit 7 has been ascended in a state that the motor unit 7 has firstly rotated by a specified angle relative to the bolt joint positions to the motor unit 7 and motor bracket 15. When the rotation is finished, the bolt joint positions to the motor unit 7 and motor bracket 15 coincide with each other. Further, the rotation of the motor unit 7 is started, and after the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear during the rotation and the gear coupling 8 on the motor unit side is inserted into the gear coupling 21 on the spool piece side, the rotation of the motor unit 7 is continued. The motor unit 7 is rotated continuously in the state that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side are meshing with each other in the gear. The rotation is stopped at the bolt joint position of the motor unit 7 and motor bracket 15. The bolt wrench assembly 11 is ascended (step S9). FIG. 6(e) shows a state that in the state that as shown in FIG. 6(d), the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear and the gears are meshing with each other, the bolt wrench assembly 11 has been ascended furthermore, and the motor unit 7 and motor bracket 15 are in contact with each other. When ascending the bolt wrench assembly 11, the bolt wrench assembly 11 is pressed up furthermore to confirm that the motor unit 7 come into contact with the motor bracket 15, and the spring mechanism 2 built in the motor unit attachment 6 is further compressed, and the bracket 1 is pressed down. It can be detected that the bracket 1 has come into contact with the motor bracket 15 by the limit switch 9 installed in the motor unit attachment 6. Further, if the motor unit 7 has come into contact with the motor bracket 15, the measurement value of the load meter 10 installed at the lower end of the motor unit attachment 6 also increases. That the motor unit 7 has come into contact with the motor bracket 15 can be simultaneously confirmed by the limit switch 9 and load meter 10. It is confirmed that the motor unit 7 come into contact with the motor bracket 15 based on the position detection and load detection and the ascent of the bolt wrench assembly 11 is stopped. Further, when the bolt wrench assembly 11 ascends and the motor unit 7 is inserted into the motor bracket 15, the motor unit 7 corrects the position shifts in the horizontal direction by the spigot joint of the motor unit 7 and the positioning pin 4 and rotational direction of the motor bracket 15. Thus, the motor unit 7 is positioned. The motor unit 7 is attached to the motor bracket 15 (step S10), FIG. 6(f) shows the state that after the motor unit 7 and motor bracket 15 are positioned in FIG. 6(d), the bolt wrench 27 installed on the motor unit attachment 6 comes out and tightens the motor unit attaching bolt 17, and the motor unit 7 is attached to the motor bracket 15. The motor unit attachment 6 is withdrawn from the motor unit 7 (step S11). FIG. 6(g) shows a state that the motor unit 7 is attached to the motor bracket 15, and the attached bolt wrench assembly 11 to which the motor unit attachment 6 was attached has descended, and the motor unit attachment 6 has been pulled out from the motor unit 7. Since the motor unit attachment 6 is withdrawn from the motor unit 7, the bracket 1 of the motor unit attachment 6 is lifted up by the upward pressing force of the spring mechanism 2. It can be confirmed that the motor unit attachment 6 has been withdrawn from the motor unit 7 by the change in the limit switch 9 installed on the motor unit attachment 6 and the reduction in the measurement value of the load meter 10 installed at the lower end of the motor unit attachment 6 after the load of the motor unit 7 is released. After the motor unit attachment 6 was detached from the descended bolt wrench assembly 11, the attaching operation of the motor unit 7 completes. Next, When the determination of the step S3 shown in FIG. 5 is “YES”, that is, in the case that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear, operation procedure for attaching a motor unit 7 will describe with reference to FIGS. 5 and 8. Similarly to FIG. 6(a), FIG. 8(a) shows the state where the bolt wrench assembly 11 is ascended with the motor unit 7 put on the motor unit attachment 6. The motor unit 7 is ascended (step S7). FIG. 8(b) shows a state that the motor unit attachment 6 and the bolt wrench assembly 11 with the motor unit 7 attached to is ascended and, since the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear, the outer teeth of the gear coupling 8 on the motor unit side are inserted into the inner teeth of the gear coupling 21 on the spool piece side and the gears are meshed with each other. Since the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear, the gear coupling 8 on the motor unit side is inserted into the gear coupling 21 by the ascent of the bolt wrench assembly 11, and the bolt wrench assembly 11 ascends up to a specified height. As for the ascending height of the bolt wrench assembly 11, similarly to FIG. 6(b), the movement amount of the bolt wrench assembly 11 is detected by the position detector installed on the bolt wrench assembly 11. When it was detected by the position detector that the bolt wrench assembly 11 has ascended up to the specified height, the bolt wrench assembly is stopped at a preset position. Further, the confirmation of the consistency of the tip-root phase of the gear between the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side can be judged from that the bolt wrench assembly 11 reaches the specified height and the limit switch 9 installed on the motor unit attachment 6 and the load meter 10 installed at the lower end of the motor unit attachment 6 are not changed. The motor unit 7 is rotated (step S8). FIG. 8(c) shows the state that in FIG. 8(b), that is, in the state that the teeth of the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side have been inserted and the gears are meshing with each other, the bolt wrench assembly 11 is rotated. The rotational angle, similarly to FIG. 6(d), is a set rotational angle. The state in which the operation of the step S8 was finished is in the same state as the state in which the operation of the step S6 was finished. As a consequence, processes for attaching the motor unit to the motor bracket 15 executed after step S8 is the same as the processes of the steps S9-S11. Further, both procedures of the case that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side do not coincide with each other in the tip-root phase of the gear (the processes of the steps S1-S6 and S9-S11) and the case that the phases coincide with each other (the processes of the steps S1-S3, S7,S8 and S9-S11) are automated before the motor unit 7 is joined to the motor bracket 15 by the attaching bolt 17. Further, it is possible to keep the procedures unautomated, confirm the limit switch operation and change in the load meter by a person at each step, and then go to the next step or to keep the procedures automated, confirm the limit switch operation and change in the load meter by a person at each step, and then go to the next step. In the automation processing, the processes of the steps S1-S11 shown in FIG. 5 are performed by a control apparatus. The control apparatus is a computer having a storage device such as a memory and a hard disk for receiving information from each sensor and recording the received data. The data measured by the sensors and transmitted from the sensors is received by the input/output section of the control apparatus and is subject to the processes of the steps S1-S11 by the CPU of the control apparatus. Each process of the steps S1-S11 is performed by loading and executing the program in the computer. According to the use of the aforementioned fine motion control rod drive mechanism handling apparatus of the present embodiment, when the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side do not coincide with each other in the tip-root phase of the gear, the readjustment of the rotational position of the gear coupling 8 on the motor unit side is unnecessary and the retry operation is unnecessary. Consequently, a series of attaching operations are simplified and can be automated, and the attaching operability of the motor unit 7 is improved. By doing this, the time of the motor unit attaching operation can be shortened. Further, the damage of the motor unit 7 at the time of attachment of the motor unit 7 and the damage of the rotation stopping mechanism 22 of the spool piece 14 can be prevented, so that a highly reliable control rod drive mechanism 16 can be provided. [Embodiment 2] A fine motion control rod drive mechanism handling apparatus according to embodiment 2, which is another embodiment of the present invention, will be described below with reference to FIG. 9. Assuming the state that the fine motion control rod drive mechanism handling apparatus can only ascend and descend (the rotational mechanism by which the bolt wrench assembly 11 is ascended does not operate or there is an interference article in the periphery, so that it cannot operate), the apparatus can respond to it by only rotating the motor unit 7. The attaching rotational position of the motor unit 7 when the bolt wrench assembly 11 is ascended in the state that the motor unit attachment 6 is attached to the bolt wrench assembly 11 of the fine motion control rod drive mechanism handling apparatus and the motor unit 7 is put on the motor unit attachment 6, is different from the state shown in FIG. 6(a), and the motor unit attachment 6 and motor unit 7 is ascended at the rotational position which is the bolt joint position to the motor bracket 15. After the ascent of the motor unit 7, if the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side do not coincide with each other in the tip-root phase of the gear, similarly to FIG. 6(b), the bolt wrench assembly 11 with the motor unit attachment 6 and motor unit 7 attached to it is ascended, and the end face of the gear coupling 8 on the motor unit side comes into contact with the end face of the gear coupling 21 on the spool piece side, and the spring mechanism 2 built in the motor unit attachment 6 is compressed, and the state that a pressing load is acted upward by the spring reaction force is kept. Thereafter, similarly to FIG. 6(b), it is detected that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side have come into contact with each other by the change in the limit switch 9 and the increase in the load meter 10. FIG. 9 shows a state that in the state that the end faces of the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side come in contact with each other and the upward pressing force is acted by the reaction force of the spring mechanism 2 built in the motor unit attachment 6, the brake in the motor unit 7 is released by a brake release mechanism 26 and a counter-output shaft 24 of the motor unit 7 is being rotated. After release of the brake of the motor unit 7, a rotation lever 25 is attached to a counter-output shaft 24 of the motor unit 7 and a rotation lever is rotated. If the rotation lever is rotated, a drive shaft in the motor unit 7 that is connected to the counter-output shaft 24 of the motor unit 7 is rotated and the gear coupling 8 on the motor unit side is also rotated. If the gear coupling 8 on the motor unit side is rotated relatively between the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side, the gear coupling 8 on the motor unit side is inserted into the gear coupling 21 on the spool piece side at the position where the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear. At this time, since the change in the limit switch 9 installed on the motor unit attachment 6 and the reduction in the load meter 10 installed at the lower end of the motor unit attachment 6 are confirmed, it can be confirmed that the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side have coincided with each other in the tip-root phase of the gear and the gear coupling 8 on the motor unit side has been inserted into the gear coupling 21. Furthermore, also after the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the tip-root phase of the gear, the rotation lever 25 is rotated at a fixed angle and thereafter, the brake of the motor unit 7 is released by the brake release mechanism 26, and the drive shaft is restrained, and then the rotation lever 25 is detached from the counter-output shaft 24 of the motor unit 7. After the gear coupling 8 on the motor unit side and the gear coupling 21 on the spool piece side coincide with each other in the phase of the gear, the brake of the motor unit 7 is released, and the drive shaft is restrained, the processes of the steps S9-S11 are executed. That is, the bolt wrench assembly 11 is ascended, and the motor unit 7 and motor bracket 15 are permitted to come into contact with each other. Additionally, the bolt wrench 27 installed on the motor unit attachment 6 comes out and tightens the motor unit attaching bolt. Thus, the motor unit 7 is attached to the motor bracket 15. After that, the bolt wrench assembly 11 with the motor unit attachment 6 attached to it is descended, and the motor unit attachment 6 is withdrawn from the motor unit 7. [Embodiment 3] A fine motion control rod drive mechanism handling apparatus according to embodiment 3, which is another embodiment of the present invention, will be described below with reference to FIG. 10. The procedure for attaching the motor unit 7 is the same as the procedure of embodiment 1 shown in FIG. 5, though FIG. 10 shows a state that using a displacement meter 28 installed on the motor unit attachment 6 instead of the limit switch 9, the distance between the bracket 1 of the motor unit attachment 6 and the casing 3 can be measured. The displacement meter 28 may be either the contact type or the non-contact type. The movement amount of the bracket 1 is measured by the displacement meter 28, thus the contract amount of the spring mechanism 2 installed on the motor unit attachment 6 can be confirmed. Further, the attaching procedure of the motor unit 7 is the same as that of the embodiment 1, so it will be omitted. The method for detaching the motor unit 7 from the motor bracket 15 is also the same as that of embodiment 1, so it will be omitted. As mentioned above, the correct contract amount of the spring mechanism 2 can be seen by a numerical value by using the displacement meter 28. In Embodiment 1, the last moved position can be determined as approval or disapproval, and if the displacement amount is furthermore found by the displacement meter 28, the ascending distance can be judged accurately. As mentioned above, in the fine motion control rod drive mechanism handling apparatus using for attaching or detaching the fine motion control rod drive mechanism having the four kinds of components of a control rod drive mechanism body 13, spool piece 14, motor bracket 15, and motor unit 7 to or from the reactor pressure vessel 100, by the fine motion control rod drive mechanism handling apparatus having the motor unit attachment 6 for meshing the gear coupling 21 on the spool piece side with the gear coupling 8 on the motor unit side in the gear, the motor unit attaching operability is improved and the attaching operation time can be shortened. As mentioned above, even when the gear coupling 21 on the spool piece side and the gear coupling 8 on the motor unit side do not mesh with each other in the gear, since the gear couplings can be immediately meshed with each other by the fine motion control rod drive mechanism handling apparatus including the bolt wrench assembly 11 ascending and descending on the motor unit 7 and the rotational mechanism for rotating the motor unit attachment 6, the motor unit attaching operability is improved and the attaching operation time can be shortened. Further, the above rotational mechanism is provided with the rotational mechanism installed in the bolt wrench assembly 11 used in embodiment 1, and the rotational mechanism used in embodiment 2 and having the brake release mechanism 26 for releasing the brake of the motor unit 7 when the gear coupling 21 on the spool piece side and the gear coupling 8 on the motor unit side do not coincide with each other in the gears by the motor unit attachment 6, and the counter-output shaft 24 for rotating the gear coupling 8 of the motor unit side. As mentioned above, since the fine motion control rod drive mechanism handling apparatus has the load detection function (example, the load meter 10) or the position detection function (for example, the limit switch 9) for detecting the gear meshing state of the gear coupling 21 on the spool piece side and the gear coupling 8 on the motor unit side, it can be confirmed easily that the gears are meshing with each other. As mentioned above, the motor unit attachment has the function (for example, the spring mechanism 2) for releasing the pressing force of the motor unit 7 when the gear coupling 21 on the spool piece side does not mesh with the gear coupling 8 on the motor unit side in the gear, so that the gears and the like can be prevented from application of a large load. As mentioned above, the motor unit attachment 7 can perform a more precise attaching operation by the fine motion control rod drive mechanism handling apparatus having the function for detecting the tip-root position of the gear of the gear coupling 21 on the spool piece side and the gear coupling 8 on the motor unit side and executing phase fitting for the gears of the gear couplings. As mentioned above, since the motor unit attachment 6 has the function for positioning the motor unit in the rotational direction, it attaches the motor unit 7 in accordance with the positioning pin 4 of the motor unit attachment 6 in the rotational direction to prevent the position of the motor unit 7 in the rotational direction from changing. Thus the motor unit attaching operability can be improved and the attaching operation time can be shortened. As mentioned above, the method of handling the fine motion control rod drive mechanism ascends the motor unit 7 to press each other in the gears, and in the pressed state, rotates the gear of the gear coupling 8 on the motor unit side when the gear coupling 21 on the spool piece side and gear coupling 8 on the motor unit side of the fine motion control rod drive mechanism do not mesh with each other in the gear. Accordingly, the motor unit attaching operability can be improved and the attaching operation time can be shortened. As mentioned above, when pressing each in the gears, a motor unit attachment 6 having an elastic mechanism is used to press the gears, thus the gears can be prevented from application of a large load. [Reference Signs List] 1: bracket, 2: spring mechanism, 3: casing, 4: positioning pin, 5: shaft, 6: motor unit attachment, 7: motor unit, 8, 21: magnet coupling, 9: limit switch, 10: load meter, 11: bolt wrench assembly, 12: control rod drive mechanism housing, 13: control rod drive mechanism body, 14: spool piece, 15: motor bracket, 16: fine motion control rod drive mechanism, 17: attaching bolt, 18: control rod, 19, 20: rotary shaft, 22: rotation stopping mechanism, 23: magnet coupling, 24: counter-output shaft, 25: rotation lever, 26: brake release mechanism, 27: bolt wrench, 28: displacement meter, 100: reactor pressure vessel.
claims
1. A particle beam therapy system comprisingan irradiation unit having a scanning electromagnet for irradiating an irradiation object by scanning a particle beam which travels in a vacuum duct and a beam outlet window from which a particle beam comes out from the vacuum duct to the atmosphere,wherein the irradiation unit has the configuration such that a vacuum duct is provided which can be divided by a flange surface at an irradiation object side of the scanning electromagnet,in a case where a vacuum duct for a scanning irradiation method is provided at an irradiation object side of the flange surface, a ridge filter for a scanning irradiation method is provided at the irradiation object side of the vacuum duct for a scanning irradiation method,in a case where the vacuum duct for a scanning irradiation method is moved so as not to overlap with a beam line of the particle beam, the ridge filter for a scanning irradiation method is removed and a ridge filter for a broad beam irradiation method can be provided in space which is on a beam line of a particle beam and where the vacuum duct for a scanning irradiation method was provided before it was moved. 2. A particle beam therapy system according to claim 1, wherein the irradiation unit comprises a ridge filter transfer mechanism by which a ridge filter is moved to a beam line direction of the particle beam,in a case where the scanning irradiation method vacuum duct is moved so as not to overlap a beam line of the particle beam,in place of the ridge filter for a scanning irradiation method which is provided at the ridge filter transfer mechanism, the ridge filter for a broad beam irradiation method is provided at the ridge filter transfer mechanism, the ridge filter for a broad beam irradiation method is moved to close to a direction of the flange surface and is provided. 3. A particle beam therapy system according to claim 1, wherein a gate valve is provided at a position which is upper stream than the flange surface of the vacuum duct. 4. A particle beam therapy system according to claim 1, wherein the beam outlet window is provided on the flange surface in a case where the vacuum duct for a scanning irradiation method is moved so as not to overlap a beam line of the particle beam. 5. A particle beam therapy system according to claim 1, further comprises a plurality of the vacuum ducts for a scanning irradiation method whose length are different, a holding mechanism which holds the plurality of the vacuum ducts for a scanning irradiation method at a position which does not overlap the beam line and a vacuum duct transfer mechanism by which one of the plurality of the vacuum ducts for a scanning irradiation method can be moved from the holding mechanism to the beam line. 6. A particle beam therapy system according to claim 1, wherein the irradiation unit is mounted on a rotating gantry.
summary
summary
abstract
The present invention relates to a method for measuring the content of Lanthanides dissolved in uranium oxide, wherein the Lanthanides content in the nuclear fuel pellet is measured using the thermo gravimetric analysis which measures the weight variation caused by the oxidation and heat treatment of the nuclear fuel pellet. This method provides an advantage in that the Lanthanide content can be measured using relatively simple equipments such as an electric furnace and a balance.
053533151
summary
A portion of the disclosure of this patent document contains material which is subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure, as it appears in the Patent and Trademark Office patent file or records, but otherwise reserves all copyright rights whatsoever. BACKGROUND OF THE INVENTION The present invention relates to apparatus and methods for monitoring and controlling the operation of commercial nuclear power plants. Conventionally, commercial nuclear power plants have a central control room containing equipment by which the operator collects, detects, reads, compares, copies, computes, compiles, analyzes, confirms, monitors, and/or verifies many bits of information from multiple indicators and alarms. Conventionally, the major operational systems in the control room have been installed and operate somewhat independently. These include the monitoring function, by which the components and the various processes in the plant are monitored; control, by which the components and the processes are intentionally altered or adjusted, and protection, by which a threat to the safety of the plant is identified and corrective measures immediately taken. The result of such conventional control room arrangement and functionality can sometimes be information overload or stimulus overload on the operator. That is, the amount of information and the variety and complexity of the equipment available to the operator for taking action based on such extensive information, can exceed the operator's cognitive limits, resulting in errors. The most famous example of the inability of operators to assimilate and act correctly based on the tremendous volume of information stimuli in the control room, particularly during unexpected or unusual plant transients, is the accident that occurred in 1978 at the Three Mile Island nuclear power plant. Since that event, the industry has focused considerable attention to increasing plant operability through improving control room operator performance. A key aspect of that improvement process is the use of human engineering design principles. Advances in computer technology since 1978 have enabled nuclear engineers and control room designers to display more information, in a greater variety of ways, but this can be counterproductive, because part of the problem is the overload of information. Improving "user friendliness" while maintaining the quantity and type of information at the operator's disposal has posed a formidable engineering challenge. SUMMARY OF THE INVENTION It is thus an object of the present invention to provide apparatus and method for nuclear power plant control and monitoring operations having the characteristics of concise information processing and display, reliable architecture and hardware, and easily maintainable components, while eliminating operator information overload. This objective should be accomplished while achieving enhanced reliability, ease of operation, and overall cost effectiveness of the control room complex. The solution to the problem is accomplished with the present invention by providing a number of features which are novel both individually and as integrated together in a control complex. The complex includes six major systems: (1) the control center panels, (2) the data processing system (DPS), (3) the discrete indication and alarm system (DIAS), (4) the component control system consisting of the engineered safeguard function component controls (ESFC) and the process component controls (PCC), (5) the plant protection system (PPS), and (6) the power control system (PCS). These six systems collect data from the plant, efficiently present the required information to the operator, perform all automatic functions and provide for direct manual control of the plant components. The control complex in accordance with the invention provides a top-down integrated information display and alarm approach that supports rapid assessment of high level critical plant safety and power production functions; provides guidance to the operator regarding the location of information to further diagnose high level assessments; and significantly reduces the number of display devices relative to conventional nuclear control complexes. The complex also significantly reduces the amount of data the operator must process at any one time; significantly reduces the operational impact of display equipment failures; provides fixed locations for important information; and eliminates display system equipment used only for off normal plant conditions. It is known that the nuclear steam supply system can be kept in a safe, stable state by maintaining a limited set of critical safety functions. The present invention extends the concept of the critical plant safety functions to include critical plant power production functions, in essence integrating the two functions so that the information presentation to the operator supports all high level critical plant functions necessary for power production as well as safety. The information display hierarchy in accordance with the invention includes a "big board" integrated process status overview screen (IPSO) at the apex, which provides a single dedicated location for rapid assessment of key information indicative of critical plant power production and safety functions. Further detail on the sources and trends of normal or abnormal parameter changes are provided by the DIAS. Both IPSO and the DIAS provide direct access and guidance to additional system and component status information contained on a hierarchy of CRT display pages which are driven by the DPS. The IPSO continually displays spatially dedicated information that provides the status of the plant's critical safety and power production functions. This information is presented using a small number of easily understood symbolic representations that are the results of highly processed data. This relieves the operator of the burden of correlating large quantities of individual parameter data, systems or component status, and alarms to ascertain the plant functional conditions. The IPSO presents the operator with high level effects of lower level component problems. The IPSO relies primarily on parameter trend direction, e.g., higher, lower, an alarm symbol color and shape, to convey key information. These are supplemented by values for selected parameters. The IPSO presents consolidated, simplified information to the operator in relatively small quantities of easily recognized and understood information. Furthermore, the IPSO compensates for the disadvantage inherent in recent industry trends towards presenting all information serially on CRTs, by enabling the operator to obtain an overview, or "feel" of the plant condition. Display of plant level overview on a large-format dedicated display addresses two additional operational concerns. First, operator tasks often require detailed diagnostics in very limited process areas. However, maintaining concurrent awareness of plant-wide performance is also necessary. Rather than relying on multiple operators in the control room to monitor respective indicators and the like on spatially separated panels, the IPSO can be viewed from anywhere in the control room and thus provides an operator a continuous indication of plant performance regardless of the detailed nature of the task that may be requiring the majority of his attention. In the preferred implementation, IPSO supports the assessment of the power and safety critical functions by providing for each function, key process parameters that indicate the functional status. For each function, key success paths are selected with the status of that success path displayed. The IPSO clearly relates functions to physical things in the plant. The critical functions are applied to power production, normal post trip actions, and optimal functional recovery procedures. The second level in the display information hierarchy in accordance with the present invention is the presentation of plant alarms from the DIAS. A limited number of fixed, discrete tiles are used with three levels of alarm priorities. Dynamic alarm processing uses information about the plant state (e.g., reactor power, reactor trip, refueling, shut-down, etc.) and information about system and equipment status to eliminate unnecessary and redundant alarms that would otherwise contribute to operator information overload. The alarm system provides a supplementary level of easily understood cueing into further information in the discrete indicators, CRTs and controls. Alarms are based on validated data, so that the alarms identify real plant process problems, not instrumentation and control system failures. The alarm features include providing a detailed message through a window to the operator upon the acknowledgment of an alarm and the ability to group the alarms without losing the individual messages. The tiles can dynamically display different priorities to the operator. The acknowledgment sequence ensures that all alarms are acknowledged while at the same time reducing the operator task loading by providing momentary tones, then continuous alarm, followed by reminder tones to ensure that the alarms are not forgotten. The operator has the ability to stop temporarily alarm flashing to avoid visual overload, and resume the flashing to ensure that the alarm will eventually be acknowledged. The discrete indicators in the DIAS provide the third level of display in the hierarchy of the present invention. The flat panel displays compress many signal sources into a limited set of read-outs for frequently monitored key plant data. Signal validation and automatic selection of sensors with the most accurate signal ranges are also employed to reduce the number of control panel indicators. Information read-outs are by touch-screen to enhance operator interaction and include numeric parameter values, a bar form of analog display, and a plot trend. Various multi-range indicators are available on one display with automatic sensor selection and range display. The automatic calculation of a valid process representation parameter value, with the availability of individual sensor readings at the same display, avoids the need for separate backup displays, or different displays for normal operation versus accident or post-accident operation. Moreover, in another preferred feature of the invention, the parameter verification automatically distinguishes failed or multiple failed sensors, while allowing continued operation and accident mitigation information to the operator even if the CRT display is not available. Furthermore, the normal display information can be correlated to a qualified sensor, such as that used for post-accident monitoring purposes. At the information display level associated with control of specific components, dynamic "soft" controllers are provided with component status and control signal information necessary for operator control of these components. For the ESFC system, this information includes status lamp, on-off controls, modulation controls, open-closed controls, and logic controls. For the PCCS, the information includes confirm load, set points, operating range, process values, and control signal outputs. In the fourth level of the information hierarchy, dynamic CRT display pages are complementary to all levels of spatially dedicated control and information and can be accessed from any CRT location in the control room, technical support center, or emergency operations facility. These displays are grouped into a three level hierarchy that includes general monitoring (level 1), plant component and systems control (level 2), and component/process diagnostics (level 3). Display implementation is driven by the DPS and duplicates and verifies all discrete alarm and indicator processing performed in the DIAS. In the preferred implementation of the invention, the indicator, alarm, and control functions for a given major functional system of the plant are grouped together in a single, modularized panel. The panel can be made with cutouts that are spatially dedicated to each of the displays for the indicators, alarms, controls, and CRT, independent of the major plant functional system. This permits delivery, installation, and preliminary testing of the panels before finalization of the plant specific logic and algorithms, which can be software modified late in the plant construction schedule. This modularization is achievable because the space required on the panel is essentially independent of the major plant functional system to which the panel is dedicated. Both the alarms and indicators can be easily modified in software. The number of indicators and alarm tiles that can be displayed to the operator are not significantly limited by the available area of the panel, so that standardization of panel size and cutout locations for the display windows is possible.
claims
1. An ion generator comprising:a shielding shell having a first end, an opposite second end and a main body, the first end having an electron-input hole, the second end having an ion-output hole, the main body having a gas inlet configured for introducing an ionizable gas into the shielding shell;a cathode device disposed facing the electron-input hole, configured for emitting electrons into the shielding shell through the electron-input hole so as to ionize the ionizable gas thereby generating ions, the cathode device including a conductive base and at least one field emitter; andan annular anode arranged in the shielding shell, the annular anode being aligned with the ion-output hole. 2. The ion generator according to claim 1, further comprising a grid electrode arranged between the cathode device and the electron-input hole of the shield shell, the grid electrode being configured for promoting extraction of the electrons from the cathode device. 3. The ion generator according to claim 2, wherein a shielding shell is tubular and the annular anode coaxially disposed in the shielding shell. 4. The ion generator according to claim 1, wherein the field emitters is comprised of a material selected from the group consisting of carbon nanotubes, diamond, diamond-like carbon, silicon, and metal. 5. The ion generator according to claim 1, wherein the cathode device includes a secondary electron-emitting source, and the at least one field emitter faces the secondary electron-emitting source. 6. The ion generator according to claim 5, wherein the secondary electron-emitting source is comprised of copper or platinum. 7. The ion generator according to claim 5, wherein the secondary electron-emitting source includes at least one tip extending toward the electron-input hole. 8. The ion generator according to claim 1, wherein the gas inlet is configured to be adjacent to the first end of the shielding shell. 9. The ion generator according to claim 1, wherein the annular anode and the ion-output hole of the shielding shell are coaxial. 10. The ion generator according to claim 1, wherein the annular anode is misaligned with the electron-input hole of the shielding shell. 11. The ion generator according to claim 1, further comprising an aperture lens arranged on the second end of the shielding shell, the aperture lens configured for focusing the ions exiting from the ion-output hole of the shielding shell. 12. The ion generator according to claim 9, wherein a thickness of a wall of the annular anode is in a range from 0.1 millimeters to 0.5 millimeters. 13. An ion generator comprising:a field emission cathode device configured for emitting electrons therefrom; anda shell including an ionization chamber and an annular anode arranged therein, the ionization chamber being configured for receiving the electrons emitted from the field emission cathode device and an ionizable gas, the anode and the shell being configured for cooperatively forming a saddle electric field in the ionization chamber. 14. The ion generator according to claim 13, further comprising a grid electrode arranged between the cathode device and the electron-input hole of the shield shell, the grid electrode being configured for promoting extraction of the electrons from the cathode device. 15. The ion generator according to claim 13, wherein the field emission cathode device includes a conductive base and a plurality of a field emitters formed thereon, the field emitters configured for emitting the electron input into the ionization chamber of the shell. 16. The ion generator according to claim 13, wherein the field emission cathode device includes a field emitter, and a secondary electron emitter facing each other, the secondary electron emitter has a higher potential than the field emitter such that electrons emitted from the field emitter impact the secondary electron emitter to emit the electrons input in the ionization chamber of the shell. 17. The ion generator according to claim 13, wherein the annular anode is misaligned with the electron-input hole of the shielding shell. 18. The ion generator according to claim 13, wherein a thickness of a wall of the annular anode is in a range from 0.1 millimeters to 0.5 millimeters. 19. An ion generator comprising:an elongated cylindrical shell having an electron-input hole at a first end thereof, an ion-output hole at an opposite second end thereof, and a gas inlet configured for introducing an ionizable gas thereinto;an annular anode coaxially disposed within the shell, the annular anode being misaligned with the electron-input hole; anda cathode device disposed adjacent the electron-input hole, the cathode being configured for emitting electrons into the shielding shell through the electron-input hole.
summary
047028831
description
DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like are words of convenience and are not to be construed as limiting terms. In General Referring now to the drawings, and particularly to FIG. 1, there is shown an elevational view of a reconstitutable nuclear reactor fuel assembly, represented in vertically foreshortened form and being generally designated by the numeral 10. Basically, the fuel assembly 10 includes a lower end structure or bottom nozzle 12 for supporting the assembly on the lower core plate (not shown) in the core region of a reactor (not shown), and a number of longitudinally extending guide tubes or thimbles 14 which project upwardly from the bottom nozzle 12. The assembly 10 further includes a plurality of transverse grids 16 axially spaced along the guide thimbles 14 and an organized array of elongated fuel rods 18 transversely spaced and supported by the grids 16. Also, the assembly 10 has an instrumentation tube 20 located in the center thereof and an upper end structure or top nozzle 22 attached to the upper ends of the guide thimbles 14 which incorporates certain improved features in accordance with the present invention which will be fully described below. With such arrangements of parts, the fuel assembly 10 forms an integral unit capable of being conventionally handled without damaging the assembly parts. As mentioned above, the fuel rods 18 in the array thereof in the assembly 10 are held in spaced relationship with one another by the grids 16 spaced along the fuel assembly length. Each fuel rod 18 includes nuclear fuel pellets (not shown) and is closed at its opposite ends by upper and lower end plugs 24,26. The fuel pellets composed of fissile material are responsible for creating the reactive power of the reactor. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through the guide thimbles 14 and along the fuel rods 18 of the fuel assembly 10 in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods (not shown) are reciprocally movable in the guide thimbles 14 located at predetermined positions in the fuel assembly 10. Since the control rods are inserted into the guide thimbles 14 from the top of the fuel assembly 10, the placement of the components of the top nozzle 22 which attach to the guide thimbles 14 must accommodate the movement of the control rods into the guide thimbles from above the top nozzle. Top Nozzle and Guide Thimble Mounting Arrangement Turning now to FIGS. 1 to 4, there is shown the components of the top nozzle 22 which interconnect with the guide thimbles 14. The top nozzle 22, which is mounted to upper hollow tubular extension members 28 of the respective guide thimbles 14, includes an upper hold-down plate 30, a lower adapter plate 32, and a plurality of hold-down coil springs 34 disposed between the upper and lower plates 30,32. The upper hold-down plate 30 has a plurality of passageways 36 defined therethrough, while the lower adapter plate 32 has a plurality of openings 38, the passageways 36 and openings 38 being arranged in respective patterns which are matched to that of the guide thimbles 14 of the fuel assembly 10. More particularly, the upper tubular extension members 28 of the guide thimbles 14 extend upwardly and are slidably inserted through the respective openings 38 in the lower adapter plate 32 and the respective passageways 36 in the upper hold-down plate 30. The hold-down springs 34 are inserted about the respective extension members 28 and displace the upper hold-down plate 30 above the lower adapter plate 32. A plurality of lower retainers 40 are attached, such as by brazing, to the guide thimble extension members 28 below the lower adapter plate 32 for limiting downward slidable movement of the adapter plate 32 relative to the guide thimbles 14 and thereby supporting the adapter plate at a stationary position along the guide thimbles. Each lower retainer 40 on one guide thimble 14 has a series of scallops 42 formed on its periphery which are aligned with those of the fuel rods 18 grouped about the respective one guide thimble 14 so that the fuel rods may be removed and replaced during reconstitution of the fuel assembly 10. As seen particularly in FIG. 2, the upper hold-down plate 30 is composed of an array of hubs 44 and radially-arranged spokes or ligaments 46 which extend between and interconnect the hubs. Each of the hubs 44 has one of the passageways 36 defined therethrough. Improved Features for Removably Attaching Top Nozzle on Guide Thimbles Referring now to FIGS. 2 to 4, there is shown some of the preexisting top nozzle attaching structure along as the modifications provided to it by the present invention which have made the top nozzle 22 easily removable and thereby the fuel assembly 10 readily reconstitutable. As designed heretofore, upper end portions 48 of the guide thimble extension members 28 each had an axially extending slot or recess (not shown) machined on the exterior thereof with upper and lower oppositely facing ledges. Also, the hold-down plate 30 had a plurality of inwardly-projecting pins 50 which each extended into one of the passageways 36 in the upper plate and one of the recesses on the extension members. The pins and upper ends or ledges of the recesses interacted to establish an upper limit to upward travel of the hold-down plate 30 and to support the weight of the fuel assembly during lifting. In view that this prior attaching structure has performed satisfactorily in reactor service, is relatively inexpensive to fabricate and has proven reactor experience, it is desirable to retain it in the improved removable attaching structure of the present invention. The improved attaching structure makes the top nozzle 22 removable and the fuel assembly 10 reconstitutable by incorporating several modifications to the preexisting structure and by adding certain new parts thereto. First, the upper end portions 48 of the guide thimble tubular extension members 28 have been modified. They have been reduced in length so that the upper terminal edges 52 thereof now terminate at the tops of the recesses 54 which thereby have also been modified from their configuration heretofore. Also, the interior of the extension member upper end portions 48 now have threaded sections 56 defined thereon. Further, a plurality of stop members 58 are incorporated by the improved attaching structure which each have upper and lower portions 60,62. Each stop member lower portion 62 has a threaded section 64 defined on its exterior which adapts it to threadably fit into the threaded section 56 of one of the extension member upper end portions 48. Also, each stop member upper portion 60 has an outside diameter greater than that of the lower portion 62 so as to form an overhanging ledge 66 on the stop member 58 at a transition between its upper and lower portions 60,62. The ledge 66 on each stop member 58 defines an upper end of one of the modified recesses 54 on the extension member upper end portions 48 when the stop member 58 is threaded thereon. Thus, the upper hold-down plate 30 is trapped between the ledge 66 on the removable stop member 58 and the lower end or ledge 68 of the recess 54 which ledge 68 is constructed the same as before. Therefore, each pin 50 fixed such as by welding in the upper hold-down plate 30 is positioned to slide upwardly along the corresponding one recess 54 until making engagement with the ledge 66 on one of the stop members 58 when the stop member is threaded on the corresponding extension member upper end portion 48. In such manner, the pin 50 coacts with the stop member ledge 66 to limit upward movement of the hold-down plate 30 along the guide thimble 14. Also, each pin 50 is positioned to slide upwardly along and past the corresponding one recess 54 when the one stop member 58 has been unthreaded from the corresponding extension member upper end portion 48. In such way, removal of the upper hold-down plate 30 is allowed from the guide thimble 14. In summary, therefore, to remove the top nozzle 22, the four upper stop members 58 are unthreaded for the guide thimble extension members 28, after which the hold-down plate 30, hold-down coil springs 34 and lower adapter plate 32 can be removed. A conventional fixture can be used to hold these components together during reconstitution of the fuel assembly 10. Parenthetically, it will be noted that the upper portion 60 of each of the stop members 58 has an outside diameter substantially the same as that of the upper end portion 48 of each of the guide thimble extension members 28 which adapts the stop members to be slidably receivable in the upper hold-down plate passageways 36. It will also be noted in reference to FIGS. 2, 3, 5 and 6, that while there is one pin 50 and recess 54 associated with each of the four corner extension members 28, there is a pair of opposing pins 50 and recesses 54 associated with the middle or center extension member 28. One additional advantage brought about by the improved attaching structure of the present invention is that it simplifies the adjustment of the engagement pins 50 during fabrication since they can now be preassembled to the hold-down plate 30 and then assembled onto the thimble extension members 28, rather than having to insert and locate the pins during final assembly. It is thought that the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof.
abstract
A synchrocyclotron comprises a resonant circuit that includes electrodes having a gap therebetween across the magnetic field. An oscillating voltage input, having a variable amplitude and frequency determined by a programmable digital waveform generator generates an oscillating electric field across the gap. The synchrocyclotron can include a variable capacitor in circuit with the electrodes to vary the resonant frequency. The synchrocyclotron can further include an injection electrode and an extraction electrode having voltages controlled by the programmable digital waveform generator. The synchrocyclotron can further include a beam monitor. The synchrocyclotron can detect resonant conditions in the resonant circuit by measuring the voltage and or current in the resonant circuit, driven by the input voltage, and adjust the capacitance of the variable capacitor or the frequency of the input voltage to maintain the resonant conditions. The programmable waveform generator can adjust at least one of the oscillating voltage input, the voltage on the injection electrode and the voltage on the extraction electrode according to beam intensity and in response to changes in resonant conditions.
abstract
A multi-leaf collimator with electromagnetically actuated leaves. The multi-leaf collimator includes a plurality of leaves, a leaf guide configured to support the plurality of leaves, and a plurality of magnets. Each leaf includes a blocking portion that is radio opaque, a drive portion connected to the blocking portion, and a coil embedded in the drive portion. The coil is operatively connected to an electrical current source to generate a first magnetic field. The first magnetic field interacts with the magnetic field generated by the magnet to thereby move the leave to a desired state. The leaves have the capability of moving at speeds of 50 cm/s up to and higher than 1 m/s.
040382028
description
The present invention will be further illustrated by way of the following example: EXAMPLE In the manner of copending application Ser. No. 284,061 of Votocek, a mixture of a nitrate deficient uranyl nitrate solution and a solution of both hexamethylene tetramine and urea was sprayed in air over a liquid sold under the name Dobane PT-12 by Shell Nederland N.V. Dobane PT-12 is identified as a mixture of one or more alkyl substituted benzenes having in its alkyl chain an average number of 12 carbon atoms. To the Dobane PT-12 was added a quantity of 0.2 percent by volume of Atlox 3386. Atlox 3386 is a surface active substance based on a poly oxyethylene sorbitol fatty acids -- alkyl aryl sulphonate blend having a HLB number of 9.6. Atlox 3386 is sold by Atlas Chemical Industries. As the alkyl benzene mixture was heated to about 100.degree. C, solidification of the droplets sprayed into the organic liquid occurred due to the decomposition of the hexamethylene tetramine (hexa) and the urea into ammonia. The conditions of this example were as follows: Concentration of nitrate deficient uranyl nitrate solution 3 molar. ratio of nitrate ions to uranyl ions 1.5 - 1.6, in other words NO.sub.3 l/UO.sub.2 is between 1.5 and 1.6. Concentration of hexamethylene tetramine and urea both 3 molar. Mixing ratio: Volume of uranyl solution/volume of 3 M hexa + 3 M urea = 1/1.4 to 1/1.5 Temperature of the mixture before dispersion: 2.degree. to -5.degree. C. Temperature of the alkyl substituted benzenes mixture: 93.degree.-96.degree. C. After solidification the droplets were separated from the organic liquid and washed out with water of which the pH was adjusted to a pH of 9 or higher by the addition of ammonia solution which contained a 0.2 percent by volume of Atlox 3335. Atlox 3335 is a surface active substance based on a poly oxy ethylene sorbitan ester of fatty and resin acids -- alkyl aryl sulphonate blend having a HLB number of 13.0. Atlox 3335 is marketed by Atlas Chemical Industries. Practical concentrations of Atlox 3335 range between 0.2 and 1 percent by volume.
047117583
claims
1. A cask for storage of nuclear fuel, comprising: a container having a cavity therein, the cavity including a top portion, a bottom portion defining a floor, and a generally cylindrical side wall extending between the top and bottom portions, the side wall having a plurality of annular regions with different inner diameters; and basket means for providing a plurality of elongated storage slots to receive the nuclear fuel, said basket means including a plurality of grid assemblies with circular peripheries and different diameters, and means for coaxially mounting said grid assemblies at spaced-apart positions so that the periphery of each grid assembly faces a respective annular region, said grid assemblies being dimensioned to permit insertion of said basket means into said cavity and to expand into pressing contact with said annular regions during storage of the nuclear fuel in order to facilitate the transfer of heat from said basket means through the peripheries of said grid assemblies to said annular regions, the pressing contact extending for 360.degree. about the periphery of each grid assembly, wherein the diameters of said grid assemblies and the inner diameters of said annular regions decrease from the top portion to the bottom portion of said container. a container having a cavity therein, the cavity including a top portion, a bottom portion, and a generally cylindrical side wall extending between the top and bottom portions, the side wall having a plurality of annular regions with different inner diameters; and basket means for providing a plurality of elongated storage slots to receive the nuclear fuel, said basket means including a plurality of spaced-apart protrusions having circular peripheries that are coaxially disposed and having different diameters, each protrusion facing a respective annular region, said protrusions being dimensioned to permit insertion of said basket means into said cavity and to expand into pressing contact with said annular regions during storage of the nuclear fuel in order to facilitate the transfer of heat from said basket means through the peripheries of said protrusions to said annular regions, the pressing contact extending for 360.degree. about the periphery of each protrusion, wherein the diameters of said protrusions and the inner diameter of said annular regions decrease from the top portion to the bottom portion of said container. 2. The cask of claim 1, wherein each grid assembly comprises a plurality of plates connected to one another to form a matrix having rectangular apertures, the apertures of said grid assemblies being aligned to provide said storage slots, and a plurality of block elements affixed to said plates to form said periphery. 3. The cask of claim 2, wherein a plurality of the metal plates of each grid assembly have holes therein, and wherein said means coaxially mounting said grid assemblies comprises legs extending through said holes and, for each plate having a hole, a bottom ring affixed to a leg on one side of the hole and a top ring affixed to the leg on the other side of the hole, the distance between said top and bottom rings being greater than the thickness of the block having the hole so that said block having the hole is slidably confined between said top and bottom rings. 4. The cask of claim 2, further comprising means disposed in said storage slots for enclosing the nuclear fuel. 5. The cask of claim 4, wherein said means disposed in said storage slots comprises four-sided cells, each side having a sheet of neutron poison material affixed thereto, and further comprising heat conducting means affixed to said plates for positioning said cells in said storage slots. 6. The cask of claim 5, wherein for each cell said heat conducting means comprises wedges disposed adjacent two walls of the cell and spaced apart from the walls of the cell. 7. The cask of claim 6, wherein said wedges are spaced apart from said cell wall by gaps ranging from about 0.005 cm to about 0.025 cm. 8. The cask of claim 7, wherein said cask is flooded with gas. 9. The cask of claim 8, wherein said gas comprises helium. 10. The cask of claim 1, wherein said annular regions are rings which project into said cavity. 11. The cask of claim 10 wherein the difference between the diameters of adjacent grid assemblies is constant and the difference between the diameters of adjacent rings is constant. 12. The cask of claim 11, wherein at a predetermined temperature the diameter of each grid assembly is less than the diameter of the corresponding ring by a constant amount. 13. The cask of claim 12, wherein the difference in the diameters of adjacent grid assemblies and rings is approximately 0.6 cm and at the predetermined temperature the diameter of each grid assembly is less than the diameter of its corresponding ring by approximately 0.3 cm. 14. The cask of claim 10, wherein the width of each ring is greater than the thickness of the corresponding grid assembly. 15. The cask of claim 10, wherein said rings and grid assemblies have beveled edges. 16. The cask of claim 1, wherein the pressing contact between said peripheries of said grid assemblies and said annular regions of said wall is at least about 1000 pounds per square inch. 17. The cask of claim 1, wherein the pressing contact between said peripheries of said grid assemblies and said annular regions of said wall is not greater than about 4000 pounds per square inch. 18. A cask for storage of nuclear fuel, comprising: 19. The cask of claim 18, wherein said annular regions are rings which project into said cavity.
043364608
summary
DESCRIPTOIN TECHNICAL FIELD The present invention relates generally to shipping containers, and more particularly relates to shipping containers used to contain spent nuclear fuel assemblies during transport. BACKGROUND In the operation of a nuclear reactor, the fissionable nuclear fuel is typically contained in a fuel rod bundle or assembly. The fuel in the reactor is useful for a period of time and then becomes depleted and is no longer useful for a fission reaction in its present form. The nuclear fuel may, however, be reprocessed by techniques known in the art to yield fissionable material once again. Since reprocessing facilities are few and far between, spent nuclear fuel assemblies must often be transported for great distances. Although the spent nuclear fuel is no longer useful in fission reactions, it is nevertheless still "hot" in terms of both radioactivity and temperature. In order to render the fuel assembly safe for handling outside the reactor vessel, the fuel assembly is usually loaded into a shipping cask. U.S. Pat. No. 3,962,587 (incorporated herein by reference) discloses such a shipping cask. The shipping casks generally comprise a body for containing the spent nuclear fuel assembly therein. The body of the cask is typically made of a heavy shielding material to absorb radiation from the material inside. The shipping cask is usually loaded by lowering into a storage pool at the nuclear power plant. When the cask is removed from the pool, it contains both the fuel assembly and water from the pool, which may or may not be drained off later. The loaded cask is extremely heavy and since it contains highly radioactive material, measures must be taken to insure that the cask is not dropped. In order to provide the desired extra measure of safety required, the trunnions attached to the cask for lifting the cask are usually oversized to withstand several times the normal load levels. Inspection is usually required against material failure and/or attachment failure, such as a defect in a weld. Thorough inspection is often difficult or impossible, and is always a costly expedient. Another expedient is to provide a redundant number of trunnions, along with a hoisting yoke which attaches to all trunnions and which transfers the load to the remaining trunnions if one trunnion should fail. That expedient is also expensive, and the added presence of the yoke impedes the loading and unloading of spent fuel assemblies. The shipping cask is typically transported by railroad car or by truck. Since the possibility of a crash is always present, precautions must be taken to minimize the probability of a cask rupture upon impact with an obstacle. Impact limiters have been used in the past to minimize this probability. An impact limiter generally comprises a cap-like structure filled with a crushable material which fits on the ends of the cask. Upon impact the crushable material absorbs a large portion of the impact energy, thereby reducing the forces applied to the body of the cask. Controlled absorption of the impact is a desirable feature of such impact limiters. SUMMARY OF THE INVENTION The present invention relates to a shipping cask for spent nuclear fuel, the cask having multiple element trunnions attachable thereto to provide load path redundency in case of a material failure and to provide for ease of inspection. The present invention also includes a multiple element lifting yoke compatible with the trunnions on the cask and impact limiters for the ends of the cask. The shipping cask generally comprises an elongate body for containing spent nuclear fuel assemblies. A pair of trunnions are detachably disposed on the outer surface of the body adjacent the top end thereof. The trunnions each comprise a first element attached to the body to provide a first load path and a second element attached to the body to provide a second load path. The lifting yoke generally comprises a pair of lifting plates assemblable about a conventional crane hook and a pair of side arms attachable to the lifting plates. The lower end of each side arm is engagable with the trunnions of the shipping cask. Both the lifting plates and the side arms are comprised of a plurality of elements detachably laminated to one another. The impact limiters generally comprise a cap-like structure filled with a crushable substance and engagable with the ends of the cask. The impact limiters have an impact surface which is convex to thereby distribute impact forces gradually over the impact surface. Accordingly, it is an object of the present invention to provide am improved cask for transporting spent nuclear fuel. It is another object of the present invention to provide an improved lifting apparatus for lifting spent nuclear fuel casks. A further object of the present invention is to provide improved impact limiters for spent nuclear fuel casks. Yet another object of the present invention is to provide a spent nuclear fuel cask having lifting trunnions which provide separate and distinct load paths between the trunnions and the cask. Another object of the present invention is to provide multiple element trunnions which are removable from a spent nuclear fuel cask to provide easy inspection for defects. Still another object of the present invention is to provide lifting apparatus which is multiply redundant and disassemblable for easy inspection. These and other objects, features and advantages of the present invention will become apparent after a review of the following detailed description of the disclosed embodiment and the appended drawing and claims.
claims
1. A Method of making x-ray crystal analyzer in two dimension bending with strip crystal feature by bonding crystal wafer in strips with curved substrate. 2. The method of claim 1, wherein the bonding method is anodic boing, it comprising: pressing the top crystal wafer strips and substrate together, heating all set to high temperature, applying high voltage on wafer and its substrate, forming chemical atomic bond between the crystal wafer and its glass substrate. 3. The method of claim 2, wherein the crystal wafer strips can be bonded one by one, or bonded all in same time. 4. The method of clam 1, wherein the bonding method can be glue method or others, in which, it can bond crystal wafer and substrate together. 5. The method of claim 4, wherein there can be a interlayer between wafer strips and substrate. 6. The method of claim 1, wherein the crystals can be silicon, germanium, sapphire, quartz, lithium fluoride, diamond, Lithium Niobium oxide and all crystals which can be sliced and bent. 7. The method of claim 1, wherein the substrate can be metal and glass. 8. The method of claim 1, wherein the crystal wafer can be cut in any shape and any pieces, but are aligner in their original position in the wafer. 9. The method of claim 1, wherein the bending radius can be any length between 10 mm to 3000 mm.
abstract
The present invention provides a remote maintenance method, a remote maintenance system, and an industrial device for enabling control and thorough services and billing according to the contents of the remote maintenance operation and the request destination of maintenance and enabling access limit according to the attribute of a service person, access limit according to the device state, and output limit according to the output mode. The industrial device 123 installed at the factory 120 and the operation device 113 installed in the maintenance center 110 are connected via the network 100. The operation device 113 transmits command information indicating a command concerning maintenance to the industrial device 123 and the industrial device 123 executes a process according to the received command information, generates charge information indicating a charge concerning maintenance according to the contents of the executed process, and outputs the whole or a part of the generated charge information to the output device of the industrial device 123.
claims
1. A purifying device for sludge underwater, comprising:a substantially rectangular frame having open sides;a hollow liquid container at a lowermost portion of said substantially rectangular frame;at least one filter within said substantially rectangular frame, said filter having a filtrate discharging hole in fluid communication with a liquid flow hole and a backwash hole of the hollow liquid container; anda pump mounted on an upper portion of said substantially rectangular frame, and having an inlet connected to the liquid flow hole of the hollow liquid container through a liquid pipeline such that a negative pressure is created within said hollow liquid container thereby causing filtrate to be drawn through an outer surface of the filter and through the filtrate discharging hole;wherein, while said purifying device is under water, water to be filtered enters an interior portion of said substantially rectangular frame through said open sides, where it is then drawn through said at least one filter due to the negative pressure created by said pump and the hydraulic pressure of the area, thereby causing solid particles to be retained by said at least one filter. 2. A purifying device according to claim 1, further comprising multiple slings affixed to side flanges of said substantially rectangular frame for suspending said substantially rectangular frame. 3. A purifying device according to claim 1, further comprising a backwash pipe connected to said backwash hole for the introduction of a fluid into the hollow liquid container. 4. A purifying device according to claim 1, further comprising a plurality of filters mounted within said substantially rectangular frame.
abstract
A device for producing a fluid containing a radioactive constituent, comprising a shielded chamber (5) with an opening for receiving an isotope container (6) housing a radioactive isotope (7); a chamber closure (18) adapted for cooperating with and closing the chamber opening; a first fluid port comprising a first hollow needle projecting into the shielded chamber from the chamber closure for fluid communication with the isotope container; a second fluid port comprising a second hollow needle projecting into the shielded chamber from the closed end of the chamber opposite the chamber closure for fluid communication with the isotope container; first and second compressible buffers (28, 29) mounted so as to surround at least partially the respective first and second hollow needles (12, 13), each buffer providing an outer surface for contact with opposed ends of the isotope container; and a spacer of a predetermined thickness associated with one or each of the first and second compressible buffers for determining the positioning of the isotope container within the shielded chamber.
abstract
A primary lid is set in a top opening of a vessel body that contains radioactive substance, and closes the top opening. The peripheral edge portion of the primary lid is welded to the inner peripheral surface of the vessel body. As the primary lid is welded, steam in the vessel body is discharged to the outside through a discharge hole in the primary lid, and a shield gas is filled into or run through a space in the outer peripheral portion of the primary lid, so as to prevent the steam from flowing into the welding portion.
description
This invention was made with U.S. Government support under contract number DE-PC07-07ID14778. The Government has certain rights in the invention. The invention relates generally to local power range monitoring of nuclear reactors, and more specifically to use of a high dielectric insulated coax cable to achieve steady state local power level measurements for a reactor core. One method of local power range monitoring (LPRM) of a boiling water reactor is based on a traversing incore probe (TIP) technique. FIG. 1 illustrates a boiling water reactor known in the art. One known LPRM method employs a neutron or gamma sensor on the end of a 200 foot helical drive cable to obtain a three dimensional power profile. The associated TIP insertion and withdrawal operations require significant maintenance, are subject to radiation contamination, suffer from slow data collection, require a large foot print, and undesirable spatial inaccuracies. Another method of local power range monitoring of a boiling water reactor is based on a gamma thermometer for measuring temperature. The gamma thermometer technique however, has a lower accuracy than that associated with TIP techniques, has an unknown lifetime and high maintenance costs. One proposed method of local power range monitoring of a boiling water reactor is directed to measurement of impedance changes of a mineral insulated coaxial cable that includes ionization of a filled inert gas in a loosely packed Al2O3 or MgO powder. The above proposed method is a newer concept utilizing coaxial cable with time domain reflectometry (TDR) techniques. Although this concept overcomes many of the disadvantages associated with TIP and gamma thermometer techniques known in the art, it still leaves room for increased spatial accuracy. In view of the foregoing, it would be advantageous to provide a method of local power range monitoring of a boiling water reactor that avoids the above problems. Briefly, in accordance with one embodiment, a boiling water reactor (BWR) core local power range monitoring (LPRM) system comprises: a desired length of high dielectric mineral insulated coaxial type cable within the boiling water reactor core; and a time domain reflectometry apparatus configured to measure a temporary characteristic impedance change associated with the coaxial type cable in response to at least one of neutron or gamma irradiation generated via the reactor core. According to another embodiment, a boiling water reactor (BWR) core local power range monitoring (LPRM) system comprises: at least one high dielectric, non-linear mineral insulated coaxial cable type transmission line bundled together with a plurality of nuclear fuel rods to form a monitoring transmission line assembly, the monitoring transmission line assembly being within the boiling water reactor core along its entire length; and a measurement system configured to measure a temporary characteristic impedance change associated with the at least one coaxial cable type transmission line in response to at least one of neutron or gamma irradiation generated via the reactor core. According to yet another embodiment, a method of monitoring a boiling water reactor (BWR) core power level, the method comprises: providing a desired length of high dielectric, non-linear mineral insulated coaxial type cable; bundling the desired length of high dielectric, non-linear mineral insulated coaxial type cable together with a plurality of fuel cell rods to form a transmission line assembly having a characteristic impedance; inserting at least a portion of the transmission line assembly within the boiling water reactor core; and measuring a characteristic impedance change associated with the high dielectric, non-linear mineral insulated coaxial type cable in response to at least one of neutron or gamma irradiation generated via the reactor core. While the above-identified drawing figures set forth particular embodiments, other embodiments of the present invention are also contemplated, as noted in the discussion. In all cases, this disclosure presents illustrated embodiments of the present invention by way of representation and not limitation. Numerous other modifications and embodiments can be devised by those skilled in the art which fall within the scope and spirit of the principles of this invention. The embodiments described herein utilize the impedance change of a high dielectric, non-linear mineral insulated coaxial cable under neutron and/or gamma irradiation to measure the local power level to establish a three-dimensional power profile over the full length of a nuclear reactor core such as a boiling water reactor (BWR) core depicted in FIG. 1. Multiple measurements may be taken within seconds and with a spatial resolution of about one-half-inch using a time domain reflectometry (TDR) technique to obtain temporary characteristic impedance changes associated with the high dielectric insulated coaxial cable that is configured as a transmission line. The characteristic impedance is associated with the high dielectric constant change and/or ionization of backfilled inert gas in the coaxial cable caused by the neutron and/or gamma irradiation. The coaxial cable transmission line embodiments described below with reference to the figures avoids the use of conventional simple oxides such as, without limitation, alumina, silica, magnesia, glass and hafnia, having a low dielectric constant. According to some aspects of the invention, the high dielectric, non-linear mineral insulated coaxial cable uses high dielectric constant ceramics such as nonlinear ferroelectric lead lanthanium zirconium titanium oxide, and sodium niobate antiferroelectrics. The present inventors recognized that although higher dielectric constants decrease the spatial distance between the interrupting nodes in a transmission line, a higher resolution can be achieved although the signal transmission becomes slower. According to one embodiment of a method for monitoring a boiling water reactor local power level, at least one portion of a high dielectric, non-linear mineral insulated coaxial cable transmission line is inserted within the boiling water reactor core such that the characteristic impedance change associated with the high dielectric, non-linear mineral insulated coaxial cable transmission line is measured in response to at least one of neutron or gamma irradiation generated via the reactor core. This technique advantageously achieves a higher spatial accuracy and resolution than that achievable using known techniques and materials. Other advantages over known systems and methods include, without limitation, 1) capability to collect multiple data sets within a couple of seconds as contrasted with about two-hours required using existing TIP techniques; 2) no requirement for use of inert gas to facilitate the impedance change since high dielectric materials such as ceramics show considerable change in their dielectric properties under irradiation; 3) filled gas and its ionization under irradiation can be leveraged simultaneously with loosely packed powder when required to change the characteristic impedance; 4) high dielectric materials are more resistant to gamma or neutron radiation and exhibit a longer lifetime; and 5) use of high dielectric insulator coaxial cable transmission line provides a monitoring system that is less expensive to implement than known monitoring systems and techniques. The embodiments described below in more detail with reference to the figures employ dielectric materials in a coaxial cable configuration that are different from conventional oxide or nitride dielectrics generally used to formulate coaxial cables. These different dielectric materials can be in the form of a dielectric powder that is tightly packed to provide a uniform distribution of the powder, and thus improved coaxial cable quality. Some embodiments make use of ionization of inert gas such as, without limitation, N2, Ar, Xe and/or combinations thereof. The present inventors recognized that the combined effect of gas ionization and the direct change of the dielectric constant under irradiation may offer additional information regarding impedance changes. Cable configurations that employ loosely packed powder in combination with inert gas can also utilize gas ionization in combination with impedance changes of the loosely packed powder to significantly increase the TDR signal quality and sensitivity. Looking again at FIG. 1, a conventional nuclear reactor 10 can be seen to include a boiling water reactor core 12. A plurality of instrumentation tubes 14 are integrated into the BWR core 12 and are configured such that at least one sensor such as a neutron and/or gamma sensor can be inserted into each tube 14 to accommodate local power range monitoring via known flux detection techniques. FIG. 2 illustrates the reactor core 12 and instrumentation tubes 14 in greater detail. Each tube 14 generally includes a minimum of 7-9 monitoring points 16, but in one embodiment will have one monitoring point spaced at one inch increments along the full length of the reactor core. FIG. 3 illustrates a high dielectric, non-linear mineral insulated coaxial type cable 20, according to one embodiment of the invention. The high dielectric, non-linear mineral insulated coaxial type cable 20 may be bundled together with a plurality of reactor rods (typically four rods) inserted into the reactor core 12 to form a measurement device assembly. A plurality of such measurement device assemblies are inserted into the reactor core 12 to provide the desired LPRM data. The instrumentation tubes 14 shown in FIGS. 1 and 2 are thus replaced via the measurement device assemblies such that each tube 14 corresponds to a measurement device assembly 28 as shown in FIG. 4 according to one aspect of the invention. High dielectric insulated coaxial type cable 20 comprises an inner electrical conducting electrode (anode) 22 that is protected by an insulator layer 24. The insulator layer 24 avoids the use of conventional low permittivity materials including simple oxides such as, without limitation, alumina, silica, magnesia, glass and hafnia, having a low dielectric constant. The insulator layer 24 also avoids the use of loosely packed powders and the use of inert gas alone or in combination with loosely packed powders, thus avoiding the possibility of powder agglomeration and non-uniform distribution, which may decrease the TDR signal quality and certainty of appropriate explanation of the data, among other things, as stated above. According to some aspects of the invention, the high dielectric, non-linear mineral insulated coaxial type cable 20 uses high permittivity, non-linear, high dielectric constant material(s) including without limitation, ceramics such as nonlinear ferroelectric lead lanthanium zirconium titanium oxide, and sodium niobate antiferroelectrics to form the insulator layer 24 and thus achieve the advantages described above. Other high dielectric constant, nonlinear materials can include lead zirconium titanium oxide (PbZrTiO3), barium titanate (BaTiO3), barium strontium titanate (BaSrTiO3), lanthanum modified bismuth titanium oxide ((BiLa)4Ti3O12), strontium bismuth tantalum oxide (SrBi2Ta2O9), lead zirconate (PbZrO3), lead magnesium niobate oxide (PbMgNbO3), lead magnesium niobium titanium oxide (PbMgNbTiO3), lead nickel niobium zirconium titanium oxide (PbNiNb—PbZrTiO3). Some embodiments of the high dielectric, non-linear mineral insulated coaxial type cable 20 may employ a combination of linear and non-linear dielectric materials to form the insulator layer 24 and to achieve additional advantages such as, without limitation, high temperature stability. The high permittivity, non-linear materials were found by the present inventors be provide greater sensitivity to radiation exposure via the characteristic impedance change(s) associated with the high dielectric, non-linear mineral insulated coaxial type cable 20 resulting from the radiation exposure, especially since the impedance is related to the permittivity. A permittivity (dielectric constant) that is greater than about ten (10) is suitable to provide a workable solution according to the principles described herein; while a higher permittivity level, i.e. greater than about 30, will provide more desirable results. The dielectric constant may be as high as about 100,000 for some high dielectric materials. In the previous two cases, the outer ground electrode shown in FIG. 3 may be removed leaving a simple coaxial cable design that consists of only a high permittivity nonlinear insulator 24 between the two electrodes 22, 26, wherein the outer electrode 26 is the coaxial cable shield electrode. The insulator layer 24 in some embodiments may employ a combination of high dielectric, non-linear material(s) and an inert gas such that the change in characteristic impedance caused by the radiation exposure is a function of the ionization of the inert gas in combination with the directly activated impedance change of the high dielectric, non-linear material(s). High dielectric, non-linear mineral insulated coaxial type cable 20 also comprises an outer electrical conducting electrode (cathode) 26 that forms a shield for the inner electrical conducting electrode 22, and that may be used to assist in generating the TDR data. Time domain reflectometry (TDR) measurement techniques are used to determine the characteristic terminating impedance and the location of impedance changes along the coaxial transmission line. A TDR employs a very fast rise time voltage step wave generator and a wide bandwidth oscilloscope in an arrangement representing a closed-loop-radar. An incident voltage step wave, launched from a step wave generator into a coaxial transmission line, travels forward and propagates toward the far end of the coaxial transmission line. Any change of the initial characteristic impedance encountered along the length of the coaxial transmission line causes part of the energy of the incident voltage step wave to be reflected back into the coaxial transmission line in the reverse direction toward the step wave generator. The incident voltage amplitude and reflected voltage amplitude are measured to determine the amount of impedance change caused by the terminating characteristic impedance changes occurring along the length of the coaxial transmission line. TDR measurement techniques are well known and documented in the literature, and so further details regarding TDR measurement techniques are not described herein in order to preserve brevity and enhance clarity in understanding the embodiments described herein. According to one embodiment, electronic measurement instrumentation to determine the amount and location of changed terminating characteristic impedance along the coaxial transmission line in the reactor core 12 and establish steady state local power information based on the gamma flux requires: 1) a high dielectric, non-linear mineral insulated coaxial transmission line with a tightly packed high dielectric, non-linear insulation material optionally backfilled with inert gas to provide a transmission path from the bottom of the nuclear reactor vessel 10 to the top of the reactor core 12 in each and every desired sensor location (which would include every typical LPRM location according to one embodiment); 2) a very fast rise time voltage step wave generator that functions in an environment up to 150 degrees Fahrenheit inside the reactor containment, (or can be remotely located in an acceptable environment to maintain life and reliable operation); 3) an impedance output matching/coupling network for coupling the output of the voltage step wave generator to both an electronic coaxial transmission line switch assembly and a coaxial transmission line to the electronic instrumentation circuitry monitoring the time and the value of the incident and the reflected voltage amplitude; 4) an electronic coaxial transmission line switch assembly with sufficient bandwidth to switch and maintain the wave shape integrity of the very fast voltage step wave with a one nanosecond or less rise time from the output of the step wave generator through the electronic switch to a coaxial transmission line in a LPRM sensor and any reflected voltage from the coaxial transmission line in the reactor core, wherein the electronic coaxial transmission line switch assembly switches the voltage step wave from the step wave generator to any one single LPRM sensor or to all of the LPRM sensors sequentially; 5) a spare coaxial transmission line in a reactor containment electrical penetration that maintains the wave shape integrity of the incident and reflected voltage components comprising the TDR voltage signature; 6) signal digitizing and storage circuitry, digital sampling rate (10 gigahertz, minimum), and adequate digital storage memory to capture, store and maintain the analog signal integrity of at least 200 sequentially returning TDR voltage signatures; 7) signal processing circuitry necessary to continually assess the incident and reflected voltage components along the coaxial transmission line inside the reactor core 12 to determine the characteristic terminating impedance equated to an equivalent reactor power level; 8) time processing circuitry necessary to correlate the characteristic terminating impedance changes to the locations of the terminating characteristic impedance occurring the along the coaxial transmission line inside the reactor core 12, wherein the exact location of the characteristic terminating impedance requires time processing that incrementally accounts and corrects for the total accumulated signal propagation delay due to all previously occurring locations with changed characteristic terminating impedance that causes a change of the velocity of signal propagation; 9) electronic circuitry to control the electronic coaxial transmission line switch assembly with a differential or coaxial serialized control signal to select one single LPRM sensor or all of the LPRM sensors sequentially, wherein the serialized control signal is sent to the electronic coaxial transmission line switch by a differential or coaxial transmission line; and 10) a spare coaxial transmission line or two spare conductors to create a differential transmission line within a reactor containment electrical penetration that transmits a differential or coaxial serialized control signal to the coaxial transmission line switch assembly. The plurality of measurement device assemblies formed by the high dielectric insulated coaxial type cables 20 and corresponding reactor rods that are inserted into the reactor core 12 advantageously are easily replaceable and are fixed in position, thus avoiding the necessity for moving parts associated with known LPRM measurement techniques. FIG. 4 illustrates TDR electronic measurement instrumentation at plurality of locations suitable for use with the high dielectric, non-linear mineral insulated coaxial transmission line, according to one embodiment of the invention. One suitable TDR measurement instrumentation location 30 is disposed within the nuclear reactor 10 dry well. Another suitable TDR measurement instrumentation location 32 is disposed within a control room located away from the nuclear reactor 10. In summary explanation, a temporary change in the characteristic impedance of a coaxial transmission line that is proportional to the radiation level is utilized to obtain steady state local power level information in order to calibrate instrumentation and to establish a three-dimensional power profile of a nuclear reactor core with an electronic system that has no moving parts. Time domain reflectometry measurements performed on a simple and inexpensive, rigid/hardline, high dielectric, non-linear mineral insulated coaxial transmission line in LPRM sensor locations will continuously obtain an axial scan of the nuclear reactor radiation level(s) over the full length of a nuclear reactor core that is proportional to the radiation level. The embodiments and principles described herein, unlike existing technology that requires steady state conditions, allow either transient or steady state condition monitoring, opening a new regime of monitoring previously unavailable using known techniques. Further, existing technology generally performs one measurement every one inch, while the embodiments and principles described herein allows for a lesser number of measurements, or allows retention of the one inch technique, but with the added benefit of doing so at a very fast rate (seconds using the principles described above compared with hours using known techniques and methods). While only certain features of the invention have been illustrated and described herein, many modifications and changes will occur to those skilled in the art. It is therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the invention.
051376814
claims
1. An electrical generating system of the type in which a steam turbine is used to convert steam energy into rotational mechanical energy to drive an electrical generator, wherein: the turbine is an axial flow, dual shaft compressor/turbine unit comprising a turbine section, a compressor section, and a coaxial dual shaft coupling the turbine section to the compressor section; a portion of steam energy extracted by the turbine section is used to power the compressor section and the remaining extracted energy is used to power the generator, and; further comprising means for routing turbine exhaust steam from the turbine section to the compressor section and means for mixing the compressed steam from the compressor section with turbine inlet steam. an axial flow steam turbine having multiple pressure reduction stages for extracting mechanical energy from steam, said turbine having a primary power shaft upon which a plurality of turbine wheels are mounted to effect a portion of said pressure reduction stages, said primary power shaft having a power coupling for extracting useful work energy, and a secondary shaft coaxially surrounding an intermediate section of the primary shaft, said secondary shaft having one or more turbine wheels mounted thereon to effect additional pressure reduction stages; a compressor receiving motive power from at least said secondary shaft; means for routing exhaust steam exiting said turbine to the inlet of said compressor; means for mixing compressed steam exiting said compressor with inlet steam to said turbine. converting steam thermal energy to rotational mechanical energy in a turbine section of a dual shaft turbine-compressor unit; using a portion of the rotational mechanical energy to power an electrical generator; using another portion of the rotational mechanical energy to power a compressor section of the turbine compressor unit; routing exhaust steam from the turbine section to the compressor section; raising the pressure of the exhaust steam by compression in the compressor section; mixing compressed steam from the compressor section with fresh steam from a thermal steam source; introducing the mixed steam into an inlet of the turbine section. 2. A generating system as in claim 1, wherein the dual shaft includes a secondary shaft disposed concentrically around an intermediate section of a primary shaft, the turbine section includes a plurality of turbine blade wheels of which one or more turbine blade wheels are mounted on the secondary shaft and the remaining turbine blade wheels are mounted on the primary shaft, and the compressor section includes a plurality of compressor blade wheels of which one or more of the compressor blade wheels are mounted on the primary shaft and the remaining compressor blade wheels are mounted on the secondary shaft. 3. An apparatus for compressing and recycling turbine exhaust steam to be mixed with turbine inlet steam comprising: 4. An apparatus as in claim 3 further comprising the compressor receiving motive power from both the primary and secondary shafts. 5. A process of generating electricity from steam comprising:
051788209
abstract
A tool positioning assembly for use inside a steam generator. A loading tool assembly removably attachable to a support base is used to insert the support base into the steam generator through a manway opening. The support base is removably attached to the steam generator at the manway. A retractable foot assembly pivotally attached to the support base stabilizes the support base inside the steam generator. A track assembly, removably attachable to the support base after removal of the loading tool assembly, receives an arm. A driven cogwheel on the waist of the manipulator engages a rack on the track assembly and support base for selectively driving and positioning the arm thereon. A tool coupling mounted on the arm is adapted to receive remotely controlled tools. The four degree-of-freedom movement of the arm provides access to all tubes in the tubesheet and allows mounting of tools outside the steam generator.
summary
052788750
abstract
In a process for the synthesis of .sup.11 C-labeled methyl iodide which comprises producing .sup.11 CO.sub.2 by the irradiation of a proton beam, supplying .sup.11 CO.sub.2 gas to a collector of .sup.11 CO.sub.2 gas, bubbling .sup.11 CO.sub.2 gas into a reducing agent solution to reduce .sup.11 CO.sub.2, removing the reducing agent solution by evaporation, synthesizing .sup.11 CH.sub.3 I from the reduced product of .sup.11 CO.sub.2, and recovering .sup.11 CH.sub.3 I by distillation, the termination point of the .sup.11 CO.sub.2 gas supply, the termination point of the bubbling, the termination point of the evaporation of the reducing agent solution or the termination point of the distillation of .sup.11 CH.sub.3 I is decided by a radiation sensor provided in the vicinity of the reaction vessel or a transfer tube connecting the target box wherein .sup.11 CO.sub.2 gas is generated or a temperature sensor provided in an exhaust tube of the reaction vessel. The synthesizing time is shortened, and the yield of .sup.11 CH.sub.3 I is improved by improving the utilization of .sup.11 CO.sub.2 gas and the recovery of .sup.11 CH.sub.3 I of which the half-life is very short.
055901620
abstract
A stand-alone low-voltage direct current power supply, for use as a battery, which is energized by the decay of a radioactive isotope in response to neutron capture. During this decay, either .alpha.- or .beta.-particles are emitted. The emitting radioactive isotope should have adequate half-life and no .gamma.-emission. The preferred .beta.-emitting radioisotope is thallium, which decays directly to the ground state of Pb.sup.204 by 763-keV .beta.-decay with no .gamma.-emission. The resulting .beta.-particles are collected to form a current which can be used for various purposes inside a reactor. The preferred .alpha.-emitting radioisotope is americium.
summary
description
To prepare the precursor composition from which the HTS compound for the body article will be prepared, any of the three commonly employed techniques may be used, namely the solid state, the coprecipitation, and the sol-gel techniques. A description of these techniques may be found in Proceeding on the Symposium on High Temperature Superconducting Materials. University of North Carolina. Chapel Hill. N.C., W. E. Hatfield and J. H. Miller, Jr. (Eds.), M. Dekker, New York (1988). The solid-state technique is preferred for purposes of its simplicity. To prepare the HTS presursor composition, oxygen-rich compounds of the desired metal components, such as oxides, nitrates, or carbonates, are intimately mixed in the amounts appropriate to supply the metal atoms in the ratios desired for an HTS compound. The formula to which the HTS compound is to be prepared will depend upon whether the dopant is to be externally or internally incorporated. Wherein the Li or B dopant is to be externally incorporated, for a 123 HTS compound, oxygen rich compounds of the desired metal components are used in amounts appropriate to supply the metal atoms in the ratio of L:M:Cu of 1:2:3; for a bismuth or thallium HTS compound the ratio of T:Mxe2x80x2:Ca:Cu is 2:2:n:n+1. A dopant compound containing Li or B is added in the amount desired and the compounds are intimately mixed, formed into a body of the desired shape and then sintered or melt texturized to convert the mixture into a HTS compound of the formula L1M2Cu3O7 or T2Mxe2x80x22CanCun+1O6+2n, as the case may be. The Li or B dopant is intimately distributed throughout the body externally of the unit cell of the HTS compound with the dopant being primarily located at grain boundary locations. In the case of external doping it is preferred to employ an amount of the dopant (D) which provides for an atomic ratio of D:Cu which is equal to or less than 0.5, more preferably less than 0.4, and most preferably less than 0.3. It is most preferred to utilize a dopant that is isotopically enriched in 6Li or 10B isotopes. Wherein the Li or B dopant (xe2x80x9cIDxe2x80x9d) is to be internally incorporated within the HTS compound oxygen-rich compounds of the desired HTS metals and the dopant compound are intimately mixed in the amounts appropriate to supply atoms to provide a final HTS compound of the formula: (L+M)3-zDzCu3O6+d wherein: L is yttrium, lanthanum, neodymium, samarium, europium, gadolinium, dysprosium, holmium, erbium, thulium, ytterbium, or lutetium, or mixtures thereof including mixtures with scandium, cerium, praseodymium, terbium; M is barium, strontium or mixtures thereof; D is lithium or boron; xe2x80x9czxe2x80x9d is greater than zero and less than or equal to 0.3; xe2x80x9cdxe2x80x9d is from about 0.7 to about 1.0; and the ratio of L::M is from about 0.35 to about 0.6 provided that L does not exceed one in number and M does not exceed two in number; or T2Mxe2x80x22Can(Cu1-zxe2x80x2Dzxe2x80x2)n+1O6+2n wherein T is bismuth and Mxe2x80x2 is strontium or T is thallium and Mxe2x80x2 is barium; xe2x80x9cnxe2x80x9d is 1 to 3; D is lithium or boron; and xe2x80x9czxe2x80x9d is greater than zero and less than or equal to 0.5. The intimately mixed compounds are formed into a body of the desired shape and then sintered or melt texturized to convert the mixture into the internally doped HTS compound. The Li or B dopant atoms are incorporated within the unit cells of the HTS compound. In the case of a 123 HTS compound the dopant atom is located at a L and/or the M atomic occupation sites within the unit cell of a 123 HTS compound. In the case of a bismuth or thallium HTS compound the dopant atom is located at a Cu atom occupation site within the unit cell of the HTS composition. The preferred method for incorporation of the dopant is that of internal incorporation. In this regard, with respect to a 123 HTS compound, it is preferred to incorporate the dopant in an atomic amount relative to copper to provide for a ratio of 6Li:Cu of from about 1xc3x9710xe2x88x927 to about 2xc3x9710xe2x88x921, more preferably from about 1xc3x9710xe2x88x926 to about 1xc3x9710xe2x88x921, and most preferably from about 1xc3x9710xe2x88x925 to about 5xc3x9710xe2x88x922. With regard to the above amounts of dopant it is preferred that the L:M ratio be from about 0.35 to about 0.6, more preferably from about 0.45 to about 0.55 provided that L does not exceed 1 and M does not exceed 2. Most preferably, the L:M ratio is 0.5. Preferably the total amount of lithium should not exceed a quantity which provides for a ratio of Li:Cu of about 1xc3x9710xe2x88x921 (i.e. Zxe2x89xa60.3). The desired loading of 6Li:Cu can be achieved at lower total loading of lithium by use of a lithium reagent which is enriched in the 6Li isotope. Likewise, with respect to boron, its total quantity should not exceed that which provides a ratio of B:Cu of 7xc3x9710xe2x88x921. Use of a boron reagent which is enriched in the 10B isotope allows a lower total loading of boron into the HTS compound. With respect to the internal incorporation of a dopant within a bismuth or a thallium HTS composition it is preferred to incorporate the dopant in an atomic amount relative to copper to provide for a ratio of 10B:Cu or 6Li:Cu of from about 2.5xc3x9710xe2x88x928 to about 6xc3x9710xe2x88x921; more preferably from about 1xc3x9710xe2x88x926 to about 1xc3x9710xe2x88x921; and most preferably from about 1xc3x9710xe2x88x924 to about 1xc3x9710xe2x88x923. Preferably the total loading of dopant relative to copper should not exceed about 1.0. The starting precursor 123 composition may be prepared by a solid state reaction procedure wherein L2O3, M(NO3)2, MCO3 or M(OH)2 and CuO powders of reagent grade are intimately ground and mixed in a weight percent proportion to provide a mixed powder wherein the appropriate ratio of the rare earth (L) to alkaline earth (M) to copper metal atoms. The Li or B dopants may be added to and intimately mixed with the powder reagents before sintering. The precursor composition may also be prepared by the coprecipitation of (nitrate) salts of L, M and Cu in the appropriate metal constituent ratios. If desired, the precursor composition may be prepared by a sol-gel technique. When prepared by a coprecipitation or sol-gen technique it is preferred to add and intimately admix the Li or B dopant subsequently. The precursor composition is preferably prepared as a powdered productxe2x80x94i.e, one the powder constituents of which are not yet formed into a particular coherent shape. In this event, it is necessary to add and intimately admix the Li or B dopant with such powder prior to forming such Li or B doped powdered precursor composition into the body form desired before subjecting such shaped body to sintering or melt texturizing. However, the precursor composition is prepared, the method of this invention is operative on a preformed body comprised of an oxide composition wherein the L:M:Cu:D metals are intimately admixed. The precursor composition is reacted, i.e., sintered or melt texturized, to convert its constituent components into a 123 HTS compound. Those Li compounds which are powders and are suitable for incorporation into the precursor composition include LiOH, LiO2, LiH, Li2CO3 and Li2C2 with Li2CO3 being preferred. Those B compounds which are suitable include B2O3. A compound of lithium can be employed wherein the isotopes of lithium are present in their natural abundance, namely 6Li comprises about 7.5 weight % and 7Li comprises about 92.5 weight % of the lithium content. Since 7Li does not undergo a nuclear reaction by thermal neutrons its presence within an HTS body would constitute a useless substitute. Accordingly, a compound which is enriched in the 6Li isotope is preferred for use in order to minimize the amount of 7Li which is introduced into the HTS body. Compounds of lithium which are enriched in the 6Li isotope permit a greater loading of the reactable 6Li to be achieved which not only reduces the level at which unreactable 7Li contaminant is introduced into the HTS body but also permits the body to be effectively irradiated in a shorter period of time which provides for less residual radio-activity. For example, with regards to a composition prepared with a Li dopant of natural abundance (7.56 wt. % 6Li) of the formula Y0.93Ba1.92Li0.15Cu3O7, a composition of equivalent 6Li content may be prepared with a lithium reagent enriched to 25 wt. % 6Li which is of the formula Y0.9777Ba1.9777Li0.0466Cu3)7; or with a 50 wt. % 6Li enriched reagent, to a formula of Y0.9879Ba1.9879Li0.0242Cu3O7; or with a 100 wt. % 6Li reagent, to a formula of Y0.994Ba1.993Li0.013Cu3O7; each of the above formulas yield an equal number of reached 6Li atoms for exposure to the same thermal neutron fluence. The minimum quantity of 6Li which may be effectively utilized is in part a function of the maximum thermal neutron fluence to which the HTS body will be permitted to be exposed. For purposes of minimizing exposure of the HTS body to the thermal neutron flux and in order to not overly extend the cost of irridation treatment, it is preferred that 6Li be incorporated into the HTS body in an amount which provides for an atomic ratio of 6Li to copper which is about 1xc3x9710xe2x88x927 or greater. These same considerations apply wherein a boron compound is used as a dopant. The 10B isotope which is reactive to thermal neutrons occurs in a natural abundance of about 19.8 weight % with the non-reactive 11B isotope comprising the balance of about 80.2 weight %. However the doped HTS precursor composition may be prepared, and however or into what particular body article form that precursor composition may be shaped, it is such preshaped precursor composition body article which is uniformly doped with Li or B and then reacted to form the HTS compound which is the starting body article to which the operation of this process applies. Such Li or B doped precursor composition is preferably first pre-sintered at a temperature of from about 900-960xc2x0 C. until its basic unit cell composition comprises L1M2Cu3O6+xcex4 or T2Mxe2x80x22CanCun+1O6+2n, as the case may be. The crystalline symmetry of a 123 HTS precursor compound may basically be tetragonal i.e., A=B=C and hence nonsuperconducting. Preferably, following the sintering treatment a 123 HTX compound body is slowly cooled in the presence of oxygen to insure a xcex4=about 0.7 to about 1.0, for an oxygen content of from about L2M2Cu3O6.7 to about L1M2Cu3O7 to insure such compound is superconducting at a Tcxe2x89xa777xc2x0 K. The overall processing can be viewed as comprising the overall steps of: (1) synthesis of a 6Li or 10B doped HTS powder precursor, (2) sintering of the HTS precursor into a 6Li or 10B doped HTS compound of a predetermined body form, (3) preferably, melt-textured growth of the compound into a body of highly aligned grains of HTS compound doped with Li or B, (4) oxygenation of a 123 HTS compound to that of an orthorhombic crystalline symmetry and (5) irradiating the body with thermal neutrons. To minimize the content of radioactive reaction products which may be imparted to the body by the neutrons it is preferred to use a doping level of 6Li or 10B which permits the optimum Jc level to be obtained at relatively low fluence levels. Accordingly, whatever may be the Li or B source, that is whether the source is natural abundance Li or B, or whether the source is a 6Li or 10B enriched compound, it is preferred to incorporate 6Li to a level which provides a ratio of 6Li:Cu of at least about 0.0001, and more preferably of at least about 0.001. Since 10B has a larger thermal neutron cross-section than 6Li, when 10B is used as the dopant, it may be incorporated in lesser quantities than 6Li while permitting the same density of induced defects pinning centers to be activated. Accordingly, when 10B is used as the dopant it is preferred to incorporate it in amounts which provide for a ratio of 10B:Cu of at least about 2.5xc3x9710xe2x88x925 more preferably of at least about 0.001. When 6Li or 10B is incorporated with the HTS compound body in the quantities as above described, the quantity of radioactive isotopes produced by the neutron flux is reduced since the level of irradiation exposure (i.e., fluence) needed to optimize the Jc of the body is reduced. The only radioactive isotope of long life concern produced by the thermal neutrons is Ba133 which results from the nuclear reaction of Ba132 (barn 8.5) which occurs in a natural abundance of 0.19 wt. %. Ba133 has a half-life of 7.2 years. A sample of externally doped YBa2Cu3O7 or of internally doped (YBa)3-xDxCu3O7 should be allowed to decay for about 30 days after irradiation after which any 90Y content (Txc2xd=2.7 days) has decayed to a safe level. The sample will also be at a safe level of Ba133 since it is present in minor amounts only due to the low abundance of Ba132 and low reaction cross-section. The degree to which the body article is irradiated depends in part upon its content of the reactive dopant, either 6Li or 10B. With a Y0.93Ba1.92Li0.15Cu3O7 (naturally abundant Li) HTS body composition the resulting Jc of the body has been observed to increase progressively with irradiation at least up to that point wherein about 2xc3x9710xe2x88x929 atoms of 6Li have undergone reaction relative to each copper atom present in the material. Accordingly, a doped body should be exposed to a thermal neutron fluence sufficient to provide a ratio of reacted 6Li atom (6Li*) to copper atom of 6Li*:cu of from at least about 5xc3x9710xe2x88x928, and more preferably at least from about 5xc3x9710xe2x88x927. Wherein the HTS composition is one of bismuth or thallium the sample should be exposed to a neutron fluence sufficient to react at least about 2xc3x9710xe2x88x929 dopant atoms per copper atom, and perefrably at least about 1xc3x9710xe2x88x926 dopant atoms per copper atom. For some applications it may be desired to have a body composed of an HTS material wherein some areas within the body have a higher Jc than other areas. Such applications may be those wherein a field profile control for trapping magnetic fields is needed for the design of a levitation bearing and like devices. The process of this invention is well suited to the formation of a HTS body article which is internally or externally patterned to possess regions of higher Jc adjacent to regions of lower Jc. This may be readily accomplished by imparting to the HTS body a patterned doping with the 6Li or 10B dopant following which the so-patterned body is irradiated with thermal neutrons as previously described. The effects of thermal neutron induced reaction products on the superconducting properties of melt-texture Bixe2x80x94Srxe2x80x94Caxe2x80x94Cuxe2x80x94O doped with different isotopes with large thermal neutron induced nuclear reaction cross sections and large Q-values is illustrated below. Samples of Bi2Sr1.8Ca1Cu1.2Li0.8O8 (naturally abundant Li) had been preformed to disc shape by melt texturing were sealed in fused quartz tubes under about 0.8 atm. of oxygen to avoid oxygen losses during irradiation. The neutron irradiation was performed in pile of the reactor at Texas AandM University. The thermal neutron flux was 1.8xc3x971013/cm2.sec and the fast neutron flux was less than one percent. The pile temperature during the irradiation was about 65xc2x0 C. The samples were irradiated to thermal neutron fluences from 3xc3x971016 /cm2 to 3xc3x971018/cm2 at reactor temperature. The influence of the irradiation on the critical current density Jc, upper critical magnetic field, transition temperature Tc , and transition width was thereafter determined. The critical transition temperature was measured by four-point probe and magnetization measurements were performed at a SQUID system to study the effect of this kind of thermal neutron irradiation on flux pinning and the critical magnetization current. The measurements, illustrated by FIGS. 1 and 2, show the Tc does not change significantly, but Jc increased by a factor of 6.2. This enhancement of Jc relative to unirradiated samples demonstrates that artificially created defects are induced in the sample which act as strong flux pinning centers. The effects of thermal neutron induced reaction products on the superconducting properties of sintered Y0.93Ba1.92Li0.15Cu3O7 (naturally abundant Li) was examined. The Li doped YBCO composition was prepared by intimately admixing in appropriate quantities of Y2O3, BaCO3, CuO and Li2CO3. The Li2CO3 reagent was one with natural abundant lithium. The powder mixture was preformed to disc shape and sintered. Disc samples were sealed in fused quartz tubes under about 0.8 atmospheres of oxygen. These discs were then irradiated in the pile of a reactor for various periods of time to expose each to a different cumulative level of thermal neutron fluence. The influence of the irradiation on the critical current density Jc, upper critical magnetic field, transition temperature Tc, and transition width was thereafter determined. The critical transition temperature was measured by four-point probe and magnetization measurements were performed at a VSM system to study the effect of this kind of thermal neutron irradiation on flux pinning and the critical magnetization current. Magnetic measurements on disc samples subjected to different levels of neutron fluence, as shown in FIG. 3, show an enhancement in the Jc of each relative to preirradiation Jc of the YBCO material. Radiation to a fluence level of about 0.75xc3x971017/cm2 enhanced the Jc by a factor of about 12. Continued exposure to higher fluence levels continued to enhance the resulting Jc of the sample to at least a fluence level of 1018/cm2 without any observable adverse effect upon the Tc properties of the sample. FIG. 4 shows the magnetization hystereisis loop of a YBCO sample having a Li doping level of 5 atomic % relative to atomic copper after irradiation to a neutron fluence level of 1018/cm2 in comparison to an unirradiated sample of similar composition. The invention has been described with reference to its preferred embodiments. One skilled in the art may appreciate from this description changes or variations which may be made which do not depart from the scope or spirit of the invention described above and claimed hereafter.
description
The present invention relates to a procedure for the preparation of radioisotopes. The production of radioisotopes by means of medium or low energy irradiation (5-30 MeV) for medical uses has been know for years. Radioisotopes find several and important industrial and scientific applications. The most important application is their use as tracers: radiopharmaceuticals, whose administration in humans may allow to diagnose and monitor a therapy by means of Positron Emission Tomography (PET), particularly for tumours, are synthesised by means of reactions with appropriate non-radioactive precursors. By measuring the irradiation, it is also possible to follow all the transformations of the element and/or the molecule it is bound to, which is useful in chemistry (study of reaction mechanisms), in biology (study of metabolism genetics) and, as mentioned above, in medicine for diagnostic and therapeutic uses. The known systems provide that the target once arranged on the target-holder is placed in the irradiation station and that once the irradiation operation is ended, the target-holder is dissolved with the irradiated target and, subsequently, removed from the radioisotope produced by means of a purification process. It is the object of the present invention to make a procedure for the preparation of radioisotopes capable of guaranteeing a more effective production of radioisotopes in terms of productivity with respect to the known art. The object of the present invention is a procedure for the preparation of radioisotopes comprising a first step of electrodepositing a metallic isotope target to be irradiated on a target-holder element, a second step of irradiating said target, a third step of dissolving said target and a fourth step of purifying the radioisotope from the initial metallic isotope and from other possible radioactive and metallic impurities; said procedure being characterised in that said electrodeposition step comprises a dissolution operation in which the isotope to be irradiated is dissolved in a solution of HNO3 with concentration from 0.5 to 2.5 M, a pH buffering operation, and a recirculation operation, in which the solution obtained above is circulated at a rate from 0.5 to 3 ml/min within an electrolytic cell during the current output within the cell itself; said isotope target to be irradiated being produced by electrodeposition in said electrolytic cell during said recirculation operation. Preferably, in the dissolution operation, the concentration of HNO3 is from 2 to 2.5 M. Preferably, in the recirculation operation, the solution is circulated at a rate from 1 to 2 ml/min. Preferably, said pH adjustment operation is an alkalisation operation adapted to take the pH to a value from 5 to 13.5. Preferably, the output current during the recirculation operation has an intensity from 40 to 100 mA and a difference of potential from 2 to 3 V. Preferably, the electrodissolution step comprising a further recirculation operation in which a solution of HCl with concentration from 4 to 6M is circulated at a rate from 3 to 5 ml/min within the electrolytic cell during the output of reverse current with respect to that output during the electrodeposition step. Preferably, the metallic isotope to be irradiated is comprised in the group consisting of 60Ni, 61Ni, 64Ni, 110Cd. Preferably, the purification step comprises an elution operation in an ion-exchange column by means of a concentration gradient solution of HCl. Below, some embodiments will be shown by way of illustrative and non-limiting example for a better understanding of the invention. This new example shows an alternative method for the preparation of the 110In. Such alternative method differs from what stated above only in that a pH 13.4 buffering solution is used for the electrodeposition step. From the above, it is apparent that only the electrodeposition step will be reported for this specific example. —110Cd Electrodeposition (bis)— 100 mg of 110Cd were dissolved in 0.114 ml of a HNO3 solution at 69% v:v and 0.114 ml of deionised water at a temperature of 100° C. under strong stirring. 1.552 ml of deionised water were added to the solution thus obtained in order to obtain a final volume of 1.78 ml. 1.78 ml of a solution of EDTA, 4 ml of a buffering solution of ammonium hydroxide/ammonium chloride, 0.8 ml of ammonium hydroxide, 5.5 ml of deionised water, 2.44 ml of a NaOH solution at 50% v/v were added to such solution to reach a pH of 13.4. The solution thus obtained was circulated at a rate of 1.5-2 ml/min through an electrolytic cell in which a current of 2.5-2.9 V was output at an intensity from 30 to 70 mA. Such conditions were maintained for 6 h, with the result that a quantity of 72 mg of 110Cd was electrodeposited. The procedure according to the present invention presents the advantage of not requiring the simultaneous dissolution of the target holder with obvious advantages in terms of time and convenience that this entails, and moreover, allows to perform the electrodeposition step of the target relatively rapidly and in essentially mild current conditions. Finally, as apparent to a person skilled in the art, the procedure is perfectly fit to be implemented by means of an automated machine thus drastically reducing the total preparation time of the radioisotopes.
description
The present invention relates to a method for manufacturing non-contaminated MOX fuel rods, from pellets, in a containment enclosure under a depression, the method comprising the following operations for one rod: loading of the pellets in successive columns into a cladding previously provided with a first plug at one of its two ends; loading of various structural components, in particular a retaining spring; fitting of a second plug at the other end of the cladding; peripheral welding of said second plug; and at least one cleaning and at least one checking of the contamination of the parts of said cladding or respectively of the rod which have been exposed to possible contamination. Recycling of plutonium, originating from reprocessing of nuclear fuels for civil use or resulting from nuclear weapons disposition programmes, by the manufacture of fresh uranium/plutonium Mixed OXide (MOX) fuel for commercial nuclear reactors, is in the process of being industrialised and spread worldwide. Industrialisation lies, among other things, through automation of manufacturing operations; limitation of the exposure of operating personnel to ionising radiation; and reduction of liquid and solid effluents. The worldwide spread sees this recycling technology accessed by the major powers, whether political, economic or demographic (US, Japan, Russia, India, China, etc.). Western Europe maintains a certain lead in the development of industrial processes in this field. The search for competitiveness by electricity producers, related to the liberalisation of their market, drives nuclear fuel designers to limit the frequency of refuelling of reactor cores, by striving for continually increasing burn-ups of the fuel at defuelling time, for both UO2 fuel and MOX fuel (for example, 50 GWd/t). This has the consequence of aiming for the production of MOX fuels with a higher fissile plutonium content, and with civil plutoniums of increasingly degraded isotopic composition, that is to say fuels with a constantly increasing alpha activity. For controlling the safety of MOX fuel manufacturing plants (prevention of risks of ingestion/inhalation by the operators), safety of transport and the safety of nuclear power reactors (non-contamination of the primary circuits), it is important that the MOX fuel rods loaded in assembly form are not or are only very slightly contaminated on the external surface of their cladding, which provides, with its welded end plugs, the containment of the MOX pellets. The present invention relates to operations for manufacturing MOX fuel rods, in particular operations for loading highly contaminated pellets into the cladding, and for fitting and welding the second end plug, and relates to methods and devices for industrially producing non-contaminated MOX fuel rods, without it being necessary to resort to chemical or electrolytic decontamination operations by a wet method, which are polluting and have increased risks of criticality. As a reminder, a nuclear fuel rod generally consists of a metal cladding (most often made from a zirconium alloy or stainless steel), fuel pellets (most often made from uranium oxide or uranium/plutonium oxide), a retaining spring and two welded end plugs which provide tightness to gases and containment of the nuclear materials. These rods are filled with a conductive inert gas (most often helium), pressurised or not. The gap between pellets and cladding is very limited (for example, of the order of 150-200μ for light-water reactors). Structural components other than the retaining spring cited above can be loaded at the head or foot of the rod (for example an inset tube, isolation pellets, “getters”, blanket pellets, etc.). During the manufacture of fuel rods, it is important that the method for loading the pellets into the cladding limits the cladding parts exposed to contamination and the magnitude of this contamination, for the purposes of limiting, perhaps even avoiding, cleaning/decontamination operations; in particular, avoids incrustation of contamination in the external surface of the cladding, in particular in the open end face constituting the weld seam of the second plug, these possible incrustations contributing towards increasing the proportion of rods discarded through not meeting the external contamination criteria; avoids stressing the ceramic pellets beyond what is necessary, for the purposes of avoiding the production of chips generating mechanical blockages during loading, and the production, following the possible introduction of these chips into the cladding, of defective rods owing to excessive gaps between successive pellets. The manufacture of nuclear fuel rods, in particular MOX fuel rods, therefore comprises various operations already cited, which it is useful to recall before describing the prior art, such as has been made public and is known to the inventors. These operations are generally carried out in containment enclosures providing protection of the environment and the operators against α (and also β,γ) emitters: presentation of a cladding equipped with its first tight plug; loading of the pellets into the cladding; loading of the pellet compression spring; replacement of the air in the cladding with an inert gas; cleaning/decontamination of the part of the cladding exposed to contamination during loading of the pellets; fitting of the second plug; peripheral welding of the second plug; possible pressurisation of the cladding, separately or at the same time as the preceding welding; possible welding of a pressurisation hole, in the case of separate pressurisation; measurement of the contamination of the part of the cladding exposed to contamination. The techniques implemented by manufacturers aim to meet various objectives which can sometimes be contradictory. A few non-limitative examples can be cited: operating safety: in particular, limitation of the risk of criticality related to the use of neutron moderator liquids, and of the fire/explosion risk, by exclusion of volatile cleaning solvents; containment of radioactive materials, in particular α emitters, in tight enclosures, of glove box type for example; quality of the fuel rod produced: in particular, quality of the welding (absence of oxidation, of inclusions, etc.), absence of chips generating gaps between pellets, no fixed or transferable external contamination; industrialisation of manufacturing operations: automation of operations; productivity; limitation of the exposure of operators to ionising radiation (external or internal exposure); limitation of solid and liquid effluents produced during cleaning/decontamination operations. The striving for these objectives can lead to the choice of a particular order for carrying out the operations described above, or to particular technological options for carrying out such and such an operation in order to facilitate all these operations, as regards safety, efficiency or effluent limitation, or to improve the quality of the products. A few techniques which have an influence on the MOX fuel manufacturing processes are cited below: control of the accumulation of dust on the pellets before filling, by wet or dry grinding, by removal of dust from the pellets by mechanical means or by laser, by limiting the fraction of recycled discards in the pellets, by gentle handling of the pellets, etc.; loading of the pellets into a cladding under air or nitrogen, which necessitates diluting said air or nitrogen by multiple fillings with inert gas or evacuating the cladding in order to next introduce therein the inert gas and, in doing this, contaminating the open end of the rod (already provided or not with its second plug); placing of a second plug with tightening or not, welded circumferentially by the most widespread process, TIG (Tungsten Inert Gas), which permits pressurisation in another work line or another machine; alternatively, a welding process providing welding of the second plug and pressurisation in a single operation (resistance or laser welding). Various existing inventions connected with the field of the present invention are summarised below, by way of non-exhaustive examples, according to which there is use of a centring device for introduction of the pellets into the cladding, in the absence of particular containment devices (of the glove box type): fixed centring of cylindrical/truncated cone shape (see U.S. Pat. Nos. 4,980,119, 4,748,798, 5,251,244); triple centring (one fixed+two intermediate) of circular cross-section (see U.S. Pat. No. 3,940,908); fixed V-shaped centring (see U.S. Pat. No. 3,907,123); fixed centring with polygonal or square cross-section (see U.S. Pat. No. 4,942,014); or use of a centring device for introduction of the pellets into the cladding, mounted in a containment enclosure (of the glove box type): truncated cone-shaped fixed centring mounted at the centre of the enclosure (see U.S. Pat. No. 3,925,965); centring mounted at the limit of the two areas, active and inactive, of the containment enclosure, the active area being reserved for loading of the pellets (see WO 98/26428). It should be noted that the last document mentions the division of the enclosure into only two compartments. All the above devices known to the inventors do not meet the requirements related to the industrial manufacture of non-contaminated MOX rods from ceramic pellets, which, besides their accumulation of dust, generate chips of all shapes and sizes, themselves generating mechanical jamming and blockages during the loading operations; either these devices do not limit the part of the cladding exposed to contamination to the strict minimum, or there is no management of the levels of contamination in the containment enclosure (from the highly contaminated in the loading area to the very slightly contaminated or not contaminated when the rod leaves the containment enclosure), this absence of management leading to excessive and unnecessary contamination, which must be eliminated by additional operations most often based on liquid processes generating various effluents and additional risks of criticality; or these devices are sensitive to the dust and chips conveyed by the pellets in columns and/or to those generated specifically during the operation of loading into the cladding, this sensitivity resulting in mechanical blockages, generating machine stoppages, operator interventions in containment enclosures, risks of contamination of the operators, of the workshops and even sometimes of the enclosure compartments, which ought to remain only slightly or non-contaminated; or these devices for managing the contamination and for loading the pellets do not allow the manufacture of plutonium-based rods conforming to their non-contamination specification, when the plutonium content of the pellets and the alpha activity of the plutonium are high, even at the cost of an additional liquid decontamination operation. The aim of the present invention is to remedy the drawbacks cited above, and others well known to persons skilled in the art, and is based to that end on various methods which have an influence in particular on the implementation of the manufacturing operations as well as on the quality of the manufactured products, following a particular, novel and inventive combination of these operations and methods. Thus: the various operations of loading of the pellets, cleaning/decontamination of the end of the cladding exposed to contamination, placing of the spring and fitting of the second plug (without or with immediate welding of the second plug, depending on whether said plug is mounted with tightening or not), cleaning, and measurement of non-contamination before withdrawal of the rod out of the enclosure can be carried out mainly in one and the same work line and in different enclosure compartments kept isolated from one another according to the atmosphere and the level of contamination of the corresponding operation; the last two compartments cited at least being advantageously designed so that their contamination can be maintained at a low level on a long-term basis (for example, by having a plugacity for decontamination, well known to persons skilled in the art) during operation, despite the successive transfers of many rods; preferentially, the parts of the cladding introduced into the containment enclosure are limited to what is strictly necessary, for the purposes of limiting the parts exposed to contamination and liable to a contamination check at the exit and a possible cleaning/decontamination operation; preferentially, loading of the pellets takes place with pellets previously introduced into a containment enclosure under helium or a vacuum and into a cladding previously filled with helium or under vacuum, by prior pumping out of the contained air. On account of the very low dynamic viscosity of helium, it is possible to load the pellets into the cladding under helium at industrial speeds (of the order of 100-150 mm/sec), without the evacuation of the gas contained in the cladding significantly carrying dust towards the open end of the rod; introduction of the pellets into the cladding—or loading—is provided by an introduction device with a circular truncated pyramid-shaped internal geometry and precise tolerances, for the purposes of: presenting, at the open end of the cladding, the pellets perfectly aligned position-wise and orientation-wise in order to avoid mechanical jamming; masking the open end of the cladding from the pellets in the process of being introduced in order to avoid the massive contamination of said cladding, a possible incrustation of contamination and additional mechanical blockages; trapping and/or collecting and/or forcing back the dust and chips which might lead to jamming of the pellets during their introduction or be introduced into the cladding; loading of the pellets into the cladding is provided in columns presented successively on a V-shaped component in the axis of the cladding, using a device, for the purposes of limiting the forces on the pellets, with sensitive drive, the force of which is limited according to the order of the column of pellets in the process of being loaded, and of sufficient length so that the depth of introduction of a column inside the cladding is greater than the length of the next column to be loaded; it must be noted that the forces for pushing the pellets during loading into the cladding are limited according to both the depth of introduction of the pushing device and the order (N) of the column in the process of being loaded; the operations for cleaning the parts of the cladding exposed to contamination are provided immediately after the contamination has taken place, and in a dry manner, for the purposes of avoiding propagation of the contamination and controlling the risk of criticality and the production of contaminated liquid effluents. At least, a partial combination of the above novel operations and methods is necessary for overcoming the drawbacks mentioned previously. To that end, the method of the invention comprises an organisation in steps as follows: division of the containment enclosure into a number of successive compartments; connection of each compartment to its neighbour for a sealed passage for the cladding, at least certain of said passages being aligned in order to allow a movement of the cladding along its longitudinal axis; introduction of the cladding to be loaded, the open end in front, into a first compartment through a sealed passage or an input aperture thereto; axial driving of the cladding between successive compartments until its open end reaches the last compartment; loading of the pellets in the last compartment, and if applicable also various structural components other than the retaining spring, into the cladding through its open end; partial axial withdrawal of the cladding, when the loading is finished, so as to convey its open end into a preceding compartment; in this preceding compartment, cleaning and a possible contamination check of at least the part of the cladding exposed to contamination by the pellets in the process of being loaded or by the atmosphere of the last compartment; after this cleaning, axial movement of the cladding so that its open end is positioned in another compartment; loading of the retaining spring and fitting of the second plug in the open end, in this other compartment; after this fitting, carrying out of possible other operations (for example, circumferential welding, pressurisation/producing a pip, etc.) in the same compartment or in yet another compartment, with a possible additional movement of the cladding; contamination checking of the parts of the rod exposed to the contamination of the last compartments and possible cleaning if necessary, in the first or the second compartment; withdrawal of the rod out of the first compartment or a transverse transfer to another containment enclosure via this first compartment connecting the enclosures to one another; stepping of the contaminations between the various compartments, starting from the non-contaminated or the very slightly contaminated in the first compartment to the most contaminated in the last compartment; selection of gases supplying the containment enclosure compartments (and any process or transfer enclosures present therein), chosen from amongst the group formed by: air, nitrogen, helium, argon, vacuum; and stepping of the depressions in the compartments, in order to organise any leaks, from the weakest depression in the first compartment to the strongest in the last compartment. The above method forming the subject of the invention can usefully be implemented in isolation or in combination in both conventional MOX fuel manufacturing plants and plants for manufacturing another nuclear fuel containing a proportion of actinides other than uranium (by way of non-limitative examples: fuel based on nitrides or carbides of uranium/plutonium, fuel with no fertile material intended for incinerating actinides, MOX based on thorium/plutonium, MOX with poison, burnable or not, etc.). According to one embodiment of the invention, for loading of the pellets and the following associated operations presenting, centring and aligning the pellets of a column from a support to the open end of the cladding; and eliminating from the column of pellets the dust and chips conveyed by and/or adhering to the pellets loaded, and/or generated by the presentation, centring and alignment operations, the following are performed: correction of off-centrings between the support and the open end of the cladding, and alignment of the axis of the pellets with the axis of the cladding, by means of a channel, the bottom of which has a V-shaped profile in a continuous ramp and intersecting with a cylindrical output with the diameter of the pellets; centring of the cladding in a chamber centred on the cylindrical part of the channel; masking of the open end of the cladding from the pellet in the process of being loaded; trapping and/or collection and/or forcing back of dust and chips, via the free sections between pellets and walls of the channel, by gravity deposition and optionally by additional blowing and/or suction. The present invention also relates to a device for manufacturing non-contaminated MOX fuel rods from pellets, for implementation of the method of the invention. This device comprises a containment enclosure for carrying out the following operations: loading of the pellets in columns into a cladding open at one end and closed by a first plug at the other; cleaning and possible checking of the contamination of the part of said cladding which has been brought into contact with contamination or dust from said pellets; loading of various structural components, in particular a retaining spring; fitting of a second plug; possible cleaning and checking of the contamination of the part of said cladding which has been brought into contact with contamination. According to the invention, in said device: the containment enclosure is divided into a number of distinct compartments; the compartments are preferentially in succession one after the other in a direction of movement between compartments of a cladding to be loaded, the open end in front; the compartments of the enclosure are isolated from one another by tight partitions having, for passing the cladding from one compartment to another, a passage consisting of a full-passage valve and a sealing device on the circumference of the cladding, at least certain of said passages being aligned in the direction of movement of the above-mentioned cladding, the first compartment encountered possibly being simply provided with an aperture at its input; an axial driving mechanism is arranged in order to move along its longitudinal axis the end of the introduced cladding or of the rod provided with its second plug in the selected compartment; devices for loading the pellets in columns and means of loading various structural components other than the retaining spring and the second plug are installed in the last compartment; at least one device for cleaning/decontamination and possible means of checking contamination of the part of the cladding exposed to contamination during loading of the pellets are installed in a preceding compartment; means of loading the retaining spring and of fitting—with tightening or not—the second plug in the open end of the cladding are installed in another preceding compartment; means necessary for carrying out possible additional welding and/or pressurisation operations can be installed in the same compartment or in yet another compartment; means of checking contamination and possibly of cleaning/decontaminating the parts of the rod exposed to contamination during the preceding operations are installed in the first or second compartment; and means of ventilating the containment enclosure, its compartments and any enclosures installed therein, and gas supply means, are installed in order to maintain the enclosure at a depression compared with the atmosphere of the room and are arranged to provide a selection of gas for each compartment and/or enclosure installed therein, chosen from amongst the group formed by: air, nitrogen, helium, argon, vacuum; and stepping of the depressions of the compartments—for the purposes of organising the direction of any leaks and contributing towards the stepping of the contaminations—from the weakest in the first compartment to the strongest in the last compartment. As indicated, the various compartments can have in addition one or more enclosures installed therein—or internal chambers—and operating under gas atmospheres differing from the atmosphere of the compartment, these enclosures or chambers generally being intended for process operations (for example, loading the pellets under vacuum, welding under helium of very high purity, etc.) or handling operations (for example, transverse transfer in a drum of the open end of the claddings under controlled atmosphere). The advantages provided by the invention are: manufacture of rods with a high plutonium content, non-contaminated and with no inclusions in the welding nor excessive inter-pellet spaces; different implementation possibilities: from completely manual processes up to fully automated processes; from low/medium plugacity manufacturing devices (“single-rod” equipment processing only one rod at a time) up to high plugacity devices (“multi-rod” equipment processing a number of rods simultaneously); different configurations of cladding/second plug (for example, plugs fitted with tightening or not in the cladding, plugs with axial or transverse pip, etc.); different techniques for circumferential welding (TIG, resistance, laser, etc.) and pressurisation; different dry method cleaning/decontamination techniques (mechanical rubbing, laser, etc.); loading with no special precautions of dust-covered pellets following dry grinding or numerous handling operations; limitation of the contamination of the cladding, in terms of surface area and magnitude, during the operations of loading the pellets and filling with inert gas before welding, leading to limiting the decontamination operations solely to cleaning for example by rubbing the end of the rod exposed to contamination and measurement of no transferable contamination at the exit by mechanical rubbing also; absence of generation of liquid effluents; limited production of solid effluents during cleaning and measurement of transferable contamination by rubbing; reduced risk of criticality, permitting the use of high contents and large amounts of fissile material; overall operating economy. Other details and particular features of the invention will emerge from the other claims and from the description of the schematic drawings, at undefined and different scales, which accompany the present specification and which illustrate, by way of non-limitative examples, the method of the invention and particular forms of the device according to the invention or of components thereof. In the different figures, the same reference notations designate identical or similar elements. A rod 1 (FIG. 1) to which the invention relates can comprise, as already mentioned and as is known, a cladding 2 plugged at one end by a first plug 3 and at the other end by a second plug 4. Between these plugs 3 and 4 there can be enclosed in the cladding 2 pellets 6, a spring 7 and structural components, like one or more inset elements 5. The device and the method for manufacturing non-contaminated MOX fuel rods 1, from pellets 6, the object of the invention, are explained jointly in the present description on account of their complete mutual interweaving. Said method consists of carrying out the following operations in a containment enclosure 10 (FIG. 2), for example of the glove box type, as explained below: loading of the pellets 6 in columns 12 (FIG. 4) into a cladding 2 using an introduction device (for example 14 detailed in FIG. 3 and shown schematically in FIGS. 2 and 4), from a V or a grooved plate 38 moved successively in a direction transverse to the axis of said columns 12 and of the cladding 2; loading of various structural components 5, in particular a retaining spring 7; fitting of a second plug 4 in the cladding 2, either with tightening or without tightening; possible circumferential welding of the second plug 4, in particular if it is not fitted with tightening in the cladding 2; possible cleaning and checking of the contamination of the part of the cladding 2 which has been brought into contact with dust or aerosols produced by the pellets 6. According to the invention, provision is made to that end to implement the following methods and provisions (FIGS. 2 to 4): the containment enclosure 10 is divided into compartments (for example, I, II, III and IV according to FIG. 2 in the particular case of 4 compartments), adjoining and isolated from one another in a sealed manner, the levels of contamination by alpha emitters, and the nature and pressure of the gas being controlled in each of these compartments; the operations (loading, cleaning, fitting of the plug, contamination checking) are carried out in series on the cladding 2 by axial and successive movement thereof between these compartments I to IV, the introduction and output of said cladding being provided from one and the same compartment I; sealing between the compartments I to IV is provided each time by a lock chamber 24 (FIG. 2) consisting of a valve 26 with full passage for the cladding 2 (of the guillotine or ball valve type, for example) and a sealing member 28 with elastomer seals (O-rings, lip seals or special seals) around the cladding 2; this lock chamber 24 makes it possible to admit the rod 1 from one compartment to another, without breaking the tightness between compartments and modifying if necessary at the passage the internal atmosphere of the rod 1 (for example, in order to admit under helium or under vacuum a cladding 2 previously under air). In this last case, the volume of gas delimited by the housing of the lock chamber 24, the valve 26 and the sealing device 28 is pumped out by a vacuum pump (not depicted) via a valve 32 or any other device, and is replaced by the chosen gas. The sealing member 28 can occupy various positions, either it leaves a complete passage open without contact with the cladding, or it provides static sealing over the circumference of the cladding when stationary, or else it provides dynamic sealing around the cladding during the relative translational or rotational movements thereof necessary for the transfer or process operations. loading of the pellets 6 and loading of the structural components 5, other than the retaining spring 7 and the second plug 4, take place in the compartment IV furthest away from the introduction compartment I; cleaning of the part of the cladding 2 exposed to contamination (in particular the open end area 34) is carried out after loading of the pellets 6 and other above-mentioned structural components 5, and before loading of the spring 2 and second plug 4, in a compartment III preferably adjoining the loading compartment IV; cleaning of surfaces suspected of having been contaminated is provided for example by rubbing using strips of fabric or non-woven material, dry or after slight impregnation with a liquid (water, solvent or chemical decontamination agent); loading of the spring 7 and fitting of the second plug 4 in the cladding 2, and the possible circumferential welding of this second plug 4, are carried out in a compartment II, situated between the cleaning compartment III and a compartment I; checking of non-contamination and possible cleaning of the parts of the cladding 2 which have been introduced into the compartments II to IV of the containment enclosure 10 are provided in a compartment separate from the compartment II. Cleaning can be performed therein by dry or slightly humid rubbing, using for example strips of fabric or non-woven material, dry or slightly impregnated with a liquid (water, solvent or decontamination agent). Non-contamination can be checked with or without contact, depending on whether or not it is wished to check the transferable contamination. In the latter case, the most frequent, checking takes place by implementation of a wipe test and presentation thereof to an instrument known to persons skilled in the art (for example a ZnS detector). Total contamination can be checked for example directly using a ZnS annular detector. It should be noted that other dry method cleaning techniques are known, which for example require illumination of the surface concerned by a laser light beam; introduction of the cladding 2 and checking of contamination of the rod 1 can advantageously be carried out in the same compartment I. This can also be the case for example if the rod 1 is withdrawn towards another item of equipment situated in another enclosure (the case in particular of a plug 4 fitted with tightening in the cladding 2 of fuel for light-water reactors, giving rise to welding in a separate enclosure); the levels of contamination are stepped from the compartment IV to the compartment I at the exit of the enclosure 10; these levels, in particular, those of the least contaminated compartments I, II, III, are checked (continuously or periodically) for the purposes of not exporting contamination in excess to the downstream compartments I, II and of limiting the contamination of the cladding 2 equipped or not with its second plug 4 during its movement towards said output; in case of unplanned contamination or progressive accumulation (“buildup”) of contamination, it is important to be able to reduce, by operations of cleaning said compartments and the equipment installed therein, the level of contamination of these compartments to acceptable levels; control of the contamination of the compartments of the enclosure 10 also lies through appropriate ventilation and filtration (for example, minimum renewal rates of 2 to 3 will be aimed for, without creating turbulence); the atmospheres of the various above-mentioned compartments I to IV can consist of various gases, such as air, N2, Ar, He (or even vacuum for the loading compartment IV); the depressions in these various compartments I to IV are stepped in order to organise any leaks from the least contaminated towards the most contaminated; depending on the gases present in these compartments, control of the depression cascade is provided by separate control of the depression of each compartment—with respect to the atmosphere of the room where the enclosure 10 is situated—or by organisation of a ventilation flow from one compartment to the other; it should be noted that the various compartments must all be at a depression with respect to the room in which the plant for manufacturing the rods 1 is operated. The manufacturing method proposed above is particularly advantageous from the point of view of controlling contamination, when loading of the pellets 6, cleaning and fitting of the plug 4 take place in compartments under helium, which constitutes an additional object of the invention. To do this, at the time of its introduction into the enclosure 10, the transfer of the cladding 2 empty of pellets to the first compartment under helium encountered (normally the one II for fitting the plug) takes place after pumping out of the air of the room or the nitrogen of the handling enclosure contained in said cladding 2 and replacement with helium in the isolation lock chamber 24 which separates the two compartments concerned. As already indicated, this method option makes it possible to fit (perhaps even weld) the plug in the rod 1 under helium and makes it possible to avoid having to evacuate a cladding 2 filled with pellets 6 and air or nitrogen, in order to replace the latter with the filling helium. It should be noted that, on account of its low dynamic viscosity (compared with that of air or nitrogen, the usual gases of containment enclosures), ejection of the helium contained in the cladding 2 at the time of the introduction of the columns 12 of pellets 6 takes place without notable carrying of dust and aerosols towards the open end 34 of the rod 1. The manufacturing method proposed above is also advantageous when loading of the pellets takes place under vacuum; in this case, the cladding is presented empty of pellets and gas at the input of the last compartment under vacuum (or containing a chamber under vacuum), after having been emptied of its gas in the isolation lock chamber 24 for access to this compartment; various atmosphere options for the cleaning and fitting (and welding) compartments are possible; a helium atmosphere in these two compartments, combined with a vacuum atmosphere in the loading compartment, has particular advantages as regards control of contamination, despite a higher implementation complexity. During the operation of loading of the pellets 6, it is important to present the pellets 6 at the open end 34 of the cladding 2 so as to be perfectly aligned therewith position-wise and orientation-wise in order to avoid mechanical jamming; mask the open end 34 of the cladding 2 from the pellets 6 in the process of being introduced in order to avoid massive contamination thereof and production of additional mechanical blockages and interference; trap and/or collect and/or force back the dust and chips which might lead to jamming of the pellets 6 during their introduction, or perhaps even produce defective rods, and which are either conveyed by said pellets 6 in the process of being loaded, or produced during the loading operation itself. To that end, use can be made of the device 14 (FIG. 3) for introducing the pellets 6, which are most often presented in columns 12 on a fixed V or (FIG. 4) on a known plate 38, with V-shaped grooves and movable transversely; this loading device, an additional object of the invention, consists of a hollow fixed metal component 14, formed for example from two factions, and the internal profile 42 of which consists successively, in particular as depicted by FIG. 3, of a square input on its tip 44, a section 46 with a continuously reducing square cross-section on its tip (T+U), a cylindrical output 48 of circular cross-section (Y) with the diameter of the pellets 6, with a continuous connection with the section with a square cross-section 46 and finally a cylindrical bore 49 with the external diameter of the cladding 2 (Z). The square input (T) on its tip 44 permits large gaps (up to 1 to 2 mm) for the pellets 6 for the purposes of accepting misalignments of many origins (for example, vertical and horizontal misalignment of the V's of the grooved plate 38 presenting the columns 12 of pellets 6). The section with square cross-section on its tip 46 has continuously decreasing dimensions (T+U), in order to progressively centre the pellets 6; various geometrical reduction laws can be reproduced with modern numerical control machines (CNC), for example (FIG. 3) from a linear reduction leading to a truncated pyramid (U), to a non-linear (for example quadratic) reduction (T) making it possible to correct large misalignments over short lengths and to contribute towards releasing dust and chips, as a result of the change in relative orientation of the pellets with one another. As for the two circular cross-sections of 48 and 49, the two bores DY and DZ are cut respectively with the diameters of the pellets (DYnom=Dpellmax+0.02 mm, for example) and the claddings (DZnom=Dcasmax+0.01 mm, for example) with a concentricity of 0.01 mm and diametral tolerances of −0/+0.01 mm. This introduction component can also be provided (FIG. 3B) with various gas injection apertures or plugture apertures for trapping, collecting or forcing back the dust and chips adhering to or conveyed by the pellets. Longitudinal and/or transverse grooves can also be machined in the component 14 in order to contribute towards trapping the chips and dust. It should be noted that in other possible embodiments of the invention the upper part of the channel 42 can have a shape other than an inverted V. It should also be noted that the introduction device 14 can be used for direct introduction of the pellets into the cladding, and for centring and alignment of the pellets in any intermediate device provided before the cladding. During loading of the columns of pellets 12, it is important to limit the axial forces to be used with the aim of avoiding the transverse forces of the columns 12 under axial pressure and plugable of resulting from perpendicularity defects of the end faces of the pellets 6; these forces are harmful when the pellets 6 cross the mechanical transitions (V or grooved plate 38 towards introduction component 14, introduction component 14 towards cladding 6); and avoiding the production of additional chips and dust during loading, through excessive local pressure on the edges of the pellets 6 in contact by their end faces. To do this, three methods are used separately or in combination: loading the pellets 6 under a gas with low dynamic viscosity (helium) or under vacuum in order to limit the pressure in the cladding 2 during driving in of the columns of pellets 12; it should be noted for example that the further the loading of the columns of pellets progresses, the more, for the same loading speed, the pressure of the gas increases on account of the increasing differential pressure along the column of pellets 12; driving in the columns 12 in the process of being loaded (FIG. 4) into the cladding 2, by a depth equal to at least the length of the elementary columns 12; in this way, the first pellet 6 of a column N (N going from “a” to “e” in the example depicted in FIG. 4), during its loading into the cladding 2, comes into contact with the last pellet 6 of the column (N-1) already loaded and pushes the train of (N-1) columns already loaded, only when the last pellet of the column N is already inside the cladding 2; the transverse forces developed by the pellets 6 are thus minimised and of limited harm thereto, on account of the excellent mechanical guidance provided by the cladding 2; using a pellet-pushing mechanism 50 with sensitive drive, namely one which aims to limit in a general way the forces which it can develop to solely the forces necessary; this is because the forces required increase as a greater number of elementary columns 12 are loaded. These forces are for example limited electronically by controlling the electrical supply of a DC motor or servomotor 52 according to the depth of introduction of the pushing device and the order N of the column loaded; finally, in view of the industrial loading speeds being high, care will be taken to avoid the effects of inertia by using a pellet-pushing device 53 with a hollow rod and a torque limiter 54 with slippage and low inertia, for example a magnetic powder torque limiter. Reduction of the pushing speed for the train of columns of pellets can advantageously be implemented for the last column or columns loaded. Various modes of division of the enclosure and distribution of the operations which are carried out therein are possible. Table 1 gives a few possible examples. TABLE 1Possible example embodimentsCompartments#IIIIIIIVVComments1Input of claddingLoading of springCleaning ofLoading of pelletsSingle-rodDecontaminationFitting of 2nd plugcladding(Helium)devicecheck(Welding of plug, if(Helium)Output of rodplug free)(Air or helium)(Helium)2Input/output ofContamination checkLoading of springCleaning ofLoading ofMulti-rodcladdingPossible cleaningFitting of 2nd plugcladdingpelletsdevice(Variant:(Air or N2)(Welding of plug,(Air or N2)(Air or N2)transfer ofif plug free)cladding to(Air or N2)anotherenclosure)(Air or N2)3Input of claddingContamination checkLoading of springLoading of pelletsSingle-rodOutput of rodPossible cleaningFitting of 2nd plugunder vacuumdevice(Air)(Air)(Welding of plug if(Air)plug free)(Helium)4Input of claddingTransfer under vacuumLoading of pelletsMulti-rodContaminationto other devicesunder vacuumdevicecheck(fitting, welding,(optional cleaning(rotary drum)Output ofetc.)of cladding undercladding(Air)vacuum)(Air)(Air)Note:the alpha containment enclosure is divided into 3 to 5 compartments sealed from one another; in certain cases, the atmosphere of a compartment can be different from the atmosphere under which an operation is performed; in this case, the compartment concerned is provided with a sealed enclosure or chamber (for example, an enclosure for loading under vacuum or a chamber for welding under helium) Below are details relating to embodiment 1 of Table 1, implemented by the inventors. a. Sequence of Operations loading of the pellets 6 containing manufacturing scrap and ground when dry, on a grooved plate 38 moved transversely step by step; atmosphere and distribution of functions by compartment: IV: under heliumloading of the pellets;III: under heliumcleaning of the open end;II: under heliumloading of the spring and non-tightening plug;peripheral welding of the secondplug;I: under airpossible cleaning of the end of therod;wipe test for measuring thetransferable contamination;withdrawal of the rod with a viewto the pressurisation thereof, inanother item of equipment; isolation of the compartments: by lock chamber 24 consisting of a full-passage vacuum valve and double elastomer seal, with barrier vacuum or gas. The helium present in the various compartments is distributed from a plant for purifying (recycling) the helium extracted from the enclosure in order to maintain said enclosure at a depression and fresh helium in order to compensate for leaks, while the welding enclosure of compartment II is supplied directly with helium of high purity. A variant consists of supplying compartment I with helium for the purposes of manufacturing MOX fuel for boiling water reactors. b. Products and Specifications (Non-Limitative) total plutonium content of the pellets (%):9.5isotopy of the plutonium Pu238,239,240,241,242 (%):2-57-27-8-6Am241 content (%):2α activity of the plutonium (1010 Bq/g):1.8manufacturing scrap content (%):22pellet diameter (mm): 7-12pellet loading speed (mm/sec):100-150girth welding:TIGcladding material: alloy of:Zrα contamination of the respectively loading,>>106, <103,cleaning, welding and contamination checking102 − 101,compartments (Bq/dm2):<1.transferable α contamination of the rods (Bq/dm2 on wipe<1test)fixed α contamination in the welding (Bq)<20
044877420
summary
BACKGROUND OF THE INVENTION The invention relates to a fast neutron nuclear reactor equipped with at least one residual power removal device. It is known that in a fast neutron reactor, the reactor core is immersed in a predetermined volume of liquid metal (generally sodium) contained in a vertically axed vessel, sealed in its upper part by a horizontal sealing slab. In normal operation, the power given off by the fision reaction in the reactor core is absorbed by the circulation of the liquid metal in a primary circuit incorporating the pre-vacuum pumps and the intermediate exchangers respectively ensuring in operation the continuous circulation and cooling of the liquid metal. In integrated reactors the complete primary circuit is located in the reactor vessel, whereas it passes out of the vessel in the case of a loop-type reactor. In general, the heat extracted from the reactor core by the liquid metal of the primary circuit is transferred to a liquid metal (generally sodium) circulating in a secondary circuit comprising steam generators which, in turn, transfer the heat to a water/steam circuit operating the turbines of an electricity generating plant. It is obvious that in the case of an operational accident leading to the stoppage of the pre-vacuum pumps, the core in which the fission reactor is immediately stopped due to the dropping of the scram rods, still gives off a large amount of residual calorific power, which should be reliably and effectively eliminated in order to prevent local melting of the core. For this purpose, it is conventional practice to provide loops or circuits for cooling the reactor when shut down and they comprise heat exchangers directly immersed into the liquid metal contained in the vessel and pumps ensuring the circulation of the liquid metal (generally sodium) circulating in said loops or circuits in order to remove the residual power from the core by means of liquid metal/air exchangers. Although such shutdown reactor cooling loops or circuits have quite satisfactory operating characteristics, the numerous components (exchangers, expansion vessel, electromagnetic pumps, purification system, sodium storage tanks) located on these loops, as well as the level difference (approximately 18 m) between the exchanger immersed in the sodium and the air exchanger (level difference which is added to the height of the chimney or flue ensuring the cooling of the sodium-air exchanger) make their construction relatively complex and therefore costly. Moreover, the operation of these loops necessitates an external mechanical energy supply at the pumps ensuring the circulation of the liquid metal. From the safety standpoint, this feature is obviously not satisfactory, because it renders these loops ineffective in the case of failure of the electric power supply circuit. Finally, the quantity of heat removed by the shutdown reactor cooling loops must be adjusted as a function of the temperature of the liquid metal in the vessel. BRIEF SUMMARY OF THE INVENTION The object of the present invention is a fast neutron nuclear reactor incorporating a novel residual heat removal system and having a capacity comparable to that of the prior art systems, whilst having a simpler construction and therefore involving lower initial costs. The invention also relates to a reactor in which the residual heat removal system operates entirely in natural convection without any external mechanical energy supply, whilst benefiting from a self-regulating effect making it possible to remove an energy quantity proportional to the temperature of the liquid metal in the vessel. Therefore, the present invention proposes a fast neutron nuclear reactor comprising a vertically axed vessel containing the reactor core and a volume of liquid metal for cooling the same, a horizontal sealing slab sealing the upper part of the vessel, at least one pre-vacuum pump and at least one heat exchanger respectively ensuring in operation the circulation of the liquid metal in the core and its cooling, as well as at least one device for removing the residual power ensuring the cooling of the liquid metal in the case of a stoppage of the pre-vacuum pumps, wherein the residual power removal device comprises an evaporator incorporating a bundle of tubes in glove finger-like form immersed in the liquid metal, so as to pass into the vapour phase a heat transfer fluid initially in the liquid phase, an adiabatic collector of said vapour phase incorporating a pipe traversing the reactor slab and a condenser in which the heat transfer fluid in the vapour phase exchanges its heat with an external cooling fluid and condenses in the liquid phase before dropping again into the evaporator by the adiabatic collector. As a result of these features, a novel residual heat removal system is obtained, which is based on a thermodynamic evaporation/condensation cycle of a heat transfer fluid such as mercury. This system makes it possible to ensure an axial energy transfer between the evaporator in the liquid metal contained in the vessel and the condenser, cooled e.g. by air which is circulated by means of a chimney or flue, without any external mechanical energy supply, the system operating entirely with natural convection. Furthermore, as a result of a pipe constituting the adiabatic collector connecting the evaporator and the condenser passing through the reactor slab makes it possible to benefit from the reduced diametral dimensions in connection with the slab and a reduced pressure drop between the evaporator and the condenser. According to a secondary feature of the invention, the wall of the pipe constituting the collector, as well as the wall of each of the tubes of the evaporator internally have a capillary structure piping the heat transfer fluid in the liquid phase, so as to prevent the entrainment of the latter by the fluid in the vapour phase, whilst regularizing its flow towards the evaporator. Preferably, the upper end of the bundle of tubes is installed on a tube plate constituting the lower end of the adiabatic collector and the upper end of each of the tubes projects over a given height above the tube plate in order to define a buffer reservoir for the heat transfer fluid in the liquid phase. In order to ensure the uniform distribution of the flow of the heat transfer fluid in the liquid phase in each tube, the upper end of the latter projecting above the tube plate can have at least one row of slits and/or holes made on the side wall of the tubes. The circulation of the liquid metal contained in the vessel around the bundle of tubes of the evaporator can be piped by means of a ferrule surrounding the bundle of tubes, having at least one inlet port at its upper end and open at its lower end to permit the removal of the liquid metal and to permit the free downward expansion of the ferrule and the tube. According to a first embodiment of the invention, the condenser is supported by the reactor slab and is located within the reactor enclosure. It can then comprise a caisson or box in which are located a toroidal supply or feeding collector connected to the upper end of the pipe constituting the adiabatic collector, a toroidal collector for receiving the condensate positioned below the supply collector and an annular bundle of fin tubes connecting the supply and condensate receiving collectors, the external cooling fluid being atmospheric air which enters the box by a lateral pipe and leaves it by a chimney or flue positioned above the supply collector. According to a second embodiment of the invention, the condenser is positioned outside the reactor enclosure. It can then comprise a caisson or box in which are located at least one substantially horizontal and rectilinear supply collector connected to the upper end of the pipe constituting the adiabatic collector, two condensate receiving collectors positioned below the supply collector and on either side of the vertical plane passing through the latter and two planar bundles of fin tubes connecting the supply collector to the condensate receiving collector in order to define a dihedron with substantially horizontal edges, the cooling fluid being atmospheric air which enters the box via the interior of the dihedron and leaves it by a chimney or flue positioned above the bundle of tubes.
summary
abstract
When Tilted Channel Implant (TCI) is performed on transistor precursor structures having an etch-defined gate length (L2M) and a trim-defined sidewall thickness (SwM), mass production deviations may cause errors and cause shifts in the lateral placement and implant depth of TCI dopants. Countering adjustments to TCI dosage and TCI energy are automatically made in accordance with the invention. In one embodiment, a first linear or quasi-linear interpolation function is used having form: Energya=E0*(1+xcex2*eSw/SwT), where multiplying factor xcex2 may either be a constant or a function of normalized sidewall error value, eSw/SwT. In the same embodiment, a second linear or quasi-linear interpolation function is used having form: Dosea=Dose0*(1+xcex1(L2Txe2x88x92L2M)/L2T), where multiplying factor xcex1 is a constant or a function of normalized gate length error value, (L2Txe2x88x92L2M)/L2T.
claims
1. A method of manufacturing a radiological image conversion panel comprising a phosphor layer containing therein a fluorescent substance which emits light through radiation exposure, the method comprising the step offorming the fluorescent substance into respective columnar structures on one of surfaces of a substrate to thereby obtain a phosphor layer made up of a group of columnar structures;forming a moisture-proof film in a manner to cover an entire outer surface of each of the columnar structures, wherein the columnar structures have deliquescent characteristics, and wherein the moisture-proof film is formed of an inorganic material having a lower refractive index than the refractive index of the columnar structures; andafter forming the moisture-proof film, forming reflection films by respectively covering the entire outer surface of each of the columnar structures with a reflection film while leaving a gap between respective adjoining columnar structures, the reflection film being arranged to reflect light of a predetermined wavelength; wherein a refractive index of the gap is lower than a refractive index of the columnar structures, and the reflection films are formed of an inorganic material having a higher refractive index than the refractive index of the columnar structures. 2. The method of manufacturing a radiological image conversion panel according to claim 1, wherein the reflection films are formed by atomic layer deposition method in the step of forming reflection films. 3. A method of manufacturing a radiological image conversion panel comprising a phosphor layer containing therein a fluorescent substance which emits light through radiation exposure, the method comprising the step of forming the fluorescent substance into respective columnar structures on one of surfaces of a substrate to thereby obtain a phosphor layer made up of a group of columnar structures, the method further comprising the step of:forming a moisture-proof film in a manner to cover an entire outer surface of each of the columnar structures, wherein the columnar structures have deliquescent characteristics, and wherein the moisture-proof film is formed of an inorganic material having a higher refractive index than the refractive index of the reflection films; andafter forming the moisture-proof film, forming reflection films by respectively covering an entire outer surface of each of the columnar structures with a reflection film while leaving a gap between respective adjoining columnar structures, the reflection film being arranged to reflect light of a predetermined wavelength, wherein a refractive index of the gap is higher than a refractive index of the columnar structures, and the reflection films are formed of an inorganic material having a lower refractive index than the refractive index of the columnar structures. 4. A radiological image conversion panel comprising:a substrate and a phosphor layer which is made up of a group of columnar structures of the fluorescent substance formed into respective columnar structures on one of surfaces of the substrate;a moisture-proof film covering an outer surface of each of the columnar structures between the outer surface of each of the columnar structures and the reflection film, wherein the moisture-proof film is formed of an inorganic material having a lower refractive index than the refractive index of the reflection films; anda reflection film which reflects light of a predetermined wavelength, the reflection film covering an entire outer surface of each of the columnar structures,wherein a refractive index of the columnar structures is higher than a refractive index of a gap between respective adjoining columnar structures, and the reflection film is formed of an inorganic material having a higher refractive index than the refractive index of the columnar structures. 5. A radiological image conversion panel comprising:a substrate and a phosphor layer which is made up of a group of columnar structures of the fluorescent substance formed into respective columnar structures on one of surfaces of the substrate;a moisture-proof film covering the outer surface of each of the columnar structures between the outer surface of each of the columnar structures and the reflective film, wherein the moisture-proof film is formed of an inorganic material having a higher refractive index than the refractive index of the reflection films; anda reflection film which reflects light of a predetermined wavelength, the reflection film being arranged to cover an entire outer surface of each of the columnar structures;wherein a refractive index of the columnar structures is lower than a refractive index of a gap between respective adjoining columnar structures, and the reflection film is formed of an inorganic material having a lower refractive index than the refractive index of the columnar structures.
047568798
abstract
Core cover plug for a liquid metal-cooled nuclear reactor.. It comprises a structure constituted in part by suspension elements fixed to the lower plate of the small rotary plug, and in part by control rod sleeve elements and a conical deflecting plate positioned directly above the core. The suspension elements, which can either be rod sleeves or rigid tie rods, support the sleeve elements by means of one or more grids constituted by intersecting vertical plates. The deflecting plate, which itself carries a group of sampling tubes, is fixed to certain sleeve elements by a connection permitting radial sliding.
abstract
Sources are disclosed for producing short-wavelength electromagnetic radiation (EMR) such as extreme ultraviolet (xe2x80x9cEUVxe2x80x9d or xe2x80x9csoft X-rayxe2x80x9d) radiation useful in microlithography. The sources collect a greater amount of the EMR produced by a plasma than conventional sources and form the collected EMR into an illumination EMR flux having higher intensity than conventionally. The EMR flux desirably has a rotationally symmetrical intensity distribution. The plasma is produced by two electrodes contained in a vacuum chamber. A high-voltage pulsed power supply applies a plasma-creating potential across the electrodes. EMR produced by the plasma is collected, typically by a reflective element configured to form a collimated beam of EMR. The electrodes are configured and oriented such that, as the collimated beam passes by the electrodes, the electrodes exhibit minimal blocking of the EMR flux. The electrodes can include a center electrode and a surrounding hollow cylindrical electrode separated from the center electrode by an insulating member. The axis of rotational symmetry of the electrodes desirably is substantially parallel to the propagation axis of the EMR flux.
summary
summary
claims
1. A method for X-ray wavelength measurement carried out by using a first channel-cut crystal for wavelength measurement in which two opposing cut planes are formed and the lattice constant of which is known, said method comprising the steps of:rotating, by utilizing a turntable, said first channel-cut crystal with respect to an incident X-ray beam direction in respective arrangements of (−, +) and (+, −) with said first channel-cut crystal, wherein (+) or (−) is defined as a crystal arrangement which causes a same or opposite X-ray bending direction to a first X-ray bending direction of diffraction; anddetermining an absolute wavelength of X-ray from a difference between crystal rotation angles in said respective arrangements. 2. The method for X-ray wavelength measurement according to claim 1, further comprising the steps of: collimating X-rays to be parallel light by a collimator arranged on an X-ray incident side of said first channel-cut crystal and then guiding the parallel light into said first channel-cut crystal to carry out wavelength measurement. 3. The method for X-ray wavelength measurement according to claim 1, wherein a second channel-cut crystal is placed for collimator which is arranged on an X-ray incident side of said first channel-cut crystal and in which two opposing cut planes are formed, said method further comprising the steps of:diffracting X-ray at a crystal plane having the same index as that of a crystal plane at which said first channel-cut crystal diffracts X-ray at respective cut planes of said second channel-cut crystal; andguiding the diffracted X-ray into said first channel-cut crystal to carry out wavelength measurement. 4. An X-ray wavelength measurement apparatus, comprising:a first channel-cut crystal for wavelength measurement in which two opposing cut planes are so formed that at least a part of mutual projections thereof overlap with the cut planes; a detector for detecting the intensity of X-ray spectrally reflected by said first channel-cut crystal; andturntable for rotating said first channel-cut crystal with respect to an incident X-ray beam direction such that a rotation center of said first channel-cut crystal is set to be capable of diffracting X-ray in respective arrangements of (−, +) and (+, −), wherein (+) or (−) is defined as a crystal arrangement which causes a same or opposite X-ray bending direction to a first X-ray bending direction of diffraction. 5. The X-ray wavelength measurement apparatus according to claim 4, further comprising a collimator arranged on an X-ray incident side of said first channel-cut crystal, wherein X-rays are collimated to be parallel light by said collimator and then guided into said first channel-cut crystal carry out wavelength measurement. 6. The X-ray wavelength measurement apparatus according to claim 4 wherein:the rotation center of said first channel-cut crystal is set between said two opposing cut planes of said first channel-cut crystal or between extended planes of said two opposing cut planes; anda cut plane on which X-ray is incident when said first channel-cut crystal diffracts the X-ray in the arrangement of (−, +) differs from that on which X-ray is incident when said first channel-cut crystal diffracts the X-ray in the arrangement of (+, −). 7. The X-ray wavelength measurement apparatus according to claim 4 wherein:the rotation center of said first channel-cut crystal is set in a position that allows X-ray to enter an identical plane of the opposing cut planes when said first channel-cut crystal diffracts X-ray in each of arrangements of (−, +) and (+, −). 8. The X-ray wavelength measurement apparatus according to claim 7, further comprising a rotation control mechanism for controlling the rotation of said first channel-cut crystal, whereinsaid rotation control mechanism includes an angle detector having self-calibration function for detecting displacement of a scale position of a rotation angle. 9. The X-ray wavelength measurement apparatus according to claim 4 inclusive, further comprising a rotation control mechanism for controlling the rotation of said first channel-cut crystal, whereinsaid rotation control mechanism includes an angle detector having self-calibration function for detecting displacement of a scale position of a rotation angle. 10. An X-ray wavelength measurement apparatus, comprising:a first channel-cut crystal for wavelength measurement in which two opposing cut planes are so formed that at least a part of mutual projections thereof overlap with the cut planes;a second channel-cut crystal for collimator which is arranged on the incident side of said first channel-cut crystal and in which two opposing cut planes are formed;a detector for detecting the intensity of X-ray spectrally reflected by said first channel-cut crystal; anda turntable for rotating said first channel-cut crystal with respect to said second channel-cut crystal such that a rotation center of said first channel-cut crystal is set to be capable of diffracting X-ray in respective arrangements of (−, +) and (+, −), wherein (+) or (−) is defined as a crystal arrangement which causes a same or opposite X-ray bending direction to a first X-ray bending direction of diffraction; andsaid second channel-cut crystal diffracts X-ray at a crystal plane having the same index as that of a crystal plane at which said first channel-cut crystal diffracts X-ray, to guide the diffracted X-ray into said first channel-cut crystal. 11. The X-ray wavelength measurement apparatus according to claim 10, wherein said second channel-cut crystal is placed for fixing the rotation for incident X-ray upon carrying out wavelength measurement. 12. The X-ray wavelength measurement apparatus according to claim 11 wherein:the rotation center of said first channel-cut crystal is set between said two opposing cut planes of said first channel-cut crystal or between extended planes of said two opposing cut planes of said first channel-cut crystal; anda cut plane on which X-ray is incident when said first channel-cut crystal diffracts the X-ray in the arrangement of (−, +) differs from that on which X-ray is incident when said first channel-cut crystal diffracts the X-ray in the arrangement of (+, −). 13. The X-ray wavelength measurement apparatus according to claim 11 wherein:the rotation center of said first channel-cut crystal is set in a position that allows X-ray to enter an identical plane of the opposing cut planes of said first channel-cut crystal when said first channel-cut crystal diffracts X-ray in each of arrangements of (−,+) and (+,−). 14. The X-ray wavelength measurement apparatus according to claim 10 wherein:the rotation center of said first channel-cut crystal is set between said two opposing cut planes of said first channel-cut crystal or between extended planes of said two opposing cut planes of said first channel-cut crystal; anda cut plane on which X-ray is incident when said first channel-cut crystal diffracts the X-ray in the arrangement of (−, +) differs from that on which X-ray is incident when said first channel-cut crystal diffracts the X-ray in the arrangement of (+, −). 15. The X-ray wavelength measurement apparatus according to claim 10 wherein:the rotation center of said first channel-cut crystal is set in a position that allows X-ray to enter an identical plane of the opposing cut planes when said first channel-cut crystal diffracts X-ray in each of arrangements of (−,+) and (+,−). 16. The X-ray wavelength measurement apparatus according to claim 10, further comprising a rotation control mechanism for controlling the rotation of said first channel-cut crystal, wherein said rotation control mechanism includes an angle detector having self-calibration function for detecting displacement of a scale position of a rotation angle.
abstract
In a pressurized water reactor operation method, a fuel assembly including first fuel rods that operates for a preset first operation time and second fuel rods that operates for a second operation time longer than the first operation time is prepared. An operation schedule of a pressurized water reactor is created by mixing the first operation time of the first fuel rods and the second operation time of the second fuel rods. The pressurized water reactor operates by repeating the operation schedule.
039393550
summary
BACKGROUND, SUMMARY AND ADVANTAGES OF INVENTION Heretofore radioisotope sources have been locked in a shielded condition in a camera and have been released to accommodate the isotope source to be extended from the camera for use. With such locks, the isotope source may be completely removed from the camera when the lock is released and there is no indication whether the source is released or locked in the camera. The prior locks do not automatically lock the source in the camera when retracted and cannot prevent complete removal of the isotope source when the lock is released without an additional overt act, and thereby do not prevent exposure of the operator of the camera to dangerous rays, if the operator or others should mistakenly release the lock by its key. The lock of the present invention secures the isotope source in a stored shielded condition in the camera until a positive effort has been made to open the lock and take the source outside of the camera and prevents disconnection of the source pigtail unless the source is locked in a shielded condition in the camera. It also gives a visual indication of the locked or possible exposed condition of the isotope source and prevents the source pigtail from being completely pushed out of the camera, even when the lock is released. An advantage of the invention, therefore, is that a visual indication is given when the isotope source is in an exposed condition. A further advantage is that the isotope source is automatically locked in the camera as cranked into the camera, thereby providing the operator with a physical assurance that the source is in a safe condition. Another important advantage of the invention is that the isotope source is trapped in a shielded condition in the camera until the lock is release-activated by turning of the key for the lock and a further intentional pulling action on the source pigtail is exerted to complete the unlocking operation. A further advantage of the invention is that the source cannot be pulled through the camera or be disconnected until the source has been pulled to the automatic lock position. A still further advantage of the invention is the provision of a lock barrel depressed within the lock casing to effect locking of the lock, and extended from the lock casing when in a released position, and requiring pulling on the source pigtail to fully release the lock prior to pushing the source from the camera for use. Other objects, features and advantages of the invention will be readily apparent from the following description of a preferred embodiment thereof, taken in conjunction with the accompanying drawings, although variations and modifications may be effected without departing from the spirit and scope of the novel concepts of the disclosure.
051223333
description
Referring to FIG. 1, a water basin 2 having concrete walls 1 is largely filled with a bath of water and at the same time, acts as a storage basin for fuel elements rods of a nuclear reactor plant (not shown). A conduit 3 passes through one wall 1 of the basin 2 and extends, for example, to the interior of a containment or safety vessel of a nuclear reactor plant (not shown). This conduit 3 contains two containment isolating valves 4 between the containment vessel (not shown) and the basin 2 which open in the event of an excess pressure in the containment vessel. As illustrated, the conduit 3 extends vertically downwardly into the interior of the basin 2 and leads into a substantially horizontal distributor 5 from which a plurality of horizontal tubes 6 branch. For example, eight tubes as shown in FIG. 2, extend from the distributor 5. Each tube 6 is closed at the distal end, as shown to the right in FIG. 1. In addition eight vertical tubes 7 branch off from each horizontal tube 6 with each vertical tube 7 extending upwardly to a unit 8 consisting of a vertically disposed nozzle 9 and a baffle plate 10 (see FIG. 3). In all, there are sixty-four units 8 distributed over a rectangular area and all are disposed at the same height in a horizontal plane. A set 20 of static mixer elements 21 is provided above the sixty-four units 8 in the basin 2 and these elements 21 are completely submerged in the water filling the basin 2. The static mixer elements 21 are also circumferentially enclosed by a jacket 22, the bottom end of Which extends into the zone of the units 8. A conduit 23 is connected to the top 1' of the basin and leads to a venting chimney (not show) for the discharge of a flow of aerosol-depleted air therefrom. Referring to FIG. 3, each nozzle 9 of a unit 8 is formed of a vertical tube having a cross-section which tapers conically to some extent substantially in the middle of the tube length. The nozzle tube is also fixed at the bottom end to a cap nut 11, for example, by welding. This cap nut 11 has an opening 12 in line with the nozzle 9 in order to convey aerosol-laden air therethrough. In addition, the cap nut 11 has an internal screw thread 13 by means of which the cap nut can be threaded onto a vertical branch tube 7 which has a corresponding external screw thread. The baffle plate 10 is fixed on each cap nut 11 by means of three rods 14 which extend from the cap nut 11 in parallel and uniformally over the periphery of the cap nut 11. To this end, the cap nut 11 is provided with three axial recesses at the outer periphery to receive the bottom ends of the rods 14 which are then welded to the cap nut 11. The rods 14 pass through respective openings in the baffle plate 10 as indicated in FIG. 4 while pairs of nuts 15 are threaded on each rod 14 in order to secure the plate 10 therebetween at a precise location relative to the orifice of the nozzle 9. As indicated in FIG. 4, the baffle plate 10 is provided with a plurality of perforations 16. Any suitable distribution of perforations may be used. Each static mixer element 21 may, in known manner, be constructed of corrugated or fluted laminates, such as metal sheets. For example, referring to FIG. 5, each static mixer element 21 may be formed parallel Vertical sheets 31 having a plurality of parallel guide elements in the form of slats 32 welded to each sheet 31 and extending from opposite sides at a angle to the vertical. As indicated, the slats 32 on one sheet 31 are disposed in criss-crossing relation to the slats 32 connected to an adjacent sheet 31. In this respect, the static mixer elements 21 are built so as to form defined open intersecting flow passages between them. A detailed construction of such a mixer element is described, for example in Swiss patent 547,120. The apparatus described operates as follows. In the event of an excess pressure occurring in the containment vessel, e.g. due to a melt-down, the isolating valves 4 open. Aerosol-laden air from the containment vessel now flow via the conduit 3, tubes 6, 7 and nozzles 9 into the water bath of the basin 2. The air emerging from the nozzles 9 entrains water from the surroundings and mixes intensively on passage through the holes 16 in the baffle plates 10. The water-air mixture then flows on up and enters the static mixer elements 21. A continuous splitting up, elongation and re-arrangement of the components of the water-air mixture takes place in the intersecting flow passages of these mixer elements 21. The air rising from the nozzles 9 is divided up in each mixing element 21 into a number of sub-flows which cross one another at an angle of about 90.degree.. The mixing elements 21 are so stacked on one another in the set 20 that the vertical sheets 31 of one mixing element include an angle other than zero with those of the adjacent mixing element. In the embodiment of FIG. 1, this angle is, for example, 45.degree.. In these conditions, the air which entrains the aerosols is dispersed in the water. The aerosols are separated from the air to the water or to the mixer elements. Thus, air from which aerosols have been eliminated leaves the top end of the set 20 and then passes to the discharge chimney via the conduit 23 without having to pass through further filters. The water emerging at the top end of the set 20 circulates downwards outside the jacket 22, for which purpose sufficient space must be left between the walls 1 and the jacket 22. At the bottom end of the jacket 22, the water flows back into the area of the nozzles 9. Contrary to the embodiment described, instead of the arrangement of one baffle plate 10 to each nozzle 9, it is possible to provide a baffle plate common to all the nozzles or a common baffle plate for specific group of nozzles. The invention further provides an apparatus for eliminating aerosols from air escaping from a nuclear reactor containment vessel which is greatly reduced for at least a constant degree of purity of air leaving the apparatus relative to previously known apparatus. The invention further provides an apparatus for eliminating aerosols from air vented from a nuclear reactor pressure containment vessel in a relatively simple manner.
description
The present invention relates to a detector arrangement and a system for an X-ray phase contrast system, and a method for X-ray phase contrast imaging. X-ray phase contrast imaging may be performed using Talbot-Lau type interferometers. Talbot-Lau type interferometer for differential phase contrast and dark field imaging are using a setup of gratings to obtain low angle scattering and differential phase information from the X-ray refraction. The so-called G2 grating is e.g. an analyzer grating to subsample the wave pattern in front of the X-Ray detector. The term “subsample” refers to that only distinct periodically arranged parts of the wave front are propagated and not absorbed. The technical design requirements for G2 are challenging. The G2 should have a small pitch between 2 μm to 50 μm. The absorber in the grating should be high-Z to have sufficient X-ray stopping power. This yields a high aspect ratio requirement. Furthermore, proper absorber materials such as gold are expensive. “A new method of detecting interferogram in differential phase-contrast imaging system based on special structured X-ray scintillator screen” (Liu Xin et al. Chin. Phys. B Vol. 19, No. 7 (2010) 070701) describes an X-ray phase contrast imaging device comprising a scintillator functioning as detector and analyzer grating. To produce that scintillator, a photo-electrochemical etching of silicon in hydrofluoric acid solution is performed. The fabrication comprises complicated steps like creating pore arrays in the silicon wafer, providing a wet-thermal oxidation of the wall of the pores and filling the pores with CsI(T1) crystals. US 2017/0038481 A1 describes a photonic-channeled X-ray detector array comprising a scintillator that converts input X-ray radiation to secondary optical radiation output from the scintillator. A first telecentric micro lens array receives the secondary optical radiation and directs it to a phase coded aperture. The phase coded aperture directs the secondary optical radiation trough a second telecentric micro lens array to a patterned grating mask in front of a photodetector array. There may thus be a need for a device having a cheap and easily producible analyzer grating. The object of the present invention is solved by the subject-matter of the independent claims; further embodiments are incorporated in the dependent claims. It should be noted that the following described aspects of the invention apply also for the system for X-ray phase contrast imaging and the method for X-ray phase contrast imaging. According to the present invention, in a first aspect a detector arrangement for an X-ray phase contrast system is provided, the detector arrangement comprising: a scintillator; an optical grating; and a detector. The optical grating is arranged between the scintillator and the detector. The scintillator converts X-ray radiation into optical radiation. The optical grating is configured to be an analyzer grating being adapted to a phase-grating of an X-ray phase contrast system. An optical path between the optical grating and the scintillator is free of focusing elements for optical radiation. The term “optical” refers to the visible radiation spectrum. The provisioning of an optical grating as analyzer grating between the scintillator and the detector may avoid the use of an X-ray absorber grating. The scintillator converts X-ray radiation which may comprise an X-ray radiation pattern of an object. The scintillator converts that X-ray radiation pattern to an optical radiation pattern. The optical grating then subsamples the optical radiation pattern into a subsampled optical radiation pattern which is then detected by the detector. The optical grating is easy to produce in relation to an X-ray absorber grating. Furthermore, the optical grating may be cheaper than an X-ray absorber grating. The basic idea of the present invention is thus to avoid subsampling X-ray radiation with an X-ray absorber grating. Instead, the X-ray radiation may be converted to optical radiation prior to subsampling the X-ray radiation. That optical radiation may then be subsampled and detected. Furthermore, the optical grating and the scintillator may form a compact optical element since the optical path between the optical grating and the scintillator is free of focusing elements for optical radiation. Thus, the optical grating may be arranged very close to the scintillator. This reduces the size of the setup and the amount of parts for the detector arrangment. Moreover, this simplifies the production of the setup and reduces the costs. In a certain example, the optical grating is configured to be electronically adjustable. Furthermore, in another example an LCD pixel array provides the optical grating. Preferably, the LCD pixel array is configured to provide a stepping of the optical grating. According to an example, the detector arrangement comprises an optical polarizing unit. The optical polarizing unit is positioned between the optical grating and the scintillator. The LCD pixel array is an active LCD pixel array being configured to dynamically change a polarization of the analyzer grating, i.e. the optical grating. According to the present invention, in a second aspect a system for X-ray phase contrast imaging is provided, the system comprising: an X-ray interferometer setup with: a radiation source for emitting X-ray radiation; a phase grating; an object receiving space for arranging an object to be imaged; and a detector arrangement. The detector arrangement comprises: a scintillator; an optical grating; and a detector. The optical grating is arranged between the scintillator and the detector. The scintillator converts X-ray radiation into optical radiation. The optical grating is configured to be an analyzer grating being adapted to the phase grating of the system for X-ray phase contrast. An optical path between the optical grating and the scintillator is free of focusing elements for optical radiation. By adapting the optical grating to the phase grating of the system the optical grating may be provided having an optimum pitch for the optimum imaging quality. In a certain example, the optical grating comprises a pitch being adapted to the phase grating and to a distance between the optical grating and the radiation source. Due the adaptation to the distance between the optical grating and the radiation source, the imaging quality is further enhanced. According to an example, the optical grating is configured to be electronically adjustable and is provided by an LCD pixel array. Preferably, the LCD pixel array is configured to provide a stepping of the optical grating. Further, the detector arrangement comprises an optical polarizing unit. The optical polarizing unit is positioned between the optical grating and the scintillator. The LCD pixel array is an active LCD pixel array being configured to dynamically change a polarization of the analyzer grating. The system further comprises a processing unit. The processing unit is configured to control the active LCD pixel array. According to an example the radiation source is a point-like radiation source for emitting X-ray radiation. According to a further example, the radiation source is a large-focused radiation source for emitting X-ray radiation. Furthermore, the system comprises an absorption grating between the radiation source and the object receiving space. Further, according to the present invention, in a third aspect a method for X-ray phase contrast imaging is provided, the method comprising the following steps: a) converting X-ray radiation to optical radiation with a scintillator; b) subsampling the optical radiation with an optical grating resulting in a subsampled optical radiation pattern, wherein the optical path between the optical grating and the scintillator is free of focusing elements for optical radiation; and c) detecting the subsampled optical radiation pattern with a detector. According to an example, the optical grating is provided by a pixel array. The method comprises the step: d) changing the position of the optical grating on a pixel array with a processing unit controlling the pixel array. In an example the pixel array is a bi-stable display. In another example the pixel array may be an active or passive LCD pixel array. According to an example, the subsampling is performed by e) polarizing the optical radiation with an optical polarizing unit resulting in a polarized optical radiation; and f) absorbing a portion of the polarized optical radiation with a polarization mask pattern on an active LCD array providing the optical grating. In a certain example, the method comprises the step: g) changing a relative phase position of the polarization mask pattern with a processing unit controlling the active LCD array. According to a fourth aspect of the present invention, a computer program element for controlling an apparatus described above is provided, the computer program, when being executed by a processing unit, is adapted to perform the method mentioned above. In a fifth aspect of the present invention a computer readable medium having stored the program element mentioned above. These and other aspects of the present invention will become apparent from and be elucidated with reference to the embodiments described hereinafter. FIG. 1 shows an embodiment of a detector arrangement 1. The detector arrangement 1 comprises an X-ray transparent wall 14, a conversion unit, a subsampling unit, and a detection unit. The subsampling unit is arranged between the conversion unit and the detection unit. The X-ray transparent wall 14 serves as inlet for X-ray radiation 2. In an example, the X-ray transparent wall 14 may be an opening in a side wall of the detector arrangement 1. In another example, the X-ray transparent wall 14 may be a massive wall being made from an X-ray transparent material. The conversion unit comprises a plurality of conversion elements 9 being configured to convert X-ray radiation 2 into optical radiation resulting in optical radiation 3. In an example, the conversion unit is a high-resolution scintillator 11 having a pitch between 0.5 μm and 60 μm. The X-ray radiation 2 impacting the scintillator 11 is converted to optical radiation 3 by the scintillator 11. Thus, the scintillator 11 serves as a conversion unit for X-ray radiation 2. The scintillator 11 emits the optical radiation 3. The subsampling unit comprises a mask pattern of a first plurality of opaque elements 7 and a second plurality of light transmitting areas 8. The mask pattern is configured to subsample the optical radiation 3 resulting in a subsampled optical radiation pattern 4. The optical path between the conversion unit and the subsampling unit is free of focusing elements for optical radiation. This means that the optical radiation 3 that is emitted by the scintillator 11 is immediately received by the subsampling unit without propagating through focusing elements like lenses or curved mirrors. In an example, the subsampling unit is an optical grating 12 having a pitch between 1 μm and 60.5 μm wherein the pitch of the optical grating 12 is higher than the pitch of the scintillator 11. The optical radiation 3 being emitted by the scintillator 11 propagates to the optical grating 12. The propagation of the optical radiation takes place directly, i.e. without any focusing elements for influencing optical radiation in the optical path between the scintillator 11 and the optical grating 12. The optical grating 12 subsamples the optical radiation 3 by absorbing a mask pattern from the optical radiation 3. Therefore, the optical grating 12 serves as a subsampling unit for optical radiation 3. Due to the propagation through the optical grating 12 the optical radiation 3 is converted into a subsampled optical radiation pattern 4. Due to the lack of focusing elements for optical radiation in the optical path between the scintillator 11 and the optical grating 12, in an exemplary embodiment of the detector arrangement 1, the optical grating 12 may be arranged close to the scintillator 11. This reduces the size of the detector arrangement 1. The detection unit comprises a plurality of light detection elements 10 being configured to convert the subsampled optical radiation pattern 4 into an electrical image signal representing the subsampled optical radiation pattern 4. In an example, the detection unit is a detector 13 which may be a photodiode array. The detector 13 measures the spatial distribution and the intensity of the optical radiation pattern 4 turning the optical radiation pattern 4 into am image signal. The detector 13 serves as a detection unit for optical radiation. The detector 13 transmits the electrical image signal by a signal line 17. FIGS. 2a and 2b show embodiments wherein the optical grating 12 is controlled by electric activation. For example, the optical grating is provided by a bi-stable display, like electronic ink. In another example, the optical grating is provided by a switchable pixel array. An example wherein the optical grating 12 is provided by a bi-stable display 23 is shown in FIG. 2a. The bi-stable display 23 may comprise electronic ink between two arrays of transparent electrodes 27. The two array are controlled by control signals being provided by a signal line 18. The electronic ink may be arranged into a mask pattern for optical radiation by controlling the two arrays of transparent electrodes 27. The mask pattern is provided by light absorbing dark regions 23a of the bi-stable display 23. The optical radiation 3 may propagate through the bi-stable display 23 by passing light transmissive regions 23b of the bi-stable display 23. The mask pattern provided by the bi-stable display 23 may be shifted in position by correspondingly controlling the two arrays of transparent electrodes 27. The position shifting provides a phase stepping functionality to the detector arrangement 1 avoiding mechanical movement. In a further example shown in FIG. 2b, the subsampling unit is an active LCD pixel array 15 providing the optical grating 12. Furthermore, the active LCD pixel array 15 provides a stepping of the optical grating 12. In this example, an optical polarizing unit 16 is positioned between the scintillator 11 and the active LCD pixel array 15. The optical polarizing unit 16 polarizes the optical radiation 3 and turns it into polarized optical radiation 6, i.e. the active LCD pixel 15 array receives polarized optical radiation 6. The liquid crystal cells LCD can dynamically change the polarization direction and hence control the optical opacity. The active LCD pixel array 15 is thus configured to change the relative phase position of the optical grating 12, i.e. the active LCD pixel array 15 provides a dynamic change of the relative phase position of the optical grating 12. Therefore, the active LCD pixel array 15 may dynamically modify the absorbing pattern of the polarized optical radiation 6. By changing the relative phase position of the optical grating 12 dynamically, the subsampling unit may provide the phase stepping functionality in the detector arrangement 1 avoiding mechanical movement. Furthermore, the response time of the active LCD pixel array 15 is faster than the response time of the bi-stable display 23. Therefore, by using the active LCD pixel array 15 a faster phase stepping may be performed. FIG. 3a shows an embodiment of the system for X-ray phase contrast imaging 5. In an example, the system 5 comprises a focused X-ray radiation source 19a, an object receiving space 20, a phase grating 21 and a detector arrangement 1 according to one of the above embodiments. The focused X-ray radiation source 19a may be a point-like X-ray radiation source 19a, i.e. a small-focused X-ray radiation source 19a. The focused X-ray radiation source 19a emits source X-ray radiation 28. The source X-ray radiation 28 propagates to the object receiving space 20. Objects which shall be examined by the system 5 may be placed in the object receiving space 20. The source X-ray radiation 28 passes the object receiving space 20. An object being placed in the object receiving space 20 influences the source X-ray radiation 28 by absorption and/or phase shifting. The passage of the object receiving space 20 turns the source X-ray radiation 28 into object X-ray radiation 29 comprising information about an object in the object receiving space 20. The information about the object may be comprised in a wave pattern of the object X-Ray radiation 29. In a certain example, the phase grating 21 is arranged between the object receiving space 20 and the detecting unit. In an example, the phase grating 21 is arranged between the object receiving space 20 and the detector arrangement 1. The phase grating 21 is configured to supply an X-ray radiation pattern of an object arranged in the object receiving space 20. The X-ray radiation pattern is emitted by the phase grating 21 as X-ray radiation 2. The X-ray radiation 2 then propagates to the detector arrangement 1. In a further example (not shown), the phase grating 21 is arranged between the X-ray radiation source 19a and the object receiving space 20. This is the so-called inverse geometry setup. The X-ray radiation 28 then passes the phase grating 21 before passing the object receiving space 22. The detector arrangement 1 converts the X-ray radiation 2 into an electrical image signal as described for the above embodiments. That embodiment of the detector arrangement 1 comprises an electronically adjustable optical grating 12. The system 5 further comprises a processing unit 24 which controls the electronically adjustable optical grating 12 via signal line 18. In a further example being shown in FIG. 3b, the system 5 comprises an X-ray radiation source being a large-focused X-ray radiation source 19b. The large-focused X-ray radiation source 19b may comprise an extended surface emitting X-ray radiation. Furthermore, the large-focused X-ray radiation source 19b may be an incoherent X-ray radiation source. In that embodiment, the system 5 comprises an absorption grating 22, in particular a G0-grating, arranged between the large-focused X-ray radiation source 19b and the object receiving space 20. The absorption grating 22 creates a plurality of focused X-ray radiation sources from the X-ray radiation passing the absorption grating 22. X-rays emitted by those focused X-ray radiation sources propagate to the object receiving space 20 as source X-ray radiation 28. In an example, the object receiving space 20 is arranged between the X-ray radiation source 19b and the phase grating 21. In another example (not shown), the phase grating 21 is arranged between the X-ray radiation source 19b and the object receiving space 20. FIG. 4a shows an embodiment of the optical grating 12. In an example, the optical grating 12 comprises at least one opaque element 7 forming an opaque region. Further, the optical grating 12 comprises light transmitting elements 8 forming a plurality of parallel slits in the opaque region. In combination with the light transmitting elements 8 the opaque region forms a mask pattern for the optical radiation 3. The distance between two slits is the stepping of the optical grating 12. The stepping of the optical grating 12 may be between 1 μm and 60.5 μm. The stepping may be constant for all light transmitting elements 8. The distance between the centers of two slits is the pitch of the optical grating 12. The pitch of the optical grating 12 may also be constant. FIG. 4b shows another embodiment of the optical grating 12. The optical grating 12 comprises at least one opaque element 7 having a plurality of light transmitting elements 8. The light transmitting elements 8 form a matrix of light transmitting regions in the opaque region formed by the opaque element 7. The matrix is regularly formed, i.e. the distance between the light transmitting elements in each row and column is the same. The combination of the plurality of light transmitting elements 8 and the opaque element 7 forms a mask pattern for optical radiation. The method 100 for X-ray phase contrast imaging may be performed by a system 5 comprising an X-ray radiation source, an object receiving space 20, a phase grating 21, and a detector arrangement 1. The detector arrangement 1 may comprise a conversion unit, a subsampling unit and a detection unit. The conversion unit may be a scintillator 11. The subsampling unit may be an optical grating 12 which may be provided by for example a bi-stable display 23 or an active LCD pixel array 15. The optical path between the optical grating 12 and the scintillator 11 is free of focusing elements for optical radiation. Furthermore, the detector arrangement 1 may comprise a polarizing unit 16. A processing unit 25 may control the bi-stable display 23 or the active LCD pixel array 15, respectively. FIG. 5 shows an embodiment of a method 100 for X-ray phase contrast imaging. In that embodiment of the method 100, the method 100 is performed with on optical grating 12 being provided by a pixel array. The pixel array may for example be provided by a bi-stable display 23 or an active LCD pixel array 15. In step 101 the X-ray radiation 2 is converted to optical radiation 3 with a scintillator 11. The scintillator 11 may be a component of a detector arrangement 1 as being described above. In the next step 102 the optical radiation 3 being created in step 101 is subsampled with an optical grating 12, wherein between step 101 and step 102 the optical radiation does not pass any focusing elements. The subsampling is provided by a mask pattern which is provided by the optical grating 12. This means that a part of the optical radiation 3 is absorbed by the optical grating 12. This results in emission of a subsampled optical radiation pattern 4. In step 103 the subsampled optical radiation pattern 4 is detected by a detector 13. The detector 13 may detect the spatial distribution of the subsampled optical radiation pattern 4 and/or the intensity of the subsampled optical radiation pattern 4. In step 104 the position of the optical grating 12 being provided by the pixel array is changed by controlling the pixel array with the processing unit 25. This results in a phase stepping functionality of the system 5 avoiding mechanical movement. In another embodiment being shown in FIG. 6, the method 100 is performed with a system 5 comprising a polarizing unit 16. The pixel array may then for example be provided by an active LCD pixel array 15. This embodiment of the method 100 comprises the steps 101, 102 and 103 being described above. After step 103, in step 105 the optical radiation 3 is polarized with a polarizing unit 16 resulting in a polarized optical radiation 6. In step 106 a portion of the polarized optical radiation 6 is absorbed by a polarization mask pattern on an active LCD pixel array 15. This results in the radiation of a subsampled optical radiation pattern 4. In step 107 the relative phase position of the polarization mask pattern on the active LCD pixel array 15 is changed by the processing unit 24 controlling the active LCD every 15. This allows a phase stepping functionality in the system 5 without mechanical movements. In another exemplary embodiment of the present invention, a computer program or a computer program element 25 is provided, that is characterized by being adapted to execute the method steps of the method according to one of the preceding embodiments, on an appropriate system, for example the processing unit 24. The computer program element 25 might therefore be stored on a computing unit, which might also be part of an embodiment of the present invention. This computing unit may be adapted to perform or induce a performing of the steps of the method described above. Moreover, it may be adapted to operate the components of the above described apparatus. The computing unit can be adapted to operate automatically and/or to execute the orders of a user. A computer program may be loaded into a working memory of a data processor. The data processor may thus be equipped to carry out the method of the invention. This exemplary embodiment of the invention covers both, a computer program that right from the beginning uses the invention and a computer program that by means of an up-date turns an existing program into a program that uses the invention. Further on, the computer program element 25 might be able to provide all necessary steps to fulfil the procedure of an exemplary embodiment of the method as described above. According to a further exemplary embodiment of the present invention shown in FIG. 7, a computer readable medium 26, such as a CD-ROM, is presented wherein the computer readable medium 26 has a computer program element 25 stored on it which computer program element 25 is described by the preceding section. A computer program may be stored and/or distributed on a suitable medium, such as an optical storage medium or a solid-state medium supplied together with or as part of other hardware, but may also be distributed in other forms, such as via the internet or other wired or wireless telecommunication systems. However, the computer program may also be presented over a network like the World Wide Web and can be downloaded into the working memory of a data processor from such a network. According to a further exemplary embodiment of the present invention, a medium for making a computer program element available for downloading is provided, which computer program element is arranged to perform a method according to one of the previously described embodiments of the invention. The computer program element 25 for example may run on the processing unit 24 being adapted to receive the computer program element 25 by a computer readable medium 26 or over a network as described above. The computer program element 25 therefore, may enable the processing unit 24 to perform a phase stepping of an electronically adjustable optical grating 12. It should be noted that embodiments of the invention are described regarding different subject matters. In particular, some embodiments are described with reference to method type claims whereas other embodiments are described with reference to the device type claims. However, a person skilled in the art will gather from the above and the following description that, unless otherwise notified, in addition to any combination of features belonging to one type of subject matter also any combination between features relating to different subject matters is considered to be disclosed with this application. However, all features can be combined providing synergetic effects that are more than the simple summation of the features. While the invention has been illustrated, and described in detail in the drawings and foregoing description, such illustration and description are to be considered illustrative or exemplary and not restrictive. The invention is not limited to the disclosed embodiments. Other variations to the disclosed embodiments can be understood and effected by those skilled in the art in practicing a claimed invention, from a study of the drawings, the disclosure, and the dependent claims. In the claims, the word “comprising” does not exclude other elements or steps, and the indefinite article “a” or “an” does not exclude a plurality. A single processor or other unit may fulfil the functions of several items re-cited in the claims. The mere fact that certain measures are re-cited in mutually different dependent claims does not indicate that a combination of these measures cannot be used to advantage. Any reference signs in the claims should not be construed as limiting the scope.
060027347
claims
1. A method of measuring the content, in a sample, of one or more assay elements, comprising the steps: (a) producing a beam of gamma rays of sufficient energy to excite nuclei of the assay element to an excited state; (b) irradiating said sample by oscillating said sample within said beam thereby producing said excited state; (c) detecting radiation resulting from the decay of said excited state; and (d) analyzing said detected radiation to determine the content of assay element in the sample. (a) said beam of gamma rays is produced by impinging a beam of electrons upon a target; (b) said assay element is excited to an isomeric state; and (c) said decay radiation is gamma radiation of a characteristic energy resulting from the decay of said excited nuclei to a ground state. (a) producing a beam of gamma rays of sufficient energy to excite nuclei of the assay elements into selected isomeric states; (b) sequentially irradiating sections of said sample with said beam; (c) detecting gamma radiation from said sections resulting from the decay of said isomeric states to a ground state; and (d) analyzing said detected gamma radiation to determine the content of assay elements in the sample. (a) producing said beam of electrons with a linear accelerator; and (b) controlling energy of said beam of electrons so that energy of said beam of gamma rays is below thresholds for production of neutrons by a photoneutron process or by a photofission process. (a) material to thermalize and absorb neutrons; and (b) material to absorb gamma radiation. (a) producing a beam of gamma rays of sufficient energy to excite nuclei of the assay elements into selected isomeric states, wherein said beam is produced by impinging a beam of electrons upon a target interposed in said beam of electrons; (b) irradiating said sample with said beam, wherein said sample is oscillated during said irradiation; (c) after said irradiating step, moving said irradiated sample to a shielded environment; (d) detecting within said shielded environment gamma radiation resulting from the decay of said isomeric states to a ground state; and (e) analyzing said detected gamma radiation to determine the content of assay elements in the sample. (a) producing said beam of electrons with a linear accelerator; and (b) controlling energy of said beam of electrons so that energy of said beam of gamma rays is below thresholds for production of neutrons by a photoneutron process or by a photofission process. (a) material to thermalize and absorb neutrons; and (b) material to absorb gamma radiation. 2. The method of claim 1 wherein: 3. The method of claim 2 wherein said sample is conveyed after excitation from operative proximity to said beam of gamma rays to operative proximity for measuring said decay radiation. 4. A method of measuring the content in an ore sample of one or more assay elements characterized by metastable isomeric states, comprising the steps: 5. The method of claim 4 comprising the additional step of producing said beam of gamma rays by impinging a beam of electrons upon a target interposed in said beam of electrons. 6. The method of claim 5 comprising the additional step, carried out after said irradiating step and before said detecting step, of moving said irradiated sample to shielded environment wherein the detecting step is performed. 7. The method of claim 6 wherein said irradiating step is carried out for a period of time generally commensurate with the half life of one or more of the excited assay elements. 8. The method of claim 6 wherein said ore sample contains one or more of the assay elements selected from gold, silver, barium, iridium, hafnium, or mixtures thereof. 9. The method of claim 6 comprising the additional steps of: 10. The method of claim 9 wherein said energy of said beam of gamma rays is within the range of about 6 MeV to about 9 MeV. 11. The method of claim 6 including the additional step of shielding said sample from external gamma radiation and external neutron radiation while said sample is within said shielded environment. 12. The method of claim 6 comprising the additional step of shielding said target with an irradiation system shield comprising: 13. The method of claim 6 wherein said gamma radiation is detected with a detection system comprising a plurality of gamma ray detectors. 14. The method of claim 13 wherein said detection system comprises a plurality of gamma ray detectors positioned above said sample and a plurality of gamma ray detectors positioned below said sample. 15. The method of claim 14 wherein said gamma ray detectors comprise Ge detectors. 16. A method of measuring the content in an ore sample of one or more assay elements characterized by metastable isomeric states, comprising the steps: 17. The method of claim 16 comprising the additional step of irradiating segments of said sample. 18. The method of claim 17 comprising the additional steps of sequentially irradiating and detecting radiation from all of said sample. 19. The method of claim 17 wherein said irradiating step is carried out for a period of time generally commensurate with the half life of one or more of the excited assay elements. 20. The method of claim 17 wherein said ore sample contains one or more of the assay elements selected from gold, silver, barium, iridium, hafnium, or mixtures thereof. 21. The method of claim 17 comprising the additional steps of: 22. The method of claim 17 wherein said energy of said beam of gamma rays is within the range of about 6 MeV to about 9 MeV. 23. The method of claim 17 including the additional step of shielding said sample from external gamma radiation and external neutron radiation while said sample is within said shielded environment. 24. The method of claim 17 comprising the additional step of shielding said target with an irradiation system shield comprising: 25. The method of claim 17 wherein said gamma radiation is detected with a detection system comprising a plurality of gamma ray detectors. 26. The method of claim 25 wherein said detection system comprises a plurality of gamma ray detectors positioned above said sample and a plurality of gamma ray detectors positioned below said sample. 27. The method of claim 26 wherein said gamma ray detectors comprise Ge detectors. 28. The method of claim 17 comprising the additional steps of sequentially irradiating and detecting radiation from all of said irradiated segments of said sample.
summary
description
This application is the U.S. national phase of International Application No. PCT/KR2007/007022, filed 31 Dec. 2007, which designated the U.S. and claims priority to Korean Application No(s). 10-2007-0000290, filed 2 Jan. 2007, the entire contents of each of which are hereby incorporated by reference. The present invention relates to a modular reactor head area assembly, and more particularly, to a modular reactor head area assembly that can be removed and assembled easily so that maintenance of control rod driving apparatuses installed on the reactor can be performed in a simple way. A reactor head area assembly is a structure installed on a pressurized water reactor (PWR) head. When a reactor operates normally, the reactor head area assembly performs functions such as cooling of control rod driving apparatuses, forming of a cooling air path, shielding of missile parts, and supporting of the structure with respect to seismic loads. In addition, when refueling is performed, the reactor head area assembly lifts the control rod driving apparatuses and the reactor head at the same time. As described above, since the reactor head area assembly lifts the control rod driving apparatus and the reactor head when the refueling is performed, research into integrating the reactor head area assembly has been performed in order to reduce the number of structures that should be removed or assembled when a refueling process is performed. Korean Laid-open Patent No. 2000-74957 discloses an integrated head area design of a nuclear reactor. Referring to FIG. 1, a control rod driving apparatus 6 in a reactor head area assembly is a structure installed on a reactor head 0 in order to insert and withdraw control rods that controls a nuclear reaction speed in a core of the reactor. The control rod driving apparatus 6 is formed in a general tube shape having gaps therein. The control rod moves in an up-and-down direction by the driving shaft in the control rod driving apparatus 6 to control the nuclear reaction speed of the reactor core. A control rod position indicator is a sensor installed on the control rod driving apparatus 6 to sense a position of the control rod. A motor assembly 6a is a structure for driving the control rod. Operations relating to the control rod driving apparatus 6 are, for example, maintenance of the motor assembly 6a, maintenance of the position director, and a calibration in initial installation of the position director or in re-installation of the position indicator. FIG. 2 shows an example of a reactor head area assembly according to the conventional art. The conventional reactor head area assembly includes an upper plenum including cooling fans 1, a shackle 2, and a tripod 3, and a ring type structure that is referred to as a shroud under the upper plenum. In addition, a cable support assembly, a control rod driving apparatus, and a baffle that will be described later are disposed in the shroud. The cooling fan 1 is installed to cool the control rod driving apparatus, and the shackle 2 and the tripod 3 are used to lift the reactor head area assembly. The shackle 2 is connected to a crane (not shown), and the shackle is also connected to the tripod 3, and thus, the entire reactor head area assembly is lifted when a lifting force is applied upward by the crane. FIG. 3 is a view illustrating the arrangement of the shroud 4, the baffle 5, and the control rod driving apparatus 6. The portion shown in FIG. 3 is the lowest portion of the reactor head area assembly of FIG. 2. As shown in FIG. 3, a structure, that is, the baffle 5, surrounds the control rod driving apparatus 6, and the shroud 4 surrounds the baffle 5. In FIG. 3, one control rod driving apparatus 6 is disposed in order to clarify the baffle 5 and the shroud 4, however, in actuality a plurality of control rod driving apparatuses 6 are disposed as shown in FIG. 1. The shroud 4 performs as a cover of the structures therein, and the baffle 5 forms a flow path of air between the shroud 4 and the baffle 5 so that the air drawn by the cooling fan 1 can sufficiently flow therethrough. Therefore, the control rod driving apparatus 6 and the reactor head nozzles can be cooled down easily. Meanwhile, the shroud 4 is supported by support columns 7 disposed on upper and lower portions of the shroud 4. The support column 7 is not formed of an H beam that is disposed in a vertical direction, but formed of a plurality of H beams on upper and lower portions of the shroud 4, which are connected to each other. In addition, the shroud 4 is not a shell, but is formed of a plurality of plates. One reactor head area assembly includes three through five layers of shrouds 4, and the number of shroud layers is equal to the number of H beams forming one support column 7. In the reactor head area assembly of FIG. 2, four shroud layers are formed. The cable support assembly supports cables 10 (shown on FIG. 4) that are connected to the control rod driving apparatus 6 to supply electricity to the control rod driving apparatus 6, or connects signals generated from the position director (not shown) located in the control rod driving apparatus 6 to be output. The cable support assembly is disposed on an upper portion of the control rod driving apparatus 6, and is located on a part denoted as C in FIG. 2. FIG. 4 illustrates a state where the cables 10 are supported by the cable support assembly. As shown in FIG. 4, the cable support assembly includes a cable support ring beam 8 and a plurality of cable support wires 9. The cable support wires 9 include multiple layers that form grids, and thus, the cable support wires 9 can support the cables 10 with predetermined distances between the cables 10. Meanwhile, both end portions of the cable support wire 9 are fixed on the cable support ring beam 8, and the cable support wires 9 support the cables 10 by penetrating the baffle 5. In the conventional reactor head area assembly, when operations relating to the control rod driving apparatus 6 are performed, the cooling fan 1, the shackle 2, the tripod 3, and the plenum are lifted first, and then, the cable support structure, including the cable support wires 9 installed under the plenum, is disassembled to remove the cables 10 and the cable support structure. After that, the baffle 5 is separated. As described above, it takes a long time to perform the operations of independently disassembling the elements of the reactor head area assembly, and some elements can be deformed during this process. In addition, if the deformation occurs during the disassembling process, it is difficult to re-assemble the elements due to the deformation after the operations are completed. Meanwhile, it also takes a long time to disassemble or remove the structure located on the control rod driving apparatus, and thus, some of the elements only were disassembled to perform the operations. At this time, it is difficult to ensure a sufficient operating space. The present invention provides a modular reactor head area assembly, structures of which can be disassembled simply so that operations relating to control rod driving apparatuses can be performed easily. According to an aspect of the present invention, there is provided a modular reactor head area assembly installed on a reactor head, the modular reactor head area assembly:a seismic support structure that supports with respect to a seismic load and disperses a load applied to control rod driving apparatuses; an upper module that is an assembly of components located at an upper portion of the seismic support structure for the control rod driving apparatuses; and a lower module that is an assembly of components located at a lower portion of the seismic support structure, wherein the upper module and the lower module are detachably coupled to each other so that maintenance of the control rod driving apparatus can be performed easily. The upper module may include: an upper shroud shell formed as a cylinder with open upper and lower ends; an upper baffle fixed in the upper shroud shell to be supported by the shroud shell, and forming an air path for cooling down the control rod driving apparatuses; a cooling fan for cooling the control rod driving apparatuses, a shackle connected to a crane for lifting force, a tripod connected to the shackle, and a plenum that supports the cooling fan, the shackle, and the tripod, and is coupled to an upper portion of the upper shroud shell; cables connected to the control rod driving apparatuses to supply an electricity, and transferring signals generated from a measurer installed in the reactor; and a cable support assembly including a cable support ring beam fixed on the upper shroud shell, and cable support wires, both end portions of which are fixed on the cable support ring beam to support the cables. The lower module may include: a lower shroud shell formed as a cylinder with open upper and lower ends; a lower baffle fixed in the lower shroud shell to be supported by the lower shroud shell; a plurality of control rod driving apparatuses disposed in the lower shroud shell for lifting or inserting a control rod of the reactor; and a seismic cap plate, a seismic support board, and a seismic support ring beam for the control rod driving apparatuses, which are formed on an upper portion of the lower shroud shell to transfer a load applied to the control rod driving apparatuses to an external structure. The upper shroud shell and the upper baffle may be detachably fixed on the seismic support ring beam. flanges may be formed on each of lower end portions of the upper shroud shell and the upper baffle is supported by the upper shroud shell , and the flanges formed on the upper shroud shell is coupled to the seismic support ring beam using bolts so that the upper module including the upper shroud and the upper baffle can be separated from the lower module by removing the bolts. The cable support ring beam may be fixed on an outer portion of the upper shroud shell, and the cable support wires penetrate the upper shroud shell and the upper baffle to support the cables. According to the present invention, the modular reactor head area assembly including a plurality of stacked modules is provided so that some parts of the reactor head area assembly can be removed or installed simultaneously. According to the present invention, the components of the reactor head area assembly can be removed using a simple disassembling process, and thus, the operator can easily perform maintenance of the control rod driving apparatuses that are located in the reactor head area assembly, and the moving and handling of the modular reactor head area assembly can be performed easily during the initial installation of the reactor head area assembly. In addition, the modular reactor head area assembly is provided, and thus, the reactor head area assembly can be fabricated easily. Also, since the operations performed at the fabrication field can be minimized, the unity of the structure can be improved. Hereinafter, a modular reactor head area assembly of the present invention will be described as follows with respect to accompanying drawings. FIG. 5 is a perspective view of an upper module in a modular reactor head area assembly according to an embodiment of the present invention, FIG. 6 is a perspective view of the upper module of FIG. 5, in which an upper shroud shell is removed, and FIG. 7 is a perspective view of a cable support assembly included in the upper module of FIG. 5. FIG. 8 is a perspective view of a lower module in the modular reactor head area assembly according to an embodiment of the present invention, FIG. 9 is a perspective view of the upper module of FIG. 5 and the lower module of FIG. 8 when coupled to each other, and FIG. 10 is a perspective view of a seismic plate for a control rod driving apparatus in the lower module of FIG. 8. The modular reactor head area assembly according to the current embodiment includes an upper module and a lower module. A reactor head area assembly is installed on a reactor head (0, refer to FIG. 1). The reactor head area assembly performs such functions as lifting and assembling of all the head area structures; cooling of the control rod driving apparatus, and shielding of missile parts, and additionally, supporting the control rod driving apparatus with respect to a seismic load. A seismic support structure for distributing a load applied to the reactor head area assembly is disposed in the reactor head area assembly. The seismic support structure includes seismic cap plates 240 (FIG. 8) and a seismic support ring beam 250. The seismic cap plates 240 for the control rod driving apparatus include seismic caps 241, and cable connection terminals 242 for connecting cables. An electric source cable that supplies electricity to the control rod driving apparatus and a cable of a position director (not shown) for sensing a position of the control rod are connected to the cable connection terminal 242. The position director is a sensor that is vertically installed in the control rod driving apparatus from an intermediate portion to an upper end portion of the control rod driving apparatus. As described above, operations relating to the control rod driving apparatus are maintenance of a motor assembly, maintenance of the position director, and calibration in initial-installation or re-installation of the position director. In order to perform the above operations effectively, an operator needs to operate in a state where a sufficient operating space is ensured on the seismic cap plates 240, and accordingly, it is important to remove the structures on the seismic support structure for the control rod driving apparatus. Due to the above needs, the modular reactor head area assembly of the current embodiment includes an upper module 100 that is an assembly of components located on an upper portion of the seismic support structure for the control rod driving apparatus, and a lower module 200 that is an assembly of components located on a lower portion of the seismic support structure for the control rod driving apparatus. Hereinafter, the upper module 100 will be described in detail with reference to FIGS. 5 through 7. Referring to FIGS. 5 and 6, the upper module 100 includes an upper shroud shell 110, an upper baffle 120, a plenum 130, and cables and a cable support assembly 150. The upper shroud shell 110 is a cylindrical structure with open upper and lower portions, and can be formed as a shell. The upper shroud shell 110 performs as a cover surrounding the outermost portion of the reactor head area assembly, and supports the entire structure of the upper baffle 120, the plenum 130, and the cables and cable support assembly 150. A flange 111 protruding outwards from a circumference of the upper shroud shell 110 is formed on a lower end portion of the upper shroud shell 110, and openings are formed on the flange 111 with predetermined distances therebetween. A door 112 is formed on a part of the upper shroud shell 110 so that the operation of separating the cables from the cable connection terminal 242 can be performed in the reactor head area assembly through the door 112. In addition, the cables in the upper module 100 can be externally connected through the door 112. A cable bridge 114 with cable connection plate 113 is installed on an external side of the door 112 formed on the upper shroud shell 110 so as to be connected to the cables. The cable bridge 114 provides the routing path of the cables from IHA inside to outside and it allows to access for the cable connectors on the cable connection plate 113 from operating floor. The upper baffle 120 is shown in FIG. 6, and FIG. 6 shows that the upper module of FIG. 5 when the upper shroud shell 110 is removed. The upper baffle 120 is a thin plate type structure having a polygonal shape surrounding the control rod driving apparatus, and is fixedly supported by the inner side of the upper shroud shell 110. Air that has been warmed due to the cooling of the control rod driving apparatus is guided towards the cooling fan through the space between the upper shroud shell 110 and the upper baffle 120, and accordingly, the upper baffle 120 forms an air path for cooling down the control rod driving apparatus. A flange is formed on the lower end portion of the upper baffle 120 like in the upper shroud shell 110, however, the flange of the upper baffle 120 protrudes inwards from the lower end portion of the upper baffle 120. The plenum 130 is a structure supporting a cooling fan 131, a shackle 132, and a tripod 133, and is installed on the upper shroud shell 110. The plenum 130 provides a space, in which air rising through the spaces between the upper shroud shell 110 and the upper baffles 120 is mixed altogether before being induced into an inlet of the cooling fan 131. The cooling fan 131, the shackle 132, and the tripod 133 of the current embodiment are substantially the same as those of the conventional art, and thus, detailed descriptions for those are omitted here. The conventional cables are illustrated in FIG. 4, and the cables of the current embodiment are connected to the control rod driving apparatuses via the cable connection terminals 242 formed on the seismic cap plates 240. The cables can include the cables for supplying electricity to the control rod driving apparatuses, and the cables transmitting signals generated from the position director in the reactor to an external portion of the reactor head area assembly through the door 112 and the cable connection plate 113 on the cable bridge 114. The cable support assembly 150 includes cable support ring beams 151 and cable support wires 152. The cable support assembly 150 is shown in FIGS. 5 and 7, and FIG. 7 illustrates the cable support assembly 150 only. The cable support ring beams 151 are fixed on the outer portion of the upper shroud shell 110 as shown in FIG. 5. Both ends of each of the cable support wires 152 are fixed on the cable support ring beam 151, and the cable support wires 152 substantially support the cables. Referring to FIG. 7, the cable support wires 152 are arranged to form grids, and are also arranged in multiple layers. One end of the cable support wire 152 is fixed on the cable support ring beam 151 and penetrates the upper shroud shell 110 and the upper baffle 120 to support the cables, and the other end of the cable support wire 152 first penetrates the upper baffle 120 and then the upper shroud shell 110 and is fixed on the other side of the cable support ring beam 151. Hereinafter, the lower module 200 will be described in detail with reference to FIGS. 8 and 10. The lower module 200 includes a lower shroud shell 210, a lower baffle 220, control rod driving apparatuses, the seismic cap plates 240, seismic boards 252, a seismic support ring beam 250, and main columns 260. The lower shroud shell 210 is a cylindrical shell with opened upper and lower portions like the upper shroud shell 110, and a flange (not shown) is formed on a lower end portion of the lower shroud shell 210 so that the lower shroud shell 210 can be coupled to the upper portion of the reactor head 0. Furthermore, the lower shroud shell 210 includes a window, through which cooling air is induced, and a lower door, through which an operator can access the reactor head to perform maintenance. On the upper portion of the lower shroud shell 210, an operating board 211 and a handrail 212 allowing the operator to perform maintenance are formed. The lower baffle 220 is fixed in the lower shroud shell 210 and is supported by the lower shroud shell 210. The structure, functions, and connections to the lower shroud shell 210 of the lower baffle 220 are similar to the structure, functions, and connections to the upper shroud shell 110 of the upper baffle 120, and thus, detailed descriptions of those are omitted. A plurality of control rod driving apparatuses are installed in the lower baffle 220. Although the control rod driving apparatuses are not shown in FIG. 8 due to the lower baffle 220, they have already been described in the description of the conventional art with reference to FIGS. 1 through 4, and thus, detailed descriptions of the control rod driving apparatuses are omitted. The seismic cap plates 240 are installed on upper portions of the control rod driving apparatuses, and include the seismic caps 241 for protecting the upper end portions of the control rod driving apparatuses and the cable connection terminals 242 for connecting to the cables. The seismic cap plates 240 for the control rod driving apparatuses horizontally transmit vibrations of the control rod driving apparatuses during the driving of the control rod driving apparatuses or the load applied to the control rod driving apparatuses during an earthquake to the seismic support ring beam 250. The seismic support ring beam 250 transmits the load transmitted from the seismic cap plates 240 for the control rod driving apparatus to a hangar that is disposed on an external portion of the reactor head area assembly through the seismic support board 252. A plurality of bolt holes 251 are disposed on the seismic support ring beam 250, and thus, the seismic support ring beam 250 can be detachably coupled to the upper shroud shell 110 using bolts that penetrate the openings formed on the flange 111 of the upper shroud shell 110. Since the upper baffle 120 includes the flange and the openings, the upper baffle 120 can be coupled to the seismic support ring beam 250 using bolts. However, the upper baffle 120 simply provides the air path and does not support the load and is fixed on the upper shroud shell 110 while the upper shroud shell 110 supports the load, and thus, the upper baffle 120 can be coupled to the seismic ring beam 250 by being mounted on the seismic ring beam 250. A height of the seismic ring beam 250 is equal to that of the seismic cap plates 240 for the control rod driving apparatuses, and the operating board 211 is also disposed at the same height. In order to perform the operations relating to the control rod driving apparatus, the seismic cap plates 240 for the control rod driving apparatuses should be exposed. In addition, since the height of the seismic cap plate 240 for the control rod driving apparatuses and the height of the seismic ring beam 250 are equal to each other, the seismic support ring beam 250 and the upper shroud shell 110 and the upper baffle 120 in the upper module 100 can be coupled to each other. Also, since the seismic support ring beam 250 is a rigid structure, it is structurally stable that the upper shroud shell 110 and the upper baffle 120 of the upper module 100 are coupled to the seismic support ring beam 250. The main columns 260 are directly connected to the reactor head 0 and penetrate the lower module 200 to be connected to the tripod 133. Referring to FIG. 9, the main columns 260 are rods that support the load applied to the reactor head area assembly when the entire reactor head area assembly is lifted when the upper module 100 and the lower module 200 are joined together. The main columns 260 remain in the lower module 200 as being fixed on the reactor head 0 when the upper module 100 is lifted. Hereinafter, a process of separating the upper module 100 from the lower module 200 to perform the operations relating to the control rod driving apparatuses in a state where the upper module 100 and the lower module 200 are coupled to each other will be described with reference to FIG. 9. In order to separate the upper module 100 and the lower module 200 from each other, the cables are first separated from the cable connection plate 113 through the cable bridge 114 that is formed on the outer portion of the upper shroud shell 110. In order to separate the cables from the cable connection terminals 242, the operator enters the upper shroud shell 110 through the door 112 formed in the upper shroud shell 110, and then, the operator disconnects the cables from the cable connection terminals 242. When the cables are separated from the cable connection terminals 242, the coupling between the tripod 133 on the upper portion of the plenum 130 and the main columns 260 is released. When the tripod 133 and the main columns 260 are separated from each other, the coupling between the upper baffle 120 and the seismic support ring beam 250 using the bolts is released in the upper shroud shell 110. If the upper baffle 120 is coupled to the seismic support ring beam 250 in the way that the flange of the upper baffle 120 is left on the seismic support ring beam 250, the bolts do not need to be removed. After that, the coupling between the upper shroud shell 110 and the seismic support ring beam 250 using the bolts is released by an operator on the operating board 211. When the releasing operation is completed, the connections between the upper module 100 and the lower module 200 are disconnected and the upper and lower modules 100 and 200 can be separated from each other. In this state, when a crane is connected to the shackle 132 and a lifting force is applied upward, the upper module 100 is removed, and the reactor head area assembly becomes the same as shown in FIG. 8. At this time, the main columns 260 maintain connection with the reactor head 0 as described above, and protrude from the seismic support ring beam 250. Then, the operating space for performing the operations relating to the control rod driving apparatus are sufficiently ensured, and thus, the operator can operate stably. In the above description, the seismic support ring beam is included in the lower module, and the upper module is detachably coupled to the seismic support ring beam. However, the structure of the reactor head area assembly is not limited thereto, that is, the seismic support ring beam can be included in the upper module and the lower module can be detachably coupled to the seismic support ring beam. In this case, the operations relating to the control rod driving apparatus also can be performed easily by exposing the seismic cap plate for the control rod driving apparatuses. As described above, according to the present invention, the modular reactor head area assembly including a plurality of stacked modules is provided so that some parts of the reactor head area assembly can be removed or installed simultaneously. According to the present invention, the components of the reactor head area assembly can be removed using a simple disassembling process, and thus, the operator can easily perform maintenance of the control rod driving apparatuses that are located in the reactor head area assembly, and the moving and handling of the modular reactor head area assembly can be performed easily during the initial installation of the reactor head area assembly. In addition, the modular reactor head area assembly is provided, and thus, the reactor head area assembly can be fabricated easily. Also, since the operations performed at the fabrication field can be minimized, the unity of the structure can be improved. While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present invention as defined by the following claims.
046631160
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a nuclear reactor of the type in which the cooling water has a free liquid level in the pressure vessel such as in the boiling-water reactor, so that even when a break has developed in the drain conduit in a system which circulates the cooling water into the pressure vessel in the reactor, the cooling water is prevented from escaping, and the cooling water is allowed to remain in sufficiently large amounts in the pressure vessel. 2. Description of the Prior Art A conventional boiling-water reactor is equipped, with a cooling water recirculation system which consists of recirculation conduits recirculation pumps, and jet pumps, in order to supply large amounts of cooling water to a reactor core to enhance the core efficiency. From the necessity to take out the cooling water of a relatively low temperature from the pressure vessel of the reactor, an intake of the drain conduit in the cooling water recirculation system is opened in the pressure vessel at a position below the reactor core. In such a nuclear reactor, if breakage develops in the drain conduit, the cooling water gushes out through a broken portion since the pressure is high in the pressure vessel, the water level in the pressure vessel drops quickly to the position of intake of the conduit, and the reactor core burns without the cooling water. Another nuclear reactor in which the cooling water has a free liquid level in the pressure vessel of nuclear reactor can be represented by a nuclear reactor of the type of natural circulation. In the nuclear reactor of this type, provision is usually made of a purifying system or a spray system for pressure control between the interior and the exterior of the pressure vessel to circulate the cooling water while purifying the cooling water or controlling the pressure in the pressure chamber. In the former system, the intake of the drain conduit is opened in the lower portion of the pressure vessel so that precipitates deposited on the lower portion of the pressure vessel can be removed. In the latter system, also, the intake of the drain conduit is opened in the lower portion of the pressure vessel so that cold cooling water can be taken out. Even in such a nuclear reactor, if the drain conduit breaks, the water level in the pressure vessel may drop to the level of intake of the drain conduit, and the reactor core may burn without the cooling water. SUMMARY OF THE INVENTION The object of the present invention therefore is to provide a nuclear reactor equipped with a cooling water circulation system which circulates the cooling water to and from a pressure vessel which surrounds a reactor core, maintaining a free liquid level of cooling water above the reactor core, wherein even when the conduit is broken, the level of cooling water in the pressure vessel does not drop to the position of an intake even though the intake of the drain conduit in the cooling water circulation system is located below the reactor core, and the cooling water remains in the pressure vessel in amounts sufficient to avoid such an occurrence that the reactor core burns without the cooling water. To accomplish the above-mentioned object according to the present invention there is provided a nuclear reactor equipped with a cooling water circulation system which includes a water-feed conduit and a drain conduit to circulate the cooling water to and from a pressure vessel which surrounds a reactor core, the cooling water having a free liquid level maintained above the reactor core, the improvement wherein said drain conduit is inserted in the pressure vessel from the upper side toward the lower side relative to said free liquid level, a double tube consisting of an inner tube and an outer tube maintaining a gap therebetween is formed in the end portion of said drain conduit, said inner tube and outer tube being contiguous with said drain conduit, the opening at the lower end of the inner tube is located below said free liquid level but above said reactor core, the opening at the upper end of said outer tube is located above said free liquid level, and the opening at the lower end of said outer tube is located below the reactor core. According to the present invention, there is provided a nuclear reactor equipped with a cooling water circulation system which includes a water-feed conduit and a drain conduit to circulate the cooling water to and from a pressure vessel which surrounds a reactor core, the cooling water having a free liquid level maintained above the reactor core, the improvement wherein said drain conduit is inserted in the pressure vessel from the upper side toward the lower side relative to said free liquid level, the opening at the lower end of said drain conduit is located below the reactor core, and a lateral hole is formed in said drain conduit at a position lower than said free liquid level but higher than the reactor core.
abstract
A vacuum micro-electronics device that utilizes fissile material capable of using the existing neutron leakage from the fuel assemblies of a nuclear reactor to produce thermal energy to power the heater/cathode element of the vacuum micro-electronics device and a self-powered detector emitter to produce the voltage/current necessary to power the anode/plate terminal of the vacuum micro-electronics device.
041742559
abstract
An ultrasonic search unit for locating defective fuel elements within a fuel assembly used in a water cooled nuclear reactor is presented. The unit is capable of freely traversing the restricted spaces between the fuel elements.
041586069
abstract
The present invention is based on the discovery that radiation-induced voids which occur during fast neutron irradiation can be controlled by small but effective additions of titanium and silicon. The void-suppressing effect of these metals in combination is demonstrated and particularly apparent in austenitic stainless steels.
060884274
summary
BACKGROUND OF THE INVENTION The present invention relates to apparatuses for radiological examination, and particularly to the portion of such apparatuses used to hold photographic film or plates onto which the image of a part of the body of the patient that is undergoing examination is impressed. Radiology is commonly known as the medical science that is concerned with the use of ionizing radiation, particularly x-rays, for the purpose of diagnosis and treatment of disease. A part of the body of the patient is substantially exposed to a beam of radiation that moves through the body in a selective manner, and finally impresses an image on the photographic film. An image is thereby provided from which valuable clinical information can be derived concerning the part of the body undergoing examination. A major problem that is encountered in connection with radiological examination is the scattering of radiation in the body of the patient, which scattering gives rise to interference on the image. That is, the image is intended to be generated by direct radiation, but indirect radiation due to scattering can interfere with this image. As a result, radiological apparatus require the provision of an arrangement that enables the apparatus to minimize the effect of scattered radiation. Such an arrangement is generally known in the art as a Potter-Bucky grid, or a Bucky diaphragm. In practical terms, this is a grid that is formed by an assembly of lead strips, resembling an open Venetian blind, which is placed between the body of the patient that is being x-rayed and the photographic film or plate that is to receive the image. The grid has the task of filtering out the scattered radiation that would otherwise impair the quality of the impressed image. The rectilinear lead strips of the grid are usually spaced from each other by the interposition of strip of an x-ray transparent material. In the past this was usually wood, but is now commonly replaced by plastics or aluminum. The lead strips are oriented so that they are directed towards a virtual line, that is, the focal line. The focus of the x-ray emitting tube is placed along this focal line. The primary rays, after having passed through the body of the patient, come up against the grid. The strips of the grid oppose the primary rays at just a minimum of the overall absorption surface. Conversely, oblique rays due to scattering by the body of the patient are prevented from passing through the grid by the strips. Thus, the grid carries out selective absorption of the scattered radiation. Moving grids were first provided by assembling the strips in accordance with the cylindrical surface whose axis coincided with the focal line. During the movement of the grid, the grid remained focused. However, this particular type of embodiment had a major drawback in that examination tables were provided with a cylindrical surface having the grid placed thereunder, or if the surface was plane, in a preferable embodiment, the need arises to place the grid above the surface, having appropriate devices on all sides thereof for the convenience of the patient. Currently, plane grids are used, which represents major progress. However, these plane grids still have drawbacks in that the focusing of the radiation is not maintained over all of the grid during movement. The ratio of the height h of a lead strip to the distance d of the lead strip can be said to be R, and equal to h/d. A grid having a high ratio R requires the central ray to be focused in an extremely accurate manner. A centering or focusing error would in fact entail a considerable absorption effect. For example, a two degree focusing error on the grid having a ratio R of 6.5 would entail a 64% transmission for the primary radiation, whereas such a transmission would be further reduced to 37% with a ratio R of 16. In practice, there is a limit to the ratio R for the grids, the limit being set by the need for an acceptable compromise between the anti-scattering effect desired and the highest possible contrast which can be reached. Another problem that has been encountered in using grids relates to the cancellation of the radiographic image of the grid itself. In order to cancel this image, the grid has been installed in a driving mechanism which confers a reciprocating motion to the grid. It is has been experimentally found that there are reciprocating speeds of the grid that bring about stroboscopic effects for displacements of a step or half a step. An appropriate selection of the speed, in accordance with the exposure time, enables such effects to be avoided, or at least to be minimized to negligible levels. It can be conclusively stated, however, that an overall solution of all of the afore mentioned problems and drawbacks relating to the use of grids has up to now proven to be practically impossible. SUMMARY OF THE INVENTION Accordingly, it is a main purpose of the present invention to provide an apparatus for radiological examination in which a support arrangement for a moving grid is driven in a simple and accurate manner so as to ensure a good radiographic image under different adjustments and set-up conditions of the apparatus. The object according to the present invention is achieved by an apparatus for radiological examination which has a radiation source for producing radiation to be directed toward a patient, a holder for holding a film or plate and receiving radiation from the radiation source that is passed through a patient, and a grid. The grid includes an assembly of lead strips located between the radiation source and the film holder. The grid is mounted for reciprocating motion in a direction that is generally perpendicular to the direction from the radiation source to the film holder. A motor is connected to the grid for displacing the grid with reciprocating motion, the grid having an oscillating mass when in motion. A counterweight is connected so as to dynamically balance the oscillating mass of the grid during the reciprocating motion of the grid. The motor preferably comprises a stepper motor. Furthermore, a first crank and a first connecting rod are preferably used to connect the motor to the grid. The counterweight, furthermore, is preferably connected to the motor by a second crank and a second connecting rod. The first and second cranks are preferably parallel with each other so as to be 180 degrees out of phase with each other. An electronic control means is preferably provided in order to control the angular speed of the stepper motor. A housing supports the holder, mounts the grid for reciprocating motion and houses the motor and the counterweight. The electronic control means, furthermore, can control the stepper motor so as to maintain a constant reciprocating speed of the grid .
summary
description
1. Technical Field This invention relates generally to a mechanical bucket and more particularly to a mechanical bucket that separates smaller material from larger material. 2. State of the Art The separation of smaller material from larger material is common in instances such as excavation wherein the smaller material is desired at one location and the larger material is desired to be at a second location. This is commonly performed in a process that requires several steps to complete. For example, a vehicle such as, but not limited to a hydraulic excavator or backhoe, may use a bucket or other device to collect a particular amount of material. The material may be deposited into a separating device, such as a screen or disc screen separator. The smaller material is separated from the larger material. The smaller material may then be transported to a first location and the larger material may be transported to a second location. There are several limitations to these common or conventional forms of separating smaller material from larger material. One limitation includes having multiple pieces of equipment to perform the separation of the material. A vehicle is required to collect the material. A separating device then separates the smaller material from the larger material. A vehicle may be employed to deliver the smaller material to a first location and another vehicle may be employed to deliver the larger material to second location. This creates a time consuming process of separating material. Another limitation is present when debris collects or becomes lodged in particular components of a separating device and hinders proper functionality of the separating device. For example, in a disc screen or roll screen separator, debris may hinder the rotation of the discs or rollers that perform the separating of the smaller material from the larger material. The removal of the debris requires additional equipment to dislodge and/or remove the debris to allow proper functionality of the separating device to properly perform separation of material. Accordingly, there is a need for an improved separating device that requires less equipment and has the ability to remove debris from the separating device. The present invention relates to a mechanical bucket that includes a separating device removably secured to a bottom portion of the bucket for separating smaller material from larger material. The separation is performed in the bucket and requires only one piece of equipment. An aspect of the present invention includes a mechanical bucket for separating small material from larger material. The mechanical bucket includes a bucket adapted to receive and retain material within the bucket and a roller screen assembly removably secured to a bottom portion of the bucket. The material received within the bucket rests on the roller screen assembly when deactivated. Further, the roller screen assembly is adapted to separate smaller material from larger material of the material received within the bucket when activated. Another aspect of the present invention includes a material separator comprising a mechanical bucket defining an inner volume. The mechanical bucket is adapted to couple to a vehicle, the mechanical bucket being movable between a first location and a second location by use of the vehicle. The material separator further comprises a roller screen assembly removably secured to a bottom portion of the mechanical bucket. Material received within the mechanical bucket rests on the roller screen assembly when deactivated. The roller screen assembly is adapted to separate smaller material from larger material of the material received within the mechanical bucket when activated. The smaller material passes through the roller screen assembly and is deposited in the first location and the larger material remains in the mechanical bucket. The material separator also includes sub-base removably coupled to the bottom portion of the mechanical bucket. The sub-base is adapted to removably secure the roller screen assembly to the bucket. Another aspect of the present invention includes a method of using a mechanical bucket for separating smaller material from larger material, the method comprising receiving material within a mechanical bucket, the material including smaller material and larger material and moving the mechanical bucket to a location for depositing the smaller material. The method of the present invention further includes the steps of activating a roller screen assembly of the mechanical bucket to separate the smaller material from the larger material and depositing the smaller material in the location, wherein the smaller material during separation passes through the roller screen assembly and is deposited in the location. The foregoing and other features and advantages of the present invention will be apparent from the following more detailed description of the particular embodiments of the invention, as illustrated in the accompanying drawings. As discussed above, embodiments of the present invention relate to a mechanical bucket that includes a separating device removably secured to a bottom portion of the bucket for separating smaller material from larger material. The separation is performed in the bucket and requires only one piece of equipment. Generally the mechanical bucket includes a bucket and a roller screen assembly removably secured to a bottom portion of the bucket. Referring to the drawings, FIGS. 1-3, depict a mechanical bucket 10 in accordance with particular embodiments of the present invention. The mechanical bucket 10 includes a bucket 12, a roller screen assembly 14 and a sub-base 20. The roller screen assembly is removably secured to a bottom portion 24 of the bucket 12. In particular embodiments of the present invention, the sub-base 20 is coupled to the bottom portion 24 of the bucket 12, wherein the sub-base 20 removably secures the roller screen assembly 14 to the bottom portion 24 of the bucket 12. The bucket 12 further includes mounting ears 16. The mounting ears 16 comprise mounting apertures 15, 17 for mounting to a vehicle, such as, but not limited to, a hydraulic excavator and/or backhoe. Particular embodiments of the mechanical bucket 10, in accordance with the present invention, may include a scraper device 18. The scraper device 18 is coupled adjacent the roller screen assembly 14. The sub-base 20 may couple the scraper device 18 adjacent the roller screen assembly 14. The scraper device 18 is used to remove debris from the roller screen assembly 14. The bucket 12 includes an opening 22 for receiving material within the bucket 12. The material rests on the roller screen assembly 14 without any substantial portion of the material falling through the roller screen assembly 14 when the roller screen assembly is deactivated. Upon activation of the roller screen assembly 14, the roller screen assembly is adapted to allow smaller material to be separated from larger material. The activation of the roller screen assembly 14 agitates the material and allows smaller material to pass through the roller screen assembly 14 while the larger material remains within the bucket 12, resting on the roller screen assembly 14. It will be understood that various types of roller screen assemblies may be used with the mechanical bucket 10. The rollers of the roller screen assembly may have discs of any shape and size. For example and without limitation, the shape of the discs may be round, triangular, circular, oval, square, rectangular, an ogive, a star and any other shape usable within a roller screen assembly 14. The roller screen assembly may further allow for various sized material to pass through the roller screen assembly 14, while still separating the larger material from the smaller material, thereby allowing various sizes of material to pass through while still restricting the material greater that the desired sized of material from passing through the roller screen assembly 14. In particular embodiments of the present invention, the mechanical bucket 10 may activate the roller screen assembly 14 at variable revolutions per minute (RPM) or at a variable rotational speed. This allows the various types of roller screen assemblies to be used with the mechanical bucket 10 wherein the RPM may be adjusted for reasons including, but not limited to the types of discs being used on the rollers and the material to be separated. Additionally, the roller screen assembly 14 when activated gradually reaches operating speed and when deactivated gradually reaches stopping speed. For example, the roller screen assembly 14 may be driven to its operating speed at a predetermined rate when activated and may further be driven from operating speed to a stop at a predetermined rate when deactivated. This gradual increase and decrease in speed of the roller screen assembly provides for less wear on the roller screen assembly 14, thereby prolonging the life of the roller screen assembly 14 and reducing the frequency of repairs and replacements of the roller screen assembly 14. Referring again to the drawings, FIGS. 4A and 4B depict an exploded view of a mechanical bucket 10 and a front view of a scraper device 18 respectively. The mechanical bucket in accordance with particular embodiments of the present invention includes a bucket 12, a roller screen assembly 14, and a sub-base 20, and may include a scraper device 18. The roller assembly may include a plurality of rollers 11, a motor 13 and a plurality of chains 32 driving the roller screen assembly 14 when activated. The plurality of rollers 11 are adapted to rotate in a same direction 60 (See FIG. 1) when the roller screen assembly 14 is activated by the motor 13 and chains 32. The motor 13 may be adapted to gradually bring the roller screen assembly 14 to operating speed upon activation and to gradually bring the roller screen assembly 14 to a stop upon deactivation. Further, the motor 13 may operate the roller screen assembly 14 at variable revolutions per minute. The scraper device may include a plurality of scrapers 34 coupled within the scraper device 18, wherein the number of scrapers 34 corresponds to the number of rollers 11. A scraper 34 includes a base portion 19 and a plurality of extensions 21. The extensions 21 extend in a direction transverse to the base portion 19. The plurality of extensions 21 engages the roller screen assembly 14 to scrape debris from the roller screen assembly 14. It will be understood by those of ordinary skill in the art that various types of scraper devices may be employed, so long as they remove debris from the roller screen assembly. Referring further to the drawings, FIG. 5A depicts a roller 11 of the roller assembly 14, in accordance with embodiments of the present invention. The roller 11 includes a plurality of portions 40, 42, 44, each portion having one of a first radius (portion 40), a second radius (portion 42) and a third radius (portion 44). The first radius is smaller than the second radius and the second radius is smaller than the third radius. Each portion 40, 42, 44 of the rollers are coupled together in a repeating pattern for a predetermined length. The pattern includes a portion having the first radius (portion 40) coupled to a portion having the second radius (portion 42), the portion having the second radius (portion 42) coupled to a portion having the third radius (portion 44), and the portion having the third radius (portion 44) coupled to another portion having the first radius (portion 40). It will be understood that while a particular pattern is shown in FIG. 5A, other patterns may be implemented while providing the same or substantially the same benefit and functionality. With additional reference to FIG. 5B, each roller 11 has an axis 36. A plurality of rollers 11 are coupled together within the roller screen assembly 14. The axes 36 of the plurality of rollers 11 in the roller screen assembly 14 are substantially parallel within substantially a same plane. Further, the plurality of rollers 11 of the roller screen assembly 14 are coupled adjacent each other and are oriented in opposite directions such that portions having the first radius (portion 40) are adjacent each other defining a gap 38 of a predetermined size and portions having the second radius (portion 42) are adjacent portions having the third radius (portion 44). This allows for only material having a size smaller than the gap 38 between the portions having the first radius (portion 40) to pass through the roller screen assembly 14, thereby separating the smaller material from the larger material. The separation is performed by activating a motor 13 and thereby turning the rollers 11 in the same direction 60 (See FIG. 1), such that material is agitated allowing the smaller material to pass through the roller screen assembly 14 while retaining the larger material on the roller screen assembly 14. Once the material is separated, the motor 13 is deactivated thereby deactivating the roller screen assembly 14. Particular embodiments of the present invention include chain guards 30 to protect the chains 32 (FIG. 4A) of the roller screen assembly 14. As shown in FIG. 6, particular embodiments may include a material separator comprising a mechanical bucket 10 that is adapted to couple to a vehicle 50 in accordance with the present invention. The mechanical bucket 10 may be coupled to an arm 52 of the vehicle 50. The vehicle 50 may be any type of vehicle, including but not limited to, a hydraulic excavator and a backhoe. The vehicle 50 may utilize the mechanical bucket 10 in a typical manner to scoop or otherwise receive material within the mechanical bucket 10. The mechanical bucket 10 may then be moved to a first location where it is desired that material of smaller size is to be deposited. The mechanical bucket 10 is then activated to separate the smaller material from the larger material, the smaller material passing through the roller screen of the mechanical bucket 10 and is deposited in the first location. Once the separating is completed, the vehicle 50 moves the mechanical bucket 10 to a second location for depositing the larger material by dumping it out of the mechanical bucket 10 in a typical dumping fashion by rotating the mechanical bucket 10. The present invention allows for the separation of material with a single piece of equipment, increasing efficiency. It will be understood that various sizes of mechanical buckets may be employed dependent on various factors such as, but not limited to, the amount of material to be separated and/or the size of the vehicle. Further, the roller screen assembly may also be of various sizes and include various amounts of the plurality of rollers, wherein the roller assembly is comparable to the size of the mechanical bucket. Other particular embodiments of the present invention include a method of using a mechanical bucket for separating smaller material from larger material. The method comprises the steps of receiving material within a mechanical bucket, the material including smaller material and larger material and moving the mechanical bucket to a location for depositing the smaller material. The method further includes the steps of activating a roller screen assembly of the mechanical bucket to separate the smaller material from the larger material and depositing the smaller material in the location, wherein the smaller material during separation passes through the roller screen assembly and is deposited in the location. In particular embodiments, the method further comprises the steps of agitating the material to facilitate separation of the smaller material from the larger material and retaining the larger material within the mechanical bucket. The method also includes the step of deactivating the roller screen assembly when separation of the smaller material from the larger material is completed. Additionally, the method may also include the steps of moving the mechanical bucket to a second location and dumping the larger material in the second location. It will be understood that other various steps may include, attaching the mechanical bucket to a vehicle, removing the roller screen assembly from the mechanical bucket, securing the roller screen assembly to the bucket using a sub-base, scraping debris from the roller screen assembly by use of a scraper device. The embodiments and examples set forth herein were presented in order to best explain the present invention and its practical application and to thereby enable those of ordinary skill in the art to make and use the invention. However, those of ordinary skill in the art will recognize that the foregoing description and examples have been presented for the purposes of illustration and example only. The description as set forth is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of the teachings above without departing from the spirit and scope of the forthcoming claims.
claims
1. An imaging system, comprising:a first bowtie filter, a second bowtie filter, and a hardening filter;a carriage that includes the first bowtie filter and the hardening filter;a first motor coupled to the carriage and a second motor coupled to the second bowtie filter,wherein the first motor is configured to move the carriage to selectively position at least one of the hardening filter and the first bowtie filter in a path of a radiation beam between a radiation source and an imaging subject, andwherein the second motor is configured to move the second bowtie filter into the path of the radiation beam. 2. The system of claim 1, further comprising:a third bowtie filter,wherein the carriage further includes the third bowtie filter, andwherein the beam hardening filter overlaps with one of the first bowtie filter and the third bowtie filter. 3. The system of claim 1, wherein the beam hardening filter overlaps with both of the first bowtie filter and the third bowtie filter. 4. The system of claim 3, wherein the first bowtie filter and the third bowtie filter are placed between the first bowtie filter and the third bowtie filter, the hardening filter partially overlapping with each of the first bowtie filter and the third bowtie filter. 5. The system of claim 3, wherein the first bowtie filter is housed within a first slot formed in a cavity of the carriage and wherein the third bowtie filter is housed within a second slot formed in the cavity of the carriage, the first slot separated from the second slot via a tab. 6. The system of claim 5, wherein the hardening filter is embedded within a recess between the first bowtie filter and the third bowtie filter, and coupled to the tab. 7. The system of claim 5, wherein the hardening filter includes a support structure and one or more metallic sheets, the support structure and the one or more metallic sheets stacked together and coupled to the tab via a plurality of bolts. 8. The system of claim 7, wherein the support structure and the one or more metallic sheets may be of a same dimension, the support structure made of a material different from that of the one or more metallic sheets. 9. The system of claim 5, further comprising, an aluminum filter coupled to an underside of the carriage. 10. The system of claim 9, wherein the radiation beam passed through the hardening filter, then one of the bowtie filters, and the aluminum filter prior to entering the imaging subject. 11. The system of claim 1, further comprising:a first shaft coupled to the first motor and the carriage; anda second shaft coupled to the second motor and the second bowtie filter,wherein the first motor is configured to translate the shaft for positioning at least one of the hardening filter and the first bowtie filter in the path of the radiation beam. 12. A method for an imaging system, comprising:during a first imaging, moving a carriage to position a hardening filter and a first bowtie filter housed in the carriage in a path of a radiation beam between a radiation source and an imaging subject, andduring a second imaging, moving the carriage to move the hardening filter and the first bowtie filter out of the path of the radiation and then positioning a second bowtie filter in the path of the radiation,wherein the carriage does not include the second bowtie filter. 13. The method of claim 12, wherein the first imaging is a scout scan and a second imaging is a diagnostic scan of an anatomy of the imaging subject. 14. The method of claim 12, wherein the moving the carriage includes actuating a motor coupled to the carriage via a shaft, the shaft translating in a direction perpendicular to a direction of the path of the radiation to position at least one of hardening filter and the first bowtie filter in the path of the radiation. 15. The method of claim 12, wherein the carriage further houses:a third bowtie filter,wherein the first bowtie filter and the third bowtie filter are positioned inside corresponding, adjacent slots within the carriage and the hardening filter is coupled to the carriage between the first bowtie filter and the second bowtie filter. 16. The method of claim 15, wherein the hardening filter partially overlaps with each of the first bowtie filter and the third bowtie filter, and herein, during the first imaging, the radiation beam first passes through the hardening filter and then passes through the first bowtie filter. 17. An imaging system, comprising:a gantry for receiving an imaging subject;a radiation source positioned in the gantry for emitting radiation exposure;a detector positioned on the opposite of the gantry relative to the radiation source;a motorized table for moving the imaging subject within the gantry;a computation device with instructions stored in a non-transient memory;a first bowtie filter, a second bowtie filter, and one or more hardening filters positioned in the filter carriage, the one or more hardening filters mounted in between the first bowtie filter and the second bowtie filter and partially overlapping with each of the first bowtie filter and the second bowtie filter;a third bowtie filter positioned outside of the filter carriage;a first filter driving system configured to move one or more of the first bowtie filter, the second bowtie filter, and the one or more hardening filters into or out of the radiation beam; anda second filter driving system configured to move the third bowtie filter into or out of the radiation beam. 18. The system of claim 17, wherein each of the first bowtie filter and the second bowtie filter include a first, straight long side and a second, parallel long side including a central ridge, each of the first bowtie filter and the second bowtie filter made of graphite. 19. The system of claim 17, wherein the hardening filter includes each of a rectangular support structure, and one or more rectangular metallic sheets stacked under the support structure. 20. The system of claim 19, wherein the rectangular support structure is made of aluminum and the one or more rectangular metallic sheets are made of copper with each of the one or more rectangular metallic sheets having a different thickness.
description
This application is a divisional of U.S. patent application Ser. No. 13/364,769 filed Feb. 2, 2012, now U.S. Pat. No. 9,620,250, the entire disclosure of which is incorporated by reference herein. The following relates to the nuclear power reactor arts, fuel assembly construction arts, and related arts. With reference to FIG. 1, a nuclear reactor of the pressurized water reactor (PWR) variety includes a pressure vessel 10 containing primary coolant, such as primary coolant water. The illustrative pressure vessel 10 is a cylindrical pressure vessel (where “cylindrical” is intended to encompass deviations from a mathematically perfect cylinder such as the illustrative non-uniform diameter of the illustrative cylindrical pressure vessel 10, the inclusion of vessel penetrations, support structures, or so forth). A nuclear reactor core 12 is disposed at or near the bottom of the pressure vessel 10. (Note that in diagrammatic FIG. 1 the pressure vessel 10 is partially cut away as indicated by a dashed “opening” in order to reveal the reactor core 12 disposed inside. Moreover, diagrammatic FIG. 1 omits mounting features such as a core basket that typically are provided to secure the reactor core 12 inside the pressure vessel 10). Although a PWR is shown in FIG. 1 by way of illustrative example, it is to be understood that the spacer grids disclosed herein are suitably used in nuclear reactors of various varieties, such as PWR, boiling water reactor (BWR), and so forth. The nuclear reactor core 12 typically comprises a plurality of fuel assemblies arranged in a closely-packed array. The fuel assembly includes a bundle of vertically oriented fuel rods each comprising a fissile material such as 235U. For example, each fuel rod may contain enriched uranium dioxide (UO2) or mixed UO2/gadolinium oxide (UO2—Gd2O3) pellets. Interspersed amongst the fuel rods are guide tubes that provide conduits for control rods, instrumentation, or so forth. The top of the fuel assembly is terminated by an upper end fitting or nozzle and the bottom of the fuel assembly is terminated by a lower end fitting or nozzle. The fuel assembly is held together by a plurality of spacer grids including end grids disposed at the top and bottom of the fuel assembly and one or (typically) more mid-grids disposed at spaced apart positions between the top and bottom of the fuel assembly. Conventional spacer grids are formed by interlocking orthogonally oriented metal straps made of sheet metal to define a two-dimensional grid of square or rectangular spaces, also called grid “cells”, with each cell being delineated by four straps. Alternatively, a hexagonal arrangement can be employed in which each cell is generally hexagonal and is delineated by six straps. In one suitable approach employing square cells for receiving fuel rods, the strap portions defining each cell have two dimples formed from the grid straps that form two adjacent walls of the cell. One dimple in each pair is located near the top of the grid strap and the other is located near the bottom of the grid strap. The opposite walls of the cell each contain a single spring which may be formed from the strap that makes that cell wall, or may be an insert made of a different material that is mechanically trapped or restrained by features formed from the strap that make up that cell wall. The springs are located at or near the mid-plane of the spacer grid, and are sized such that an interference condition exists when a fuel rod is inserted into the grid cell. This interference causes the springs to deflect backwards towards the cell walls on which they are located, preloading the fuel rod in two orthogonal directions against the opposing dimple pair and clamping it in position. The axial offset between the plane of action of the springs and the plane of action of the dimples creates restoring moments that cause the local vertical orientation of the fuel rod at the spacer grids to remain relatively fixed should lateral forces be applied to the fuel rod between any two axially adjacent spacer grids. In some approaches, each spring contacts its fuel rod at two locations along the length of horizontal or vertical folds in the spring convolutes. Sometimes local flats, and/or secondary arches, are also provided to spread out any wear should the fuel rod oscillate in service due to flow-induced vibration. The straps in a conventional spacer grid are typically oriented such that the springs in a given cell are on the outboard walls of the cell and the dimples are on the inboard walls of the cell. This arrangement has the advantage of providing a rigid foundation to resist any inward-acting forces that may be applied to the outer row of fuel rods should the fuel assembly contact a neighboring fuel assembly or other adjacent structure during handling. In one aspect of the disclosure, a fuel assembly comprises a bundle of fuel rods comprising fissile material, and a spacer grid securing the fuel rods of the bundle together. The spacer grid has a top and a bottom and includes a plurality of cells comprising passages from the top to the bottom of the spacer grid through which fuel rods pass. The cells include: upper dimples disposed proximate to the top of the spacer grid and distal from the mid-plane of the spacer grid; lower dimples disposed proximate to the bottom of the spacer grid and distal from the mid-plane of the spacer grid; upper cantilevered springs having cantilevered free ends located above the mid-plane of the spacer grid; and lower cantilevered springs having cantilevered free ends located below the mid-plane of the spacer grid. The upper cantilevered springs of each cell bias the fuel rod passing through the cell against the upper dimples of the cell and the lower cantilevered springs of each cell bias the fuel rod passing through the cell against the lower dimples of the cell. In some fuel assembly embodiments as set forth in the immediately preceding paragraph, the spacer grid comprises interlocked straps comprising metal sheets or plates, and the outermost straps of the spacer grid do not include upper cantilevered springs and do not include lower cantilevered springs. In some fuel assembly embodiments as set forth in the immediately preceding paragraph, the upper and lower dimples face inward toward the center of the spacer grid and the upper and lower cantilevered springs face outward away from the center of the spacer grid. In some fuel assembly embodiments as set forth in the immediately preceding paragraph, the upper dimples extend to the top of the spacer grid and the lower dimples extend to the bottom of the spacer grid. In another aspect of the disclosure, a spacer grid includes interlocked straps comprising metal sheets or plates welded together to define a spacer grid having a top and bottom. The interlocked straps define a plurality of cells comprising vertical passages connecting the top and bottom of the spacer grid. The cells include: upper dimples disposed proximate to the top of the spacer grid and distal from the mid-plane of the spacer grid; lower dimples disposed proximate to the bottom of the spacer grid and distal from the mid-plane of the spacer grid; cantilevered upper springs having fuel rod engagement surfaces disposed proximate to the top of the spacer grid and distal from the mid-plane of the spacer grid; and cantilevered lower springs having fuel rod engagement surfaces disposed proximate to the bottom of the spacer grid and distal from the mid-plane of the spacer grid. In some spacer grid embodiments as set forth in the immediately preceding paragraph, the cantilevered upper springs have cantilever anchors proximate to the mid-plane of the spacer grid and distal from the top of the spacer grid, and the cantilevered lower springs have cantilever anchors proximate to the mid-plane of the spacer grid and distal from the bottom of the spacer grid. In some spacer grid embodiments as set forth in the immediately preceding paragraph, the cantilevered upper springs have cantilever anchors located at or below the mid-plane of the spacer grid, and the cantilevered lower springs have cantilever anchors located at or above the mid-plane of the spacer grid. In some spacer grid embodiments as set forth in the immediately preceding paragraph, the fuel rod engagement surfaces of the cantilevered upper and lower springs comprise flat-topped domes. In some spacer grid embodiments as set forth in the immediately preceding paragraph, the outermost straps of the interlocked straps do not include cantilevered upper springs and do not include cantilevered lower springs. In some spacer grid embodiments as set forth in the immediately preceding paragraph, the set of dimples includes a set of upper dimples configured to contact fuel rods above the mid-plane of the spacer grid and a set of lower dimples configured to contact fuel rods below the mid-plane of the spacer grid, and the set of springs includes a set of upper springs configured to contact fuel rods above the mid-plane of the spacer grid and a set of lower springs configured to contact fuel rods below the mid-plane of the spacer grid. In another aspect of the disclosure, a spacer grid comprises: interlocked straps of metal sheets or plates welded together to form a spacer grid having a top and bottom, the interlocked straps defining a plurality of cells comprising vertical passages connecting the top and bottom of the spacer grid; and a fuel rods retention system comprising a set of dimples protruding from walls of the cells and a set of springs protruding from walls of the cells. The set of dimples does not include any dimples configured to contact fuel rods at the mid-plane of the spacer grid. The set of springs does not include any springs configured to contact fuel rods at the mid-plane of the spacer grid. As already set forth, in conventional spacer grids the axial offset between the plane of action of the springs (i.e., soft stops) and the plane of action of the dimples (i.e., hard stops) creates restoring moments that advantageously cause the local vertical orientation of the fuel rod at the spacer grids to remain relatively fixed should lateral forces be applied to the fuel rod between any two axially adjacent spacer grids. However, it is recognized herein that this same offset of the plane of action also induces a bending moment at each spacer grid that causes the fuel rod to bow in the span between any two axially adjacent spacer grids. While this initial bowing may be tolerable, it may be enhanced by irradiation-induced creep effects during service, potentially resulting in problematic partial or complete closure of the adjacent coolant channels and consequent localized heating. The conventional orientation of the springs and dimples with the springs in a given cell being on the outboard walls and the dimples on the inboard walls advantageously facilitates resistance to any inward-acting forces that may be applied to the outer row of fuel rods. However, this arrangement places springs on the outermost strap of the spacer grid, which significantly weakens the outermost straps. This can adversely impact the strength of the overall spacer grid structure. It is known to at least partially compensate for this weakness by using a thicker outer strap compared with the inner straps, and/or via by adding stiffening ribs and other mechanical features to the outermost strap. However, these approaches result in the springs of the outermost strap having different (typically higher) spring rates as compared with the springs of the interior straps, which enhances the fuel rod bowing effect for the outermost fuel rods of the fuel assembly, and can lead to excessive in-service fuel rod bow in the outermost row of the fuel rod array. The centralized location of the springs at or near the mid-plane of the spacer grid is also recognized herein to cause problems. If the folds of the springs are oriented vertically, then each spring will actually contact the fuel rod at only a single point if there is any misalignment of the fuel rod due to manufacturing tolerances. This negates the intended benefit of spreading out the contact surface, and can result in high local bearing stresses that potentially lead to rapid local wear if the fuel rod vibrates in service. Vertically oriented folds also present a problem during rod loading as they can result in excessive scratching of the cladding and/or hang-up of the fuel rod and grid damage. A coining operation is typically applied on the leading and trailing edges of the spring contacts to ameliorate these problems but it has limited benefit. On the other hand, if the folds are horizontally oriented, the upstream and downstream spring surfaces typically provide adequate lead-in, so cladding scratching and rod hang-up are less of a concern. However, this orientation provides more local flow blockage, which is less desirable from a thermal-hydraulic point of view. Disclosed herein is a dual cantilever spring arrangement that moves the contact surfaces between the spring and the fuel rod away from the mid-plane of the space grid, and hence toward proximate dimples located near the top and bottom, respectively, of the grid strap. The dual cantilever configuration combined with the resulting near-alignment of the respective planes of action of the springs (i.e., soft stops) and the opposing dimples (i.e., hard stops) substantially reduces the induced fuel rod bow. The cantilevered springs can also be constructed with low stiffness (i.e., low spring rate). Some disclosed embodiments also place the springs on the inboard walls of the cells (with the springs facing outward), with the dimples located on the outboard walls (and facing inward). This orientation eliminates the spring cutouts on the outermost strap which substantially strengthens the spacer grid during lateral impacts. With reference to FIG. 2, a representative fuel assembly 14 is diagrammatically shown with partial breakaway and the front top corner of the perspective view cut away to reveal internal components. The fuel assembly 14 is suitably employed as an element of the nuclear reactor core 12 disposed in the pressure vessel 10 of FIG. 1. The fuel assembly 14 includes an array of vertically oriented fuel rods 20 each comprising a fissile material such as 235U. For example, each fuel rod may contain enriched uranium dioxide (UO2) or mixed UO2/gadolinium oxide (UO2—Gd2O3) pellets. Interspersed amongst the fuel rods 20 are guide tubes 22 that provide conduits for control rods, instrumentation, or so forth. The top of the fuel assembly 14 is terminated by an upper end fitting or nozzle 24 and the bottom of the fuel assembly 14 is terminated by a lower end fitting or nozzle 26. The fuel assembly 14 is held together by a plurality of spacer grids including end grids 30 disposed at the top and bottom of the fuel assembly 14 and one or (typically) more mid-grids 32 disposed at spaced apart positions between the top and bottom of the fuel assembly 14. (Said another way, each end spacer grid 30 is closer to an end of the bundle of fuel rods 20 than the mid-grid 32). Illustrative FIG. 2 shows only two mid-grids 32, but typically additional mid-grids are present which are omitted in the cutaway illustration. The number of mid-grids, and the spacing of the end grids and mid grids along the height of the fuel assembly, is determined based on the total length of the bundle of fuel rods, the total number of fuel rods in the bundle, the structural characteristics of the fuel rods, applicable regulatory requirements, and so forth. With reference to FIGS. 3-7, an illustrative spacer grid is diagrammatically shown. FIG. 3 shows a metal sheet or plate 40 with cutaways 42 for defining the springs. The metal sheet or plate 40 may, for example, be formed by suitable metal working techniques such as rolling. The metal may be a nickel-chromium alloy (e.g., Inconel), or a zirconium alloy (e.g., Zircaloy), or so forth. Inconel is stronger than Zircaloy; however, Zircaloy has a smaller neutron absorption cross-section as compared with Inconel. Thus, in some embodiments the end grids 30 are made of Inconel while the mid-grids 32 are made of Zircaloy. The cutaways 42 comprise removed portions of the metal sheet or plate, and may be formed by mechanical cutting, laser cutting, or so forth. The cutaways 42 define “templates” for the springs. FIGS. 4 and 5 show plan and side sectional views, respectively, of an interior strap 44 with: upper dimples 50; lower dimples 52; upper springs 60; and lower springs 62. These dimples 50, 52 and springs 60, 62 are formed by suitable deformation of the sheet or plate metal using a press or other sheet metal forming apparatus. FIGS. 6 and 7 show side sectional and top views, respectively, of the spacer grid comprising interlocked straps 44. As seen in the top view of FIG. 7, straps are arranged in two orthogonal directions to form a two-dimensional grid of cells 70 through which fuel rods (represented by single illustrative fuel rod 20 diagrammatically shown in FIG. 7) pass and are retained by the dimples 50, 52 and springs 60, 62. (Note that in the top view of FIG. 7, only the upper dimples 50, represented by filled spherical caps, and upper springs 60, represented by open spherical caps, are visible). The straps are interlocked using mating slots (not shown) that are formed into the straps at the same time the cutaways 42 are formed. Typically, an assembly jig (not shown) is used to temporarily hold the straps during assembly of the interlocked structure, and the mating slots are welded to produce the final stand-alone rigid spacer grid structure. With particular reference to FIG. 6, the resulting spacer grid has a top at which are disposed the upper features 50, 60, and a bottom at which are disposed the lower features 52, 62. A mid-plane 66 of the spacer grid is located midway between the top and bottom of the spacer grid. With particular reference to FIG. 5, the springs 60, 62 are formed as cantilevered springs. That is, each upper spring 60 is anchored to the spacer grid at or above the mid-plane 66 of the spacer grid and has a cantilevered free end that extends “upward” toward the top of the spacer grid; while each lower spring 62 is anchored to the spacer grid at or below the mid-plane 66 of the spacer grid and has a cantilevered free end that extends “downward” toward the bottom of the spacer grid. With reference to FIG. 5, this results in an upper “grip plane” containing the upper features 50, 60, and a lower “grip plane” containing the lower features 52, 62. (The upper grip plane is not mathematically exact because the array of upper dimples 50 is not precisely coplanar with the array of upper springs 60, and similarly for the lower grip plane). The springs 60, 62 do not contact the fuel rods 20 at the mid-plane 66 of the spacer grid; rather, the upper springs 60 contact the fuel rods above the mid-plane 66 and proximate to the upper dimples 50; and similarly the lower springs 62 contact the fuel rods below the mid-plane 66 and proximate to the lower dimples 52. This dual cantilever configuration combined with the resulting near-alignment of the respective planes of action of the upper springs 60 and opposing dimples 50 in the upper grip plane and the resulting near-alignment of the respective planes of action of the lower springs 62 and opposing dimples 52 in the lower grip plane substantially reduces the induced fuel rod bow. The cantilevered springs 60, 62 can also be constructed with low stiffness (i.e., low spring rate), with the stiffness being controlled by the dimensions, e.g. width and length, of the cantilever (defined by the detailed shape of the cutaways 42) and the thickness and elasticity of the metal sheet or plate. Since there are two springs 60, 62, each can have lower stiffness as compared with an arrangement employing a single (higher stiffness) spring. With particular reference to FIGS. 6 and 7, in addition to the interior straps 44, there are two types of “special” straps: four outer straps 44O forming the outer boundaries of the spacer grid; and two mid-straps 44M that intersect at the center of the spacer grid. In the illustrative example of FIGS. 6 and 7, the outer straps 44O differ from the interior straps 44 in that they do not include springs 60, 62. As a consequence, the cutaways 42 (see FIG. 3) are not formed into the metal sheets or plates from which the outer straps 44O are fabricated, and so less metal is removed. This enhances the strength of the outer straps 44O, which in turn enhances the strength of the overall spacer grid structure. In keeping with this configuration for the outer straps 44O, and as seen in FIGS. 6 and 7, the dimples 50, 52 are all arranged on outboard walls of the cells 70, that is, with the dimples facing “inward”, while the opposing springs 60, 62 are all arranged on inboard walls of the cells 70, that is, with the springs facing “outward”. This design paradigm ideally would place springs on both opposing sides of the mid-strap. However, as seen in FIGS. 3-5 the springs 60, 62 are formed by making the cutaways 42 and then deforming the remaining metal into the cell 70 upon which it is to act. There is only one upper arm and one lower arm, and so both springs 60, 62 cannot be formed on opposite sides of the same sheet or plate (that is, one cannot form four such springs in total). In the illustrative mid-straps 44M this is addressed by deforming the upper and lower springs 60, 62 in opposite directions, so that the upper spring 60 acts on one cell (e.g., the cell to the left of the mid-strap 44M in the example of FIG. 6) while the lower spring 62 acts on the other cell (e.g., the cell to the right of the mid-strap 44M in the example of FIG. 6). The mid-strap 44M of FIGS. 6 and 7 provides only one point of support (either an upper spring 60 or a lower spring 62, but not both). However, the fuel rod remains supported at seven points (four dimples and three springs). The four center cells would have only six points of support; however, in some embodiments these cells are replaced by a central guide tube 72 (shown in phantom in FIG. 7). More generally, it is to be appreciated that typically some of the cells 70, or groups of cells 70, are replaced by guide tubes which are not shown in FIG. 7. Such guide tubes may be used for various purposes, such as providing conduits for control rods, conduits for instrumentation, or so forth. The strap portions surrounding a guide tube optionally do not include springs or dimples, and omitting these elements around the guide tubes enhances the overall strength of the spacer grid. In some embodiments strap portions surrounding a guide tube (that is, a guide tube “cell”) include saddle features (not shown) that position the guide tube accurately without generating substantial clamping force. Integral tabs on the top and bottom edges of the interior grid straps 44 in these special cells are optionally used to permanently attach the spacer grid to the control rod guide tube during fuel assembly manufacturing. This direct mechanical connection can be made using pulse arc welding, electrical resistance welding, or so forth. With reference to FIGS. 8 and 9, in an alternative approach two all-spring (no dimple) interior straps 44M2 arranged in a back-to-back configuration can be used instead of the alternating-spring mid-strap 44M. This arrangement provides full eight-point (i.e., four dimples and four springs) contact for the cells bordered by the mid-straps 44M2 (as compared with only seven-point or even six-point support in the embodiment of FIGS. 6 and 7). The back-to-back mid-straps 44M2 is also expected to provide some enhancement of the strength of the spacer grid. The back-to-back mid-straps 44M2 may have their backs in direct contact, or may be slightly spaced apart (as shown in FIGS. 8 and 9). Another option for the mid-strap, which could replace either the mid-strap 44M of FIGS. 6 and 7 or the back-to-back mid-straps 44M2 of FIGS. 8 and 9 is a mid-strap with double dimples (not shown). Both sets of dimples could be formed from a single mid-strap sheet or plate, and would have the same contours as the existing interior strap dimples. This approach avoids the unique strap fabrication die and flow diversion issues of the double mid-strap 44M2 of FIGS. 8 and 9, and the partial support issue of the mid-strap 44M of FIGS. 6 and 7. However, this approach would entail reversing the positioning of the springs and dimples—that is, the springs would be on the outboard walls of the cells (with the springs facing inward) and the dimples would be on the inboard walls (and facing outward). This would place springs on the outermost straps, which is expected to weaken the spacer grid, decrease its impact strength, and make the grid more susceptible to hang-up and tearing during fuel handling. With reference to FIGS. 10-12, an illustrative embodiment is shown which includes structures on the outermost straps 44O that enhance structural strength of the spacer grid. Toward this end, the interior grid straps 44 include gussets 80 at their outboard ends that interface with lead-in tabs 82 disposed on the outer straps 44O. These features 80, 82 stabilize the ends of the interior grid straps 44 during any lateral impact on the fuel assembly such as may occur during seismic events or shipment. These features 80, 82 also help support the outer strap lead-in during fuel handling when one fuel assembly is riding up or down along its neighbors in the core. As with the embodiments of FIGS. 3-9, in the interior straps 44 the strap portions surrounding each cell contain the dimple features 50, 52 at the top and bottom edges, respectively, sandwiched around the pair of vertically-oriented cantilever spring features 60, 62. With reference to FIGS. 13-18, some features optionally included on the dimples 50, 52 and springs 60, 62 are illustrated. FIG. 13 shows a corner of an end-grid 30 with the extreme corner cell cut away to reveal interior strap portions defining that removed corner cell. FIG. 14 shows a perspective view of one of the dimples 50, 52, which is horizontally oriented. FIG. 15 shows an edge profile of the springs 60, 62 of the end-grid 30. Similarly, FIG. 16 shows a corner of a mid-grid 32 with the extreme corner cell cut away to reveal interior strap portions defining that removed corner cell. FIG. 17 shows a perspective view of a dimple 502V of the outermost strap, which in this case is vertically oriented. FIG. 18 shows an edge profile of the springs 60, 62 of the mid-grid 32. With particular reference to FIGS. 13-15, the dimples 50, 52 are horizontally-oriented (see especially FIG. 14). The cantilever spring features 60, 62, have a large elastic deflection range due to the cantilevering arrangement. The springs 60, 62 are formed with their main surfaces inclined relative to the remainder of the vertical cell wall 84 (diagrammatically shown by a vertical dashed line in FIG. 15) so as to create a substantial interference with the fuel rod. When the fuel rod is inserted into the spacer grid during manufacturing, these dual spring features 60, 62 are elastically deflected back towards the vertical cell wall 84, creating a clamping force that pins the fuel rod against the opposing dimple pair 50, 52. This same clamping action is simultaneously actuated at 90° around the cladding by the spring and dimple features in the perpendicular cell walls. Because the rod contact surfaces on the springs 60, 62 are at the top and bottom ends of the respective upper and lower springs 60, 62, the four spring features in the cell bear on the fuel rod cladding in horizontal planes that are nearly coplanar with the opposing dimple features 50, 52. This mechanical arrangement generates the maximum restoring moment on the fuel rod for a given spring clamping force (because the moment arm is maximized in the cantilevered arrangement) while minimizing the local bending moment (due to the cooperating dimple/spring arrangements being co-planar) that can induce rod bow between grids. Integral lead-in surfaces are provided at the top and bottom edges of the dimples 50, 52 and springs 60, 62 to facilitate fuel rod insertion both during manufacturing in the factory and during fuel reconstitution in the field. The lead-in surfaces on the dimples 50, 52 are formed by coining the top and bottom edges of the formed dimples 50, 52 at an angle. In the end-grid 30 of FIGS. 13 and 15, the lead-in surfaces on the springs 60, 62 comprise three-dimensional flat-topped domes 90. The dome provides a low likelihood of fuel rod hang-up during insertion, and the flat top of the dome spreads out cladding wear. In the embodiment of FIGS. 13-18, the end grids 30 (FIGS. 13-15) are suitably made of Inconel while the mid-grids 32 (FIGS. 16-18) are suitably made of Zircaloy. Inconel is a more ductile material than Zircaloy, but Zircaloy is preferable for the mid-grids 32 due to its smaller neutron absorption cross-section as compared with Inconel. The Inconel end grids 30 shown in FIGS. 13-15 employ the flat-topped domes 90 as the lead-in/fuel rod engagement surfaces for the springs 60, 62. On the other hand, yield in forming these flat-topped domes 90 in the more brittle Zircaloy material is expected to be low, as the Zircaloy sheet or plate tends to tear or develop an orange-peel defect when formed in three dimensions. Accordingly, in the Zircaloy mid-grids 32 of FIGS. 16-18, the lead-in/fuel rod engagement surfaces for the springs 60, 62 are constructed as shallow two-dimensional hooks 92 (see especially FIGS. 16 and 18). These hooks 92 are suitably formed by bending the free ends of the springs 60, 62 so as to include a flat rod engagement portion and a distal “bent-back” portion that facilitates lead-in. In the case of either the flat-topped domes 90 or the hooks 92, these lead-in features work in conjunction with a bullet-shaped bottom end plug shape of the fuel rod to reduce the likelihood of hang-up during rod insertion. In the embodiments of FIGS. 13-18, the outermost straps 44O of the spacer grids 30, 32 again contain only dimples, but not springs. This configuration reduces the amount of material that is cut away in forming the outermost straps 44O. Grid impact behavior is heavily influenced by the strength of the outermost straps, and strong outermost straps that are structurally stable are advantageous for achieving high lateral impact strength. The lead-in features 80, 82 described with reference to FIGS. 10-12 are incorporated in modified form into the embodiments of FIGS. 13-18. In these latter embodiments the gussets 80 are omitted on the interior straps 44, and the lead-in tabs 82 disposed on the outermost straps 44O engage the corners of the interior straps 44. In all of the embodiments of FIGS. 10-18, these engagements serve a stiffening function. They increase the effective moment of inertia of the outer strap 44O while also helping to stabilize the ends of the interior straps 44. In the mid-grids 32 of FIGS. 16-18, the dimples on the outermost straps 44O are modified to further enhance the strength of these outermost straps 44O. Specifically, the horizontally oriented dimples 50, 52 (see, e.g. FIGS. 13 and 15) are replaced by vertically oriented dimples 502V in the outermost straps 44O of the mid-grid 32 of FIGS. 16-18 (see especially FIGS. 16 and 17). The vertically oriented dimples 502V are less favorable from the point of view of pressure drop. However, they eliminate four lines of weakness along the length of the outermost strap 44O that are present in the case of the horizontally-oriented dimples 50, 52. The use of the vertically oriented dimples 502V in the case of the mid-grids 32 is an accommodation to the lower strength of the Zircaloy construction material. The end-grids 30 (FIGS. 13-15) are constructed of stronger Inconel material, and accordingly the outermost straps 44O of the end-grids 30 use the horizontally oriented dimples 50, 52 in the illustrative embodiment of FIGS. 13-15. The spacer grids of FIGS. 10-18 can employ any suitable arrangement for the mid-strap, such as the illustrative “alternating spring” mid-strap 44M of FIGS. 6 and 7, or the back-to-back mid-straps 44M2 of FIGS. 8 and 9. In the latter case, the back-to-back mid-straps 44M2 occupy additional lateral space, and accordingly the spring lead-in features may need to be made shallower for these mid-straps 44M2 in order to leave sufficient room for insertion of the fuel rods into the proximate cells. In the disclosed embodiments, once the fuel rod is installed the major portion of the length of the cantilevered springs 60, 62 is oriented essentially vertically (e.g., parallel with the vertical wall 84 in FIGS. 15 and 18), thereby presenting a limited frontal area to the coolant flow. The horizontal orientation of the dimples 50, 52 likewise presents a limited frontal area to the flow. The illustrative spacer grids also do not include flow mixing vanes on the interior grid straps 44. Accordingly, the pressure drop across the spacer grid is limited. Spacer grids with reduced pressure drop, such as those disclosed herein, are of particular value in the context of nuclear reactors that employ natural circulation or assisted or forced circulation with a relatively low flow rate, such as small modular reactor (SMR) designs which are typically designed to produce 300 megawatts or less of electrical power. The dual cantilever springs 60, 62 rotate so as to bring the contact surface (e.g., the flat-topped dome 90 or hook 92 in two illustrative embodiments) into an approximately line contact with the fuel rod cladding. The illustrative contact surfaces 90, 92 each provide a long “flat” to maximize the contact length and spread out any cladding wear that might occur at this interface. In the case of the flat-topped dome 90, this flat is the flat top of the dome, while in the case of the hook 92 a portion of the hook structure is made flat. Likewise, the dimples 50, 52 are suitably fabricated with a long flat region between the coined lead-ins to provide a line contact with the fuel rod cladding to maximize the contact length and spread out any cladding wear that might occur at that interface. The contour of all of the contact surfaces is so formed as to rapidly increase the wear area as metal is removed, so as to compensate for any alignment imperfections that might exist at the cladding-to-grid interfaces. When combined with the two “extra” contact surfaces that the dual cantilever spring arrangement provides, the disclosed spacer grids have a large metal volume removed-to-depth of penetration ratio, thus providing good contact with the fuel rods without unduly compromising overall strength of the spacer grid. With reference to FIGS. 19 and 20, the dual cantilever design can employ different configurations. FIG. 19 shows an embodiment in which the cantilevers are elongated to be side-by-side. Thus, upper springs 60′ have their anchors below the mid-plane 66 of the spacer grid and their cantilevered engagement surface above the mid-plane 66; while, lower springs 62′ have their anchors above the mid-plane 66 of the spacer grid and their cantilevered engagement surface below the mid-plane 66. The orientation of the two springs 60′, 62′ in any given cell alternates such that the root or anchor of the upper spring 60′ is positioned at the same elevation as the thin hook (or flat-topped dome, or other engagement surface) on the adjacent lower spring 62′. This allows the dual springs 60′, 62′ to be nested into less space on the strap 44. FIG. 20 shows an embodiment in which upper and lower springs 60″, 62″ are oriented horizontally. The orientation of the two springs 60″, 62″ in any given cell alternates such that the root or anchor of the upper spring 60″ is positioned vertically above the hook (or flat-topped dome or other engagement surface) of the lower spring 62″, again enabling nesting into a smaller space on the strap 44. The vertical stacking of the springs 60″, 62″ is reversed from cell to cell to balance the twisting moments applied to the interior grid straps. The illustrative spacer grids have square or rectangular symmetry in a plane transverse to the fuel rods. However, various disclosed aspects are readily incorporated into spacer grids of other geometries, such as hexagonal spacer grids having hexagonal symmetry in a plane transverse to the fuel rods. The preferred embodiments have been illustrated and described. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the invention be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
summary
claims
1. A nuclear fuel comprising:a bulk fuel material in a powder form; anda fuel additive component selected from the group consisting of metal, metal alloy, metal oxide, metalloid oxide, metal carbide, metalloid carbide, metal nitride, metalloid nitride, metal boride, metalloid boride and mixtures or alloys thereof in a powder form,wherein a grain size of the bulk fuel material is larger than a grain size of the fuel additive component,wherein a volume ratio of the grain size of the fuel additive component to the grain size of the bulk fuel material is greater than 4*Rs/Rl, wherein “Rs” is the radius of the smaller grains in the fuel additive component and “Rl” is the radius of the larger grains in the bulk fuel material. 2. The nuclear fuel of claim 1, wherein the grain size in the fuel additive component is less than Vr*Rl/4, wherein “Vr” is the volume ratio of the grain size of the fuel additive component to the grain size of the bulk fuel material. 3. The nuclear fuel of claim 1, wherein the fuel additive component is selected from the group consisting of Cr, Zr, Al, AlCr alloy, UO2, BeO, ZrO2, Cr2O3, TiO2, Y2O3 and mixtures and combinations thereof. 4. The nuclear fuel of claim 1, wherein the fuel additive component is in the form of a glass comprising a compound selected from the group consisting of Al, B, Si, Na, Li, and compounds and combinations thereof. 5. The nuclear fuel of claim 1, wherein the bulk fuel material is selected from the group consisting of UN, U3 Si2, and UO2, and mixtures and combinations thereof. 6. The nuclear fuel of claim 1, wherein the volume ratio is less than 10%. 7. A method of incorporating a fuel additive component to a nuclear fuel, comprising:obtaining a bulk fuel material in powder form;selecting the fuel additive component from the group consisting of metal, metal alloy, metal oxide, metalloid oxide, metal carbide, metalloid carbide, metal nitride, metalloid nitride, metal boride, metalloid boride and mixtures or alloys thereof in powder form,wherein the grain size of the bulk fuel material is larger than the grain size of the fuel additive component; andcombining the bulk fuel material with the fuel additive component in selected amounts to achieve a volume ratio of the grain size of the fuel additive component to the grain size of the bulk fuel material is greater than 4*Rs/Rl, wherein “Rs” is the radius of the smaller grains in the fuel additive component and “Rl” is the radius of the larger grains in the bulk fuel material. 8. The method of claim 7, wherein the fuel additive component is selected such that the grain size is less than Vr*Rl/4, wherein “Vr” is the volume ratio of the gran size of the fuel additive component to the grain size of the bulk fuel material. 9. The method of claim 7, wherein smaller grains of the fuel additive component coat larger grains of the bulk fuel material. 10. The method of claim 7, wherein incorporating the fuel additive component to the bulk fuel material produces a resultant fuel composition having improved water resistance compared to a fuel composition absent of the fuel additive component. 11. The method of claim 7, wherein the volume ratio is less than 10% by milling the fuel additive. 12. A nuclear fuel pellet, comprising:a first material composed of bulk uranium; anda coating composed of a second material selected from the group consisting of metal, metal alloy, metal oxide, metalloid oxide, metal carbide, metalloid carbide, metal nitride, metalloid nitride, metal boride and metalloid boride and mixtures or alloys, deposited on the first material,wherein the first material has a grain size greater than the grain size of the second material,wherein a volume ratio of the grain size of the fuel additive component to the grain size of the bulk fuel material is greater than 4*Rs/Rl, wherein “Rs” is the radius of the smaller grains in the fuel additive component and “Rl” is the radius of the larger grains in the bulk fuel material. 13. The nuclear fuel pellet of claim 12, wherein the volume ratio is less than 10%.
059011939
claims
1. A nuclear fuel element comprising fuel rods containing nuclear fuel enclosed in a cladding, whereby said cladding is built up of an inner supporting part of a zirconium alloy such as Zircaloy-2 or Zircaloy-4 and an outer layer constituting 10 to 25% of the thickness of the cladding wall and being bonded to the inner part, wherein the outer layer of the cladding is of zirconium with 0.65 to 0.95% Sn and 0.4 to 0.5% Fe as well as impurities in contents normal for reactor-grade zirconium; the difference in Sn content between the inner part of the cladding and the outer layer is at most 0.7%; and the cladding is finally heat-treated with the interval of 450 to 510.degree. C. 2. A nuclear fuel element according to claim 1, wherein the cladding has a f.sub.n -value for hydride-orientation which for both the outer layer and the inner part is less than 0.10 and no accumulation of hydrides in the outer layer or in the boding zone between the layers is obtained. 3. A nuclear fuel element according to claim 1, wherein the cladding is heat-treated during manufacture so that the annealing parameter A, log A&gt;-13.
summary
description
1. Field of the Invention Embodiments of the invention relate to the field of semiconductor device fabrication. More particularly, the present invention relates to an apparatus and method for controlling the temperature of an ion source within an ion implanter utilizing a gas cooling interface. 2. Discussion of Related Art Ion implantation is a process used to dope impurity ions into a semiconductor substrate. An ion beam is directed from an ion source chamber toward a substrate. The depth of implantation into the substrate is based on the ion implant energy and the mass of the ions generated in the source chamber. A precise doping profile in the substrate is critical to proper device operation. One or more types of ion species may be implanted in different doses and at different energy levels to obtain desired device characteristics. FIG. 1 is a block diagram of an ion implanter 100 including an ion source chamber 102. A power supply 101 supplies the required energy to source 102 which is configured to generate ions of a particular species. The generated ions are extracted from the source through a series of electrodes 104 (extraction electrode assembly) and formed into a beam 95 which passes through a mass analyzer magnet 106. The mass analyzer is configured with a particular magnetic field such that only the ions with a desired mass-to-charge ratio are able to travel through the analyzer for maximum transmission through the mass resolving slit 107. Ions of the desired species pass from mass slit 107 through deceleration stage 108 to collimator magnet 110. Collimator magnet 110 is energized to deflect ion beamlets in accordance with the strength and direction of the applied magnetic field to form a ribbon-shaped beam targeted toward a work piece or substrate positioned on support (e.g. platen) 114. In some embodiments, a second deceleration stage 112 may be disposed between collimator magnet 110 and support 114. The ions lose energy when they collide with electrons and nuclei in the substrate and come to rest at a desired depth within the substrate based on the acceleration energy. An indirectly heated cathode (IHC) ion source is typically used as the ion source chamber 102 in high current applications. FIG. 2 is a cross sectional block diagram generally illustrating an IHC ion source 200 including an arc chamber 201 defined by electrically conductive (e.g. tungsten) chamber walls. The chamber defines an ionization zone within which energy is imparted to a dopant feed gas to generate associated ions. Different feed gases are supplied to the ion source chamber to obtain plasma used to form ion beams having particular dopant characteristics. For example, the introduction of H2, BF3 and AsH3 as the dopant gas at relatively high chamber temperatures are broken down into mono-atoms having high implant energies. The IHC ion source chamber 200 includes a cathode/filament assembly 230 located at one end of the arc chamber 201. A filament 231 is positioned in close proximity to cathode 232 outside the arc chamber 201. A voltage is supplied to filament 231 which produces enough current through the filament to heat it and cause thermionic emission of electrons. Cathode 232 is indirectly heated via filament 231 by biasing the cathode more positively than the filament which causes these thermo-electrons to accelerate from filament 231 toward cathode 232, thereby heating the cathode 232. A repeller 210 is typically positioned on the opposite end of the arc chamber 201 and is biased to the same voltage as cathode 232. The emitted electrons are confined between the cathode 232 and repeller 210 which collide with the dopant feed gas introduced into the chamber via conduit 212 to generate plasma having the desired properties. The ions 222 formed from the dopant gas are extracted from source chamber 200 via aperture 220 by way of, for example, a standard three (3) electrode configuration comprising plasma electrode 215, suppression electrode 216 and ground electrode 217 used to create an electric field. Although suppression electrode 216 is shown as being spaced apart from ground electrode 217, this is for illustrative purposes only and the electrodes are physically in contact with each other via insulators. Plasma electrode 215 may be biased at the same large potential as ion source chamber 200. Suppression electrode 216 is connected to a power supply and is typically biased at a moderate negative value to prevent electrons from entering back into source chamber 200. Ground electrode 217 is positioned downstream from suppression electrode 216 and is at ground potential. The strength of the electric field generated by the electrodes can be tuned to a desired beam current to extract a particular type of ion beam from the ions 222 generated in chamber 200. FIG. 2A is a cross section of ion source 200 taken along lines A-A. Faceplate 262 includes aperture 220 through which beam 222 is extracted using extraction electrode assembly including suppression electrode 216, ground electrode 217 (and plasma electrode) as described above. The arc chamber 201 includes liners 250 disposed along sidewalls 260 and endplate 261. The walls of the chamber and the liners define a gap there between through which dopant gas, supplied via conduit 212, enters the chamber 201. These liners provide a low-cost consumable part that may be replaced as well as providing a uniform distribution of the dopant gas into the arc chamber, thereby providing more uniform and stable ion source operation. However, due to excessive source operation and the fact that these liners are thermally isolated from the walls of the arc chamber, the liners may become overheated. As a result, excessive sputtering and/or chemical etching causes particle generations which stick or deposit on the liners. These same IHC ion sources may also be used for high-current (e.g. >100 mA extraction current) phosphorus implantations which require that the IHC ion source run at cooler-than-normal source temperatures to improve beam current and provide more stable implant profiles. Operating the IHC on source at cooler-than-normal source temperatures also improves fractionization of the phosphorus ion species. However, the particles generated from the excessive sputtering and/or chemical etching may cause unstable ion source operation and beam extraction thereby compromising the desired beam profile. One alternative to overcome these problems is to utilize the source chamber without the liners, thereby making the source chamber somewhat cooler by eliminating thermally isolated hot spots. However, these thicker walls do not provide temperature-control capability for high current and high throughput operations. Thus, there is a need for an ion source that can be operated at a desired temperature for stable, high throughput ion implantations. In addition, there is a need to control the temperature of an ion source chamber by utilizing a gas interface between a cooling plate and an ion source chamber. Exemplary embodiments of the present invention are directed to an apparatus and method for controlling the temperature of an ion source within an ion implanter. In an exemplary embodiment, an ion source includes an arc chamber defined by a chamber wall and a plate contiguous with a side of the chamber wall. An interface defined between the plate and the side of the chamber wall receives a gas supplied to the interface at a desired pressure inducing thermal conductance from the interface to the chamber wall to control the temperature of the chamber. In an exemplary method for controlling the temperature of an ion source chamber, a dopant gas is supplied into the ion source chamber. The dopant gas collides with electrons confined within the chamber to generate plasma having desired properties. A cooling gas is supplied into an interface defined between a wall of the ion source chamber and a cooling plate. Thermal conductance from the interface to the chamber based on a pressure of the cooling gas within the interface is used to control the temperature of the chamber. The present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention, however, may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, like numbers refer to like elements throughout. FIG. 3 illustrates a sectional view of ion source chamber 300 utilized in an ion implanter 100 shown in FIG. 1. The ion source chamber 300 utilizes a gas interface between a cooling plate and the ion source chamber. A gas, different from the dopant gas, is supplied to this interface to control the temperature of the chamber wall during high throughput operations. In particular, ion source chamber 300 may be an Indirectly Heated Cathode (IHC) ion source typically used for high current ion implantation applications. The ion source chamber 300 includes single-body arc chamber 301 defined by both thermally and electrically conductive wall 306. A dopant gas is supplied to chamber 301 via conduit 312. Chamber 300 includes an aperture 380 for a cathode and an aperture on an opposite end (not shown) for a repeller. The dopant gas supplied to the chamber 301 collides with electrons confined between the cathode and the repeller to generate plasma having desired properties. The generated ions are extracted from the source via aperture 320 by a series of electrodes 104 (e.g. extraction electrode assembly) and formed into a beam 322 for downstream implantation to a target substrate. The arc chamber 301 may be a single body chamber having wall 306 made from a refractory material. The refractory material makes it difficult to provide a direct (i.e. passages within the body of the wall) cooling path through the wall of the chamber. Thus, the single body design is preferred to maximize the thermal conductance throughout the chamber wall to control the temperature of the chamber more effectively by minimizing the temperature gradient within the chamber 301. In particular, a portion 306a of wall 306 connects the arc chamber 301 to cooling plate 310 via gas interface 315. The gas interface 315 is defined by a gap between cooling plate 310 and chamber wall portion 306a. A cooling gas is supplied to the interface 315 via conduit 313. The physical gap may be, for example, 10-50 microns which is typically sufficient to distribute gas uniformly within the interface 315 and to provide adequate thermal conductance between the cooling plate 310 and chamber wall 306a. In this manner, cooling plate 310 is maintained at a constant temperature using various cooling techniques (e.g. water cooling), while the temperature of chamber wall 206 may vary depending on source operating conditions. Alternatively, chamber 301 may be defined in two parts. The first part being wall portion 306a and the second part being the remainder of wall 306. The two parts may be connected via break lines 316 using fasteners that provide sufficient thermal conductivity between the first and second parts of the arc chamber 301. A cooling gas such as, for example, Helium, Nitrogen, etc., is supplied to cooling plate 310 via conduit 313. The cooling plate may be, for example, aluminum with a cooling gap or path machined on the surface thereof. In particular, the side of the cooling plate 310 that is contiguous with wall portion 306a includes machined pockets configured to receive cooling gas. The machined pockets may be approximately 20-100 microns. The perimeter of cooling plate 310 forms a seal 310a with wall portion 306a to retain the cooling gas within the gap 315. In operation, cooling plate 310 receives a cooling gas which provides a uniform distribution of heat conductance from the cooling plate to chamber wall portion 306a and consequently to the remaining portions of wall 306 since the chamber is a single body design. The pressure of the cooling gas within gap 315 determines the thermal conductance to chamber wall portion 306a and consequently the chamber 301. For example, with a gap distance of approximately 10-50 microns, the pressure within the gas cooling interface 315 may be, for example, 1-20 Torr. By regulating the pressure of the cooling gas in the interface, the temperature of the source chamber 301 can be controlled. FIG. 3A is a sectional view taken in directions A-A through the ion source chamber 300 shown in FIG. 3. Chamber wall 306 defines arc chamber 301 having an aperture 380 to receive a cathode and aperture 320 through which ions having a desired species are extracted. As can be seen, the chamber wall is an integrally formed one piece body where cooling plate 310 is contiguous with wall portion 306a. This provides adequate thermal conductance to control the temperature of the chamber. A bottom plate portion 316 is disposed between cooling plate 310 and chamber walls 306. In addition, the interface 315 provides a gap which physically separates the cooling plate 310 from chamber wall 306a. Cooling plate 310 and wall portion 306a have comparable size and corresponding surface area to provide thermal conductance there between via gap interface 315. The internal surface 301a of arc chamber 301 is shown as having a given radius of curvature. However, alternative configurations of the chamber 301 are also within the scope of this disclosure. These various configurations maximize the thermal conductance through the chamber walls 306 from gap interface 315. FIG. 4 is a sectional view of alternative configuration of an ion source chamber 400 utilized in an ion implanter 100 shown in FIG. 1. Similar to the ion source chamber shown in FIG. 3, ion source chamber 400 includes arc chamber 401 and a cooling plate 410. The interior of the arc chamber 401 is defined by a unitary body structure having conductive wall 406. The cooling plate 410 is contiguous with a portion 406a of wall 406 to define a gas cooling interface 415 in the form of a gap. Arc chamber 400 includes an aperture 480 for the cathode and an aperture on an opposite end (not shown) for the repeller. A dopant gas is supplied to chamber 401 via conduit 412 which collides with electrons confined between the cathode and the repeller to generate plasma having desired properties. The generated ions are extracted from the source via aperture 420 by a series of electrodes 104 (extraction electrode assembly) and formed into a beam 422 for downstream implantation to a target substrate. Arc chamber 401 defined by conductive wall 406 has a different shape as compared to the chamber 301 shown in FIG. 3. In particular, wall 406 is thicker and has a shape to maximize the thermal conductance through the chamber wall material. In particular, wall portion 406a acts as the interface to the rest of chamber 401 from cooling plate 410 via the gas cooling interface 415. The gas interface 415 is defined by the gap formed between cooling plate 410 and chamber wall portion 406a. In operation, cooling plate 410 receives a coolant gas via conduit 413 which provides a uniform distribution of heat conductance from the cooling plate to chamber wall portion 406a and consequently to the rest of wall 406 of chamber 401 since the chamber is a single body design. The cooling gas can be, for example, Helium, Nitrogen, etc. The cooling plate 410 may be, for example, aluminum with pockets machined on the surface thereof to retain the cooling gas between wall portion 406a and plate 410. The perimeter of cooling plate 410 is configured to form a seal 410a with chamber wall portion 406a to retain the cooling gas within the gap interface 415. The pressure of the cooling gas within gap 415 determines the thermal conductance to chamber wall portion 406a and consequently controls the temperature of chamber 401. While the present invention has been disclosed with reference to certain embodiments, numerous modifications, alterations and changes to the described embodiments are possible without departing from the sphere and scope of the present invention, as defined in the appended claims. Accordingly, it is intended that the present invention not be limited to the described embodiments, but that it has the full scope defined by the language of the following claims, and equivalents thereof.
abstract
A laser-driven particle beam irradiation apparatus includes: a particle beam generator irradiating a target with pulsed laser light to emit a laser-driven particle ray; a beam converging unit forming a transportation path which guides the emitted laser-driven particle ray to an object and spatially converging the laser-driven particle ray; an energy selector selecting an energy and an energy width of the laser-driven particle ray; an irradiation port causing the laser-driven particle ray to scan the object to adjust an irradiation position in the object; and an irradiation controller controlling operation of the particle beam generator, the beam converging unit, the energy selector and the irradiation port. The beam converging unit generates a magnetic field on a trajectory of the laser-driven particle ray and converging the laser-driven particle ray by the magnetic field, the magnetic field forcing divergence components of the laser-driven particle ray that go away from a center of the trajectory back to the center of the trajectory.
claims
1. A zirconium-based metal alloy composition, comprising:zirconium;a first metal element additive in which the permeability of hydrogen decreases with increasing temperatures at least over a temperature range extending from 350° C. to 750° C.;a second metal element additive having a solubility value in zirconium over the temperature range extending from 350° C. to 750° C., wherein at least one of a solubility value of the first metal element additive in the second metal element additive over the temperature range extending from 350° C. to 750° C. and a solubility value of the second metal element additive in the first metal element additive over the temperature range extending from 350° C. to 750° C. is higher than the solubility value of the second metal element additive in zirconium over the temperature range extending from 350° C. to 750° C.;wherein the zirconium-based metal alloy composition has a microstructure comprising:a first plurality of grains comprising a first phase, the first phase comprising a zirconium-based metal alloy; anda second phase disposed at grain boundaries of the first plurality of grains, the second phase comprising a metal alloy based on the second metal element additive. 2. The zirconium-based metal alloy composition of claim 1, wherein the zirconium comprises at least about ninety percent by weight (90.0 wt %) of the zirconium-based metal alloy composition. 3. The zirconium-based metal alloy composition of claim 1, wherein the first metal element additive comprises between about one-tenth of one percent by weight (0.1 wt %) and about nine percent by weight (9.0 wt %) of the zirconium-based metal alloy composition. 4. The zirconium-based metal alloy composition of claim 1, wherein the second metal element additive comprises between about one-hundredth of one percent by weight (0.01 wt %) and about one percent by weight (1.0 wt %) of the zirconium-based metal alloy composition. 5. The zirconium-based metal alloy composition of claim 1, wherein the first metal element additive comprises one or more elements selected from the group consisting of niobium (Nb), tantalum (Ta), and vanadium (V). 6. The zirconium-based metal alloy composition of claim 1, wherein the second metal element additive comprises one or more elements selected from the group consisting of molybdenum (Mo), antimony (Sb), and palladium (Pd). 7. The zirconium-based metal alloy composition of claim 1, wherein:the first metal element additive comprises one or more elements selected from the group consisting of niobium (Nb), tantalum (Ta), and vanadium (V); andthe second metal element additive comprises one or more elements selected from the group consisting of molybdenum (Mo), antimony (Sb), and palladium (Pd). 8. The zirconium-based metal alloy composition of claim 7, wherein:the first metal element additive comprises between about one-tenth of one percent by weight (0.1 wt %) and about nine percent by weight (9.0 wt %) of the zirconium-based metal alloy composition; andthe second metal element additive comprises between about one-hundredth of one percent by weight (0.01 wt %) and about one percent by weight (1.0 wt %) of the zirconium-based metal alloy composition. 9. The zirconium-based metal alloy composition of claim 8, wherein the zirconium comprises at least about ninety percent by weight (90.0 wt %) of the zirconium-based metal alloy composition. 10. The zirconium-based metal alloy composition of claim 1, wherein the solubility value of the second metal element additive in the first metal element additive over the temperature range extending from 350° C. to 750° C. is higher than the solubility value of the second metal element additive in zirconium over the temperature range extending from 350° C. to 750° C. 11. The zirconium-based metal alloy composition of claim 1, further comprising a third additive, the third additive comprising a grain-growth inhibitor that impedes the growth of grains of the zirconium-based metal alloy composition over the temperature range extending from 350° C. to 750° C. 12. The zirconium-based metal alloy composition of claim 11, wherein the third additive comprises one or more materials selected from the group consisting of thorium oxide, yttrium oxide, lanthanum oxide, neodymium oxide, cerium oxide, and dysprosium oxide. 13. The zirconium-based metal alloy composition of claim 11, wherein the third additive comprises between about six-hundredths of one percent by volume (0.06 wt %) and about six-tenths of one percent by volume (0.60 wt %) of the zirconium-based metal alloy composition. 14. The zirconium-based metal alloy composition of claim 1, wherein the grains of the first plurality of grains have an average grain size of between about twenty-five nanometers (25 nm) and about one hundred microns (100 μm). 15. The zirconium-based metal alloy composition of claim 14, wherein the grains of the first plurality of grains comprise between about ninety percent (90%) and about ninety-nine and one-half percent (99.5%) of the volume of the zirconium-based metal alloy composition. 16. The zirconium-based metal alloy composition of claim 1, wherein the second phase comprises a second plurality of grains having an average grain size of between about ten nanometers (10 nm) and about one thousand nanometers (1,000 nm). 17. The zirconium-based metal alloy composition of claim 1, wherein the second phase comprises between about three-tenths of one percent (0.3%) and about ten percent (10%) of the volume of the zirconium-based metal alloy composition. 18. The zirconium-based metal alloy composition of claim 1, further comprising a third additive, the third additive comprising a grain-growth inhibitor that impedes the growth of grains of the zirconium-based metal alloy composition over the temperature range extending from 350° C. to 750° C., and wherein the microstructure of the zirconium-based metal alloy composition further comprises a third plurality of grains disposed at grain boundaries of the first plurality of grains, the third plurality of grains comprising the third additive. 19. A nuclear fuel rod for use in a nuclear reaction, comprising:a volume of nuclear fuel material; anda cladding material at least partially surrounding the volume of nuclear fuel material, the cladding material comprising a zirconium-based metal alloy composition, comprising:zirconium;a first metal element additive in which the permeability of hydrogen decreases with increasing temperatures at least over a temperature range extending from 350° C. to 750° C.;a second metal element additive having a solubility value in zirconium over the temperature range extending from 350° C. to 750° C., wherein at least one of a solubility value of the first metal element additive in the second metal element additive over the temperature range extending from 350° C. to 750° C. and a solubility value of the second metal element additive in the first metal element additive over the temperature range extending from 350° C. to 750° C. is higher than the solubility value of the second metal element additive in zirconium over the temperature range extending from 350° C. to 750° C.;wherein the zirconium-based metal alloy composition has a microstructure comprising:a first plurality of grains comprising a zirconium-based metal alloy; anda second plurality of grains disposed at grain boundaries of the first plurality of grains, the second plurality of grains comprising a metal alloy based on the second metal element additive. 20. The nuclear fuel rod of claim 19, wherein:the first metal element additive comprises one or more elements selected from the group consisting of niobium (Nb), tantalum (Ta), and vanadium (V); andthe second metal element additive comprises one or more elements selected from the group consisting of molybdenum (Mo), antimony (Sb), and palladium (Pd). 21. The nuclear fuel rod of claim 19, wherein:the first metal element additive comprises between about one-tenth of one percent by weight (0.1 wt %) and about nine percent by weight (9.0 wt %) of the zirconium-based metal alloy composition; andthe second metal element additive comprises between about one-hundredth of one percent by weight (0.01 wt %) and about one percent by weight (1.0 wt %) of the zirconium-based metal alloy composition. 22. The nuclear fuel rod of claim 19, wherein the zirconium comprises at least about ninety percent by weight (90.0 wt %) of the zirconium-based metal alloy composition. 23. The nuclear fuel rod of claim 19, further comprising a third additive, the third additive comprising a grain-growth inhibitor that impedes the growth of grains of the zirconium-based metal alloy composition over the temperature range extending from 350° C. to 750° C. 24. The nuclear fuel rod of claim 23, wherein the third additive comprises between about six-hundredths of one percent by volume (0.06 wt %) and about six-tenths one percent by volume (0.6 wt %) of the zirconium-based metal alloy composition. 25. The nuclear fuel rod of claim 19, wherein the grains of the first plurality of grains have an average grain size of between about twenty-five nanometers (25 nm) and about one hundred microns (100 μm). 26. The nuclear fuel rod of claim 25, wherein the grains of the first plurality of grains comprise between about ninety percent (90%) and about ninety-nine and one-half percent (99.5%) of the volume of the zirconium-based metal alloy composition. 27. The nuclear fuel rod of claim 19, wherein the grains of the second plurality of grains have an average grain size of between about ten nanometers (10 nm) and about one thousand nanometers (1,000 nm). 28. The nuclear fuel rod of claim 19, wherein the grains of the second plurality of grains comprise between about three-tenths of one percent (0.3%) and about ten percent (10%) of the volume of the zirconium-based metal alloy composition. 29. The nuclear fuel rod of claim 19, wherein the zirconium-based metal alloy composition further comprises a third additive, the third additive comprising a grain-growth inhibitor that impedes the growth of grains of the zirconium-based metal alloy composition over the temperature range extending from 350° C. to 750° C., and wherein the microstructure of the zirconium-based metal alloy composition further comprises a third plurality of grains disposed at grain boundaries of the first plurality of grains, the third plurality of grains comprising the third additive. 30. A nuclear reactor, comprising:a reactor core for generating thermal energy, comprising:a liquid; andat least one fuel rod disposed within the liquid, the at least one fuel rod including at least one nuclear fuel material at least partially surrounded by a cladding material, the cladding material comprising a zirconium-based metal alloy composition, comprising:zirconium;a first metal element additive in which the permeability of hydrogen decreases with increasing temperatures at least over a temperature range extending from 350° C. to 750° C.;a second metal element additive having a solubility value in zirconium over the temperature range extending from 350° C. to 750° C., wherein at least one of a solubility value of the first metal element additive in the second metal element additive over the temperature range extending from 350° C. to 750° C. and a solubility value of the second metal element additive in the first metal element additive over the temperature range extending from 350° C. to 750° C. is higher than the solubility value of the second metal element additive in zirconium over the temperature range extending from 350° C. to 750° C.;wherein the zirconium-based metal alloy composition has a microstructure comprising:a first plurality of grains comprising a zirconium-based metal alloy; anda second plurality of grains disposed at grain boundaries of the first plurality of grains, the second plurality of grains comprising a metal alloy based on the second metal element additive. 31. A method of forming a zirconium-based metal alloy composition, comprising:mixing zirconium particles, first metal element additive particles, second metal element additive particles, and third additive particles to form a particle mixture;wherein the first metal element additive particles comprise one or more elements in which the permeability of hydrogen decreases with increasing temperatures at least over a temperature range extending from 350° C. to 750° C.;wherein the second metal element additive particles comprise an element having a solubility value in zirconium over the temperature range extending from 350° C. to 750° C., and wherein at least one of a solubility value of the element of the first metal element additive particles in the element of the second metal element additive particles over the temperature range extending from 350° C. to 750° C. and a solubility value of the element of the second metal element additive particles in the element of the first metal element additive particles over the temperature range extending from 350° C. to 750° C. is higher than the solubility value of the element of the second metal element additive particles in zirconium over the temperature range extending from 350° C. to 750° C.;wherein the third additive particles comprise a grain-growth inhibitor that impedes the growth of grains of a zirconium-based metal alloy composition over the temperature range extending from 350° C. to 750° C.;pressing the particle mixture to form a green body; andsintering the green body. 32. The method of claim 31, wherein sintering the green body comprises sublimating at least one component of the third additive particles. 33. The method of claim 31, wherein:the first metal element additive particles comprise to comprise one or more elements from the group consisting of niobium (Nb), tantalum (Ta), and vanadium (V); andthe second metal element additive particles comprise one or more elements from the group consisting of molybdenum (Mo), antimony (Sb), and palladium (Pd). 34. The method of claim 33, wherein:the first metal element additive particles comprise between about one-tenth of one percent by weight (0.1 wt %) and about nine percent by weight (9.0 wt %) of the zirconium-based metal alloy composition; andthe second metal element additive particles comprise between about one-hundredth of one percent by weight (0.01 wt %) and about one percent by weight (1.0 wt %) of the zirconium-based metal alloy composition. 35. The method of claim 34, wherein the zirconium particles comprise at least about ninety percent by weight (90.0 wt %) of the zirconium-based metal alloy composition. 36. The method of claim 31, wherein the third additive particles comprise between about six-hundredths of one percent by volume (0.06 wt %) and about six-tenths of one percent by volume (0.6 wt %) of the zirconium-based metal alloy composition. 37. The method of claim 31, further comprising forming a microstructure comprising:a first plurality of grains comprising a zirconium-based metal alloy; anda second plurality of grains disposed at grain boundaries of the first plurality of grains, the second plurality of grains comprising a metal alloy based on the second metal element additive particles. 38. The method of claim 37, further comprising forming the grains of the first plurality of grains to have an average grain size of between about twenty-five nanometers (25 nm) and about one hundred microns (100 μm). 39. The method of claim 38, further comprising forming the grains of the first plurality of grains to comprise between about ninety percent (90%) and about ninety-nine and one-half percent (99.5%) of the volume of the zirconium-based metal alloy composition. 40. The method of claim 37, further comprising forming the grains of the second plurality of grains to have an average grain size of between about ten nanometers (10 nm) and about one thousand nanometers (1,000 nm). 41. The method of claim 40, further comprising forming the grains of the second plurality of grains to comprise between about three-tenths of one percent (0.3%) and about ten percent (10%) of the volume of the zirconium-based metal alloy composition. 42. The method of claim 37, further comprising forming the microstructure of the zirconium-based metal alloy composition to comprise a third plurality of grains disposed at grain boundaries of the first plurality of grains, the third plurality of grains comprising a material formed from the third additive particles.
abstract
An optical microscope slide in a charged particle instrument such as an electron microscope or a focused ion beam instrument. Conventional microscope slides are not fit for use in an electron microscope as they are insulating and would thus charge when viewed in an electron microscope due to the impinging beam of charged particles. However, microscope slides exist that show a coating with a conductive layer of e.g. Indium Tin Oxide (ITO). These microscope slides are normally used for heating the object mounted on the slide by passing a current through the conductive layer. Experiments show that these microscope slides can be used advantageously in a charged particle instrument by connecting the conductive layer to e.g. ground potential, thereby forming a return path for the impinging charged particles and thus avoiding charging. The invention further relates to a charged particle instrument that is further equipped with an optical microscope.
042016908
summary
BACKGROUND TO THE INVENTION This invention relates to the processing of irradiated nuclear fuel and particularly to the dissolution of irradiated nuclear fuel in nitric acid prior to the reprocessing of the fuel. In one known process for the reprocessing of irradiated nuclear fuel the irradiated nuclear fuel material is first dissolved in nitric acid and the acid solution which contains uranium, plutonium and fission products is contacted with an organic solvent in a solvent extraction process which effects separation of the uranium and plutonium values from the fission products and from each other. During the dissolution of the irradiated fuel material gaseous fission products such as iodine 129, krypton and xenon are released. These gaseous fission products may present a hazard if released into the environment and they are preferably retained in the plant. SUMMARY OF THE INVENTION According to the present invention a process for the dissolution of irradiated nuclear fuel in nitric acid is operated under an atmosphere consisting essentially of carbon dioxide. The carbn dioxide may be circulated inside a plant in which the carbon dioxide is contained by alternatively condensing and evaporating at least a part of the atmosphere circulating in the plant in each of a pair of heat exchangers, one of said pair of heat exchangers being used to condense said part of the atmosphere whilst the other of said pair of heat exchangers is used to evaporate condensed carbon dioxide to provide a continuous driving force to effect circulation of the atmosphere around the plant. The carbon dioxide may be dried to remove moisture and cooled to remove condensible contaminants such as iodine before passing to the heat exchangers which may be purged to remove gases such as krypton and xenon which do not condense during the condensation of the carbon dioxide.
description
1. Field of the Invention The present invention relates to a high-energy ion implanter. 2. Description of the Related Art In a semiconductor device production process, an important process is generally performed in which ions are implanted into a semiconductor wafer in a vacuum state so as to add impurities to crystals of the semiconductor wafer. Accordingly, a conductive property is changed so that the semiconductor wafer becomes a semiconductor device. An apparatus used in this process is generally called an ion implanter that accelerates impurity atoms as ions for the semiconductor device and implants impurity atoms into the semiconductor wafer. Hitherto, an apparatus for performing a high-energy ion implantation by further deeply implanting an ion beam into the semiconductor wafer has been used with the high integration and the high performance of the semiconductor device. Such an apparatus is particularly called a high-energy ion implanter. As an example, there is known a method of configuring an ion beam acceleration system by a tandem type electrostatic accelerator. (Batch Type) Further, a batch treatment type high-energy ion implanter with a radio frequency linear accelerator for performing a radio frequency acceleration has been used for many years. The batch treatment type ion implantation is a method of uniformly implanting ions into wafers while several tens of silicon wafers are loaded on the outer periphery of an aluminum disk having a diameter of about 1 m and the disk is rapidly rotated by 1000 revolutions per minute. In order to prevent the pop-out state of the wafer by a centrifugal force, the wafer loading portion of the disk has an angle of about 5° with respect to a rotation surface (a surface perpendicular to a rotation shaft). The batch treatment type ion implantation method has a problem in which an implantation angle (an angle at which the ions are incident to the wafer) is different by about 1° between the center and the end of the wafer (the implantation angle deviation) due to the above-described angle and the rotation of the wafer. In general, a die on the wafer has anion implantation performing region and a non-ion implantation performing region, and the non-ion implantation performing region is covered by an organic substance called a photoresist. Since the ions do not need to penetrate the photoresist during the implantation, the photoresist to be coated during the high-energy ion implantation is much thickened. In the ion implantation performing region, the photoresist is excluded by lithography. However, when the integration degree is high and the implantation region is minute, the ions are perpendicularly implanted to a bottom of a deep hole surrounded by an upright photoresist wall. In the ion implantation in the structure having a high aspect ratio, the high precision of implantation angle is demanded. In particular, in a case where a high-quality imaging device such as a CCD is produced, the resolution increases with the deep ion implantation, and hence the sensitivity is improved. For this reason, a super-high-energy ion implantation (3 to 8 MeV) is also performed. In this case, the allowed implantation angle error is about 0.1°, and a batch type apparatus with a large implantation angle deviation may not be used. (Single Wafer Type High-Energy Ion Implanter) Therefore, a single wafer type high-energy ion implanter has been practically realized in recent years. In the batch type, the ion beam is uniformly implanted in the horizontal direction in a manner such that the beam is fixed and the wafer moves (the rotation on the disk). On the contrary, in the single wafer type, the beam moves (so that the beam scans in the horizontal direction) and the wafer is fixed. In this type, when the scan beam is collimated, the implantation dose may be uniform within the wafer surface, and the implantation angle may be also uniform. Accordingly, the problem of the implantation angle deviation may be solved. Furthermore, the dose uniformity in the vertical direction is realized by moving the wafer at a constant velocity in both types, but the angle error does not occur in accordance with the movement. In addition, since the single wafer type ion implanter does not uselessly consume the silicon wafer when a small number of wafers are treated, the single wafer type ion implanter is suitable for a small lot multi-product production, and hence a demand therefor has been increased in recent years. Here, in the production of the high-quality imaging device, there is a need to meet various difficult demands in which the angle precision is needed, the metal contamination needs to be removed, the implantation damage (the residual crystal defect after the annealing) needs to be small, and the implantation depth precision (the energy precision) needs to be good. Accordingly, even the single wafer type ion implanter has many points to be improved. In the single wafer type high-energy ion implanter of the related art, the tandem type electrostatic accelerator or the radio frequency acceleration type heavy ion linac (the linear accelerator) has been used as the high energy acceleration type. The downstream side of the acceleration system is provided with an energy filtering magnet, a beam scanner, and a parallel (parallelization) magnet that collimates a scan orbit by a magnetic field. Then, the beam has the same incident angle (implantation angle) with respect to the wafer at any scan position due to the parallel magnet. The ion energy is up to about 3 to 4 MeV. Further, in a part of the (single wafer type) medium current ion implanter used in the energy region (10 to 600 keV) lower than that of the high-energy ion implanter, an electric field parallel lens is used which collimates the scan orbit by the electric field (the electrode). Since the electric field parallel lens may collimate the scan orbit while keeping the symmetry of the orbit, the angle precision is more critically treated compared to the parallel magnet. Further, in this apparatus, an electric field type deflection electrode called an AEF (Angular Energy Filter) is attached to the vicinity of the wafer. Since the ions subjected to a change in charge state during the transportation of the beam or the particles generated in the beamline are removed by the AEF, a highly pure beam may be supplied. The invention is made in view of such circumstances, and an object thereof is to provide a high-energy ion implanter that highly precisely collimates a scanned high-energy ion beam. In order to solve the above-described problems, a high-energy ion implanter according to an aspect of the invention is a high-energy ion implanter that accelerates an ion beam extracted from an ion source, transports the ion beam to a wafer along a beamline, and implants the ion beam into the wafer. This apparatus includes: a beam generation unit that includes the ion source and a mass analyzer; a high-energy multi-stage linear acceleration unit that accelerates an ion beam so as to generate a high-energy ion beam; a high-energy beam deflection unit that changes the direction of the high-energy ion beam toward the wafer; a beam transportation line unit that transports the deflected high-energy ion beam to the wafer; and a substrate processing/supplying unit that uniformly implant the transported high-energy ion beam into the semiconductor wafer. The beam transportation unit includes a beam shaper, a high-energy beam scanner, a high-energy beam collimator, and a high-energy final energy filter. Further, the high-energy ion beam emitted from the deflection unit is scanned at both sides of the reference trajectory of the beamline by the beam scanner and is collimated by the beam collimator so that mixed ions which are different in any one of the mass, the ion charge state, and the energy are implanted to the wafer by the high-energy final energy filter. Further, the high-energy beam collimator is an electric field type beam collimator that collimates the scan beam while performing the acceleration and the deceleration of the high-energy beam by the electric field. The invention will now be described by reference to the preferred embodiments. This does not intend to limit the scope of the present invention, but to exemplify the invention. Hereinafter, an example of a high-energy ion implanter according to the embodiment will be described in detail. First, the reason why the invention is contrived by the present inventor and the like will be described. (Parallel Magnet) The following problems arise in a high-energy ion implanter of the related art that employs a parallel (collimate) magnet which parallelizes (collimates) an orbit by a deflection magnetic field. When a high-energy ion is implanted into a photoresist-coated wafer, a large amount of an outgas is generated. Then, an interaction occurs between molecules of the outgas and beam ions, and hence the charge state of some ions change. When a change in valance occurs while the beam passes through the parallel magnet, a deflection angle changes and the parallelism of the beam is collapsed. Accordingly, an implantation angle with respect to the wafer is not uniform. Further, the amount (the number or the dose) of the implanted ions may be obtained by measuring a beam current in a faraday cup disposed near the wafer. However, the measurement value is influenced due to a change in charge state, and hence the measurement value is deviated from a predetermined implantation dose. As a result, the expected characteristics of a semiconductor device may not be obtained according to the design. Further, in the parallelism of one parallel magnet, the inner and outer orbits have different deflection angles and different orbit lengths. For this reason, the ratio of the ions subjected to a change in charge state increases as it goes toward the outer orbit, and hence the dose uniformity inside the wafer surface is also degraded. Thus, a recent demand for highly precise implantation may not be sufficiently handled by the beam transportation type of the high-energy ion implanter of the related art. Further, the parallel magnet needs a wide magnetic pole in the scan direction and a parallelizing section having a certain length. Since the length and the size of the magnetic pole increase when the energy increases, the weight of the parallel magnet considerably increases. In order to stably fix and hold the apparatus, the design for the strength of the semiconductor factory needs to be reinforced, and the power consumption considerably increases. These problems may be solved when the electric field collimating lens and the electric field (the electrode type) energy filter (AEF: Angular Energy Filter) used in the above-described medium current ion implanter may be used in the high-energy region. The electric field collimating lens aligns and collimates the scan orbit to the center orbit while keeping the symmetry of the orbit, and the AEF removes the ions subjected to a change in charge state directly before the wafer. Accordingly, even when a large amount of the outgas exists, a beam without an energy contamination may be obtained, and hence the implantation angle in the scan direction does not become non-uniform as in the case of the parallel magnet. As a result, the ions may be implanted with an accurate implantation distribution in the depth direction and a uniform implantation dose, and the implantation angle also becomes uniform, thereby realizing a highly precise ion implantation. Further, since the light-weight electrode member is used, the power consumption may be decreased compared to the electromagnet. The point of the invention is to obtain an apparatus capable of performing the same highly precise implantation as that of the medium current apparatus in the high-energy apparatus by introducing an excellent system of the medium current ion implanter into the high-energy ion implanter. The problems to be solved in this trial will be described below. The first problem is the length of the apparatus. In a case where the ion beams are deflected at the same trajectory, the necessary magnetic field is proportional to the square root of the energy, and the necessary electric field is proportional to the energy. Thus, the length of the deflection magnetic pole is proportional to the square root of the energy, and the length of the deflection electrode is proportional to the energy. When the highly precise angle implantation is tried by mounting the electric field collimating lens and the electric field AEF onto the high-energy ion implanter, the beam transportation system (the distance from the scanner to the wafer) largely increases in length compared to the apparatus of the related art that uses the parallel magnet. For example, as the high-energy ion implanter that includes a parallelization mechanism using such an electric field, a structure is considered which is obtained by substantially linearly fixing constituents such as an ion source, amass analysis magnet, a tandem type electrostatic accelerator or a radio frequency linear accelerator, a beam scanner, a scan orbit parallelization device, an energy filter, an implantation process chamber, and a substrate transportation unit (an end station) as in the case of the high-energy ion implanter of the related art. In this case, the entire length of the apparatus increase by about 20 m compared to the apparatus of the related art having a length of about 8 m. Accordingly, it takes large effort when the installation place is set and prepared and the installation operation is performed, and then the installation area also increases. Further, a work space is also needed for the alignment adjustment of the devices and the maintenance, the repair, or the adjustment thereof after the operation of the apparatus. Such a large ion implanter may not satisfy a demand for adjusting the size of the apparatus in the semiconductor production line to the actual size of the apparatus arranged in the factory production line. In view of such circumstances, an object of the beamline structure in the aspect of the invention is to provide a highly precise high-energy ion implanter with an electric field collimating lens and an electric field energy filter by simplifying and efficiently adjusting an installation place setting and preparing work, an installation work, or a maintenance work while ensuring a sufficient work area and realizing a technique of suppressing an increase in installation area. (U-Shaped Folded Beam Line) The object may be attained by a configuration in which the beamline of the high-energy ion implanter includes a long line portion that is formed by a plurality of units for accelerating an ion beam generated by an ion source and a long line portion that is formed by a plurality of units for adjusting and implanting a scan beam into a wafer and a horizontal U-shaped folded beamline having the long line portions facing each other is formed. Such a layout is realized by substantially matching the length of the beam transportation unit including a beam scanner, a beam collimator, an energy filter, and the like to the length of the unit accelerating the ions from the ion source. Then, a sufficiently wide space is provided between two long line portions for the maintenance work. An aspect of the invention is obtained on the basis of the layout of the beamline, and an object of the invention is to provide a high-energy ion implanter which collimates a scanned high-energy ion beam in a bilaterally symmetric state by an electric field and performs a highly precise ion implantation in an environment with a large amount of an outgas. A high-energy ion implanter according to an aspect of the invention is a high-energy ion implanter that accelerates ions generated from an ion source so as to generate an ion beam, transports the ion beam to a wafer along a beamline, and implants the ion beam into the wafer, and includes: a beam generation unit that includes the ion source and a mass analyzer; a high-energy multi-stage linear acceleration unit that accelerates an ion beam so as to generate a high-energy ion beam; a high-energy beam deflection unit that changes the direction of the high-energy ion beam toward the wafer; a high-energy beam transportation unit that transports the deflected high-energy ion beam to the wafer; and a substrate processing/supplying unit that uniformly implants the transported high-energy ion beam into the semiconductor wafer. The beam transportation unit includes abeam shaper, a high-energy beam scanner, a high-energy beam collimator, and a high-energy final energy filter. Then, the high-energy ion beam emitted from the deflection unit is scanned at both sides of the reference trajectory of the beamline by the beam scanner, and is collimated to the reference trajectory of the orbits of the scan beams while the bilateral symmetric is maintained by the beam collimator, mixed ions which are different in the mass, the ion charge state, the energy, and the like are removed by the final energy filter, and the resultant ions are implanted into the wafer. The high-energy beam collimator includes a pair of acceleration electrodes that accelerates the ion beam and deflects the ion beam toward the reference trajectory and a pair of deceleration electrodes that decelerates the ion beam and deflects the ion beam toward the reference trajectory. Here, the high-energy beam collimator is configured as an acceleration-deceleration electrode lens group that includes at least two sets or more of the pair of acceleration electrodes and the pair of deceleration electrodes. According to the aspect of the invention, the scanned high-energy ion beam may be collimated in a bilaterally symmetric state. Accordingly, even in a state where a large amount of the outgas exists, the ion beam may be implanted with a uniform implantation dose and a uniform implantation angle, and hence the highly precise ion implantation is realized. Further, a light-weight electrode member is used. The power consumption may be decreased compared to the electromagnet. Therefore, the high-energy ion implanter according to the aspect of the embodiment is an ion implanter that accelerates the ions generated by the ion source, transports the ions as the ion beam along the beamline to the wafer, and implants the ions into the wafer. This apparatus includes the high-energy multi-stage linear acceleration unit that accelerates the ion beam so as to generate the high-energy ion beam, the deflection unit that changes the direction of the orbit of the high-energy ion beam toward the wafer, and the beam transportation line unit that transports the deflected high-energy ion beam to the wafer, and the collimated ion beam is highly precisely irradiated to the wafer moving in a mechanical scan state so as to be implanted into the wafer. The high-energy ion beam that is emitted from the radio frequency (AC-type) high-energy multi-stage linear acceleration unit for highly accelerating the ion beam includes a certain range of energy distribution. For this reason, in order to scan and collimate the high-energy ion beam of the rear stage and irradiate the high-energy ion beam to the wafer moving in a mechanical scan state, there is a need to perform the highly precise energy analysis, the center orbit correction, and the beam convergence and divergence adjustment in advance. The beam deflection unit includes at least two highly precise deflection electromagnets, at least one energy width confining slit, an energy analysis slit, and at least one lateral convergence unit. The plurality of deflection electromagnets are formed so as to perform the energy analysis of the high-energy ion beam, the precise correction of the ion implantation angle, and the suppression of the energy dispersion. In the highly precise deflection electromagnets, a nuclear magnetic resonance probe and a hall probe are attached to the electromagnet for the energy analysis, and only the hall probe is attached to the other electromagnet. The nuclear magnetic resonance probe is used to calibrate the hall probe, and the hall probe is used for the uniform magnetic field feedback control. The beam transportation line unit may implant ions by scanning and parallelizing the high-energy ion beam and highly precisely irradiating the high-energy ion beam to the wafer moving in a mechanical scan state. Hereinafter, an example of the high-energy ion implanter according to the embodiment will be described in more detail with reference to the drawings. Furthermore, the same reference numerals will be given to the same components in the description of the drawings, and the repetitive description of the same components will be appropriately omitted. Further, the configuration mentioned below is merely an example, and does not limit the scope of the invention. (High-Energy Ion Implanter) First, a configuration of the high-energy ion implanter according to the embodiment will be simply described. Furthermore, the content of the specification may be applied to not only the ion beam as one of kinds of charged particles, but also the apparatus involved with the charged particle beam. FIG. 1 is a schematic view illustrating a schematic layout and a beamline of a high-energy ion implanter 100 according to the embodiment. The high-energy ion implanter 100 according to the embodiment is an ion implanter that includes a radio frequency linear acceleration type ion accelerator and a high-energy ion transportation beamline, and is configured to accelerate ions generated by an ion source 10, transports the ions along the beamline to a wafer (a substrate) 200 as an ion beam, and implants the ions into a wafer 200. As illustrated in FIG. 1, the high-energy ion implanter 100 includes an ion beam generation unit 12 that generates ions and separates the ions by mass, a high-energy multi-stage linear acceleration unit 14 that accelerates an ion beam so as to become a high-energy ion beam, a beam deflection unit 16 that performs an energy analysis, a center orbit correction, and an energy dispersion control on the high-energy ion beam, a beam transportation line unit 18 that transports the analyzed high-energy ion beam to a wafer, and a substrate processing/supplying unit 20 that uniformly implant the transported high-energy ion beam into the semiconductor wafer. The ion beam generation unit 12 includes the ion source 10, an extraction electrode 40, and a mass spectrometer 22. In the ion beam generation unit 12, a beam is extracted from the ion source 10 through the extraction electrode and is accelerated, and the extracted and accelerated beam is subjected to a mass analysis by the mass spectrometer 22. The mass spectrometer 22 includes amass analysis magnet 22a and a mass analysis slit 22b. There is a case in which the mass analysis slit 22b is disposed directly behind the mass analysis magnet 22a. However, in the embodiment, the mass analysis slit is disposed inside the entrance of the high-energy multi-stage linear acceleration unit 14 as the next configuration. Only the ions necessary for the implantation are selected as a result of the mass analysis using the mass spectrometer 22, and the ion beam of the selected ions is led to the next high-energy multi-stage linear acceleration unit 14. The direction of the ion beam that is further accelerated by the high-energy multi-stage linear acceleration unit 14 is changed by the beam deflection unit 16. The beam deflection unit 16 includes an energy analysis electromagnet 24, a lateral convergence quadrupole lens 26 that suppresses an energy dispersion, an energy width confining slit 27 (see FIGS. 5A and 5B below), an energy analysis slit 28, and a deflection electromagnet 30 having a steering function. Furthermore, the energy analysis electromagnet 24 may be called an energy filter electromagnet (EFM). The direction of the high-energy ion beam is changed by the deflection unit so as to be directed toward the substrate wafer. The beam transportation line unit 18 is used to transport the ion beam emitted from the beam deflection unit 16, and includes a beam shaper 32 formed by a convergence/divergence lens group, a beam scanner 34, a beam collimator 36, and a final energy filter 38 (with a final energy separation slit). The length of the beam transportation line unit 18 is designed so as to match the lengths of the ion beam generation unit 12 and the high-energy multi-stage linear acceleration unit 14, and the beam transportation line unit 18 is connected to the beam deflection unit 16 so as to form a U-shaped layout as a whole. The substrate processing/supplying unit 20 is provided at the termination end of the downstream side of the beam transportation line unit 18, and the implantation process chamber accommodates a beam monitor that measures the beam current, the position, the implantation angle, the convergence and divergence angle, the vertical and horizontal ion distribution, and the like of the ion beam, a charge prevention device that prevents the charge of the substrate by the ion beam, a wafer transportation mechanism that carries the wafer (the substrate) 200 and installs the wafer at an appropriate position and an appropriate angle, an ESC (Electro Static Chuck) that holds the wafer during the ion implantation, and a wafer scan mechanism that operates the wafer in a direction perpendicular to the beam scan direction at the velocity in response to a change in the implantation beam current. In this way, the high-energy ion implanter 100 that is formed by arranging the units in a U-shape ensures satisfactory workability while suppressing an increase in foot print. Further, in the high-energy ion implanter 100, the units or the devices are formed as a module, and hence may be attached, detached, and assembled in accordance with the beamline reference position. Next, the units and the devices constituting the high-energy ion implanter 100 will be described further in detail. (Ion Beam Generation Unit) FIG. 2A is a top view illustrating a schematic configuration of the ion beam generation unit, and FIG. 2B is a side view illustrating a schematic configuration of the ion beam generation unit. As illustrated in FIGS. 2A and 2B, the extraction electrode 40 that extracts an ion beam from plasma generated inside an ion chamber (an arc chamber) is provided at the exit of the ion source 10 disposed at the most upstream side of the beamline. An extraction suppression electrode 42 that suppresses electrons included in the ion beam extracted from the extraction electrode 40 from reversely flowing toward the extraction electrode 40 is provided near the downstream side of the extraction electrode 40. The ion source 10 is connected to an ion source high-voltage power supply 44. An extraction power supply 50 is connected between the extraction electrode 40 and a terminal 48. The downstream side of the extraction electrode 40 is provided with the mass spectrometer 22 that separates predetermined ions from the incident ion beam and extracts the separated ion beam. As illustrated in FIGS. 5A and 5B to be described below, a faraday cup (for an injector) 80a that measures the total beam current of the ion beam is disposed at the foremost portion inside the linear acceleration portion housing of the high-energy multi-stage linear acceleration unit 14. FIG. 14A is a schematic front view illustrating a resolver-faraday cup 80b having substantially the same configuration as that of the injector faraday cup 80a, and FIG. 14B is a schematic view illustrating an operation of the resolver-faraday cup 80b. The injector faraday cup 80a may be extracted from the vertical direction on the beamline by a driving mechanism, and is formed so that an opening faces the upstream side of the beamline while having a rectangular square shape in the horizontal direction. Accordingly, the injector faraday cup is used to completely interrupt the ion beam reaching the downstream side of the beamline on the beamline if necessary other than the function of measuring the total beam current of the ion beam during the adjustment of the ion source or the mass analysis electromagnet. Further, as described above, the mass analysis slit 22b is disposed inside the entrance of the high-energy multi-stage linear acceleration unit 14 directly before the injector faraday cup 80a. Further, in accordance with the single mass analysis slit or the degree of the mass, a plurality of slits having different widths may be selected or the mass slit width may be changed continuously or step-wisely. (High-Energy Multi-Stage Linear Acceleration Unit) FIG. 3 is a top view illustrating the entire layout including the schematic configuration of the high-energy multi-stage linear acceleration unit 14. The high-energy multi-stage linear acceleration unit 14 includes a plurality of linear accelerators for accelerating the ion beam, that is, an acceleration gap that interposes one or more radio frequency resonators 14a. The high-energy multi-stage linear acceleration unit 14 may accelerate the ions by the action of the radio frequency (RF) electric field. In FIG. 3, the high-energy multi-stage linear acceleration unit 14 includes a first linear accelerator 15a that includes a basic multi-stage radio frequency resonator 14a for a high-energy ion implantation and a second linear accelerator 15b that includes an additional multi-stage radio frequency resonator 14a for a super-high-energy ion implantation. Meanwhile, in the ion implanter that uses the acceleration of the radio frequency (RF), the amplitude V [kV] and the frequency f [Hz] of the voltage need to be considered as the parameter of the radio frequency. Further, in a case where a multi-stage radio frequency acceleration is performed, the phase φ [deg] of the radio frequency is added as the parameter. In addition, a magnetic field lens (for example, a quadrupole electromagnet) or an electric field lens (for example, an electric field quadrupole electrode) is needed so as to control the expansion of the ion beam in the vertical and horizontal directions during or after the acceleration by the convergence and divergence effect. Then, the optimal values of these operation parameters are changed by the ion energy passing therethrough, and the strength of the acceleration electric field influences the convergence and divergence action. For this reason, these values are determined after the parameter of the radio frequency is determined. FIG. 4 is a block diagram illustrating a configuration of a control system of the convergence and divergence lens and the high-energy multi-stage linear acceleration unit obtained by linearly arranging the acceleration electric fields (the gaps) at the front ends of the plurality of radio frequency resonators. The high-energy multi-stage linear acceleration unit 14 includes one or more radio frequency resonators 14a. As the components necessary for the control of the high-energy multi-stage linear acceleration unit 14, an input device 52 for allowing an operator to input a necessary condition, a control calculation device 54 that numerically calculates various parameters from the input condition and controls the components, an amplitude control device 56 that adjusts the voltage amplitude of the radio frequency, a phase control device 58 that adjusts the phase of the radio frequency, a frequency control device 60 that controls the frequency of the radio frequency, a radio frequency power supply 62, a convergence and divergence lens power supply 66 for a convergence and divergence lens 64, a display device 68 that displays an operation parameter thereon, and a storage device 70 that stores the determined parameter are needed. Further, the control calculation device 54 stores therein a numerical calculation code (a program) for numerically calculating various parameter in advance. In the control calculation device 54 of the radio frequency linear accelerator, radio frequency parameters (an amplitude, a frequency, and a phase of a voltage) are calculated so as to obtain the optimal transportation efficiency by simulating the acceleration, the convergence, and the divergence of the ion beam based on the input condition and the numerical calculation code stored therein. Also, the parameter (a Q coil current or a Q electrode voltage) of the convergence and divergence lens 64 that is used to efficiently transport the ion beam is also calculated. The calculated various parameters are displayed on the display device 68. The display device 68 displays a non-answerable mark for the acceleration condition that exceeds the ability of the high-energy multi-stage linear acceleration unit 14. The voltage amplitude parameter is transmitted from the control calculation device 54 to the amplitude control device 56, and the amplitude control device 56 adjusts the amplitude of the radio frequency power supply 62. The phase parameter is transmitted to the phase control device 58, and the phase control device 58 adjusts the phase of the radio frequency power supply 62. The frequency parameter is transmitted to the frequency control device 60. The frequency control device 60 controls the output frequency of the radio frequency power supply 62, and controls the resonance frequency of the radio frequency resonator 14a of the high-energy multi-stage linear acceleration unit 14. Further, the control calculation device 54 controls the convergence and divergence lens power supply 66 by the calculated convergence and divergence lens parameter. The convergence and divergence lens 64 that is used to efficiently transport the ion beam is disposed as many as needed at a position inside the radio frequency linear accelerator or a position before and behind the radio frequency linear accelerator. That is, the divergence lens and the convergence lens are alternately provided at the position before and behind the acceleration gap of the front end of the multi-stage radio frequency resonator 14a. At one side thereof, an additional longitudinal convergence lens 64b (see FIGS. 5A and 5B) is disposed behind the lateral convergence lens 64a (see FIGS. 5A and 5B) at the termination end of the second linear accelerator 15b, adjusts the convergence and the divergence of the high-energy acceleration ion beam passing through the high-energy multi-stage linear acceleration unit 14, and causes the ion beam having an optimal two-dimensional beam profile to be incident to the beam deflection unit 16 of the rear stage. In the direction of the electric field generated in the acceleration gap of the radio frequency linear accelerator, the ion acceleration direction and the ion deceleration direction change at every several tens of nano seconds. In order to accelerate the ion beam to the high energy, the electric field needs to be directed in the acceleration direction when the ions enter all acceleration gaps which exist at several tens of places. The ions that are accelerated by a certain acceleration gap need to pass through a space (a drift space) in which the electric field between two acceleration gaps is shielded until the electric field of the next acceleration gap is directed in the acceleration direction. Since the ions are decelerated even at the early timing or the late timing, the ions may not reach the high energy. Further, since it is a very strict condition that the ions are carried along the acceleration phase in all acceleration gaps, the ion beam that reaches the predetermined energy is a resultant obtained from a difficult selection for the mass, the energy, and the charge (factors for determining the velocity) by the radio frequency linear accelerator. In this meaning, the radio frequency linear accelerator is also a good velocity filter. (Beam Deflection Unit) As illustrated in FIG. 1, the beam deflection unit 16 includes the energy analysis electromagnet 24 as the energy filter deflection electromagnet (EFM), the energy width confining slit 27 (see FIGS. 5A and 5B), the energy analysis slit 28, the lateral convergence quadrupole lens 26 that controls the deflected energy dispersion, and the deflection electromagnet 30 that has an implantation angle correction function. FIGS. 5A and 5B are top views illustrating a schematic configuration of the EFM (the energy analyzing deflection electromagnet), the energy width confining slit, the energy analysis slit, the BM (the lateral center orbit correcting deflection electromagnet), the beam shaper, and the beam scanner (the scanner). Furthermore, the sign L illustrated in FIG. 5A indicates the center orbit of the ion beam. The ion beam that passes through the high-energy multi-stage linear acceleration unit 14 enables the energy distribution by a synchrotron vibration. Further, there is a case in which the beam having a center value slightly deviated from the predetermined energy may be emitted from the high-energy multi-stage linear acceleration unit 14 when the acceleration phase adjustment amount is large. Therefore, the magnetic field of the energy filter deflection magnet (EFM) is set so that only the ions having desired energy may pass by the beam deflection unit 16 to be described later, and a part of the beam selectively passes by the energy width confining slit 27 and the energy analysis slit 28, so that the ion energy is adjusted to the setting value. The energy width of the passing ion beam may be set in advance by the horizontal opening widths of the energy width confining slit and the analysis slit. Only the ions passing through the energy analysis slit are led to the beamline of the rear stage, and are implanted into the wafer. When the ion beam having the energy distribution is incident to the energy filter electromagnet (EFM) of which the magnetic field is controlled to a uniform value by the above-described feedback loop control system, the entire incident ion beam causes the energy dispersion while being deflected along the designed orbit, and the ions within a desired energy width range pass through the energy width confining slit 27 provided near the exit of the EFM. At the position, the energy dispersion increases to the maximum value, and the beam size σ1 (the beam size in a case where the energy width does not exist) due to the emittance decreases to the minimum value. However, the beam width caused by the energy dispersion becomes larger than the beam width caused by the emittance. In a case where the ion beam in such a state is cut by the slit, the spatial distribution is cut sharply, but the energy distribution has a cut shape rounded by the energy width corresponding to 2σ1. In other words, for example, even when the slit width is set to the dimension corresponding to 3% of the energy width, a part of the ions having an energy difference with respect to the predetermined implantation energy smaller than 3% collide with the wall of the slit so as to disappear, but a part of the ions having an energy difference larger than 3% pass through the slit. The energy analysis slit is installed at a position where the value of σ1 becomes minimal. Since the value of σ1 decreases to an ignorable value by the slit width at the position, the energy distribution is also cut sharply like the space distribution. For example, even in a case where the opening width of the energy analysis slit is also set to the dimension (0.03 η) corresponding to 3% of the energy width, all ions having an energy difference exceeding 3% and passing through the energy width confining slit are interrupted at the position. As a result, when the beam having a rectangular energy distribution at first passes through two slits, a dome-shaped distribution is formed in which the energy becomes a peak value at 0%, the height decreases by a half at ±3%, and the energy abruptly decreases to zero. Since the number of the ions having a small energy difference relatively increases, the energy width substantially decreases compared to the case where only one energy analysis slit is provided and the ion beam passes through the slit while having a substantially rectangular energy distribution. In the double slit system, when the energy of the beam accelerated by the linac is slightly deviated from the predetermined implantation energy by the effect of cutting the end of the energy distribution, there is an effect that the energy deviation of the passed beam decrease. For example, in a case where the energy deviation is 3% when the energy width is ±3%, the plus side of the energy having the dome-shaped distribution in the energy distribution of the ion beam passing through the double slit becomes a half, and hence the energy center as the center of the distribution substantially becomes ΔE/E=1%. Meanwhile, when the ion beam is cut by the single energy analysis slit, the gravity center becomes ΔE/E=1.5%. The effect of rounding the distribution is essentially exhibited in a direction in which the deviation of the energy center is suppressed. In this way, in order to improve the energy precision by decreasing both the energy width and the deviation of the energy center using the acceleration system having both the energy width and the energy deviation, the limitation of the energy using the double slit is effective. Since the energy analysis electromagnet needs high magnetic field precision, highly precise measurement devices 86a and 86b for precisely measuring the magnetic field are provided (see FIG. 5B). The measurement devices 86a and 86b use the MRP to calibrate the hall probe and uses the hall probe to control the uniform magnetic field feedback control by the appropriate combination of the NMR (nuclear magnetic resonance) probe called the MRP (magnetic resonance probe) and the hall probe. Further, the energy analysis electromagnet is produced by strict precision so that the non-uniformity of the magnetic field becomes smaller than 0.01%. Further, each electromagnet is connected with a power supply having current setting precision and current stability of 1×10−4 or more and a control device thereof. Further, the quadrupole lens 26 as the lateral convergence lens is disposed between the energy analysis slit 28 and the energy analysis electromagnet 24 at the upstream side of the energy analysis slit 28. The quadrupole lens 26 may be formed in an electric field type or a magnetic field type. Accordingly, since the energy dispersion is suppressed after the ion beam is deflected in a U-shape and the beam size decreases, the beam may be transported with high efficiency. Further, since the conductance decreases at the magnetic pole portion of the deflection electromagnet, it is effective to dispose an outgas discharging vacuum pump in the vicinity of, for example, the energy analysis slit 28. In a case where a magnetically-elevated turbo molecular pump is used, the pump needs to be provided at a position where the pump is not influenced by the leakage magnetic field of the electromagnet of the energy analysis electromagnet 24 or the deflection electromagnet 30. By the vacuum pump, the beam current degradation due to the scattering of the remaining gas at the deflection unit is prevented. When there is a large installation error in the quadrupole lens, the dispersion adjusting quadrupole lens 26, or the beam shaper 32 in the high-energy multi-stage linear acceleration unit 14, the center orbit of the beam illustrated in FIG. 5B is distorted, and the beam may easily disappear while contacting the slit. As a result, the final implantation angle and the final implantation position are also wrong. Here, the center orbit of the beam essentially passes through the center of the beam scanner 34 on the horizontal plane due to the magnetic field correction value of the deflection electromagnet 30 having an implantation angle correction function. Accordingly, the deviation of the implantation angle is corrected. Further, when an appropriate offset voltage is applied to the beam scanner 34, the distortion of the center orbit from the scanner to the wafer disappears, and hence the horizontal deviation of the implantation position is solved. The ions that pass through the deflection electromagnets of the beam deflection unit 16 are subjected to a centrifugal force and a Lorentz force, and hence draws a circular-arc orbit by balance of these forces. When this balance is represented by a relation, a relation of mv=qBr is established. Here, m indicates the mass of the ion, v indicates the velocity of the ion, q indicates the charge state of the ion, B indicates the magnetic flux density of the deflection electromagnet, and r indicates the curvature radius of the orbit. Only the ions in which the curvature radius r of the orbit matches the curvature radius of the magnetic center of the deflection electromagnet may pass through the deflection electromagnet. In other words, in a case where the ions have the same charge state, the ions that may pass through the deflection electromagnet applied with the uniform magnetic field B are only the ions having the specific momentum mv. The EFM is called the energy analysis electromagnet, but is actually a device that is used to analyze the momentum of the ion. The BM or the mass analysis electromagnet of the ion generation unit is the momentum filter. Further, the beam deflection unit 16 may deflect the ion beam by 180° just by using a plurality of magnets. Accordingly, the high-energy ion implanter 100 in which the beamline has a U-shape may be realized by a simple configuration. As illustrated in FIG. 5A, the beam deflection unit 16 deflects the ion beam emitted from the high-energy multi-stage linear acceleration unit 14 by 90° using the energy analysis electromagnet 24. Then, the beam path is further deflected by 90° using the deflection electromagnet 30 that is also used to correct the orbit, and is incident to the beam shaper 32 of the beam transportation line unit 18 to be described later. The beam shaper 32 shapes the incident beam and supplies the beam to the beam scanner 34. Further, the divergence of the beam due to the energy dispersion is prevented by the lens effect of the quadrupole lens 26 illustrated in FIG. 5B or an extreme decrease in the size of the beam is prevented by using the beam expansion effect based on the energy dispersion. FIG. 11A is a schematic top view illustrating a quadrupole lens as a lateral convergence lens, and FIG. 11B is a schematic front view illustrating the quadrupole lens. The top view of FIG. 11A illustrates the electrode length in the beamline traveling direction of the quadrupole lens 26 and the effect in which the beam that diverges laterally with respect to the beam of the energy selected by the energy analysis electromagnet (the EFM deflection magnet) 24 converges laterally by the quadrupole lens 26. The front view of FIG. 11B illustrates the lateral convergence effect of the beam based on the convergence and divergence action of the electrode of the quadrupole lens 26. As described above, the beam deflection unit 16 performs the deflection of the ion beam by 180° by a plurality of electromagnets between the high-energy multi-stage linear acceleration unit 14 and the beam transportation line unit 18 in the ion implanter that accelerates the ions generated from the ion source and transports the ions to the wafer so as to implant the ions thereto. That is, the energy analysis electromagnet 24 and the orbit correction deflection electromagnet 30 are respectively formed so as to have deflection angles of 90°. As a result, the total deflection angle becomes 180°. Furthermore, the amount of the deflection performed by one magnet is not limited to 90°, and may be the following combination. (1) One magnet having deflection amount of 90°+two magnets having deflection amounts of 45° (2) Three magnets having deflection amounts of 60° (3) Four magnets having deflection amounts of 45° (4) Six magnets having deflection amounts of 30° (5) One magnet having deflection amount of 60°+one magnet having deflection amount of 120° (6) One magnet having deflection amount of 30°+one magnet having deflection amount of 150° The beam deflection unit 16 as the energy analysis unit is a folding path in the U-shaped beamline, and the curvature radius r of the deflection electromagnet forming the unit is an important parameter that limits the maximum energy of the beam to be transported and determines the entire width of the apparatus or the width of the center maintenance area (see FIGS. 5A and 5B). When the value is optimized, an increase in the entire width of the apparatus is suppressed without decreasing the maximum energy. Then, the gap between the high-energy multi-stage linear acceleration unit 14 and the beam transportation line unit 18 is widened, so that a sufficient work space R1 is ensured (see FIG. 1). FIGS. 12A and 12B are perspective views illustrating an example of a configuration of the electromagnet. FIG. 13 is a schematic view illustrating an opening and closing portion provided in the electromagnet. For example, as illustrated in FIGS. 12A and 12B, the electromagnet forming the energy analysis electromagnet 24 or the deflection electromagnet 30 includes an upper yoke 87, a lower yoke 88, inner and outer yokes 89a and 89b, an upper pole (not illustrated), a lower pole 93, an upper coil 91a, and a lower coil 91b. Further, as illustrated in FIG. 13, the outer yoke 89b is divided into two members 89b1 and 89b2, and the two members may be opened outward as folding double doors by opening and closing portions 92a and 92b. Then, a beam guide container (not illustrated) forming the beamline may be removably attached thereto. Further, the vacuum container of the center portion of the beam deflection unit 16, for example, the container accommodating the energy width confining slit 27, the quadrupole lens 26, the energy analysis slit 28, and the like may be easily attached to and detached from the beamline. Accordingly, it is possible to simply enter and exit the work area of the center of the U-shaped beamline during the maintenance work. The high-energy multi-stage linear acceleration unit 14 includes a plurality of linear accelerators that accelerate the ions. Each of the plurality of linear accelerators includes a common connection portion, and the connection portion may be removably attached to the energy analysis electromagnet 24 located at the upstream side in relation to the energy analysis slit 28 in the plurality of electromagnets. Similarly, the beam transportation line unit 18 may be removably attached to the deflection electromagnet 30. Further, the energy analysis electromagnet 24 that is installed at the upstream side of the energy analysis slit 28 and includes the electromagnet may be formed so as to attached and detached or connected to the upstream high-energy multi-stage linear acceleration unit 14. Further, in a case where the beam transportation line unit 18 to be described later is configured as a module type beamline unit, the deflection electromagnet 30 that is installed at the downstream side of the energy analysis slit 28 may be attached and detached or connected to the downstream beam transportation line unit 18. The linac and the beam deflection unit are respectively disposed on plane trestles, and are formed so that the ion beam orbit passing through the units are substantially included in one horizontal plane (the orbit after the deflection of the final energy filter is excluded). (Beam Transportation Line Unit) FIG. 6A is a top view illustrating a schematic configuration from the beam scanner to the substrate processing/supplying unit along the beamline after the beam collimator, and FIG. 6B is a side view illustrating a schematic configuration from the beam scanner to the substrate processing/supplying unit along the beamline after the beam collimator. Only the necessary ion species are separated by the beam deflection unit 16, and the beam that is formed only by the ions having a necessary energy value is shaped in a desired cross-sectional shape by the beam shaper 32. As illustrated in FIGS. 5A to 6B, the beam shaper 32 is configured as (an electric field type or a magnetic field type) convergence/divergence lens group such as a Q (quadrupole) lens. The beam having a shaped cross-sectional shape is scanned in a direction parallel to the surface of FIG. 1A by the beam scanner 34. For example, the beam shaper is configured as a triplet Q lens group including a lateral convergence (longitudinal divergence) lens QF/a lateral divergence (a longitudinal convergence) lens QD/a lateral convergence (a longitudinal divergence) lens QF. If necessary, the beam shaper 32 may be configured by each of the lateral convergence lens QF and the lateral divergence lens QD or the combination thereof. As illustrated in FIGS. 5A and 5B, the faraday cup 80b (called a resolver-faraday cup) for measuring the total beam current of the ion beam is disposed at a position directly before the beam shaper 32 of the foremost portion inside the scanner housing. FIG. 14A is a schematic front view illustrating the resolver-faraday cup 80b, and FIG. 14B is a schematic view illustrating an operation of the resolver-faraday cup 80b. The resolver-faraday cup 80b is formed so as to be extracted in the vertical direction on the beamline by a driving mechanism, and is formed so that the opening faces the upstream side of the beamline while having a rectangular square shape in the horizontal direction. The resolver-faraday cup is used to completely interrupt the ion beam that reaches the downstream side of the beamline if necessary other than the purpose of measuring the total beam current of the ion beam during the adjustment of the linac and the beam deflection portion. Further, the resolver-faraday cup 80b, the beam scanner 34, a suppression electrode 74, and ground electrodes 76a, 78a, and 78b are accommodated in a scanner housing 82. The beam scanner 34 is a deflection scan device (called a beam scanner) that causes the ion beam to periodically scan the horizontal direction perpendicular to the ion beam traveling direction in a reciprocating manner by the periodically changing electric field. The beam scanner 34 includes a pair of (two) counter scan electrodes (bipolar deflection scan electrodes) that are disposed so as to face each other with the ion beam passage region interposed therebetween in the beam traveling direction. Then, a scan voltage that changes to positive and negative values at a predetermined frequency in the range of 0.5 Hz to 4000 Hz and is approximated to the triangular wave is applied to two counter electrodes in the form of plus and minus values. The scan voltage generates a changing electric field that deflects the beam passing through the gap between two counter electrodes positive and negative inversely. Then, the beam that passes through the gap is scanned in the horizontal direction by the periodic change of the scan voltage. The amount of the crystal damage generated inside the silicon wafer during the high-energy ion implantation is inverse proportional to the scan frequency. Then, there is a case in which the amount of the crystal damage influences the quality of the produced semiconductor device. In such a case, the quality of the produced semiconductor device may be improved by freely setting the scan frequency. Further, an offset voltage (a fixed voltage) is superimposed on the scan voltage in order to correct the amount of the beam positional deviation measured directly near the wafer in a state where the scan voltage is not applied thereto. Accordingly, the scan range is not deviated in the horizontal direction due to the offset voltage, and hence the bilaterally symmetrical ion implantation may be performed. The suppression electrode 74 that includes an opening in the ion beam passage region is disposed between two ground electrodes 78a and 78b at the downstream side of the beam scanner 34. The ground electrode 76a is disposed before the scan electrode at the upstream side thereof, but if necessary, the suppression electrode having the same configuration as that of the downstream side may be disposed. The suppression electrode suppresses the intrusion of electrons to the positive electrode. Further, a ground shielding plate 89 is disposed at each of the upper and lower sides of deflection electrodes 87a and 87b. The ground shielding plate prevents the secondary electrons accompanied by the beam from flowing to the positive electrode of the beam scanner 34 from the outside. The power supply of the scanner is protected by the suppression electrode and the ground shielding plate, and hence the orbit of the ion beam is stabilized. A beam parking function is provided at the rear side of the beam scanner 34. The beam parking function is formed so that the ion beam passing through the beam scanner is largely deflected in the horizontal direction if necessary so as to be led to the beam dump. The beam parking function is a system that instantly stops the transportation of the beam within 10 μs in a case where an implantation error such as a dose uniformity error occurs when an unexpected problem such as a discharge of an electrode occurs during the ion implantation and an implantation operation is continued. In fact, at the moment in which the noticeable degradation in the beam current is detected, the output voltage of the beam scanner power supply is increased to 1.5 times the voltage corresponding to the maximum scan width, and the beam is led to the beam dump near the parallel lens. The beam irradiation position on the wafer at the moment in which the problem occurs is stored, and the beam is returned to the original orbit at the moment in which the wafer moves for the scanning operation in the vertical direction moves to the position after the problem is solved, thereby continuing the ion implantation as if no problem occurs. A beam scan space portion is provided in a long section at the downstream side of the beam scanner 34 inside the scan housing, and hence a sufficient scan width may be obtained even when the beam scan angle is narrow. At the rear side of the scan housing located at the downstream side of the beam scan space portion, the deflected ion beam is adjusted to be directed to the direction of the ion beam before the beam is deflected. That is, the beam collimator 36 is installed which curves the beam so as to be parallel to the beamline. Since the aberration (a difference in focal distance between the center portion of the beam collimator and left and right ends) generated in the beam collimator 36 is proportional to the square of the deflection angle of the beam scanner 34, the aberration of the beam collimator may be largely suppressed when the beam scan space portion is increased in length and the deflection angle is decreased. If the aberration is large, the center portion and the left and right ends have different beam sizes and beam divergence angles when the ion beam is implanted into the semiconductor wafer, and hence the quality of the product becomes non-uniform. Further, when the length of the beam scan space portion is adjusted, the length of the beam transportation line unit may match the length of the high-energy multi-stage linear acceleration unit 14. FIG. 7 is a schematic top view illustrating a main part of an example of the beam scanner. FIG. 8 is a schematic side view illustrating a main part of an example of the beam scanner. FIG. 9 is a schematic front view illustrating a structure in which an example of the beam scanner is removably attached to the halfway position of the ion beamline when viewed from the downstream side. As illustrated in FIGS. 7 and 8, in a beam scanner 134, a pair of deflection electrodes 128 and 130 and ground electrodes 132 and 133 assembled near the upstream and downstream sides thereof are accommodated and installed inside a box 150. An upstream opening (not illustrated) and an opening 152A larger than the opening of the ground electrode 133 are respectively provided at the positions corresponding to the openings of the ground electrodes 132 and 133 at the upstream side surface and the downstream side surface of the box 150. The connection between the deflection electrode and the power supply is realized in the feed through structure. Meanwhile, the upper surface of the box 150 is provided with a terminal and a ground terminal used to connect the deflection electrodes 128 and 130 to the power supply. Further, a handle which is suitable for the attachment or the transportation is provided at each of side surfaces of the box 150 parallel to the beam axis. Furthermore, the box 150 is provided with a vacuum exhaust opening that decreases the pressure inside the beam scanner 134, and the vacuum exhaust opening is connected to a vacuum pump (not illustrated). As illustrated in FIG. 9, the box 150 is slidably provided in a beam guide box 170 fixed onto a trestle 160. The beam guide box 170 is sufficiently larger than the box 150, and the bottom portion thereof is provided with two guide rails for sliding the box 150. The guide rail extends in a direction perpendicular to the beam axis, and the side surface of the beam guide box 170 of one end side thereof may be opened and closed by a door 172. Accordingly, the box 150 may be simply extracted from the beam guide box 170 during the repair and the check of the beam scanner 134. Furthermore, in order to lock the box 150 press-inserted into the beam guide box 170, the other end of the guide rail is provided with a locking mechanism (not illustrated). The scanner peripheral unit members are work targets during the maintenance of the beamline, and the maintenance work may be easily performed from the work space R1. Similarly, the maintenance work of the high-energy multi-stage linear acceleration unit 14 may be easily performed from the work space R1. The beam collimator 36 is provided with an electric field collimating lens 84. As illustrated in FIGS. 6A and 6B, the electric field collimating lens 84 includes a plurality of acceleration electrode sets and a plurality of deceleration electrode sets substantially having a hyperbolic shape. Each of the pair of electrodes faces each other with an acceleration-deceleration gap interposed therebetween and having a width not causing a discharge, and the acceleration-deceleration gap forms an electric field that is strengthened in proportional to a distance between the reference axis and the axial element causing the acceleration or deceleration velocity of the ion beam and having an element of influencing the lateral convergence of the ion beam. The downstream electrode in the pair of electrodes with the acceleration gap interposed therebetween and the upstream electrode of the deceleration gap are formed as an integrated structure and the downstream electrode of the deceleration gap and the upstream electrode of the next acceleration gap are formed as an integrated structure so as to have the same potential. As illustrated in FIG. 6B, each of the structures includes an upper unit and a lower unit, and a space portion through which the ion beam passes is formed between the upper unit and the lower unit. From the upstream side of the electric field collimating lens 84, the first electrode (the incident electrode) and the final electrode (the emission electrode) are maintained at the ground potential. Accordingly, the energy of the beam at the positions before and behind the collimating lens 84 does not change. In the intermediate electrode structure, the exit electrode of the acceleration gap and the entrance electrode of the deceleration gap are connected with a negative power supply 90 having a variable constant voltage, and the exit electrode of the deceleration gap and the entrance electrode of the acceleration gap are connected with a positive power supply having a variable constant voltage (at the n-stage, negative, positive, negative, positive, negative, and the like). Accordingly, the ion beam is gradually directed toward the direction parallel to the center orbit of the beamline while being accelerated and decelerated repeatedly. Finally, the ion beam reaches the orbit parallel to the ion beam traveling direction (the beamline orbit direction) before the deflection scanning operation. In this way, the beam that is scanned by the beam scanner 34 becomes parallel to the axis (the reference axis) of the deflection angle 0° parallel to the ion beam traveling direction (the beamline orbit direction) before the scan operation by the beam collimator 36 including the electric field collimating lens and the like. At this time, the scan region is formed so as to be bilaterally symmetrical to each other with respect to the reference axis. The ion beam that is emitted from the electric field collimating lens 84 is sent to the electric field final energy filter 38 (AEF (94): Angular Energy Filter). In the final energy filter 94, a final analysis is performed on the energy of the ion beam to be directly implanted into the wafer, only the ion species having a necessary energy value are selected, and the neutralized particles or the ions having a different ion charge state are removed. The final energy filter 94 of the electric field deflection is configured as a plate-shaped deflection electrode including a pair of plane or curved surfaces facing each other in the vertical direction of the beamline orbit direction, is curved downward by the deflection action of the final energy filter 94 in the vertical direction of the beamline orbit direction, and is curved so as to match the ion beam orbit. As illustrated in FIGS. 6A and 6B, the electric field deflection electrode is configured as a pair of AEF electrodes 104, and is disposed so that the ion beam is interposed from the vertical direction. In the pair of AEF electrodes 104, a positive voltage is applied to the upper AEF electrode 104, and a negative voltage is applied to the lower AEF electrode 104. During the deflection by the electric field, the ion beam is deflected downward by about 10 to 20° by the action of the electric field generated between the pair of AEF electrodes 104, and hence only the ion beam having target energy is selected. As illustrated in FIG. 6B, only the ion beam having a charge state selected in the final energy filter 94 is deflected downward at the set orbit angle. The beam that is formed by only the ions selected in this way is uniformly irradiated to the wafer 200 as the irradiation target at an accurate angle. In a case where the high-energy beam is actually deflected, a pair of plate-shaped deflection electrodes 204 facing each other in the vertical direction is divided into n number of segments in the longitudinal direction in accordance with the deflection angle and the curvature radius when the deflection electrodes are curved so as to match the ion beam orbit as illustrated in FIG. 10. Thus, the production precision or the economic efficiency is excellent in the plate-shaped electrode of which the upper electrode and the lower electrode are maintained at the same potential. Further, the plate-shaped deflection electrode that is divided into n number of segments in the longitudinal direction may be formed as n number of upper and lower plate-shaped electrodes set to different potentials other than the configuration in which the upper electrode and the lower electrode are maintained at the same potential. With such a structure, the electric field type energy filter may be mounted on the high-energy scan beam transportation line. Since the beam is deflected in a direction perpendicular to the beam scan surface by the electric field, the energy analysis may be performed without influencing the implantation ion density distribution (the uniformity) in the beam scan direction. Further, in addition to the mounted final energy filter, the beamline is equipped with three kinds of beam filters, that is, the radio frequency linear accelerator of the high-energy multi-stage linear acceleration unit 14, the magnetic field type EFM (the energy analysis electromagnet 24) and the BM (the deflection electromagnet 30) of the U-shaped deflection portion, and the final energy filter. As described above, the radio frequency linear accelerator is the velocity (v) filter, the EFM and the BM are the momentum (mv) filters, and the final energy filter is the energy (mv2/2) filter as its name. In this way, when the different triple filters are used, a very pure ion beam that has high energy purity compared to the related art and has a small amount of particles or metal contamination may be supplied to the wafer. Furthermore, in function, the EFM removes the energy contamination sneaking through the radio frequency linear accelerator or limits the energy width with high resolution, and the AEF mainly removes the ions subjected to a change in charge state by the resist outgas by the beam transportation line unit after the energy analysis using the EFM with comparatively low resolution. The final energy filter 94 includes a ground electrode 108 that is provided at the upstream side of the final energy filter 94 and an electrode set provided with an AEF suppression electrode 110 provided between two ground electrodes at the downstream side. The AEF suppression electrode 110 suppresses the intrusion of the electrons to the positive electrode. Dose cups 122 that are disposed at the left and right ends of the most downstream ground electrode of the final energy filter 94 measure the amount of the beam current to be implanted based on the dose amount. (Substrate Processing/Supplying Unit) In FIG. 6A, the arrow near the wafer 200 indicates the beam scanned in the arrow direction. Then, in FIG. 6B, the arrow near the wafer 200 indicates the reciprocation movement, that is, the mechanical scanning operation of the wafer 200 in the arrow direction. That is, when the beam is scanned in a reciprocating manner in, for example, one axial direction, the wafer 200 is driven by a driving mechanism (not illustrated) so that the wafer moves in a reciprocating manner in a direction perpendicular to the one axial direction. The substrate processing/supplying unit 20 that supplies the wafer 200 to a predetermined position and performs an ion implantation thereon is accommodated in a process chamber (an implantation process chamber) 116. The process chamber 116 communicates with an AEF chamber 102. An energy defining slit (EDS) 118 is disposed inside the process chamber 116. The energy defining slit 118 is formed as a slit that is laterally long in the scan direction in order to separate only the ion beam having a meaningful energy value and a meaningful charge state and passing through the AEF by limiting the passage of the ion beam having a non-meaningful energy value and a non-meaningful charge state. Further, the energy defining slit 118 forms a slit body by a movable member in the vertical direction so as to adjust the separation gap of the slit, and may be used for various measurement purposes such as an energy analysis or an implantation angle measurement. Further, the movable upper and lower change slit members include a plurality of slit surfaces, and the slit width may be changed to a desired slit width in a manner such that the slit surfaces are changed and the axes of the upper and lower slits are adjusted or rotated in the vertical direction. A configuration may be also employed which decreases the cross contamination by sequentially changing the plurality of slit surfaces in response to the ion type. A plasma shower 120 supplies low-energy electrons to the entire surface of the wafer 200 and the ion beam on the orbit in response to the beam current amount of the ion beam, and suppresses the charge-up of the positive charge generated in the ion implantation. Furthermore, a dose cup (not illustrated) that measures the dose amount may be disposed at each of left and right ends of the plasma shower 120 instead of the dose cups 122 disposed at the left and right ends of the most downstream ground electrode of the final energy filter 94. A beam profiler 124 includes a beam profiler cup (not illustrated) that measures the beam current at the ion implantation position. The beam profiler 124 measures the ion beam density at the ion implantation position in the beam scan range while moving in the horizontal direction before the ion implantation. In a case where the predicted non-uniformity (PNU) of the ion beam does not satisfy the request of the process as a result of the beam profile measurement, the PNU is automatically adjusted to satisfy the process condition by correcting the control function of the application voltage of the beam scanner 34. Further, a configuration may be also employed in which a vertical profile cup (not illustrated) is provided in parallel to the beam profiler 124, the beam shape and the beam X-Y position are measured, the beam shape at the implantation position is checked, and the implantation angle or the beam divergence angle is checked by the combination of the beam width, the beam center position, and the divergence mask. A lateral elongated faraday cup 126 with a beam current measurement function capable of measuring the ion beam in the scan range in the wafer region is disposed at the most downstream side of the beamline, and is configured to measure the final setup beam. FIG. 15 is a schematic front view illustrating the lateral elongated faraday cup. Furthermore, in order to reduce the cross contamination, the lateral elongated faraday cup 126 may include a changeable bottom surface of a faraday cup of a tripe surface structure capable of changing three surfaces of a triangular prism in response to the ion type. Further, a configuration may be also employed in which a vertical profile cup (not illustrated) is provided in parallel to the lateral elongated faraday cup 126, the beam shape or the vertical beam position is measured, and the implantation angle or the beam divergence angle in the vertical direction at the implantation position is monitored. As described above, the high-energy ion implanter 100 is formed so that the units are disposed in a U-shape so as to surround the work space R1 as illustrated in FIG. 1. For this reason, a worker in the work space R1 may perform the replacement, the maintenance, and the adjustment of the parts of many units. (Consideration of Entire Layout, Maintenance Workability, Manufacturability, and Global Environment) The high-energy ion implanter 100 according to the embodiment accelerates the ion beam generated in the ion beam generation unit 12 by the high-energy multi-stage linear acceleration unit 14, changes the direction of the ion beam by the beam deflection unit 16, and irradiates the ion beam to the substrate existing in the substrate processing/supplying unit 20 provided at the termination end of the beam transportation line unit 18. Further, the high-energy ion implanter 100 includes the high-energy multi-stage linear acceleration unit 14 and the beam transportation line unit 18 as the plurality of units. Then, the high-energy multi-stage linear acceleration unit 14 and the beam transportation line unit 18 are disposed so as to face each other with the work space R1 illustrated in FIG. 1 interposed therebetween. Accordingly, since the high-energy multi-stage linear acceleration unit 14 and the beam transportation line unit 18 disposed substantially linearly in the apparatus of the related art are disposed in a folded state, an increase in the entire length of the high-energy ion implanter 100 may be suppressed. Further, the curvature radiuses of the plurality of deflection electromagnets forming the beam deflection unit 16 are optimized so as to minimize the width of the apparatus. With such a configuration, the installation area of the apparatus is minimized, and the maintenance or the like of the high-energy multi-stage linear acceleration unit 14 or the beam transportation line unit 18 may be performed in the work space R1 interposed between the high-energy multi-stage linear acceleration unit 14 and the beam transportation line unit 18. Further, the plurality of units constituting the high-energy ion implanter 100 includes the ion beam generation unit 12 that is provided at the upstream side of the beamline and generates the ion beam, the substrate processing/supplying unit 20 that is provided at the downstream side of the beamline and supplies the substrate so as to perform a process in which ions are implanted into the substrate, and the beam deflection unit 16 that is provided at the halfway position of the beamline from the ion beam generation unit 12 toward the substrate processing/supplying unit 20 and deflects the orbit of the ion beam. Then, the ion beam generation unit 12 and the substrate processing/supplying unit 20 are disposed at one side of the entire beamline, and the beam deflection unit 16 is disposed at the other side of the entire beamline. Accordingly, since the ion source 10 that needs to be subjected to the maintenance within a comparatively short time and the substrate processing/supplying unit 20 that needs to supply and acquire the substrate are disposed so as to be adjacent to each other, the movement area of the worker may be small. Further, the high-energy multi-stage linear acceleration unit 14 includes a plurality of linear accelerators that accelerate the ions, and each of the plurality of linear accelerators may include a common connection portion. Accordingly, the number or the type of the linear accelerator may be easily changed in response to the energy necessary for the ions implanted into the substrate. Further, the beam scanner 34 as the scanner device and the beam collimator 36 as the collimating lens device may include a standard-shaped connection portion with respect to the adjacent units. Accordingly, the number or the type of the linear accelerator may be easily changed. Then, the beam scanner 34 or the beam collimator 36 may be selected in response to the configuration and the number of the linear accelerator included in the high-energy multi-stage linear acceleration unit 14. Further, in the high-energy ion implanter 100, the alignment (the positional adjustment) of the beam may be performed by integrating the vacuum chamber and the frame of each device and performing the assembly in accordance with the reference position of the vacuum chamber or the frame of the device. Accordingly, the troublesome alignment operation may be minimized, and the device set-up time may be shortened. Accordingly, the deviation of the axis caused by the mistake in work may be suppressed. Further, the alignment of the vacuum chambers may be performed by the unit of the module. Accordingly, the work load may be reduced. Further, the size of the modulated device may be decreased to be equal to or smaller than the size in which the device may easily move. Accordingly, the relocation load of the module or the high-energy ion implanter 100 may be reduced. Further, the high-energy ion implanter 100 may be formed so that the high-energy multi-stage linear acceleration unit 14, the beam transportation line unit 18, the exhaust device, and the like are assembled to a single trestle. Further, the high-energy ion implanter 100 is formed so that the high-energy multi-stage linear acceleration unit 14, the beam deflection unit 16, and the beam transportation line unit 18 are included in one plane on the plane base. Accordingly, since each block of the high-energy ion implanter 100 may be directly transported while the blocks are fixed onto one plane base, a deviation in adjustment hardly occurs, and hence an effort for re-adjusting the blocks on site may be reduced. For this reason, it is possible to prevent an uneconomical problem in which many experts are sent to the installation site for a long period of time. Further, when the plane base is formed in the middle portion of the trestle instead of the floor thereof, only the devices directly involved with the ion beam orbit may be mounted onto the plane base. Then, when a component such as a radio frequency cubic circuit as an auxiliary device may be assembled in the space formed below the plane base, the space utilization efficiency may be improved, and hence the ion implanter having a compactor size may be realized. Thus, the high-energy ion implanter 100 may be also installed in a site where a sufficient installation place is not ensured, and may be used in a manner such that the high-energy ion implanter is transported to a demanded place in a state where the apparatus is assembled and adjusted inside a production factory, is fixed at the installation site, and is used by the final adjustment. Further, the high-energy ion implanter 100 may realize the high-energy ion implantation while satisfying the standard level of the semiconductor production line of the semiconductor production factory. In this way, the high-energy ion implanter 100 may be decreased in size compared to the related art by examining the layout of the units or the devices, and hence may have an installation length that is about a half of the size of the related art. Further, the ion implanter according to the embodiment may be operated in a manner such that the components are assembled to the bases inside the production factory, are loaded in a transportation vehicle to be transported to the installation site while the ion beam orbit is established through the positional adjustment on the bases, are fixed to the trestles, and then the deviation in adjustment is finely adjusted to be removed. For this reason, the ion implanter may be remarkably easily and reliably adjusted on site by a person who is not an expert, and hence the set-up time may be shortened. Further, when the layout like the elongated U-shaped folded beamline is employed, the ion implanter capable of highly precisely implanting the high-energy ions of 5 to 8 MeV in maximum may be realized. Further, the ion implanter includes a small installation area and a sufficient maintenance area by the layout having a center passage (a center region). Further, the power consumption may be decreased by the low-power consumption operation using the electric field parallel lens, the electric field type scanner, the electric field AEF, and the like during the operation of the ion implanter. In other words, the ion implanter according to the embodiment may perform the low-power consumption operation by employing the scan beam parallelization mechanism using the electric field deflection type collimating lens device. While the invention has been described by referring to the above-described embodiment, the invention is not limited to the above-described embodiment, and the appropriate combination of the configurations of the embodiment or the substitution thereof is also included in the invention. Further, the combination of the embodiments or the process sequence thereof may be appropriately set or various modifications in design may be added to the embodiments based on the knowledge of the person skilled in the art. An embodiment having such modifications may be also included in the scope of the invention. Hereinafter, another aspect of the invention will be described according to embodiments. As illustrated in FIG. 1, the high-energy ion implanter 100 according to the embodiment is a high-energy ion implanter that accelerates an ion beam extracted from the ion source 10, transports the ion beam to the wafer along the beamline, and implants the ion beam into the wafer. The high-energy ion implanter 100 includes the ion beam generation unit 12 that includes the ion source 10 and the mass spectrometer 22, the high-energy multi-stage linear acceleration unit 14 that accelerates the ion beam so as to generate the high-energy ion beam, the high-energy beam deflection unit 16 that deflects the high-energy ion beam so as to analyze the energy and to change the direction of the ion beam toward the wafer, the beam transportation line unit 18 that transports the deflected high-energy ion beam to the wafer, and the substrate processing/supplying unit 20 that uniformly implants the transported high-energy ion beam into the semiconductor wafer. The beam transportation line unit 18 includes the beam shaper 32, the high-energy beam scanner 34, the high-energy beam collimator 36, and the high-energy final energy filter 38. The high-energy ion implanter 100 scans the high-energy ion beam emitted from the beam deflection unit 16 at both sides of the reference trajectory of the beamline by the beam scanner 34, collimates the high-energy ion beam by the beam collimator 36, removes mixed ions which are different in any one of the mass, the ion charge state, and the energy by the high-energy final energy filter 38, and implants the resultant ions into the wafer. Further, the high-energy beam collimator 36 is an electric field type beam collimator that collimates the scan beam while performing (repeating) the acceleration and the deceleration of the high-energy beam by the electric field. Further, as illustrated in FIGS. 6A and 6B, the beam collimator 36 includes at least a pair of acceleration electrodes 135 (135b and 135c) which has an opening 135a corresponding to the beamline L1 and faces each other with a gap G1 so as to generate an electric field for accelerating the ions in the beam traveling direction and at least a pair of deceleration electrodes 136 (136b and 136c) which has an opening 136a corresponding to the beamline L1 and faces each other with a gap G2 so as to generate an electric field for decelerating the ions in the beam traveling direction. The pair of acceleration electrodes 135 accelerates the ion beam and deflects the ion beam toward the reference trajectory. Further, the pair of deceleration electrodes 136 decelerates the ion beam and deflects the ion beam toward the reference trajectory. Each of the pair of acceleration electrodes 135 and the pair of deceleration electrodes 136 includes two electrodes that face each other with a gap therebetween so as to generate an electric field serving as an element for accelerating or decelerating the high-energy ion beam and an element for deflecting the high-energy ion beam. Then, the acceleration gap exit side electrode 135c and the deceleration gap entrance side electrode 136b have the same potential and the deceleration gap exit side electrode 136c and the acceleration gap entrance side electrode 135b have the same potential. Further, these electrodes are integrally formed. According to this aspect, the scanned high-energy ion beam may be collimated in a bilaterally symmetric state, and hence the highly precise ion implantation may be performed. Further, in the beam collimator 36 illustrated in FIGS. 6A and 6B, the potential of the upstream electrode 135b of the beamline in the pair of acceleration electrodes 135 is set to a ground potential, the downstream electrode 135c of the beamline in the pair of acceleration electrodes 135 and the upstream electrode 136b of the beamline in the pair of deceleration electrodes 136 are electrically connected (integrated) so as to have a potential of −50 kV by the parallelization power supply 90, and the potential of the downstream electrode 136c of the beamline of the pair of deceleration electrodes 136 is set to a ground potential. In a case where the ion beam is collimated by the electric field generated by the beam collimator 36 with such a configuration, the ion beam is accelerated or decelerated between the electrodes so that the ion energy also changes. However, since the potentials of the entrance and the exit of the beam collimator 36 are equal to each other, a change in energy does not occur as a whole. That is, the beam collimator 36 is formed so that the energy of the ion beam scanned by the beam scanner 34 is equal to the energy of the ion beam collimated by the acceleration electrode 135 and the deceleration electrode 136. FIG. 16 is a top view illustrating a schematic configuration of the beam collimator according to the aspect of the embodiment. Furthermore, the same reference numerals will be given to the same components as those of the beam collimator 36 illustrated in FIGS. 6A and 6B, and the description thereof will not be appropriately repeated. In the beam collimator 137 illustrated in FIG. 16, the potential of the upstream electrode 138a of the beamline in the pair of acceleration electrodes 138 is set to a ground potential, the first potential of the downstream electrode 138b of the beamline in the pair of acceleration electrodes 138 is set to V1 [V] (V1>0), the second potential of the upstream electrode 139a of the beamline in the pair of deceleration electrodes 139 is set to −V2 [V] (V2>0), and the potential of the downstream electrode 139b of the beamline in the pair of deceleration electrodes 139 is set to a ground potential. The electrode 138a is connected with a parallelization power supply 140 that applies a positive voltage, and the electrode 139a is connected with a parallelization power supply 141 that applies a negative voltage. Furthermore, a configuration may be employed in which a negative voltage is applied to the parallelization power supply 140 and a positive voltage is applied to the parallelization power supply 141. Further, the first potential and the second potential may be formed so as to satisfy the relation of |V1|=|V2|. Accordingly, the ion beam may be collimated while being accelerated and decelerated with a balance. Further, the parallelization power supply 140 and the parallelization power supply 141 may be configured as the power supplies having the same configuration. FIG. 17A is a top view illustrating a schematic configuration of the beam collimator according to the aspect of the embodiment, and FIG. 17B is a side view illustrating a schematic configuration of the beam collimator according to the aspect of the embodiment. Furthermore, the same reference numerals will be given to the same components as those of the beam collimator 36 illustrated in FIGS. 6A and 6B, and the description thereof will not be appropriately repeated. The beam collimator 142 illustrated in FIGS. 17A and 17B is configured as an acceleration-deceleration electrode lens group that includes multiple pairs of acceleration electrodes 143 and 144 and multiple pairs of deceleration electrodes 145 and 146, and is configured to gradually collimate the scanned ion beam. Accordingly, since the voltage applied to one acceleration electrode or one deceleration electrode may be decreased, the power supply may be simplified and decreased in size. Further, the occurrence of the discharge may be also suppressed. Further, a downstream electrode 143b of the acceleration electrode 143 and an upstream electrode 145a of the deceleration electrode 145 are electrically connected to each other so as to have the same potential, and are connected to a first parallelization power supply 147. Further, a downstream electrode 145b of the deceleration electrode 145 and an upstream electrode 144a of the acceleration electrode 144 are electrically connected to each other so as to have the same potential, and are connected to a second parallelization power supply 148. Further, the downstream electrode 144b of the acceleration electrode 144 and an upstream electrode 146a of the deceleration electrode 146 are electrically connected to each other so as to have the same potential, and are connected to the first parallelization power supply 147. Furthermore, an upstream electrode 143a of the acceleration electrode 143 and a downstream electrode 146b of the deceleration electrode 146 are set to a ground potential. In this way, when the voltages applied to a part of the electrodes are set to the same voltage, the number of the power supplies in use may be decreased. Furthermore, among the multiple pairs of acceleration electrodes 143 and 144 and the multiple pairs of deceleration electrodes 145 and 146, the electrode 143a as the entrance ground electrode disposed at the most upstream side of the beamline and the electrode 143b adjacent to the electrode 143a may forma first suppression electrode that suppresses the inflow of electrons, and the electrode 146b as the exit ground electrode disposed at the most downstream side of the beamline and the electrode 146a adjacent to the electrode 146b may form a second suppression electrode that suppresses the inflow of electrons. Accordingly, there is no need to separately provide the suppression electrode. Further, when the voltage applied to the downstream electrode 143b of the acceleration electrode 143 by the first parallelization power supply 147 is indicated by −V1 [V] (V1>0), the voltage applied to the downstream electrode 145b of the deceleration electrode 145 by the second parallelization power supply 148 is indicated by V2[V] (V2>0), the gap between two electrodes 143a and 143b of the acceleration electrode 143 is indicated by G1, and the gap between two electrodes 145a and 145b of the deceleration electrode 145 is indicated by G2, the following relation may be satisfied.|V1|/G1=|V1+V2|/G2 In this way, when the electric field strength between the electrodes in the acceleration electrode and the deceleration electrode becomes uniform, the ion beam may be collimated while being accelerated and decelerated with a balance. Further, the beam collimator 142 is formed so that the energy of the ion beam to be directly incident to the beam collimator is equal to the energy of the ion beam directly emitted from the beam collimator. More specifically, in the beam collimator 142, the incident electrode (143a) and the emission electrode (146b) of the beam collimator 142 are both grounded so that the energy of the ion beam scanned by the beam scanner is equal to the energy of the ion beam collimated by the pair of acceleration electrodes (143 and 144) and the pair of deceleration electrodes (145 and 146), the exit side electrode (143b and 144b) of the acceleration gap and the entrance side electrode (145a and 146a) of the deceleration gap are set to the same positive or negative potential, and the deceleration gap exit side electrode 145b and the acceleration gap entrance side electrode 144a are set to the same positive or negative potential. Further, in the beam collimator 142, the electrode potentials are set so that the ion beam scanned at both sides of the reference trajectory by the beam scanner on the beamline toward the reference trajectory by the electric field generated by the pair of electrodes on the scan plane are deflected so as to match the orbit parallel to the reference trajectory. FIG. 18 is a top view illustrating a schematic configuration of a beam collimator according to a modified example of the embodiment. A beam collimator 161 illustrated in FIG. 18 is provided with three collimating lenses 162, 163, and 164 formed by an acceleration electrode and a deceleration electrode. The ion beam that is deflected and scanned by the beam scanner is widened toward the downstream side of the beamline L1. Therefore, each of three collimating lenses 162, 163, and 164 is formed so that the width thereof gradually increases from the upstream side toward the downstream side of the beamline L1. Accordingly, the upstream collimating lens may be decreased in size. Furthermore, the beam collimator 161 may be formed so that the width W1 of the collimated ion beam in the scan direction is two times or more the width W2 when the ion beam scanned by the beam scanner is incident to the beam collimator 161. Accordingly, the distance from the beam scanner to the beam collimator may be decreased. The beam collimator according to the embodiment is formed by a pair of bow-shaped gap electrodes like the acceleration electrode or the deceleration electrode illustrated in FIGS. 6A and 6B and FIGS. 16 to 18. Further, the downstream electrode in the beamline of the pair of acceleration electrodes and the upstream electrode in the beamline of the pair of deceleration electrodes are configured as an electrode unit which is continuously integrated while both ends thereof are connected. Further, in the above-described beam collimator, the incident electrode and the emission electrode have a ground potential. However, when one of the incident electrode and the emission electrode is set to a ground potential and the other thereof is set to a specific potential or both electrodes are set to different specific potentials, it is possible to change the energy of the ion beam emitted after the beam incident to the beam collimator is collimated. In this way, the high-energy ion implanter according to the embodiment may be operated at a low voltage while keeping the uniformity of the beam current density of the high-energy ion beam, and may obtain an electric field that does not change the beam energy before and after the beam passes through the beam collimator. Further, the high-energy ion implanter according to the embodiment is configured to collimate the beam by causing the high-energy ion beam to pass through the elongated electric field. Then, the high-energy ion implanter is configured so that the beam is collimated by a plurality of electrode lens groups capable of accelerating and decelerating the ion beam and the acceleration-deceleration electrode lens group is configured as a pair of bow-shaped gap electrodes lenses, thereby preventing a change in beam energy before and after the ion beam passes therethrough. Accordingly, the control of the parallelization power supply and the adjustment of the parallelization electric field may be easily performed, and the precision of the parallelization and the angle precision of the collimated beam in the beam traveling direction may be made satisfactory. Further, since a difference in beam path in the horizontal (scan) direction is symmetric, the beamline may be uniform in the horizontal direction, and hence the convergence and divergence uniformity of the beam may be maintained in the high-energy ion beam. As a result, the precision of the parallelization and the angle precision of the collimated beam in the beam traveling direction may be made high. In addition, the density distribution (profile) of the high-energy ion beam and the beam size in the beam scan range may not be substantially changed, and hence the uniformity of the beam current density may be maintained. Further, in the beam collimator according to the embodiment disposed at the downstream side of the beam scanner having a small beam scan deflection angle and a beam scan width set as small as possible, the incident beam having a narrow beam scan width may be also gently collimated with high precision to the width in which the wafer may be scanned. As a result, a change in the quality of the beam decreases, and hence the uniformity of the beam current density may be maintained. Furthermore, in a case where the acceleration-deceleration electrode lens group includes n pairs of acceleration electrodes and n pairs of deceleration electrodes and a first pair of acceleration electrodes, a first pair of deceleration electrodes, a second pair of acceleration electrodes, a second pair of deceleration electrodes, . . . , n-th (n is a natural number) pair of acceleration electrodes, and n-th pair of deceleration electrodes are disposed in this order along the beamline, the potentials may be set as below. Specifically, in the acceleration-deceleration electrode lens group, the first potential of the entrance electrode of the first pair of acceleration electrodes is set to a ground potential, the second potentials of the exit electrode of the first pair of acceleration electrodes and the entrance electrode of the first pair of deceleration electrodes are set to −V1 [V] (V1>0), the third potentials of the exit electrode of the first pair of deceleration electrodes and the entrance electrode of the second pair of acceleration electrodes are set to V2 [V] (V2>0), the fourth potentials of the exit electrode of the second pair of acceleration electrodes and the entrance electrode of the second pair of deceleration electrodes are set to −V1 [V] (V1>0), the fifth potentials of the exit electrode of the second pair of deceleration electrodes and the entrance electrode of the third pair of acceleration electrodes are set to V2 [V] (V2>0), and the (2n+1)-th potential of the exit electrode of the n-th pair of acceleration electrodes is set to the ground potential. Here, the second potential and the third potential may be set so as to satisfy the relation of V1 =V2 or the relation of V1 ≠V2. Furthermore, in a case where the acceleration-deceleration electrode lens group includes n pairs of acceleration electrodes and n pairs of deceleration electrodes and a first pair of acceleration electrodes, a first pair of deceleration electrodes, a second pair of acceleration electrodes, a second pair of deceleration electrodes, . . . , n-th (n is a natural number) pair of acceleration electrodes, and n-th pair of deceleration electrodes are disposed in this order along the beamline, the potentials may be set as below. Specifically, in the acceleration-deceleration electrode lens group, the first potential of the entrance side electrode of the first pair of acceleration electrodes is set to the inlet potential, the second potentials of the exit side electrode of the first pair of acceleration electrodes and the entrance side electrode of the first pair of deceleration electrodes are set to −V1 [V] (V1>0), the third potentials of the exit side electrode of the first pair of deceleration electrodes and the entrance side electrode of the second pair of acceleration electrodes are set to V2 [V] (V2>0), the fourth potentials of the exit side electrode of the second pair of acceleration electrodes and the entrance side electrode of the second pair of deceleration electrodes are set to −V1 [V] (V1>0), the fifth potentials of the exit side electrode of the second pair of deceleration electrodes and the entrance side electrode of the third pair of acceleration electrodes are set to V2 [V] (V2>0), and the (2n+1)-th potential of the exit side electrode of the n-th pair of acceleration electrodes is set to an outlet potential. Here, both of the inlet potential and the outlet potential are different from V1 or V2. Furthermore, a configuration in which the arbitrary combination of the above-described components, or the component or the expression of the invention is substituted in the method, the device, and the system is valid as the aspect of the invention. Priority is claimed to Japanese Patent Application No. 2013-112036, filed May 28, 2013, the entire content of which is incorporated herein by reference.
summary