patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
claims | 1. A method of attenuating scatter radiation during a radiological procedure in which a patient is supported on a patient table, the method comprising:reconfiguring a self-supporting radiation attenuation system between a substantially flat storage position and a generally upright position;placing a radiation attenuation system between a target area on the patient and a non-target area on the patient so that the radiation attenuation system shields the non-target area on the patient without enclosing the target area on the patient;manipulating a first portion of the radiation attenuation system to be orientated in a substantially upright position with a lower region that at least partially conforms to the patient;manipulating a second portion of the radiation attenuation system to engage the patient table for supporting the entire weight of the radiation attenuation system and for stabilizing the first portion in the substantially upright position; andexposing the target area on the patient to a primary radiation beam. 2. The method of claim 1 further comprising placing the radiation attenuation system around the neck of the patient for shielding the head of the patient from scatter radiation. 3. The method of claim 2 further comprising the step of manipulating a third portion of the radiation attenuation system to at least partially enclose the non-target area on the patient. 4. The method of claim 3 wherein the step of manipulating the third portion of the radiation attenuation system to at least partially enclose the non-target area on the patient comprises bending a top portion of the radiation barrier backwards towards the head of the patient to further shield the head of the patient. 5. A self-supporting radiation attenuation system for shielding the head of a patient supported on a patient table during a radiological procedure being conducted on a target area of the patient, the system comprising:a barrier formed of a radiation attenuating material and having a lower region with an area configured to receive the neck of the patient when in a use position, the barrier being configured to extend in a substantially upright orientation and perpendicular to a longitudinal axis of the patient when in the use position; anda first and second legs coupled to the lower region of the barrier, the first and second legs support the entire weight of the barrier against the patient table and stabilize the barrier in the substantially upright orientation when the barrier is in the use position, the first leg being provided at a first lateral side of the area configured to receive the neck of the patient, the second leg being provided at a second lateral side of the area configured to receive the neck of the patient; the first and second legs being configured to extend substantially perpendicular to the barrier when in the use position,wherein the system is selectively reconfigurable between a substantially flat storage position and the use position, andwherein the system shields the head of the patient without enclosing the target area of the patient. 6. The system of claim 5 wherein the area configured to receive the neck of the patient the second region is an aperture configured to conform to the neck of the patient. 7. The system of claim 5 wherein the first and second legs are substantially parallel and coplanar to the barrier when in the storage position. 8. The system of claim 5 further comprising a support system extending between the barrier and the first and second legs which allows the system to remain in a selectively reconfigured position, the support system comprising at least one malleable member. 9. A self-supporting radiation attenuation system for shielding a head of a patient supported on a patient table during a radiological procedure being conducted on a target area of the patient, the system comprising:a barrier including a first portion and a second portion formed of a radiation attenuating material, the second portion configured to be orientated in a generally upright position during use and having a lower region defining an aperture configured to receive a neck of the patient, the first portion coupled to the second portion and extending from an upper region of second portion at an orientation that allows the first portion to at least partially cover the head of the patient during use; anda first leg and a second leg, the first leg extending from the lower region of the second portion on a first side of the aperture, the second leg extending from the lower region of the second portion on a second side of the aperture, the first side leg and the second side leg support the entire weight of the barrier against the patient table and stabilize the second portion in the generally upright orientation during use,wherein the system shields the head of the patient without enclosing the target area of the patient. 10. The system of claim 9 wherein the second portion is configured to be substantially perpendicular to the first portion during use. 11. The system of claim 9 wherein the orientation of the first portion relative to the second portion is selectively adjustable. 12. The system of claim 9 wherein the first portion and the second portion are selectively adjustable so that the system can be moved to a substantially flat storage position. 13. The system of claim 9 wherein the first portion is integrally formed with the second portion to provide a one-piece shield. 14. The system of claim 9 further comprising a support system extending between the first portion and the second portion, the support system comprising at least one malleable member that can be selectivity manipulated to allow the first portion to be supported at a number of orientations relative to the second portion. 15. The system of claim 9 further comprising a first side flap and a second side flap, the first side flap extending from a first lateral side region of the second portion, the second side flap extending from a second lateral side region of the second portion, the first side flap and the second side flap configured to at least partially enclose the head of the patient during use. 16. The system of claim 15 wherein the first portion, the second portion, the first flap and the second flap are selectively adjustable so that the system can be moved to a substantially flat storage position. 17. The system of claim 9 wherein the first portion, the first leg and the second are configured to extend in the same direction from the second portion during use and be substantially parallel to each other. |
|
description | This application is based on Japanese patent application No. 2007-214278 the content of which is incorporated hereinto by reference. 1. Technical Field The present invention relates to an ion implantation apparatus used for implanting ion species into semiconductor wafers or the like by irradiating ion beam, and in particular to an ion implantation apparatus shaping a beam shape by allowing the ion beam to pass through a through-hole of a component. 2. Related Art At present, ion implantation apparatus is used for implanting ion species into semiconductor wafers. The ion implantation apparatus will be explained below, referring to FIG. 3. The ion implantation apparatus 100 illustrated herein is configured as having an ion gun 110, an aperture component 120, and a wafer holding unit 130 as essential constituents. The ion gun 110 is supplied with ion species from an ion source (not shown), and emits it in a form of ion beam 115. The aperture component 120 is typically made by machining carbon or graphite, given as a flat-plate main component 121 having a slit like through-hole 122 formed therein. The wafer holding unit 130 has a rotating stage 131 and a slider mechanism (not shown), wherein a plurality of silicon wafers 140, as target works, are held by the rotating stage 131. The rotating stage 131 allows thus-held plurality of silicon wafers 140 to revolve, and the slider mechanism allows the rotating stage 131 to move in a reciprocating manner typically upward and downward. In thus-configured ion implantation apparatus 100, an ion beam 115 emitted from the ion gun 110 is allowed to pass through the through-hole 122 of the aperture component 120, during which the beam shape is shaped. Thus-shaped ion beam 115 is irradiated sequentially to the plurality of silicon wafers 140 revolved by the wafer holding unit 130 and moved upward and downward, so that the ion species is uniformly implanted over the entire surface of the plurality of silicon wafers 140. The aperture component 120 described in the above may be referred also to as resolving aperture, beam aperture, slit component and so forth, all of which being composed of a flat-plate component having a slit like through-hole 122 formed therein, as shown in FIG. 4. At present, various proposals have been made on this sort of ion implantation apparatus (for example, Japanese Laid-Open Patent Publication Nos. H10-025178, H11-149898 and H11-283552). Another proposal has been made on an ion implantation apparatus (not shown), in which at least surficial portions of various components disposed along the path of ion beam are formed using a high-purity silicon. In thus-configured ion implantation apparatus, particles possibly generated as foreign matter out from the components disposed along the path of ion beam should be composed of high-purity silicon, so that the silicon wafers may successfully be prevented from being polluted. The above-described, high-purity silicon has been disclosed as being typically composed of amorphous silicon deposited on the surface of component by CVD (chemical vapor deposition), amorphous silicon deposited by sputtering, and silicon grown by epitaxial method (for example, Japanese Laid-Open Patent Publication No. H03-269940). In the ion implantation apparatus 100 described in the above, as shown in FIG. 5, a gas 111 of ion species always stays around the ion beam 115, so that the ion species form a deposited film 116 typically on the inner surface of the through-hole 122 of the aperture component 120 over a long period of use. The film 116 deposited typically on the inner surface of the through-hole 122 of the aperture component 120 may occasionally drop under irradiation by the ion beam 115 as shown in FIG. 6, and may be transferred as a foreign matter 117 towards the silicon wafers 140. The silicon wafers 140 under such situation may have the foreign matter 117 deposited on the surfaces thereof, or may have damage on the surfaces thereof due to collision of the foreign matter 117, only to be abandoned anyway. In particular for the case of large-current-type ion implantation apparatus (not shown), generally composed as a batch system affording a large number, as large as 13, of silicon wafers 140 set therein, occurrence of failure as described in the above may waste a large number of silicon wafers 140 at a time. The foreign matter possibly generated from the components in the ion implantation apparatus described in Japanese Laid-Open Patent Publication No. 03-269940 might be composed of silicon, but an anticipation still remains in that the collision of the foreign matter may damage the surfaces of silicon wafers. Even if the damage could be avoidable, the silicon foreign matter adhered on the surfaces of the silicon wafers may be causative of failure in the succeeding semiconductor processes. FIG. 7 and FIG. 8 show an exemplary case having a coated film 123 covering the inner surface of the through-hole 122 and portions therearound of the front surface and the back surface of the aperture component 120. The coated film 123 is formed typically as a thermal-sprayed film of 100 μm or around, by thermal spraying of silicon 126, wherein the surface thereof is given as a porous rough surface having random irregularities of several micrometers or smaller. Because the inner surface and therearound of the through-hole 122 of the aperture component 120 of the ion implantation apparatus 100 is covered with the porous coated film 123, the gas 111 of ion species steadily stays around the ion beams 115 may be adsorbed by the porous coated film 123 as shown in FIG. 7. As a consequence, the ion species may be less likely to deposit typically on the inner surface of the through-hole 122 even after a long period of use, so that the failure of the silicon wafers 140 due to dropping and transfer of the deposited film 116 may be prevented to a desirable degree. It was however found that, when the coated film 123 was formed by thermal spraying of particles 125 from outside of the through-hole 122, the thermal-sprayed film was formed at around the entrance of the through-hole 122 to a thickness of expected degree (300 μm, for example), whereas formed on the inner wall surface of the through-hole 122 only to a thickness approximately one-third (100 μm, for example) of the expected thickness (FIG. 9C). This is because the minimum diameter of the through-hole 122 is only as small as ½ to ⅗ of length of the through-hole 122, and also because thermal spraying is available only at a very small angle of spraying of the particles 125 away from the inner wall surface (deep behind the entrance) of the through-hole 122 (FIG. 9B). It was also found that the aperture component 120 shown in FIG. 9C, attached to the ion implantation apparatus 100 so as to allow the ion beam 115 to pass through the through-hole 122 (FIG. 9D), showed gradual decrease in thickness of the thermal-sprayed film at around the entrance of the through-hole 122 of the aperture component on the ion source side 127, and on the inner wall surface (deep behind the entrance) due to damage given by the ion beam 115 after the elapse of a predetermine length of time, and finally showed exhaustion of the thermal-sprayed film (FIG. 9E). It was found that the thermal-sprayed film remained only at around the exit of the through-hole of the aperture component on the wafer side 128, and that a non-aligned deposited film 124 composed of the ion species and the thermal-sprayed film was formed. According to the present invention, there is provided an ion implantation apparatus shaping a beam shape of ion beam by allowing the ion beam to pass through a through-hole of a component, wherein the component has a taper 299 opposed to the ion beam, in at least a part of inner surface of the through-hole on the side of incidence of ion beam, and has a thermal-sprayed film formed so as to cover at least the inner surface of the through-hole including the taper 229. In the present invention, the taper 229 opposed to the ion beam is provided on the inner surface of the through-hole of the component allowing ion beam to pass therethrough, and the thermal-sprayed film is formed on the inner surface of the through-hole including the taper 229. Accordingly, the ion implantation apparatus having a desirable thermal-sprayed film excellent in uniformity of thickness may be realized. Because the thermal-sprayed film adsorbs ion species of the ion beam, failure ascribable to dropping of the deposited layer and subsequent transfer thereof towards the wafers may be avoidable over a long period, and thereby the productivity may be improved. The invention will now be described herein with reference to an illustrative embodiments. Those skilled in the art will recognize that many alternative embodiments can be accomplished using the teachings of the present invention and that the invention is not limited to the embodiment illustrated for explanatory purposes. Embodiments of the present invention will be explained below, referring to the attached drawings. It is to be noted that, in all drawings, any similar constituents will be given with similar reference numerals, so that the explanation will not be repeated for simplicity. An ion implantation apparatus 200 of this embodiment is such as shaping a beam shape of ion beam 215 by allowing it to pass through a through-hole 222 of an aperture component 220, wherein the aperture component 220 has a taper 229 opposed to the ion beam 215, in at least a part of inner surface of the through-hole 222 on the side of incidence of ion beam 215, and has a coated film 223 formed so as to cover at least the inner surface of the through-hole 222 including the taper 229. The ion implantation apparatus 200 of this embodiment is also configured as having an ion gun 210, an aperture component 220, and a wafer holding unit 230 as essential constituents, wherein the ion gun 210 is supplied with ion species from an ion source (not shown), and emits it in a form of ion beam 215. As shown in FIG. 1, the wafer holding unit 230 has a rotating stage 231 and a slider mechanism (not shown), wherein the rotating stage 231 allows thus-held plurality of silicon wafers 240 to revolve, and the slider mechanism allows the rotating stage 231 to move in a reciprocating manner typically upward and downward. The aperture component 220 has a plate like main component 221, and the main component 221 is typically made by machining carbon or graphite. The main component 121 has a slit like through-hole 222 formed therein, wherein the inner surface of the through-hole 222 and portions therearound of the front surface and the back surface of the main component 221 are covered with the coated film 223 which is given as a porous film. The coated film 223 is typically formed as a thermal-sprayed film to as thick as 300 μm or around, by thermal spraying of silicon, wherein the surface thereof is given as a porous rough surface having random irregularities of several micrometers or smaller. More details, the coated film 223 has a large number of recesses and a large number of projections formed on the surface thereof, and has a large number of voids formed therein, wherein at least a part of such large number of recesses and at least a part of such large number of voids communicate with each other, and at least a part of such large number of voids communicate with each other. In addition, the recesses, the projections and the voids of the coated film 223 are formed to as large as several micrometers or smaller, allowing adsorption of ion species of the ion beam 215, but not affective to shaping of the beam shape. Thus-shaped ion beam 215 is irradiated sequentially to the plurality of silicon wafers 240 revolved by the wafer holding unit 230 and moved upward and downward, so that the ion species is uniformly implanted over the entire surface of the plurality of silicon wafers 240. Because the inner surface and therearound of the through-hole 222 of the aperture component 220 of the ion implantation apparatus 200 of this embodiment is covered with the porous coated film 223, the gas 211 of ion species steadily stays around the ion beams 215 may be adsorbed by the porous coated film 223, similarly to as shown in FIG. 7. As a consequence, the ion species may be less likely to deposit typically on the inner surface of the through-hole 222 even after a long period of use, so that the failure of the silicon wafers 240 due to dropping and transfer of the deposited film may be prevented to a desirable degree. What is better, the coated film 223 has a non-aligned structure, so that also the deposited layer of the ion species formed on the surface thereof will have a non-aligned structure. The deposited layer having such non-aligned structure show excellent adhesiveness between the layers. Accordingly, separation of the deposited layer may be prevented to a desirable degree. The above-described aperture component 220 may preferably be manufactured by forming a main component 221 having, formed therein, the through-hole 222 conforming to the beam shape typically by machining carbon or graphite; and forming a taper 229 opposed to the ion beam 215, in a part of inner surface of the through-hole 222 on the side of incidence of ion beam 215, and forming a coated film 223 given as a porous film by thermal spraying, so as to cover at least the inner surface of the through-hole 222 including the taper 229. The present inventors actually manufactured a prototype of the above-described ion implantation apparatus 200, and confirmed through our experiment that the number of particles observed on the silicon wafers 240 was reduced to approximately 1/10 of the conventional level. In the experiment, the number of particles adhered to the surface of the silicon wafers 240, and the number of scratches supposedly generated due to collision of the particles were counted. Moreover, as detailed later, the main component produced typically by machining carbon or graphite has a selectively-aligned crystal structure, so that also the deposited layer grown thereon is supposed to have the selectively-aligned crystal structure which expresses only weak adhesiveness between the layers. The present inventors then covered the main component composed of carbon or graphite with the coated film composed of thermally-sprayed silicon, incorporated thus-manufactured aperture component into the ion implantation apparatus, and irradiated therethrough the wafers with an ion beam of necessary species, as described in the above. It was then confirmed that a film having a non-aligned structure deposited and adhered onto the surface of the through-hole of the aperture component, every time the ion implantation took place. Such deposited layer having a non-aligned structure showed extremely large adhesiveness between the layers, as compared with the conventional deposited layer having a selectively-aligned structure. It was therefore confirmed that the aperture component covered, by thermal spraying of silicon, with the coated film having a non-aligned structure successfully prevented separation of the deposited layer. Although the deposited layer is composed of carbon crystal as described in the above, the ion species contains no carbon. This suggests that the deposited layer is composed of carbon sputtered out from the aperture component irradiated by the ion beam, and the ion species. Next, operations and effects of this embodiment will be explained. If the diameter of the through-hole of the aperture component is constant, the non-aligned coated film 123 will be exhausted on the incident side as shown in FIG. 9E, and this repetitively raises a problem of deposition and dropping of the ion species and so forth as shown in FIG. 5 and FIG. 6, and the dropped chip may be transferred towards the wafers to pollute them. For this reason, the aperture component must be replaced with another aperture component having a thermally-sprayed film newly formed thereon, before the thermal-sprayed film on the inner wall of the through-hole of the aperture component is completely exhausted. Interval of the replacement may typically be two weeks, always in need of large cost for another aperture component having a thermal-sprayed film newly formed thereon. Replacement of the aperture component also needs interruption of operation of the ion implantation apparatus, and this inevitably degrades operation efficiency of the apparatus. The problem may consequently form one factor against cost reduction in manufacturing of semiconductor devices. Aiming at elongating the interval of replacement of the aperture component, the present inventors developed an aperture component capable of allowing the thermal-sprayed film to grow on the inner wall of the through-hole, to a thickness (300 μm, for example) larger than the thickness (100 μm, for example) in the state shown in FIG. 8. One embodiment of our development was shown in FIG. 1 and FIG. 2A-FIG. 2E. First, the taper 229 is formed, by machining from the ion source side 227, to the through-hole of the aperture component. The angle of taper θ is adjusted typically from 5° to 45°, and preferably from 10° to 40° (FIG. 2). Geometry of the through-hole of the aperture component on the wafer side 228 is remained unchanged from the conventional geometry. Silicon is fused to a liquid state, and sprayed onto the inner wall and therearound of the through-hole of the aperture component, to thereby form the thermal-sprayed film by the adhered thermal-sprayed particles. By providing the taper 229, opposed to ion beam 215, to at least a part of the inner surface of the through-hole, the angle of incidence of the thermal-sprayed particles on the tapered inner surface may be adjustable to as close as 90° (FIG. 2B), and thereby efficiency of thermal spraying may be improved. Silicon may now be thermally sprayed to a thickness (300 μm, for example) (FIG. 2C), larger than the thickness (100 μm, for example) on the inner surface of the through-hole given as shown in FIG. 9C. FIG. 1 shows an exemplary attachment of the aperture component given as shown in FIG. 2C to the ion implantation apparatus, while directing the widened side of opening having the taper 229 towards the ion source side 227. In the process of ion implantation, the ion beam 215 from an ion source enters the widened side of opening having the taper 229, exits from the narrow side of opening, and implanted to the wafers. Detail of irradiation of ion beam 215 against the aperture component is shown in FIG. 2D. Operation in this state kept over a long duration of time will gradually thin the thermal-sprayed film on the taper 229, whereas the non-aligned deposited film 224 composed of the ion species and the thermal-sprayed film will deposit on the thermal-sprayed film at around the exit on the wafer side 228 (FIG. 2E). However, the aperture component having the thermal-sprayed film (300 μm thick, for example) shown in FIG. 2C, which is thicker than that (100 μm thick, for example) shown in FIG. 9C, may be elongated in time over which the thermal-sprayed film is exhausted. When compared between the thermal-sprayed film of smaller thickness (100 μm, for example) shown in FIG. 9C and the film of larger thickness (300 μm, for example), the latter may elongate the time over which the thermal-sprayed film is exhausted by approximately three time (equivalent to ratio of thickness of films). The thermal-sprayed film formed on the tapered portion may be increased to 400 μm, if it is allowable from the viewpoint of cost of thermal spraying. The present invention is not limited to the above-described embodiment, typically showing an exemplary case of using silicon as a material composing the coated film 23, and instead allows adoption of various other materials without departing from the spirit of the present invention, so far as they will not pollute the silicon wafers nor thereby degrade the reliability. For example, tungsten, carbon, aluminum, alumina and so forth may be adoptable. It is apparent that the present invention is not limited to the above embodiment, that may be modified and changed without departing from the scope and spirit of the invention. |
|
052805107 | abstract | A method for coating the inside surface (18) of tubular components (16) of a nuclear fuel assembly, including the steps of supporting the component within a vacuum chamber (12), positioning a source rod (20) having a field emitter structure (22) within the component, the structure being formed of material to be coated on the surface, and inducing an electrical current flow (26) through the rod sufficient to evaporate at least a portion of the emitter structure, whereby the evaporated material of the emitter structure is deposited on and adheres to the surface as a coating. Optionally, the vacuum chamber is backfilled with a reactive gas (38), and the material evaporated from the emitter structure chemically reacts with the gas before adhering to the surface. The reactive gas can be one of nitrogen, oxygen, or carbon plasma and the coating adhered to the component can be one of a nitride, oxide, or carbide, respectively. |
053234286 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to nozzles assemblies and more specifically to nozzle assemblies which enable quick and easy connection/disconnection in cramped and hazardous environments such as encountered in nuclear reactors. 2. Description of the Prior Art It is essential that a hermetic radiation proof seal be maintained between the interior and exterior of a pressure vessel such as a nuclear reactor. It is also necessary to monitor the operations of a reactor using In-Core-Instruments (ICI). However, this latter requirement necessitates the provision of nozzles through which the instruments can be sealingly introduced into the core. Examples of such an arrangements are shown in U.S. Pat. No. 4,723,795 issued on Feb. 9, 1988 in the name of Shenoy and U.S. Pat. No. 4,655,483 issued on Apr. 7, 1987 in the name of Margotta. The documents discloses techniques for providing fluid tight connections between a nozzle and a column which is concentrically supported within the nozzle. FIG. 1 shows a less preferred embodiment of the type of nozzle to which the present invention pertains. This arrangement is such as to provide a fluid tight radioactive proof seal for a plurality of ICI (In Core Instruments) and allows the operative connection with external controls and monitoring arrangements. This arrangement features the use of a Grayloc hub 10 which is clamped securely to a Grayloc flange 12 formed at the upper end of an ICI nozzle 14. However, with this arrangement when it is required to disassemble the same, the Grayloc clamp 16 is unbolted and each individual ICI nut 18 is then removed and the individual ICI's are then clustered together under a bullet nose. The above-mentioned Graylock hub and Graylock clamp are products of the Gray Tool Company, Houston, Tex. However, this induces the drawback that the disassembly process is both strenuous and time consuming. It is also carried out in very cramped conditions and in a radioactive environment. Accordingly, the operators are required to wear protective suiting and must be careful to watch the amount of time for which they are exposed to the radiation. Accordingly, there is a need for an arrangement which can reduce both the effort and time required to achieve the disassembly of an ICI nozzle which is carried out each time a refueling or instrument change is required, in order to reduce the reactor down time and more importantly to reduce the health risks associated with working the above mentioned hazardous environment. SUMMARY OF THE INVENTION It is an object of the present invention to provide a nozzle assembly for use with nuclear reactors and the like type of pressure vessels, which nozzle design enables very quick disassembly and which reduces the difficulty and amount of physical effort required to achieved said disassembly. In brief, seal arrangement which includes a Grayloc flange features a modified Grayloc hub in which a stepped bore receives a grafoil seal arrangement and a retaining nut which presses the grafoil seal into engagement with an inner wall portion of the hub and outer wall portion of an ICI (in core instrument) supporting column. A loading ring is threaded onto a portion of the column. Bolts associated with the ring are screwed down onto a belleville washer which is disposed between the loading ring and the top of the retaining nut for maintaining a desired amount of load on the seals irrespective of thermal variations. More specifically, a first aspect of the invention comes incomes in a device which features a hub which is clamped to a flange formed on the upper end of a nozzle in manner to establish a hermetic seal between the hub and the flange, the hub being formed with a stepped bore therein through which a column member extends; seal means disposed in the bore about the column member; a retaining nut threadedly received in the stepped bore, the retaining nut being adapted to press the seal means into sealing engagement with a wall portion of the stepped bore and a wall portion column member which is located within the stepped bore in a manner which establishes a hermetic seal between the hub and the column member; a resilient washer disposed on the upper side of the retaining nut; and an annular load ring which is threadedly received on a portion of the column, the load having a plurality through holes in which bolts are threadedly received and adjust the pressure applied by the resilient washer to the top of the retainer nut. A second aspect of the present invention comes in a sealing arrangement for device having a nozzle portion and a column member disposed through the nozzle portion, which features: a hub which is clamped to a flange formed on the upper end of the nozzle in manner to establish a hermetic seal between the hub and the flange, the hub being formed with a stepped bore through which the column member extends; seal means disposed in the bore about the column member, the seal being supported by a seal carrier; a retaining nut threadedly received in the stepped bore, the retaining nut being adapted to press the seal into sealing engagement with a wall portion of the stepped bore and a wall portion column member which is located within the stepped bore in a manner which establishes a hermetic seal between the hub and the column member; and an annular load ring which is threadedly received on a portion of the column, the load ring including means for selectively applying a force to the top of the retainer nut. A third aspect of the present invention comes in a nuclear reactor including an in-core-instrument, a head which is lifted when the reactor is refuelled, and a nozzle arrangement which includes a column arrangement through which the in-core-instrument is disposed, the nozzle comprising: a Grayloc flange which is associated with the nozzle; a Grayloc hub releasably connected to the Grayloc flange; a stepped bore formed in the Grayloc hub through which the column arrangement is disposed; a grafoil seal arrangement disposed in the stepped bore; a retaining nut which is threadedly received in the stepped bore and which can apply a pressure to the grafoil seal arrangement; loading means threadedly received on the column arrangement for applying pressure on the retaining nut. A further aspect of the invention comes in that the arrangement set forth above further features: an elastomeric spacer which can be inserted into the stepped bore when the retaining nut is removed, the elastomeric spacer protecting threads which are formed on the wall of the stepped bore and engaging the external wall of a bullet shaped cover which is placed over the top of the column arrangement when the nuclear reactor is conditioned for a predetermined operation. |
description | The present application claims priority from Japanese application JP2008-089133 filed on Mar. 31, 2008, the content of which is hereby incorporated by reference into this application. The present invention relates to a scanning type charged particle beam microscope that radiates charged particles against a sample to obtain its image and an image processing method using this microscope. More specifically, the present invention relates to a method and a device that perform an image quality improvement operation on the obtained image. For a clear observation of minute structures of an object being examined, scanning type charged particle beam microscopes with a higher resolution than that of optical microscopes are widely in use. The charged particle beam microscope irradiates a sample under inspection with a charged particle beam and detects charged particles released from the sample or those that have passed through it (charged particles of the same or different species than that of the irradiated charged particles, or electromagnetic waves) to produce an enlarged image of the sample being inspected. In a semiconductor manufacturing process, scanning type charged particle beam microscopes such as a scanning electron microscope (SEM), a scanning ion microscope (SIM) and a scanning transmission electron microscope are used for such applications as semiconductor wafer inspection and pattern measurement. In these applications, images that are shot are used for observation of semiconductor patterns and defects, for defect detection and analysis of causes and for measurement of pattern dimensions. In the scanning type charged particle beam microscope, the function of providing high quality images is one of its most important functions. Although improvements of hardware such as a charged-particle-beam optical system and a detection system can allow for enhanced resolution and S/N of images to a certain degree, there is a limit. As to the resolution, diffraction aberrations caused by charged particles having a nature of waves and chromatic and spherical aberrations due to characteristics of charged particle lens will inevitably result in a degradation of the resolution. As for the S/N, it can be enhanced by increasing the amount of charged particle beam to be radiated. This method, however, has a problem of causing damages to a sample or prolonging the imaging time. In practice therefore, the amount of charged particle beam that can be applied is limited, resulting sometimes in a failure to secure a sufficient S/N. Other than the method of improving hardware, a method is available that enhances the resolution and the S/N by performing an image quality improving operation on the sample image. As methods for improving the resolution and S/N, an edge emphasizing operation, an image restoration operation and a noise removing operation are proposed in JP-A-63-211472 and JP-A-3-44613. Among other image quality improving operations there is proposed a technique that performs a contrast correction operation on the sample image to adjust brightness and contrast appropriately and thereby enhance the quality of the output image (e.g., Y. I. Gold and A. Goldenshtein: Proc. SPIE, 3332, pp. 620-624 (1998)). JP-A-2002-328015 describes a method that uses a matching operation to align positions of two images. In the methods proposed by JP-A-63-211472 and JP-A-3-44613 and by Y. I. Gold and A. Goldenshtein: Proc. SPIE, 3332, pp. 620-624 (1998), the image quality improvement operation is done by using only a sample image or by using the sample image and imaging or shooting conditions (an acceleration voltage of charged particle beam, a probe current, etc.). The above methods, however, do not perform an operation using design data or an operation using design data and sample characteristic information. Here, the design data refers to data representing information on geometries of semiconductor patterns to be manufactured, and in many cases describes the geometries of semiconductor patterns as by information on their outlines. Therefore, with the conventional operations, a sufficient image quality improvement may not be obtained as described below. The use of only the sample image and the imaging conditions cannot produce sample characteristic information for each area on the image. Nor can it decide whether spatially separate areas on the image have similar sample characteristics (material characteristics, electrical characteristics, layer characteristics, etc.). Therefore, it is not possible to perform an appropriate image quality improvement operation according to the sample characteristics of each area, make sample characteristic differences visible or emphasize only a sample having a particular characteristic In the conventional method, to perform operations, such as emphasizing a contrast between different areas and optimizing process parameters for each area, requires dividing the area of the image. However, the area division takes a long duration of processing and it is difficult to meet both requirements for a high precision of area division and a reduced processing time simultaneously. The area on a sample that the user particularly wishes to observe is often the one where the difference between actual data and design data is large. The image quality improvement operation that automatically emphasizes such an area is difficult to perform with the conventional method. An object of the present invention is to provide a scanning type charged particle beam microscope that can produce an image with an improved quality and an image processing method using the same. To achieve the above objective, this invention adopts the following image quality improvement method when an image obtained by the scanning type charged particle beam microscope is processed to perform semiconductor wafer inspections, observations and pattern measurements. The scanning type charged particle beam microscope of this invention comprises: a charged particle imaging unit having a charged particle beam irradiation unit to irradiate a focused charged particle beam to a surface of a sample formed with a pattern and to scan it over the surface, and a secondary charged particle imaging unit to detect secondary charged particles emitted from the sample as the charged particle beam irradiation unit irradiates and scans the charged particle beam over the sample and to generate a secondary charged particle image of the sample surface; an image processing means to process the secondary charged particle image of the sample surface generated by the charged particle imaging unit; and an output unit to output a result of processing by the image processing means; wherein the image processing means has an image quality improving unit to improve, by using the design data of the pattern formed on the sample, an image quality of the secondary charged particle image of the sample surface generated by the charged particle imaging unit, and an image processing unit to process the image whose quality has been improved by the image quality improving unit and to perform a detection of defects of the sample, a production of an image of defects or a measuring of dimensions of the pattern. In the image processing method using a scanning type charged particle beam microscope, which irradiates and scans a focused charged particle beam over a surface of a sample formed with a pattern, detects secondary charged particles emitted from the sample, creates a secondary charged particle image of the sample surface, and processes the created secondary charged particle image of the sample surface; the image quality of the created secondary charged particle image of the sample surface is improved by using the design data of the pattern formed on the sample, the quality-improved image is processed to perform a detection of defects of the sample, a production of an image of defects or a measuring of dimensions of the pattern. With this invention, an image from the scanning type charged particle beam electron is subjected to an image quality improvement operation using design data and sample characteristic information. This makes it possible not only to meet two conflicting requirements of higher precision and faster speed at the same time in such processing as image quality improvement operations reflecting sample characteristic differences and area division operations but also to emphasize portions of the displayed image where differences between the design data and the sample image is large. This in turn has resulted in enhanced accuracies in detecting defects in the sample, in creating an image of defects or in measuring dimensions of the pattern. These and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings. This invention performs a high-performance image quality improvement operation on a sample image produced by a scanning type charged particle beam microscope in order to observe semiconductor patterns and defects, to perform defect detection and analysis of their causes, and to measure dimensions of the patterns. Now, embodiments of this invention will be described using drawings, for a case in which the invention is applied to a defect observation device (review SEM) that uses a scanning electron microscope (SEM) or to a pattern dimension measuring device (CD-SEM). FIG. 1 shows an example sequence for executing an image quality improvement operation on an image of a sample in a system using the SEM (hereinafter called a SEM system). First, at step 101 the SEM is used to photograph the sample formed with a pattern on its surface to obtain an image of the sample (SEM image) 111. At step 102, design data (CAD data) 112 of the pattern formed on the sample surface is read to obtain the design data 112 corresponding to the sample image 111. Since positional deviations usually occur between the sample image 111 and the design data 112, the design data 112 should be so sized as to include an area corresponding to the sample image 111 by retrieving data of a wider viewing field than at least that of the sample image 111. Next at step 103, the design data 112 is aligned in position with the sample image 111 to produce position-aligned design data 113. The position alignment will be explained by referring to FIGS. 6A to 6C. When the design data 112 of FIG. 6B is positionally aligned with the sample image 111 of FIG. 6A, the design data is shifted in x and y directions by required distances so that the design data is aligned in position with the sample image. A variety of position alignment techniques are available. For example, a matching operation as described in JP-A-2002-328015 may be employed. Next, the position-aligned design data 113 is used to perform the image quality improvement operation on the sample image in step 104 to produce a quality-improved image 114. Further, in step 105 image processing may be executed using the quality-improved image produced at step 104 to observe semiconductor patterns and defects, perform defect detection and cause analysis, and measure pattern dimensions. In this embodiment, the image quality improvement operation can be done using information that the design data has, including pattern area information and edge position and direction information. For example, parameters can be changed properly according to the pattern area information. Further, the degrees of noise removal and edge emphasis may be differentiated between a pattern edge area or surrounding area and an area not including the pattern. It is also possible to perform at high speed a smoothing operation along the direction of pattern edges to enhance the S/N without making the pattern edges dull. Dashed arrows in the drawings indicate that the operation at the destination of an arrow uses as supplements data and images provided at the originating point of the arrow. FIG. 2 shows a basic configuration of the SEM system as one embodiment of this invention. The SEM system of this invention has an imaging device 201, an input/output unit 221, a control unit 222, an image generation unit 223, an image processing unit 224, a storage unit 225 and an image quality improving unit 226. In the imaging device 201, an electron gun 202 generates an electron beam 203 which in turn is passed through a condenser lens 204 and an object lens 205 to focus it on the surface of a specimen 200. The focused electron beam 203 radiated to the surface of the specimen 200 is deflected in its projected path by a deflection electrode 206 to scan the specimen surface. Next, secondary electrons or reflected electrons emitted from the specimen 200 as a result of the electron beam 203 being radiated against the surface of the specimen 200 are detected by a detector 208 and converted from an analog signal to a digital signal by an A/D converter 209 before being supplied to the image generation unit 223. The image generation unit 223 processes the digital signal to create an image by using signals by which the control unit 222 has controlled the deflection electrode 206, thus obtaining the sample image. The sample image thus obtained is stored in the storage unit 225. Two or more of the detectors 208 may be provided, each designed to detect different kinds of particles (secondary electrons and reflected electrons). It is also possible to use a construction that allows a plurality of sample images to be created in one shooting session. The specimen 200 is mounted on the stage 207, which is moved and controlled by the control unit 222 so that an image of the specimen at any desired position can be obtained. The imaging device 201, the input/output unit 221, the control unit 222, the image generation unit 223, the image processing unit 224, the storage unit 225 and the image quality improving unit 226 are all interconnected via a signal line 220. The input/output unit 221 has a display 2210 on which is shown a GUI (Graphic User Interface) that allows the user to input an imaging position and imaging condition and to obtain a sample image and a quality-improved image. To control the imaging device 201, the control unit 222 adjusts a voltage to be applied to the electron gun 202 and focus points of the condenser lens 204 and the object lens 205 and controls a voltage to be applied to the deflection electrode 206 (that has a pair of X-direction deflection control electrodes and a pair of Y-direction deflection control electrode), a driving of the stage 207 and an operation timing of the A/D converter 209. The control unit 222 also controls the input/output unit 221, the image generation unit 223, the image processing unit 224, the storage unit 225 and the image quality improving unit 226. The image processing unit 224 executes operations other than the image quality improvement operation, which include an operation to automatically focus the electron beam 203 on the surface of the specimen 200 and operations to observe a semiconductor pattern and defects by using the image improved by the image quality improving unit 226, to perform a defect detection and a cause analysis, and to measure pattern dimensions. In making observations of the semiconductor pattern and defects, the image containing the semiconductor pattern and defects whose quality has been improved by the image quality improving unit 226 is compared with a reference image to extract an image of the semiconductor pattern and defects, as in the conventional technique. Since the extracted image has its quality improved, image feature quantities of the semiconductor pattern and defects can be picked up precisely with increased reliability. This in turn allows for an assessment of finer geometries of semiconductor patterns, a classification of defect images and an examination of defect causes with high precision. In measuring pattern dimensions, the use of the quality-improved image can improve not only the measuring accuracy but also a reproducibility of the measurement. In the storage unit 225 are stored sample images, design data, sample characteristic information, quality-improved images and image quality improvement parameters. As for the design data, it is also possible to retrieve necessary data from the design data stored in other storage unit not shown through a communication line and then store the retrieved data in the image processing unit 224. The image quality improving unit 226 performs a series of operations, shown in FIG. 1, to generate the quality-improved image from the sample image. The image quality improving unit 226, as shown in FIG. 2, has a design data reading unit 231 to perform a design data reading 102, a position aligning unit 232 to perform a position alignment 103, and an image quality improvement operation unit 233 to perform an image quality improvement operation 104. Further, the image quality improving unit 226 may also include a geometry information correction unit (not shown) that performs a geometry information correction 301 described later and a sample characteristic reading unit (not shown) that performs a sample characteristic reading 401 described later. FIG. 3A shows an example sequence for executing the image quality improvement operation using the design data with corrected geometry information. Operations or data identical with those of FIG. 1 are assigned the same reference numbers of FIG. 1. What are performed in the operations of imaging 101, design data reading 102 and position alignment 103 are the same as explained by referring to FIG. 1. In this embodiment, the position-aligned design data 113 produced by the position alignment 103 is subjected to the geometry information correction 301 to obtain geometry-information-corrected design data 311. The geometry information correction 301 may be done by litho simulation (a process of forming a pattern on a wafer by executing an etch with a resist pattern as a mask is simulated, thereby calculating a geometry of the pattern to be formed on the wafer). Alternatively, the design data may be corrected by using the information about the image of the sample. Next, the geometry-information-corrected design data 311 is used to perform an image quality improvement operation 302 on the sample image 111 to create a quality-improved image 312. The use of the geometry-information-corrected design data 311 allows for retrieving more accurate information about pattern edge positions and edge directions, which in turn makes it possible to execute a high-performance image quality improvement operation. FIG. 3B shows a configuration of an image quality improving unit 226′ in this embodiment. Portions having the same functions as in the image quality improving unit 226 of FIG. 2 are given the same reference numbers. The image quality improving unit 226′ in this embodiment has a design data reading unit 231 that performs the design data reading 102, a position aligning unit 232 that performs the position alignment 103, a geometry information correction unit 234 that performs the geometry information correction 301, and an image quality improvement operation unit 233′ that performs the image quality improvement operation 302. The image processing 105, done by the image processing unit 224 using the quality-improved image 312 that has undergone the image quality improvement operation 302, is the same as explained by referring to FIG. 1, so its explanation is omitted here. FIG. 4A shows an example sequence for performing the image quality improvement operation using the design data and the sample characteristic information. In this example, information on sample characteristics (material characteristic, electric characteristic, layer characteristic, etc.) is stored in advance in the storage unit 225. For the position-aligned design data 113 produced by the position alignment 103, sample characteristic 412 corresponding to each area within the design data 112 is read out from a table 411 by the sample characteristic reading 401. Next, an image quality improvement operation 402 performs the image quality improvement on the sample image 111 by using both the position-aligned design data 113 and the corresponding sample characteristic 412 and obtains a quality-improved image 413. This operation allows for an image quality improvement reflecting the sample characteristic. Processing made possible by this image quality improvement operation 402 includes, for example, emphasizing only an area formed of a particular material, increasing contrast among low-contrast portions in a lower layer, or displaying areas that are easily charged positive at higher brightness. These processing can be performed easily. Which of these processing is suited may often vary depending on the purpose and situation. So, it is configured to allow the user to specify information on the characteristics that the user wants to emphasize on the display. Then the processing can be done according to the input from the user. FIG. 4B shows a configuration of an image improving unit 226″ in this embodiment. Portions having the same functions as in the image improving unit 226 of FIG. 2 are assigned the same reference numbers. The image improving unit 226″ of this embodiment comprises a design data reading unit 231 that performs the design data reading 102, a position aligning unit 232 that performs the position alignment 103, a sample characteristic reading unit 235 that reads information on the sample characteristic 412 from the table 411 stored in the storage unit 225, and an image quality improvement operation unit 233″ that performs the image quality improvement operation 402. The image processing 105, done by the image processing unit 224 using the quality-improved image 413 that has undergone the image quality improvement operation 402, is the same as explained by referring to FIG. 1, so its explanation is omitted here. FIG. 5A shows an example sequence for executing an image quality improvement operation by using a result of segmentation performed using the design data. The segmentation represents processing to divide an image into smaller sub-areas. The image segmentation involves dividing the sample image 111 into individual pattern areas using the position-aligned design data 113 or into groups of similar patterns. The process flow shown in FIG. 5A will be explained. First, in a step of imaging 101, a sample formed with a pattern on its surface is imaged by using the imaging device 201 to produce a sample image 111. At step 102, the design data 112 of the pattern formed on the surface of the sample is read to obtain the design data 112 corresponding to the sample image 111. Next, at step of position alignment 103, positional shifts between the sample image 111 and the design data 112 are corrected. Then, based on the position-aligned design data 113 that has undergone the position alignment 103, the sample image 111 is segmented by a segmentation 501 to obtain a segmentation result 511. Next, by using the segmentation result 511, the image quality improvement operation 502 is performed on each of the areas divided by the segmentation to create a quality-improved image 512. The image quality improvement operation 502 may use the position-aligned design data 113. Further, the segmentation 501 may be performed on an image being processed by the image quality improvement operation 502, rather than on the sample image 111. Since this embodiment allows for the image quality improvement operation on the segmented images, it is possible to easily perform various processing, such as executing different image quality improvement operations on different areas and changing parameters for determining a degree of contrast emphasis. FIG. 5B shows a configuration of an image quality improving unit 226′″ in this embodiment. Portions having the same functions as in the image quality improving unit 226 are given the same reference numbers. The image quality improving unit 226′″ in this embodiment comprises a design data reading unit 231 that performs the design data reading 102, a position aligning unit 232 that performs the position alignment 103, a segmentation unit 236 that performs segmentation on the sample image 111, and an image quality improvement operation unit 233″ that performs the image quality improvement operation 502. The image processing 105, done by the image processing unit 224 using the quality-improved image 512 that has undergone the image quality improvement operation 502, is the same as explained by referring to FIG. 1, so its explanation is omitted here. FIG. 7A shows an example sequence for performing an image quality improvement operation using data accumulated in a database. A process flow shown in FIGS. 7A and 7B will be explained. First, at step of imaging 101, a sample formed with a pattern on its surface is imaged by the imaging device 201 to produce a sample image 111. At step 102, the design data 112 of the pattern formed on the surface of the sample is read to get the design data 112 corresponding to the sample image 111. Next, at step of position alignment 103, positional shifts between the sample image 111 and the design data 112 are corrected. Then, based on the position-aligned design data 113 whose positional shifts have been corrected, a database inquiry 701 is made to query a database 711. The database 711 stores design data and corresponding image information. Image information refers to a sample image, or quality-improved image, or information obtained from these images, and information associated with these images. The image information may include, for example, edge information obtained by performing an edge extraction operation on the sample image and parameters for image quality improvement operation. At step of database inquiry 701, design data similar to the position-aligned design data 113 is searched in the database 711 to retrieve the corresponding image information 712. Next, at step of image quality improvement operation 702, the image quality improvement operation is performed on the sample image 111 by using the position-aligned design data 113 and the image information 712 to generate a quality-improved image 713. FIG. 7B shows a configuration of an image quality improving unit 226″″ in this embodiment. Portions having the same functions as in the image quality improving unit 226 of FIG. 2 are given the same reference numbers. The image quality improving unit 226″″ in this embodiment comprises a design data reading unit 231 that performs the design data reading 102, a position aligning unit 232 that performs the position alignment 103, a database inquiry unit 237 that queries the database 711 by using the design data 113 and an image quality improvement operation unit 233″″ that performs the image quality improvement operation 702. The image processing 105, done by the image processing unit 224 using the quality-improved image 713 that has undergone the image quality improvement operation 702, is the same as explained by referring to FIG. 1, so its explanation is omitted here. The position-aligned design data 113, the sample image 111, the quality-improved image 713, etc. are stored in the database 711 by a database storing 703, as required. Dashed line arrows in FIGS. 7A and 7B represent flows of data as it is stored in the database 711 during the database storing 703. With this embodiment the image quality improvement operation can be performed by using information on images having similar circuit patterns that were retrieved in the past. Therefore, by averaging the images that were retrieved in the past, further enhancement of S/N can be realized. It is also possible to prevent a contrast from becoming large by using parameters similar to those of other quality-improved images with similar circuit patterns. FIG. 8 shows one embodiment of a GUI screen 800 on which to set parameters for the image quality improvement operation using design data. This GUI screen is shown on a display 2210 connected to the input/output unit 221 in the configuration of FIG. 2. In this embodiment the GUI screen is shown to have fields in which to display setting items for display intensity 801, setting items for brightness and contrast 802 and setting items for database use 803. Among setting items associated with a display intensity 801 are, for example, display intensities 812 at an edge portion 811 of the pattern and at a lower layer of the pattern. Setting items 802 associated with brightness and contrast include, for example, a setting item 821 for a brightness at the bottom of a holed area of the sample, a setting item 822 for a contrast within one and the same area and a setting item 823 for a contrast among different areas. It is also possible to provide a setting item 824 that matches an area having a particular sample characteristic with a degree of display intensity. Among setting items 803 associated with the use of database are, for example, a setting item 806 for specifying whether or not to use the database and a setting item 807 for adding to the current sample image other sample images corresponding to the similar design data and then averaging them. Further, a preview screen 804 for presenting the image quality may be provided. In the preview screen 804 are shown an example of design data 812, an example of sample image 813 and an example of quality-improved image 814 that is obtained by using parameters specified by the display intensity setting item 801, the brightness and contrast setting item 802 and the database use setting item 803. With such a GUI screen 800, it is possible to present a suitable image to the user that conforms to individual image quality evaluation references FIGS. 9A and 9B are diagrams of one embodiment showing that it is necessary to perform the image quality improvement operation 702 using data stored in the database 711, such as shown in the embodiment of FIGS. 7A and 7B. A sample image 901 and a sample image 902 are example sample images obtained by imaging the same pattern. The sample image 902 shows an extraneous matter 903 on the pattern. In this case, if the image quality improvement operation is performed on the sample image without using the information of the design data, the presence of the extraneous matter 903 may cause the contrast to differ greatly between the sample images 901 and 902. Considering the fact that the individual design data 112 has no foreign matters thereon, the contrasts of the sample image 901 and the sample image 902 can be made equal by using the information of the design data 112. Further, the use of the data stored in the database 711 enables stable operations. For example, by searching through the database 711 for samples images having the similar design data, like the sample image 901, before executing the image quality improvement operation on the sample image 902, the parameters for the image quality improvement operation on the sample image 901 can be used to execute the image quality improvement operation on the sample image 902. FIGS. 10A to 10C are diagrams showing the effect of performing the edge emphasis operation using the design data in the SEM system. When a portion of a sample corresponding to the design data 1001, an image like a sample image 1002 is obtained. Here, arrows 1013 represent a direction in which a charged particle beam is scanned during the imaging of the sample. In this embodiment, the charged particle beam is scanned in a horizontal direction. In this case, while a vertical edge 1011 perpendicular to the scan direction is clearly shown in the sample image 1002, a horizontal edge 1012 parallel to the scan direction may have a low contrast. However, the use of information of the design data 1001 reveals that the horizontal edge 1012 exists, making it possible to emphasize this edge and display an image such that the displayed vertical and horizontal edges have almost equal contrasts, as in the quality-improved image 1003. FIGS. 11A to 11C are diagrams showing the effect of emphasizing a lower layer by using the design data (CAD data). When a portion of the sample corresponding to the design data 1101 is imaged, an image such as a sample image 1102 may be produced in which a lower layer 1112 does not have a sufficient contrast. When one wishes to observe the lower layer, it is desired that the lower layer be subjected to an image quality improvement operation to emphasize the lower layer. One may also wish to measure a pattern width in an area of an upper layer that is directly over the lower layer, such as an area 1111. In this case, unless the lower layer is emphasized sufficiently, the area 1111 may be difficult to find. Even in such a case, if the lower layer can be displayed emphasized, as in the case with the quality-improved image 1103, the aforementioned requirement can be met. Although the above embodiments have been described as example applications of the system using a scanning electron microscope (SEM) to a defect observation device (review SEM) or a pattern dimension measuring device (CD-SEM), the present invention is not limited to these applications but may also be applied to a pattern defect inspection device using a scanning electron microscope (SEM). Further, this invention can also be applied to a system employing a scanning ion microscope (SIM) or a scanning transmission electron microscope. The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The present embodiment is therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims rather than by the foregoing description and all changes which come within the meaning and range of equivalency of the claims are therefore intended to be embraced therein. It should be further understood by those skilled in the art that although the foregoing description has been made on embodiments of the invention, the invention is not limited thereto and various changes and modifications may be made without departing from the spirit of the invention and the scope of the appended claims. |
|
claims | 1. A nuclear fuel rod spacer grid for a fuel assembly, the nuclear fuel rod spacer grid comprising at least two meshed grid parts including a first grid part and a second grid part, the first and second grid parts being superposed in a longitudinal direction, each of the first and second grid parts extending in a transverse plane, the first and second grid parts being movable relative to one another in at least one transverse direction between an open configuration for insertion of nuclear fuel rods in the longitudinal direction through the first and second grid parts, and a closed configuration allowing each rod inserted through the first and second grid parts to be clamped transversely between the grid parts, andimmobilization elements for transversely immobilizing the first and second grid parts in the closed configuration, the immobilization elements being arranged to come into engagement as the superposed first and second grid parts are brought together in the longitudinal direction, each rod being clamped transversely between a support plate of the first grid part and a support plate of the second grid part in the closed configuration. 2. The grid according to claim 1 wherein the first and second grid parts have members for locking the grid parts longitudinally in the closed configuration. 3. The grid according to claim 2 wherein the locking members are fixed to the first grid part and are capable of snapping onto the second grid part as the first and second grid parts are brought together in the longitudinal direction. 4. The grid according to claim 1 wherein the immobilization elements comprise peripheral walls fixed to the first grid part and defining a space between the peripheral walls and the second grid part, the space for receiving the second grid part, the second grid part being finable into the space. 5. The grid according to claim 4 wherein when the grid is viewed in the longitudinal direction, imaginary straight lines passing along an outer periphery of each side of the grid parts form a polygonal contour, the peripheral walls comprising at least one pair of peripheral walls fixed to the first grid part and extending along opposite sides of the first grid part. 6. The grid according to claim 5 wherein the at least one peripheral wall fixed to the first grid part has a tooth for locking the grid parts in the closed configuration, the tooth being capable of snapping onto the second grid part as the grid parts are brought together. 7. The grid according to claim 1 wherein the first and second grid part has at least one passage for a guide thimble, the guide thimble for receiving a cluster rod, the first grid part being capable of receiving the guide thimble with transverse clearance in at least one transverse direction of clamping of the rods between the first and second grid parts. 8. The grid according to claim 1 wherein each of the at least first and second grid parts are formed of first plates and second plates, the second plates intersecting with the first plates. 9. The grid according to claim 1 wherein the at least two grid parts are in pairs, a first pair being the first and second grid part and further comprising a second pair, the first pair of grid parts clamping the rods in a first transverse direction and the second pair of grid parts clamping the rods in a second transverse direction, the second transverse direction being different from the first transverse direction. 10. The grid according to claim 9 wherein the first grid part of the first pair of grid parts is fixed to a first grid part of the second pair of grid parts. 11. A nuclear fuel rod spacer framework for a nuclear fuel assembly, comprising a plurality of spacer grids for rods of a bundle of rods, the spacer grids being distributed along the rods at a distance from one another, at least one of the spacer grids being the spacer grid according to claim 1. 12. A nuclear fuel assembly, comprising a bundle of nuclear fuel rods and a spacer framework for the rods, the framework being according to claim 11. 13. The nuclear fuel rod spacer grid as recited in claim 1 wherein each of the first and second grid parts define cells therein, the cells of the second grid part being movable relative to the cells of the first grid part in the at least one transverse direction between the open configuration and the closed configuration. 14. The nuclear fuel rod spacer grid as recited in claim 13 wherein the second grid part is movable longitudinally to move the cells of the second grid part away from the cells of the first grid part from the closed configuration and into the open configuration, the second grid part being movable longitudinally to move the cells of the second grid part toward the cells of the first grid part from the open configuration and into the closed configuration. 15. The nuclear fuel rod spacer grid as recited in claim 1 wherein the second grid part is disconnected from the immobilization elements in the open configuration. 16. The nuclear fuel rod spacer grid as recited in claim 1 wherein in the closed configuration, the first grid part and the second grid part clamp a longitudinal portion of at least one of the rods such that the first grid part clamps a first circumferential portion of the longitudinal portion and the second grid part clamps a second circumferential portion of the longitudinal portion opposite the first circumferential portion. 17. The nuclear fuel rod spacer grid as recited in claim 1 wherein in the closed configuration, the first grid part and the second grid part are positioned such that the first grid part clamps each rod in a first transverse direction and the second grid part clamps each rod in a second transverse direction opposite the first transverse direction. 18. The nuclear fuel rod spacer grid as recited in claim 1 wherein during movement in the closed configuration, the second grid part moves longitudinally along the rods and gradually clamps the rods with the first grid part. 19. A nuclear fuel rod spacer grid for a fuel assembly, the nuclear fuel rod spacer grid comprising:at least two meshed grid parts including a first grid part and a second grid part, the first and second grid parts being superposed in a longitudinal direction, the second grid part being movable in the longitudinal direction relative to the first grid part in and out of a transverse plane between an open configuration for insertion of nuclear fuel rods in the longitudinal direction through the first and second grid parts, and a closed configuration allowing each rod inserted through the first and second grid parts to be clamped transversely between the grid parts, the first and second grid parts aligned coincident with each other in the transverse plane in the closed configuration such that an upper surface of the first grid part contacts a lower surface of the second grid part at the transverse plane in the closed configuration and the upper surface of the first grid part does not contact the lower surface of the second grid part at the transverse plane in the open configuration, andimmobilization elements for transversely immobilizing the first and second grid parts in the closed configuration, the immobilization elements being arranged to come into engagement as the superposed first and second grid parts are brought together in the longitudinal direction. 20. A nuclear fuel rod spacer grid for a fuel assembly, the nuclear fuel rod spacer grid comprising:at least two meshed grid parts including a first grid part and a second grid part, the first and second grid parts being superposed in a longitudinal direction, each of the first and second grid parts extending in a transverse plane, the second grid part being movable toward and away from the first grid part such that the first and second grid parts have an open configuration where the second grid part is spaced away from the first grid part for insertion of nuclear fuel rods in the longitudinal direction through the first and second grid parts, and a closed configuration where the second grid part is brought together with the first grid part and each rod inserted through the first and second grid parts is clamped transversely between the grid parts, andimmobilization elements for transversely immobilizing the first and second grid parts in the closed configuration, the first grid part being fixed to the immobilization elements in both the open and closed configurations, the immobilization elements being arranged to come into engagement with the second grid part in the closed configuration, the second grid part being spaced from the immobilization elements in the open configuration. |
|
summary | ||
description | 1. Field of the Invention Embodiments of the present invention generally relate to lithography and to electron (or other energy) beam columns, and more specifically to a writing technique using variable shaped beams. 2. Description of the Related Art It is well known in the field of lithography (pattern generation) that it is desirable to increase the accuracy of pattern generation systems. Two main applications for such pattern generation systems are making masks for use in semiconductor fabrication by electron beam lithography and electron beam direct writing of patterns onto wafers to form semiconductor devices. Lithography systems generate or expose patterns by controlling the flow of energy (the beam) from a source to a substrate coated with a layer sensitive to that form of energy. Pattern exposure is controlled and broken into discrete units commonly referred to as flashes, wherein a flash is that portion of the pattern exposed during one cycle of an exposure sequence. Flashes are produced by allowing energy from the source, for example light, electron or other particle beams, to reach the coated substrate within selected pattern areas. The details of flash composition, dose and exposure sequence used to produce a pattern, and hence the control of the lithographic system, are generally known as a writing strategy. Often times, however, the beam and/or the apertures used in connection with generating the beam may drift over time and move due to noise, thereby negatively affecting critical dimension uniformity of the figures associated with the pattern. Such negative effects may commonly be referred to as critical dimension uniformity errors. Such errors may be caused by a number of factors, such as shaping electronic noise, noise due to aperture and column mechanical vibrations, beam noise due to magnetic field radiation, beam drift, random errors in the electron dose and vector electronics noise. Since slowly varying noise and drift are often related to environmental conditions that are only partially under control, a need exists in the art for a method and system for a writing strategy that mitigates critical dimension uniformity errors caused by the drifts and low frequency noises. One or more embodiments of the invention are directed to a method for generating flashes on a substrate. The method includes receiving one or more figures of a pattern to be printed on the substrate and decomposing each figure into at least four substantially rectangular shapes. The four substantially rectangular shapes are separated by at least one horizontal boundary and at least one vertical boundary. The method further includes generating a flash for each substantially rectangular shape such that each edge of each figure is an image of the same aperture. One or more embodiments of the invention are also directed to an apparatus for writing flashes on a substrate. The apparatus includes a data processing system configured to receive one or more figures of a pattern and decompose each figure into at least four substantially rectangular shapes. The substantially rectangular shapes are separated by at least one horizontal boundary and at least one vertical boundary. The apparatus further includes a flash generator coupled to the data processing system. The flash generator is configured to generate signals that control an operation of one or more deflectors of an electron beam column. The apparatus further includes the electron beam column having a first aperture and a second aperture. The electron beam column is coupled to the flash generator. The electron beam column is configured to generate a flash for each substantially rectangular shape in response to the generated signals such that each edge of each figure is an image of the first aperture. FIG. 1 illustrates a block diagram of a lithography (imaging) system 100 in which one or more embodiments of the invention may be implemented. The system 100 includes a data processing system 102, a flash generator 109, an electron beam column 112 and a positioning system 116. The data processing system 102 is configured to receive data representing a pattern to be written onto a substrate 118, e.g., a mask. Such data may include figures in a geometrical representation format, such as MEBES, GSDII, OASIS and the like. In response to receiving the figures, the data processing system 102 decomposes or converts each figure into at least four rectangles, wherein the rectangles are separated by at least one horizontal boundary (“horizontal divisional boundary”) and at least one vertical boundary (“vertical divisional boundary”). Each rectangle is considered to be used as a primitive that can be printed on the substrate 118. Further, each side of each rectangle is identified or marked as either internal or external. An external side is defined as a side that corresponds to an edge of a figure, wherein an edge is defined as an external boundary of the figure printable on the substrate 118. An internal side is defined as a side that corresponds to either the horizontal divisional boundary or the vertical divisional boundary. Accordingly, an internal side does not correspond to an edge of a figure. Although one or more embodiments of the invention are described with reference to rectangles, other embodiments of the invention contemplate other geometrical shapes, such as triangles. FIG. 4A illustrates an example of a figure 400 that is to be decomposed into four rectangles, i.e., 410–440, in accordance with one embodiment of the invention. Each rectangle has four sides. However, only two of the sides correspond to an edge of the figure 400, wherein an edge is defined as an external boundary of the figure 400. For example, only sides 415 and 418 of rectangle 410 correspond to edges 405 and 408 of figure 400, respectively. Thus, sides 415 and 418 of rectangle 410 are identified as external sides, while sides 416 and 417 of rectangle 410 are identified as internal sides. Likewise, only sides 447 and 449 of rectangle 440 correspond to edges 409 and 411 of pattern figure 400, respectively. Thus, sides 447 and 449 of rectangle 440 are identified as external sides, while sides 446 and 445 of rectangle 440 are identified as internal sides. In one embodiment, the two sides that correspond to the edge of the figure 400 are adjacent to each other. Referring back to FIG. 1, the flash generator 109 is configured to use the rectangles and various descriptors associated with the rectangles to generate signals (e.g., voltage signals) that control the operation of the electron beam column 112, and more specifically, the shape deflector 212 and the vector deflector 216 (shown in FIG. 2). In addition to descriptors for identifying each side of each rectangle as either internal or external, the various descriptors associated with the rectangles may include information regarding the vertical size and horizontal size of each rectangle and the vertical offset and horizontal offset of each rectangle. The vertical offset is defined as the desired position of the bottom side of the rectangle within the vector field. The vector field is the area on the substrate within which a rectangle can be positioned by the vector deflector. The horizontal offset is defined as the desired position of the left side of the rectangle within the vector field. The electron beam column 112 is configured to generate a flash for each rectangle according to the signals generated by the flash generator 109. Although embodiments of the invention are described with reference to an electron beam column, other embodiments of the invention contemplate the use of other types of beam column, such as an ion beam column, a laser beam column or other energy beam column commonly known by persons of ordinary skill in the art. The electron beam column 112 is described in more detail with reference to FIG. 2. The positioning system 116 is configured to adjust the positioning of the stage upon which the substrate 118 is disposed and to adjust a position deflector, if such deflector is used in the electron beam column 112. FIG. 2 illustrates a schematic diagram of an electron beam column 200 in connection with one or more embodiments of the invention. The electron beam column 200 includes an electron beam source 204, an illumination optics 205, an upper aperture 210, a transfer optics 215, a shape deflector 212, a lower aperture 214, a vector deflector 216 and a substrate delivery optics 225. In an alternative embodiment, the electron beam column 200 further includes a blanker deflector positioned between the illumination optics 205 and the upper aperture 210. In yet another alternative embodiment, the electron beam column 200 further includes a position deflector disposed between the substrate delivery optics 225 and the substrate 118. The electron beam source 204 is configured to generate an electron beam 222. The electron beam source 204 may be a thermal field emission source, thermal emission source or field emission source. The illumination optics 205 are configured to assist the electron beam source 204 illuminate the upper aperture 210, while the transfer optics 215 is configured to project the electron beam through the upper aperture 210 on the lower aperture 214. The substrate delivery optics 225 is configured to project the generated flash on the substrate 118. The upper aperture 210 and the lower aperture 214 are configured to form the shape of the electron beam 222. In one embodiment, each aperture defines a square opening. The shape deflector 212 and the vector deflector 216 are configured to shape the electron beam 222 in response to the signals generated by the flash generator 109. More specifically, the shape deflector 212 is configured to move the electron beam 222 such that the overlap of the image or shadow of the upper aperture 210 with the lower aperture 214 can be modified. The electron beam 222 that passes through the lower aperture 214 has the shape of the overlap of the image of the upper aperture 210 with the lower aperture 214. In this manner, the shape deflector 212 is configured to shape the electron beam 222. The vector deflector 216 is configured to move the shaped beam to the desired location on the substrate 118. The movement and shaping of the electron beam 222 is provided in more details with reference to FIG. 3 in the paragraphs below. FIG. 3 illustrates a flow diagram of a writing strategy for the lithography (imaging) system 100 in accordance with one or more embodiments of the invention. At step 310, the data processing system 102 receives a plurality of figures representing a pattern to be written onto the substrate 118. At step 320, each figure is decomposed into at least four rectangles, wherein the rectangles are separated by at least one horizontal divisional boundary and at least one vertical divisional boundary. As mentioned above, each rectangle is considered to be used as a primitive that can be printed on the substrate 118. At step 330, the data processing system 102 transfers the rectangles along with descriptors associated with the rectangles to the flash generator 109. Such descriptors include those that identify each side of each rectangle as either internal or external, the vertical size and horizontal size of each rectangle, and the vertical offset and horizontal offset of each rectangle. Upon receipt of the rectangles and their associated descriptors, the flash generator 109 generates signals that control the operation of the shape deflector 212 and the vector deflector 216 (step 340). At step 350, the shape deflector 212 shapes the electron beam 222 according to the external and internal side indicators and the horizontal and vertical size of each rectangle. The manner in which those descriptors are used to shape the electron beam 222 is described in more detail below. For purposes of illustration, the horizontal size of each rectangle (e.g., rectangles 410, 440) may be represented by X and the vertical size of each rectangle (e.g., rectangles 410, 440) may be represented by Y. If the right side of the rectangle is an internal side, then the electron beam 222 is moved such that the right side of the image of the electron beam 222 through the upper aperture projected on the lower aperture is X distance to the right of the left side of the lower aperture. For example, the right side 416 of rectangle 410 is an internal side. Therefore, the electron beam 222 is shaped or moved such that the right side 458 of the projected image 450 is positioned X distance to the right of the left side 474 of the lower aperture 214, as illustrated in FIG. 4B. On the other hand, if the right side of the rectangle is an external side, then the electron beam 222 is moved such that the left side of the image of the electron beam 222 through the upper aperture projected on the lower aperture is X distance to the left of the right side of the lower aperture. For example, the right side 449 of rectangle 440 is an external side. Therefore, the electron beam 222 is moved such that the left side 456 of the projected image 450 is positioned X distance to the left of the right side 478 of the lower aperture 214, as illustrated in FIG. 4B. If the top side of the rectangle is an internal side, then the electron beam 222 is moved such that the top side of the image of the electron beam 222 through the upper aperture projected on the lower aperture is Y distance above the bottom side of the lower aperture. For example, the top side 445 of rectangle 440 is an internal side. Therefore, the electron beam 222 is moved such that the top side 452 of the projected image 450 is positioned Y distance above the bottom side 476 of the lower aperture 214, as illustrated in FIG. 4B. If the top side of the rectangle is an external side, then the electron beam 222 is moved such that the bottom side of the image of the electron beam 222 through the upper aperture projected on the lower aperture is Y distance below the top side of the lower aperture. For example, the top side 415 of rectangle 410 is an external side. Therefore, the electron beam 222 is moved such that the bottom side 454 of the projected image 450 is positioned Y distance below the top side 472 of the lower aperture 214, as illustrated in FIG. 4B. Referring back to FIG. 3, at step 360, the vector deflector 216 moves the shaped electron beam according to the horizontal and vertical offsets. If the top side of the rectangle is an internal side, then the shaped electron beam is moved by the vertical offset in the vertical direction. On the other hand, if the top side of the rectangle is an external side, then the shaped electron beam is moved by the vertical offset—(vertical aperture size—vertical size) in the vertical direction. In both cases, the resultant is that the bottom side of the rectangle is positioned at the desired vertical offset. If the right side of the rectangle is an internal side, then the shaped electron beam is moved by the horizontal offset in the horizontal direction. On the other hand, if the right side of the rectangle is an external side, then the shaped electron beam is moved by the horizontal offset—(horizontal aperture size—horizontal size) in the horizontal direction. In both cases, the resultant is that the left side of the rectangle is positioned at the desired horizontal offset. In this manner, the descriptors associated with each rectangle are used to generate flashes of the rectangles on the substrate 118. The flashes are generated in a manner such that the edges of each figure are an image of the same aperture, e.g., the lower aperture 214. Although one of more embodiments of the invention are described with reference to the lower aperture 214, other embodiments of the invention contemplate the use of the upper aperture 210. One of the advantages of the present invention includes reduction of critical dimension uniformity errors that may be caused by drifts, as well as other slowly varying noise sources, such as mechanical vibrations and magnetic field radiation. FIG. 5 illustrates the effect of drifts on a pattern figure 500 printed using one or more embodiments of the present invention. Figure 500 is printed using four rectangles using the writing strategy described with reference to FIG. 3. The printed figure has vertical divisional boundary 510 and horizontal divisional boundary 520 and edges 530 and 540. If the electron beam drifts between the apertures, only the divisional boundaries 510 and 520 change position, while the edges 530 and 540 remain the same, thereby leaving the size of pattern figure 500 unchanged. In one embodiment, the invention assumes that the time within which the different rectangles are written is substantially shorter relative to the rate of the low frequency noise and drift sources. While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. |
|
045487847 | abstract | In a nuclear reactor power control system, when a control rod or a safety rod drops into a nuclear reactor by a failure of a control rod drive mechanism, a power of a summing and averaging circuit which sums and averages measurements of neutron detectors is smaller than a value A preset by an operator of the nuclear reactor. The averaged value of the neutron detector outputs is compared with the preset value A, and if the difference therebetween exceeds a preset limit signal level B for the output drop, automatic withdrawal of the control rod is stopped, and if the difference is smaller than the limit signal level B for the power drop, the control rod is withdrawn by the amount corresponding to the power drop. |
summary | ||
053176103 | abstract | For the purpose of preventing reductions of wall thickness due to erosion-corrosion in parts (2, 3, 7) of a system made of carbon steel, and which constitute a wet steam system, a feedwater and condensate system, and a drain system of a thermal or nuclear power plant, a coating (17) of metal or ceramic which is chemically stable against a fluid flowing in the systems is formed by spraying on the surface of the devices which are exposed to the fluid. |
claims | 1. A method of detecting defects in nuclear fuel within a fuel rod comprising the steps of:heating the fuel rod to a temperature range substantially above an ambient temperature;measuring the temperature over a surface of the rod as the rod is allowed to cool; andnoting over a preselected time period variations in the temperature decrease measured over the surface of the rod as the rod is allowed to cool. 2. The method of claim 1 wherein the heating step is performed soaking chamber. 3. The method of claim 1 wherein the heating step simultaneously heats substantially an entire area of a cladding of the rod that extends over a stack of fuel pellets within the rod, to a temperature in the range of 80 to 120 degrees centigrade. 4. The method of claim 1 wherein the fuel rod is allowed to cool substantially at the ambient temperature. 5. The method of claim 1 wherein the temperature is measured with an infrared receiver. 6. The method of claim 5 wherein the infrared receiver is an infrared camera. 7. The method of claim 5 including a step of rotating the fuel rod in front of the infrared receiver. 8. The method of claim 1 including a second heating step after the initial heating step and before the measuring step wherein the second heating step heats the surface of the rod for a time period substantially shorter than the initial heating step. 9. The method of claim 8 wherein the second heating step is performed by a radiant heat source. 10. The of claim 9 including a step of moving the rod past the radiant heat source. 11. The method of claim 1 wherein the measuring step is performed in a reduced pressure environment that is below atmospheric pressure. 12. The method of claim 1 wherein the noting step occurs at approximately at or between sixty and one hundred eighty seconds after the heating step is completed. |
|
description | The present invention comprises an environmentally friendly and safe class of explosive-driven scabbling methods. The essence of such methods is shown schematically in FIG. 1. In FIG. 1a explosive layer 11 is applied (typically by spraying or as an aerosol foam) to an exposed surface of a structural material 10 which is to be scabbled. A detonator 12 is placed atop explosive layer 11. Detonator 12 is then activated. FIG. 1b shows the system immediately after detonation has been initiated in the explosive layer. A plume of explosive products 13 is generated as the detonation front travels outward along the surface from the point at which the detonation was initiated. The detonation drives a shock wave 14 into the structural material 10. Shock wave 14 and associated release and reverberating waves (not shown) spalls and pulverizes the surface of the structural material 10, producing a rubbelized region 15. The final configuration appears in FIG. 1c, where the explosive has entirely been consumed, and the structural material 10 is covered by a rubbelized layer 15 consisting of lumps of the surface layers of the structural material. Little if any damage is done to the central regions of the scabbled structural material. The depth and the size of the rubble generated by such scabbling depend on the thickness of the explosive layer and the strength and brisance of the explosive composition therein. Structural materials suitable for scabbling using the instant invention include concrete, reinforced concrete, cement (including grout and adhesives), ceramics, tile, brick, concrete block, stone, gypsum, glass, and other materials brittle under abrupt impact. Such materials may have paint or other coatings; such coatings need not be removed for explosive scabbling. The instant invention can be used with sprayed explosive compositions and with foamed explosive compositions. Many conventional explosive materials can be used in compositions suitable for practicing the instant invention; among these are trinitrotoluene (TNT), pentaerythrol tetranitrate (PETN), cyclotrimethylene trinitramine (RDX), cycletertrmethylene tetranitramine (HMX), hexanitrostilbene (HNS), triaminotrinitrobenzene (TATB), and trinitrophenylmethylnitramine (Tetryl). Mixtures of these materials can of course be used as well, and in some situations use of admixtures will allow the detonation characteristics of the composition to be tailored. In pure form these are secondary explosives too powerful for routine use in scabbling. However, when diluted even slightly within a matrix or a carrier, they have suitable properties for scabbling according to the instant invention. Another class of explosives which can be used to carry out the instant invention are the nitroparaffins, especially nitromethane. Numerous members of this family are liquid at STP, and, together with suitable surfactants and thickeners, can be blown into foamed explosives suitable for the instant invention. Most notably, nitromethane combined with propane and certain additives forms a commercial composition known as Lexfoam(trademark). Lexfoam(trademark) is stored under pressure as a liquid. On being released under pressure from a suitable orifice, Lexfoam(trademark) forms a dense, lasting, and reasonably adherent foam which can be detonated using conventional techniques. Addition of small amounts (5-10% by weight) of PETN powder has been used to increase the energy density of Lexfoam(trademark) without losing the desirable properties of the foam. The detonation properties of Lexfoam(trademark) turn out to be well suited to practice of the instant invention. In addition, both nitromethane and propane may be transported and handled as flammable liquids, rather than as explosives, thereby greatly reducing the difficulty usually associated with large-scale use of explosive materials. Another class of liquid explosives suitable for use as explosive foams are those based on extremely concentrated aqueous solutions of nitrate oxidizers. The best known of these is hydroxylammonium nitrate (HAN), which in a solution with 20% water (by weight) forms a remarkably safe monopropellant, whose performance can be further improved by introducing additional fuel, e.g., xcx9c20% triethanolammonium nitrate (TEAN). This latter solution has the approximate composition of LP XM46, the US Army""s prime candidate for use in liquid-fueled cannon. The residual water, however, keeps the above compositions from detonating. If the amount of water is reduced to below 10%, however, true explosive behavior can be obtained. A typical explosive composition will have 40-90% HAN, 10-60% TEAN, and 2-10% water (% by weight). More favorably the proportion of water is 7-10%. A specific composition which has been used successfully as an explosive is 73% HAN, 23% TEAN, and 4% water by weight. Transportation is again easy, as neither HAN in water nor TEAN in water are classified as explosivesxe2x80x94only when they are mixed together can an explosion take place. Nitromethane and the HAN-based nitrate explosives both have moderate detonation pressures and brisance when compared to the pure secondary explosives. When incorporated into a foam the pressures coupled into structural materials are compatible with practice of the instant invention. How much explosive is required to successfully scabble a surface? Unfortunately this is not a simple question. The answer depends on the depth of scabbling desired, the type of material, the detonation pressure of the explosive, the shape and length of the shock wave, the coupling of the shock wave into the exposed surface, and many other factors. In practice, however, we find that an. explosive mass density on the order of 0.1 to 1 gram/cm2 [1 to 10 kilograms per square meter of exposed surface] produces significant scabbling on concrete and related structural materials. These densities are small enough that explosive scabbling can be safely accomplished inside a building. A slurry of silver-acetylide and silver nitrate explosive suspended in acetone has long been used as a sprayable explosive composition. PETN powder can be incorporated to increase the explosive power of the composition by dissolving the PETN in the acetone. However, the silver acetylide-silver nitrate explosive is far too susceptible to accidental detonation to use for routine scabbling operations. In addition, aromatic solvents and/or diluents, such as toluene and acetone, are environmentally unacceptable for large-scale spray use, and present a considerable fire hazard. Practice of the instant invention thus requires safer explosive compositions, specifically suited to the needs thereof. For the instant invention, a sprayable explosive composition typically comprises an explosive material, a spray diluent, and a binding agent which dissolves in the spray diluent. An explosive powder which does not dissolve in the diluent is generally chosen. This is because the explosive performance depends not only upon the amount of explosive in the composition, but also on the particle size, size distribution, shape, and separation of particles in the sprayable explosive composition after application. One implementation of a sprayable explosive composition for scabbling consists of PETN powder mixed into a solution of shellac in isopropanol or ethanol. (Other short-chain alcohols can also be used.) The PETN powder was obtained from the Ensign Bickford Company of Simsbury, Conn. The material is designated xe2x80x9cSuper Fine PETN Powder, 5-8 micronxe2x80x9d, and is shipped under water and ethanol. Between 5 and 10% shellac by weight was used for all tests, and 7.4% was taken as the standard. Alcohol was added as needed to obtain satisfactory spraying of the explosive composition. Commercial high volume low pressure (HVLP) spraying systems were used to apply the sprayable compositions. Other techniques, such as airless spraying, can be used in the instant application. However, HVLP spraying systems apply material without losing large quantities of spray diluent in the spraying process and produce a small amount of scattered dust. The sticking efficiency of HVLP systems is high, leading to fewer problems with explosive being deposited outside the immediate area to be scabbled. Using a hand-held HVLP spray guns, a layer 1.5 mm thick was deposited at a rate of 1 to 3 square meters per hour. A real concern with explosive scabbling using sprayable explosives is that the resulting explosive layer should be able to sustain a detonation front parallel to the surface to which it is applied. Otherwise initiation of the explosive sheet cannot be carried out at a small number (preferably one) of points on the explosive layer. Any explosive composition has a characteristic thickness below which a partially unconfined detonation will not propagate freely. (The interface between the explosive layer and the surface to be scabbled provides partial containment of the reaction products of the explosive, but expansion at the free surface of the explosive layer allows pressures and temperatures to drop rapidly, thereby acting to quench the detonation.) Using the sprayable composition described above, the minimum layer thickness for free propagation of a detonation front along a surface was found to be less than 0.5 mm. As coatings suitable for scabbling will generally be in excess of 1 mm in thickness, the ability of this composition to sustain a detonation front is quite satisfactory. Various conventional means were used to initiate the sprayed explosive layers. These included firing a bridgewire detonator in contact with the explosive layer, driving a flyer plate into the explosive layer, and driving a 6 mm steel ball into the explosive layer at velocities above 200 m/sec. All initiation methods which supplied more than 20 joules of energy to a millimeter-sized region were successful. The Chapman-Jouget pressure of the detonation was about 3 GPaxe2x80x94more than sufficient to scabble concrete. The instant invention can also be carried out using foamed explosives. A foamed explosive can comprise a powdered explosive material dispersed in a (typically organic) foam matrix. It can also comprise a liquid explosive material combined with a foaming agent and gas into an explosive foam. (Other additives, such as an adherant to improve adhesion to the exposed surface, or a thickener to increase the operating life of the foam or to allow self-supporting applications, can also be added.) The liquid explosive material can also consist of a solid explosive in a solvent. Different varieties of foamed explosives can be combined to tailor the detonation characteristics of the end product. For the purpose of carrying out the present invention the foam should be non-rigid when applied to the surface to be scabbled, so that it will properly conform to the surface. Note that explosive foams need not become rigid to be used in the instant invention; if they do, however, they should be applied prior to achieving rigidity. There are several reasons to consider foamed explosives for the instant invention. Certain flammable liquids (most notably nitromethane) become explosive when incorporated into a foam. This allows relatively simple handling of the scabbling agent until immediately prior to use, when it is transformed into an explosive foam. As many foams have a finite lifetime before they collapse again to their liquid state, this also means that overlooked explosive foam will quickly degrade and lose the ability to explode. Another advantage of foams is that many conventional explosives are too powerful for convenient use in scabbling. When incorporated into a foam, however, the density of explosive is reduced, and the pore volume acts to reduce both the pressure and velocity of a detonation. This allows the energy of the explosion to be coupled more efficiently into breaking the surface into rubble, rather than shattering the surface into dust. A further advantage of foam is that it tends to remain where appliedxe2x80x94a considerable safety factor when operating in active construction sites. The explosive used in scabbling should make intimate contact with the exposed surface. This occurs automatically when a thin layer of sprayed explosive is applied to a surface, unless that surface has a reentrant or otherwise complex surface geometry. When foamed explosives are used, they are usually sprayed onto the surface as the foam is being made, i.e., before any curing into a rigid foam can occur. Note that a third possibility exists, in which one or more layers of an inert substance are first used to overcoat the surface to be scabbled, and then a layer of spray or foamed explosive is place over the inert layers. The inert layer can serve two purposes here. It can produce a flat surface on which to apply the explosive composition. It can also be used to serve an impedance matching function, so that the energy of the explosive is coupled most efficiently into the scabbling process, i.e., into rubbleizing the surface without shattering. Another process which can be used to apply an explosive foam to the exposed surface is to apply an explosive foam precursor to the exposed surface. The explosive foam precursor contains within it a source of gas, which produces bubbles in the precursor, thereby turning it into foam in situ. Various epoxy resins are known to have this property, and can be used as precursors in admixture with explosive powders. Caution must be used to select material systems so that creating the foam in situ does not produce enough heat to destabilize the explosive material. More commonly, however, an explosive foam will be generated as it is applied to the surface to be scabbled. This is usually carried out by combining a liquid explosive composition with a compressed liquefied gas, forming a liquid-liquid emulsion. (An emulsifier can be added to improve the characteristics of the resulting emulsion.) When pressure is released, typically by spraying the emulsion onto the exposed surface as an aerosol, the liquefied gas will revert to gas, and explode the self-assembled micelles of the emulsion into foam cells. These cells are then maintained by surface tension and the action of the foaming agent(and possibly by other additives, such as thickening agents and adherants) in a manner known to one skilled in the art. A variety of compressed liquefied gases are suitable for such application, including propane, butane, carbon dioxide, nitrous oxide, and ethylene oxide. Techniques exist to mix an external gas under pressure into a liquid, forming therefrom a foam. Such techniques can also be used to practice the instant invention. However, these techniques often require additional equipment (gas supply tanks, compressors, etc.), and involve a turbulent mixing phasexe2x80x94not always a good thing to do with explosive compositions. Scabbling of concrete surfaces was carried out using Lexfoam(trademark), a commercial mixture of nitromethane, propane, and a foaming agent. When stored under pressure, Lexfoam(trademark) is a well-behaved flammable liquid. When that pressure is suddenly released, as when the material is sprayed from a nozzle, it forms a long-lasting foam with good adherence to surfaces. The density of the foam depends on the amount of propane in the emulsion, but as used for scabbling it is typically between 0.15 and 0.5 g/cc, which corresponds to detonation pressures roughly between 0.5 and 1.5 GPa. These parameters are well adapted for concrete scabbling. Experiments were carried out involving concrete piles some 8 inches in diameter. One end of each piles was chosen for scabblingxe2x80x94the curved sides of the piles were not scabbled. A collar was fixed around the end of the pile, extending some distance from the surface to hold the Lexfoam(trademark) in place and provide a guide as to the overall thickness of the Lexfoam(trademark). Layers of foamed nitromethane 6, 12, and 25 mm in thickness were placed on the ends of the piles and detonated. In all cases scabbling was observed, resulting in walnut-sized rubble and a minimum of dust. The 25 mm layer, having an explosive mass density of about 10 kilograms/square meter, scabbled the surface to a depth of about 5-8 cm. Specific implementations were described above to point out and disclose the most important elements of the instant invention. However, there is no intent to limit the scope of the instant invention to those specific implementations. The scope of the instant invention is intended to be limited only by the appended claims. |
|
abstract | A system for monitoring plant equipment is provided. Another aspect provides an automated analysis system wherein software instructions operably compare sensor data to predefined valves and determine mechanical problems in multiple machines. In another aspect, a cement manufacturing system includes sensors for sensing movement conditions of cement making machines. A further aspect provides a central computer connected to vibration sensors associated with cement making machines, where software instructions perform real-time comparisons and machine performance determinations, based at least in part on sensed signals. |
|
summary | ||
summary | ||
047939664 | description | DETAILED DESCRIPTION OF THE EMBODIMENTS The apparatus shown in the drawings is a nuclear reactor 11. The reactor 11 is of the light-water pressurized type (PWR). A PWR is presented here only for the purpose of describing this invention with reference to a concrete embodiment. To the extent that this invention is applicable to reactors of other types, such as boiling-water, liquid-metal, or heavy-water reactors, such application is within the scope of this invention. The reactor 11 includes a pressure-tight vessel 13 of conventional construction. The vessel 13 includes a plurality of inlet nozzles 15 for conducting the coolant into the vessel 13 and a plurality of outlet nozzles 17 for conducting coolant out of the vessel. Typically, as shown, there are two pairs of two inlet nozzles 15 each, and two pairs of two outlet nozzles 17 each, interposed between the inlet nozzles. A shell section 19 is sealed (welded) to the inner wall of the vessel opposite each pair of inlet nozzles 15. The shell sections 19 prevent the coolant from being injected into the upper regions of the vessel, essentially guiding the coolant to the lower regions of the vessel. Within the vessel there are the lower internals 21 (FIG. 3), which include the nuclear core 22, and the upper internals 23. The core 22 includes the fuel assemblies 25 which include thimbles 27 for receiving the neutronabsorbing rod control clusters 29, the grey rod control clusters 31 and the water displacement control-rod clusters 35 (FIG. 2). The fuel assemblies 25 are mounted between upper and lower supports 39 and 37 which are sometimes referred to as upper and lower core plates. The structure of the lower internals 21 is conventional. The upper internals (FIGS. 1, 2) include the cruciform guides 41 for the RCC's and for the grey rods. These guides 41 include a plurality of plates 43 which extend vertically from the walls of the arms of the cruciforms 41 and which are formed to guide the RCC's 45 or grey rods 47 (FIG. 1). The guides 49 for the WDRC's 35 are contained within generally square or rectangular shells 51. The sides of each shell 51 are parallel to and extend along inwardly extending arms 53 of four adjacent cruciform guides 45 or 47. The guides 49 are formed in plates 55 which extend vertically along the shells 51. The cruciform guides 41 and the shells 51 have slots 57 (FIG. 3) through which coolant flows. The plates 55 also have holes 59 (FIG. 2) which are penetrated by coolant. In this application and in its claims, the cooperative parts or assemblies of the reactor, including the guides 41 and 49, the shell 51, the WDRC's 35, the RCC's 45, and the grey rods 47, are referred to as controlrod assemblies. In the normal operation of a nuclear reactor, during the earlier part of the fuel cycle, the WDRC's 35 are in the core 22, the RCC's 45 are in the upper internals and the positions of the grey rods 47 are dependent on the loading. The coolant flows inwardly through the inlet nozzles 15 as depicted by the arrows 60 (FIGS. 1,3) and through the annular sections 61 between the sections 19 and the wall of vessel 13. In this annular section, the coolant is guided downwardly into plenum 63 (FIG. 2) whence the coolant flows upwardly through lower core plate 37, the core 22 and the upper core plate 39, as depicted by the arrows 65. The coolant then flows into the upper internals, out of the guides, and out through the outlet nozzles 17. In the region of the upper internals 23 the flow is deflected at right angles and the coolant flows through the upper internals generally transversely, as depicted by the arrows 67. Coolant flowing at a high speed transversely to the guides 41 and 49 and shells 51 of the upper internals and the WDRC's 35, as occurs in apparatus in accordance with prior-art teaching, would cause these components to vibrate or be subject to high stress and to fail. The upper internals 23 are encircled by a shroud 71 (FIGS. 1,3,4,5) which is interposed between the upper internals and the nozzles 15 and 17. The shroud 71 has holes 73 except in the regions 75 opposite the outlet nozzles 17 as shown in FIG. 4. Flow of coolant directly through the outlet nozzles 71 is thus prevented. In the shroud 71, shown in FIG. 4, the holes 73 are generally of the same area and are generally equally spaced except in the regions 75. In the shroud 81, shown in FIG. 6, the holes 83 progressively increase in area vertically from the bottom of the shroud to the top. In the shroud 91, shown in FIG. 7, the holes 93 are of the same area but increase in number progressively vertically from the bottom to the top. The concepts of FIG. 6 and FIG. 7 may be combined. The holes 93 may increase progressively in number and area from the bottom to the top. In the interest of clarity, hole-free regions which are opposite the outlet nozzles 17 are not shown. Shrouds 81 and 91 include such regions. At the periphery of the internals, the groups 101 of residual WDRC's extend only between two or three outer arms 103 (FIG. 1) of the cruciform grinder. These peripheral WDRC groups are enclosed in irregularly shaped shells 105 (FIGS. 1,5). The shells 105 have correspondingly shaped plates 107. Annular plates or barriers 109 extend vertically between the shroud 71 and the outer walls 111 of the peripheral shells. There is a small gap 113 between each plate and the wall 111. The purpose of these barriers is illustrated in FIG. 5. The coolant confined by the plates 107 decreases in pressure progressively in pressure from the lower region 115 defined by the plates 107 to the upper region 117. Different pressures are depicted in FIG. 5 for illustrative purposes. In the absence of the barriers 109, the high pressure at the bottom would drive the coolant to the upper region of the space between the shroud 71 and the peripheral shells, limiting the volume flowing transversely to the control-rod assemblies and increasing the velocity of the coolant. The barriers 109 preclude such flow. In the practice of this invention the outflowing coolant is distributed over a large volume and its velocity is reduced to a low magnitude. While preferred embodiments of this invention have been disclosed herein, many modifications thereof are feasible. This invention is not to be restricted except insofar as is necessitated by the spirit of the prior art. |
abstract | The present invention provides a scintillator panel which is provided with a narrow barrier rib with high accuracy in a large area, has a high light emission luminance, and provides sharp images. The present invention provides a scintillator panel including: a plate-like substrate; a grid-like barrier rib provided on the substrate; and a scintillator layer containing a phosphor filled in cells divided by the barrier rib, wherein the barrier rib is mainly composed of a low-melting-point glass, and the substrate is formed of a material which is mainly composed of a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite. |
|
claims | 1. An X-ray analysis instrument or an X-ray diffractometer, comprising:an X-ray source that emits an X-ray beam;an X-ray optics or a multi-layer X-ray mirror;a collimator mechanism, said collimator mechanism defining an aperture window having an aperture opening through which at least part of the X-ray beam passes, said aperture opening being at least as large as a cross-section of the X-ray beam at a location of said aperture window; andmeans for gradual movement of the aperture window in at least one direction transversely to the X-ray beam, wherein a path of movement of said aperture window by said gradual movement means in said at least one direction is at least twice as large as an extension of the X-ray beam in that direction at said location of said aperture window. 2. The X-ray analysis instrument of claim 1, wherein the collimator mechanism comprises means for gradual movement of the aperture window in two independent directions transversely to the X-ray beam, a respective path of movement of said aperture window which is accessible by said collimator mechanism in each of said independent directions being at least twice as large as an extension of the X-ray beam at said location of the aperture window in a respective said independent direction. 3. The X-ray analysis instrument of claim 1, wherein a size of said aperture opening cannot be adjusted. 4. The X-ray analysis instrument of claim 1, wherein a size of said aperture opening can be adjusted by means of said collimator mechanism, wherein said aperture opening can be adjusted to a size which is at least as large as said cross-section of the X-ray beam at said location of said aperture window. 5. The X-ray analysis instrument of claim 4, wherein said collimator mechanism for adjusting said size of said aperture opening has two L-shaped aperture sections that can be moved with respect to one another. 6. The X-ray analysis instrument of claim 1, wherein said collimator mechanism is disposed on an output side of said X-ray optics. 7. The X-ray analysis instrument of claim 1, wherein said aperture window has a square aperture opening, the X-ray beam having an approximately square cross-section at said location of said aperture window, wherein side edges of said square aperture opening and said square cross-section of the X-ray beam are oriented parallel to each other and said at least one direction in which said aperture window can be moved is oriented along a diagonal of said square aperture opening. 8. The X-ray analysis instrument of claim 1, wherein said X-ray optics is disposed in a gas-tight optical housing and said collimator mechanism is disposed in a gas-tight collimator housing, wherein said optical housing and said collimator housing are evacuated or flooded with a protective gas. 9. The X-ray analysis instrument of claim 1, wherein said X-ray optics and said collimator mechanism are disposed in a common gas-tight housing, wherein said common housing is evacuated or flooded with a protective gas. 10. The X-ray analysis instrument of claim 1, wherein said means for gradual movement of said aperture window comprise at least one micrometer screw and/or at least one fine thread bolt. 11. The X-ray analysis instrument of claim 1, wherein said collimator mechanism has a holder for an exchangeable aperture window element and said holder can be moved by said means for gradual movement of the aperture window. 12. A method for operating the X-ray analysis instrument of claim 1, wherein the X-ray beam is emitted by the X-ray source to be imaged on a sample through the X-ray optics, the method comprising the step of:selecting a portion of the X-ray beam on the X-ray optics that is remote from the source for adjusting or reducing a focus size of the X-ray beam at the location of the sample by means of the aperture opening of the aperture window. 13. The method of claim 12, wherein the focus size of the X-ray beam at the location of the sample is adjusted to a size of the sample. 14. The method of claim 12, wherein the selected portion of the X-ray beam remote from the source has a below-average mean photon flux density compared to a remaining portion of the X-ray beam. 15. The method of claim 12, wherein the aperture window is positioned in such a fashion that X-ray radiation does not pass through a part of the aperture opening of the aperture window. 16. The method of claim 12, wherein the aperture window is disposed in the X-ray beam between the X-ray optics and the sample. |
|
claims | 1. A secondary startup neutron source comprising an enclosure having an ampule therein, the ampule having a housing wherein the antimony and beryllium are contained in a coaxial arrangement with the antimony in a central enclosure located in a central portion of the ampule, and the beryllium is in powdered form located in an annular space between the housing and the central enclosure. 2. The secondary startup neutron source according to claim 1, wherein the housing of the ampule is made of an alloy, which does not interact with antimony during filling and operation. 3. The secondary startup neutron source according to claim 2, wherein the housing of the ampule is made of a niobium-based alloy. 4. The secondary startup neutron source according to claim 2, wherein the housing of the ampule is made of a material weakly interactive with beryllium. 5. The secondary startup neutron source according to claim 4, wherein the ampule enclosure is made of ferritic-martensitic steel. 6. The secondary startup neutron source according to claim 1, wherein the powdered beryllium has a particle size from 60 to 200 micron, and a porosity of 45%. 7. The secondary startup neutron source according to claim 1, wherein the ampule is installed in the enclosure of the secondary startup neutron source with a 0.1 mm clearance. 8. The secondary startup neutron source according to claim 1, wherein the enclosure further comprises an upper gas collector above the ampule. 9. The secondary startup neutron source according to claim 1, further comprising an upper gas collector, wherein the upper gas collector is pressed against the ampule through washers by a spring. 10. The secondary startup neutron source according to claim 1, wherein at the ampule is located above a reflector and a lower gas collector within the enclosure. 11. The secondary startup neutron source according to claim 1, wherein an inner cavity of the enclosure is filled with helium. 12. The secondary startup neutron source according to claim 1, wherein the enclosure is leak-proof. 13. The secondary startup neutron source according to claim 12, wherein the enclosure is sealed with an upper shank and a lower shank. 14. The secondary startup neutron source according to claim 12, wherein the enclosure is sealed by argon-arc welding. 15. The secondary startup neutron source according to claim 1, wherein the enclosure has four spaced apart spiral ribs. 16. The secondary startup neutron source according to claim 1, further comprising a gas collector, a reflector, washers and a spring, and wherein the enclosure, gas collector, spring, reflector and washers are made of ferritic-martensitic steel. |
|
summary | ||
summary | ||
description | The Present Patent Application is a continuation-in-part of U.S. patent application Ser. No. 14/999,147, filed Apr. 1, 2016 and entitled X-RAY SOURCES USING LINEAR ACCUMULATION, which claims the benefit of U.S. Provisional Patent Application No. 62/141,847, filed Apr. 1, 2015 and entitled ADDITIONAL X-RAY SOURCE DESIGNS USING MICROSTRUCTURED TARGETS, and U.S. Provisional Patent Application No. 62/155,449, filed Apr. 30, 2015, and entitled X-RAY TARGET FABRICATION, both of which are incorporated herein by reference in their entirety; and which in turn is also a continuation-in-part of U.S. patent application Ser. No. 14/490,672, filed Sep. 19, 2014 and entitled X-RAY SOURCES USING LINEAR ACCUMULATION, which claims the benefit of U.S. Provisional Patent Application Nos. 61/880,151, filed on Sep. 19, 2013, 61/894,073, filed on Oct. 22, 2013, 61/931,519, filed on Jan. 24, 2014, and 62/008,856, filed on Jun. 6, 2014, all of which are incorporated herein by reference in their entirety. The embodiments of the invention disclosed herein relate to high-brightness sources of x-rays. Such high brightness sources may be useful for a variety of applications in which x-rays are employed, including manufacturing inspection, metrology, crystallography, spectroscopy, structure and composition analysis and medical imaging and diagnostic systems. X-ray sources have been used for over a century. One common x-ray source design is the reflection x-ray source 80, an example of which illustrated in FIG. 1. The source comprises a vacuum environment (typically 10−6 torr or better) commonly maintained by a sealed vacuum tube 20 or active pumping, and is manufactured with sealed electrical leads 21 and 22 that pass from the negative and positive terminals of a high voltage source 10 outside the vacuum tube 20 to the various elements inside. The source 80 will typically comprise mounts 30 which secure the vacuum tube 20 in a housing 50, and the housing 50 may additionally comprise shielding material, such as lead, to prevent x-rays from being radiated by the source 80 in unwanted directions. Inside the tube 20, an emitter 11 connected through the lead 21 to the high voltage source 10 serves as a cathode and generates a beam of electrons 111. A target 100 supported by a target substrate 110 is electrically connected to the opposite high voltage lead 22 and target support 32, and therefore serves as an anode. The electrons 111 accelerate towards the target 100 and collide with it at high energy, with the energy of the electrons determined by the magnitude of the accelerating voltage. The collision of the electrons 111 into the target 100 induces several effects, including the generation of x-rays 888, some of which exit the vacuum tube 20 through a window 40 or aperture. In some prior art embodiments, the target 100 and substrate 110 may be integrated or comprise a solid block of the same material, such as copper (Cu). Electron optics (electrostatic or electromagnetic lenses) may also be provided to guide and shape the path of the electrons, forming a more concentrated, focused beam at the target. Likewise, electron sources comprising multiple emitters may be provided to provide a larger, distributed source of electrons. When the electrons collide with a target 100, they can interact in several ways. These are illustrated in FIG. 2. The electrons in the electron beam 111 collide with the target 100 at its surface 102, and the electrons that pass through the surface transfer their energy into the target 100 in an interaction volume 200, generally defined by the incident electron beam footprint (area) times the electron penetration depth. An equation commonly used to estimate the penetration depth for electrons into a material is Potts' Law [P. J. Potts, Electron Probe Microanalysis, Ch. 10 of A Handbook of Silicate Rock Analysis, Springer Netherlands, 1987, p. 336)], which states that the penetration depth x in microns is related to the 10% of the value of the electron energy E0 in keV raised to the 3/2 power, divided by the density of the material: x ( µm ) = 0.1 × E 0 1.5 ρ [ Eqn . 1 ] For less dense materials, such as a diamond substrate, the penetration depth is much larger than for a material with greater density, such as most elements used for x-ray generation. There are several energy transfer mechanisms that can occur. Throughout the interaction volume 200, electron energy may simply be converted into heat. Some absorbed energy may excite the generation of secondary electrons, typically detected from a region 221 located near the surface, while some electrons may also be backscattered, which, due to their higher energy, can be detected from a somewhat larger region 231. Throughout the interaction volume 200, including in the regions 221 and 231 near the surface and extending approximately 3 times deeper into the target 100, x-rays 888 are generated and radiated outward in all directions. A typical x-ray spectrum for radiation from the collision of 100 keV electrons with a tungsten target is illustrated in FIG. 3. The broad spectrum x-ray radiation 388, commonly called “bremsstrahlung”, arises from electrons that were diverted from their initial trajectory. These continuum x-rays 388 are generated throughout the interaction volume, shown in FIG. 2 as the largest shaded portion 288 of the interaction volume 200. As was shown in FIG. 1, the x-ray source 80 will typically have a window 40, which may additionally comprise a filter, such as a sheet or layer of aluminum, that attenuates the low energy x-rays, producing the modified energy spectrum 488 shown in FIG. 3. Characteristic x-rays, shown in FIG. 3 and indicated by 988, are primarily generated in a fraction of the electron penetration depth, shown as the second largest shaded portion 248 of the interaction volume 200. The relative depth is influenced in part by the energy of the electrons 111, which typically falls off with increasing depth. The actual dimensions of this interaction volume 200 may vary, depending on the energy and angle of incidence of the electrons, the surface topography and other properties (including local charge density), and the density and atomic composition of the target material. Although x-rays may be radiated isotropically, as was illustrated in FIG. 2, only the x-ray radiation 888 within a small solid angle produced in the direction of a window in the source will be useful. X-ray brightness (also called “brilliance” by some), defined as the number of x-ray photons per second per solid angle in mrad2 per area of the x-ray source in mm2, can be increased by adjusting the geometric factors to maximize the collected x-rays. As illustrated in FIGS. 4A-4C, the surface of a target 100 in a reflection x-ray source is generally mounted at an angle θ (as was also shown in FIG. 1). X-ray radiation through a window 440 is shown for a set of five equally spaced radiation spots 408 for three take-off angles: θ=60° in FIG. 4A, θ=45° in FIG. 4B, and θ=30° in FIG. 4C. It can be seen that for lower take-off angles (e.g. FIG. 4C), the apparent spot size is reduced and thus apparent brightness increases. In principle, it may appear that a take-off angle of θ=0° would have the largest possible brightness. In practice, radiation at 0° occurs parallel to the surface of a solid metal target for conventional sources, and since the x-rays must propagate along a long length of the target material before emerging, most of the produced x-rays will be attenuated (reabsorbed) by the target material, reducing brightness. Thus a source with take-off angle of around 6° to 15° (depending on the source configuration, target material, and electron energy) is conventionally used. Another way to increase the brightness of the x-ray source is to use a target material with a higher atomic number Z, as efficiency of x-ray production for bremsstrahlung radiation scales with increasingly higher Z. Furthermore, the x-ray radiating material should ideally have good thermal properties, such as a high melting point and high thermal conductivity, in order to allow higher electron power loading on the source to increase x-ray production. Table I lists several materials that are commonly used for x-ray targets, several additional potential target materials (notably useful for specific characteristic lines of interest), and some materials that may be used as substrates for target materials. Melting points, and thermal and electrical conductivities are presented for values near 300° K (27° C.). Most values are taken from the CRC Handbook of Chemistry and Physics, 90th ed. [CRC Press, Boca Raton, Fla., 2009]. Other values are taken from various references. TABLE IVarious Target and Substrate Materials and Selected Properties.AtomicMeltingThermalElectricalMaterialNumberPoint ° C.ConductivityConductivity(Elemental Symbol)Z(1 atm)(W/(m ° C.))(MS/m)Common Target Materials:Chromium (Cr)24190793.7 7.9Iron (Fe)26153880.210.0Cobalt (Co)27149510017.9Copper (Cu)29108540158.0Molybdenum (Mo)42262313818.1Silver (Ag)47 96242961.4Tungsten (W)74342217418.4Potential Substrate Materials with low atomic number:Beryllium (Be)4128720026.6Carbon (C): Diamond6*2300 10−19Carbon (C): Graphite6*1950 0.25(in plane)Boron Nitride (BN)B = 5**20 10−17N = 7Silicon (Si)1414141241.56 × 10−9Silicon CarbideSi = 1427980.4910−9(β-SiC)C = 6Sapphire (Al2O3)Al = 13205332.5 10−20(∥ C-axis)O = 8* Carbon does not melt at 1 atm; it sublimes at ~3600° C.** BN does not melt at 1 atm; it sublimes at ~2973° C. Other ways to increase the brightness of the x-ray source are: increasing the electron current density, either by increasing the overall current or by focusing the electron beam to a smaller spot using, for example, electron optics; or by increasing the electron energy by increasing the accelerating voltage. However, these improvements have a limit, in that all can increase the amount of heat generated in the interaction volume. If too much heat is generated within the target, damage can occur. One prior art technology developed to improve thermal management and mitigate this damage is the rotating anode system, illustrated in FIGS. 5A and 5B. In FIG. 5A, a cross-section is shown for a rotating anode x-ray source 580 comprising a target anode 500. The target anode 500 is connected by a shaft 530 to a rotor 520 supported by conducting bearings 524 that connect, through its mount 522, to the lead 22 and the positive terminal of the high voltage supply 10. The rotation of the rotor 520, shaft 530 and anode 500, all within the vacuum chamber 20, is typically driven inductively by stator windings 525 mounted outside the vacuum. A top view of the target anode 500 is shown in more detail in FIG. 5B. The edge 510 of the rotating target anode 500 may be beveled at an angle, and the emitter 11 of the electron beam 511 directs the electron beam onto the beveled edge 510 of the target anode 500, generating x-rays 888 at an electron beam spot 501. As the electron beam spot 501 generates x-rays, the irradiated spot in the target heats up. However, as the target anode 500 rotates, the heated spot moves away from the beam spot 501, and the electron beam 511 now irradiates a cooler portion of the target anode 500. The hot spot has the time of one rotation to cool before becoming heated again when it again passes through the beam spot 501. By continuously rotating the target anode 500, x-rays appear to be generated from a fixed single spot, while the total area of the target illuminated by the electron beam is substantially larger than the electron beam spot, effectively spreading the electron energy deposition over a larger area (and volume). Another approach to mitigating heat is to use a target with a thin layer of target x-ray generating material deposited onto a substrate with high heat conduction. Because the interaction volume is thin, for electrons with energies up to 100 keV the target material itself need not be thicker than a few microns, and can be deposited onto a substrate, such as diamond, sapphire or graphite that conducts the heat away quickly. [Diamond mounted anodes for x-ray sources have been described by, for example, K. Upadhya et al. U.S. Pat. No. 4,972,449; B. Spitsyn et. al. U.S. Pat. No. 5,148,462; and M. Fryda et al., U.S. Pat. No. 6,850,598]. The substrate may also comprise channels for a coolant, that remove heat from the substrate [see, for example, Paul E. Larson, U.S. Pat. No. 5,602,899]. Water-cooled anodes are used for a variety of x-ray sources, including rotating anode x-ray sources. The substrate may in turn be mounted to a heat sink comprising copper or some other material chosen for its thermally conducting properties. The heat sink may also comprise channels for a coolant [see, for example, Edward J. Morton, U.S. Pat. No. 8,094,784]. In some cases, thermoelectric coolers or cryogenic systems have been used to provide further cooling to an x-ray target mounted onto a heat sink. Although these techniques to mitigate heat in x-ray sources have been developed, there are still limits on the ultimate x-ray brightness that may be achieved, particularly when the source is to be coupled to an x-ray optical system that collects x-rays only in a limited angular range. There is therefore a need for an x-ray source that may be used to achieve higher x-ray brightness through the use of a higher electron current density into a predefined angular range, and is still compact enough to fit in a laboratory or table-top environment. This disclosure presents x-ray sources that have the potential of being up to several orders of magnitude brighter than existing commercial x-ray technologies. The higher brightness is achieved in part through the use of novel configurations for x-ray targets used in generating x-rays from electron beam bombardment with specific design rules for the electron beam footprint and electron beam energy. The x-ray target configurations may comprise a number of microstructures of one or more selected x-ray generating materials fabricated in close thermal contact with (such as embedded in or buried in) a substrate with high thermal conductivity, such that the heat is more efficiently drawn out of the x-ray generating material. This in turn allows bombardment of the x-ray generating material with higher electron power density, which leads to greater x-ray brightness. A significant advantage to some embodiments is that the orientation of the microstructures allows the use of a take off angle at or near to zero degrees allowing the accumulation of x-rays from several microstructures for greater x-ray brightness. Some embodiments of the invention comprise an additional cooling system to transport the heat away from the anode or anodes. Some embodiments of the invention additionally comprise rotating the anode or anodes comprising targets with microstructured patterns in order to further dissipate heat and increase the accumulated x-ray brightness. Note: Elements shown in the drawings are meant to illustrate the functioning of embodiments of the invention, and have not necessarily been drawn in proportion or to scale. 1. A Basic Embodiment of the Invention. FIG. 6 illustrates an embodiment of a reflective x-ray system 80-A according to the invention. As in the prior art reflective x-ray system 80 described above, the source comprises a vacuum environment (typically 10−6 torr or better) commonly maintained by a sealed vacuum chamber 20 or active pumping, and manufactured with sealed electrical leads 21 and 22. The source 80-A will typically comprise mounts 30, and the housing 50 may additionally comprise shielding material, such as lead, to prevent x-rays from being radiated by the source 80-A in unwanted directions. Inside the chamber 20, an emitter 11 connected through the lead 21 to the negative terminal of a high voltage source 10 serves as a cathode and generates a beam of electrons 111. Any number of prior art techniques for electron beam generation may be used for the embodiments of the invention disclosed herein. Additional known techniques used for electron beam generation include heating for thermionic emission, Schottky emission (a combination of heating and field emission), or emitters comprising nanostructures such as carbon nanotubes). [For more on electron emission options for electron beam generation, see Shigehiko Yamamoto, “Fundamental physics of vacuum electron sources”, Reports on Progress in Physics vol. 69, pp. 181-232 (2006)]. As before, a target 1100 comprising a target substrate 1000 and regions 700 of x-ray generating material is electrically connected to the opposite high voltage lead 22 and target support 32, thus serving as an anode. The electrons 111 accelerate towards the target 1100 and collide with it at high energy. The collision of the electrons 111 into the target 1100 induces several effects, including the generation of x-rays, some of which exit the vacuum tube 20 and are transmitted through at least one window 40 and/or an aperture 840 in a screen 84. In some embodiments of the invention, there may also be an electron beam control mechanism 70 such as an electrostatic lens system or other system of electron optics that is controlled and coordinated with the electron dose and voltage provided by the emitter 11 by a controller 10-1 through a lead 27. The electron beam 111 may therefore be scanned, focused, de-focused, or otherwise directed onto the target 1100. As illustrated in FIG. 6, the alignment of the microstructures 700 may be arranged such that the bombardment of several of the microstructures 700 by the electron beam or beams 111 will excite radiation in a direction orthogonal to the surface normal of the target such that the intensity in the direction of view will add or accumulate in that direction. The direction may also be selected by means of an aperture 840 in a screen 84 for the system to form the directional beam 888 that exits the system through a window 40. In some embodiments, the aperture 840 may be positioned outside the vacuum chamber, or, more commonly, the window 40 itself may serve as the aperture 840. In some embodiments, the aperture may be inside the vacuum chamber. Targets such as those to be used in x-ray sources according to the invention disclosed herein have been described in detail in the co-pending US Patent Application entitled STRUCTURED TARGETS FOR X-RAY GENERATION (U.S. patent application Ser. No. 14/465,816, filed Aug. 21, 2014), which is hereby incorporated by reference in its entirety, along with the provisional Applications to which this co-pending Application claims benefit. Any of the target designs and configurations disclosed in the above referenced co-pending Application may be considered for use as a component in any or all of the x-ray sources disclosed herein. FIG. 7 illustrates a target 1100 as may be used in some embodiments of the invention. In this figure, a substrate 1000 has a region 1001 that contains an array of microstructures 700 comprising x-ray generating material (typically a metallic material) arranged in a regular array of right rectangular prisms. Electrons 111 bombard the target and generate x-rays in the microstructures 700. The material in the substrate 1000 is selected such that it has relatively low energy deposition rate for electrons in comparison to the x-ray generating microstructure material (typically by selecting a low Z material for the substrate). The material of the substrate 1000 may also be chosen to have a high thermal conductivity, typically larger than 100 W/(m ° C.). The microstructures are typically embedded within the substrate, i.e. if the microstructures are shaped as rectangular prisms, it is preferred that at least five of the six sides are in close thermal contact with the substrate 1000, so that heat generated in the microstructures 700 is effectively conducted away into the substrate 1000. However, targets used in other embodiments may have fewer direct contact surfaces. In general, when the term “embedded” is used in this disclosure, at least half of the surface area of the microstructure will be in close thermal contact with the substrate. A target 1100 according to the invention may be inserted as the target in a reflecting x-ray source geometry (e.g. FIG. 1), or adapted for use as the target used in the rotating anode x-ray source of FIGS. 5A and 5B. It should be noted that the word “microstructure” in this Application will only be used for structures comprising materials selected for their x-ray generating properties. It should also be noted that, although the word “microstructure” is used, x-ray generating structures with dimensions smaller than the micrometer scale, or even as small as nano-scale dimensions (i.e. greater than 10 nm) may also be described by the word “microstructures” as used herein. The microstructures may be placed in any number of relative positions throughout the substrate 1000. In some embodiments, as illustrated in FIG. 7, the target 1100 comprises a recessed shelf 1002. This allows the region 1001 comprising an array of microstructures 700 to be positioned flush with, or close to, a recessed edge 1003 of the substrate, and produce x-rays at or near zero angle without being reabsorbed by the substrate 1000, while providing a more symmetric heat sink for the heat generated when exposed to electrons 111. Some other embodiments may preferably have the microstructures placed near the edge of the substrate to minimize self-absorption. FIG. 8 illustrates the relative interaction between a beam of electrons 111 and a target comprising a substrate 1000 and microstructures 700 of x-ray generating material. Three electron interaction volumes are illustrated, with two representing electrons bombarding the two shown microstructures 700, and one representing electrons interacting with the substrate. As discussed in Eqn. 1 above, the depth of penetration can be estimated by Potts' Law. Using this formula, Table II illustrates some of the estimated penetration depths for some common x-ray target materials. For the illustration in FIG. 8, if 60 keV electrons are used, and diamond (Z=6) is selected as the material for the substrate 1000 and copper (Z=29) is selected as the x-ray generating material for the microstructures 700, the dimension marked as R to the left side of FIG. 8 corresponds to a reference dimension of 10 microns, and the geometric depth DM of the x-ray generating material, which, when set to be ⅔ (66%) of the electron penetration depth for copper, becomes DM≈3.5 μm. TABLE IIEstimates of penetration depth for 60keV electrons into some materials.DensityPenetration DepthMaterialZ(g/cm3)(μm)Diamond63.513.28Copper298.965.19Molybdenum4210.284.52Tungsten7419.252.41 The majority of characteristic Cu K x-rays are generated within depth DM. The electron interactions below that depth are less efficient at generating characteristic Cu K-line x-rays but will contribute to heat generation. It is therefore preferable in some embodiments to set a maximum thickness for the microstructures in the target in order to optimize local thermal gradients. Some embodiments of the invention limit the depth of the microstructured x-ray generating material in the target to between one third and two thirds of the electron penetration depth of the x-ray generating material at the incident electron energy, while others may similarly limit based on the electron penetration depth with respect to the substrate material. For similar reasons, selecting the depth DM to be less than the electron penetration depth is also generally preferred for efficient generation of bremsstrahlung radiation. Note: Other choices for the dimensions of the x-ray generating material may also be used. In targets as used in some embodiments of the invention, the depth of the x-ray generating material may be selected to be 50% of the electron penetration depth of either the x-ray generating material or the substrate material. In other embodiments, the depth DM for the microstructures may be selected related to the “continuous slowing down approximation” (CSDA) range for electrons in the material. Other depths may be specified depending on the x-ray spectrum desired and the properties of the selected x-ray generating material. Note: In other targets as may be used in some embodiments of the invention, a particular ratio between the depth and the lateral dimensions (such as width WM and length LM) of the x-ray generating material may also be specified. For example, if the depth is selected to be a particular dimension DM, then the lateral dimensions WM and/or LM may be selected to be no more than 5×DM, giving a maximum ratio of 5. In other targets as may be used in some embodiments of the invention, the lateral dimensions WM and/or LM may be selected to be no more than 2×DM. It should also be noted that the depth DM and lateral dimensions WM and LM (for width and length of the x-ray generating microstructure) may be defined relative to the axis of incident electrons, with respect to the x-ray emission path, and/or with respect to the orientation of the surface normal of the x-ray generating material. For electrons incident at an angle, care must be taken to make sure the appropriate projections for electron penetration depth at an angle are used. FIG. 9 illustrates the relative x-ray generation from the various regions shown in FIG. 8. X-rays 888 comprising characteristic x-rays are generated from the region 248 where electron collisions overlap the microstructures 700 of x-ray generating material, while the regions 1280 and 1080 where the electrons interact with the substrate generate characteristic x-rays of the substrate element(s). Additionally, continuum bremsstrahlung radiation x-rays radiated from the region 248 of the microstructures 700 of the x-ray generating material may be stronger than the x-rays 1088 and 1288 produced in the regions 1280 and 1080. It should be noted that, although the illustration of FIG. 9 shows x-rays radiated only to the right, this is in anticipation of a window or collector being placed to the right. It should also be noted that materials are relatively transparent to their own characteristic x-rays, so that FIG. 9 illustrates an arrangement that allows the linear accumulation of characteristic x-rays along the microstructures, and therefore can be used to produce a relatively strong characteristic x-ray beam. However, lower energy x-rays may be attenuated by the target materials, which will effectively act as an x-ray filter. Other selections of materials and geometric parameters may be chosen (e.g. a non-linear scheme) if continuum x-rays are desired, (e.g. for near edge or extended fine structure spectroscopy). Up to this point, targets that are arranged in planar configurations have been presented. These are generally easier to implement, since equipment and process recipes for deposition, etching and other planar processing steps are well known from processing devices for microelectromechanical systems (MEMS) applications using planar diamond, and from processing silicon wafers for the semiconductor industry. However, in some embodiments, a target with a surface with additional properties in three dimensions (3-D) may be desired. As discussed previously, when the electron beam is larger than the electron penetration depth, the apparent x-ray source size and area is at minimum (and brightness maximized) when viewed at a zero degree (0°) take-off angle. The distance through which an x-ray beam will be reduced in intensity by 1/e is called the x-ray attenuation length, designated by μL, and therefore, a configuration in which the generated x-rays pass through as little additional material as possible, with the distance selected to be related to the x-ray attenuation length, may be desired. An illustration of a portion of a target as may be used in some embodiments of the invention is presented in FIG. 10. In this target, an x-ray generating region 710 with seven microstructures 711, 712, 713, 714, 715, 716, 717 is configured near a recessed edge 1003 of the target substrate 1000 by a shelf 1002, similar to the situation illustrated in FIG. 7. As shown, the x-ray generating microstructures 711, 712, 713, 714, 715, 716, 717 are arranged in a linear array of x-ray generating right rectangular prisms embedded in the substrate 1000, and produce x-rays 1888 when bombarded with electrons 111. The surface normal in the region of the microstructures 711-717 is designated by n, and the orthogonal length and width dimensions are defined to be in the plane perpendicular to the normal of said predetermined surface, while the depth dimension into the target is defined as parallel to the surface normal. The thickness DM of the microstructures 711-717 in the depth direction is selected to be between one third and two thirds of the electron penetration depth of the x-ray generating material at the incident electron energy for optimal thermal performance. The width WM of the microstructures 711-717 is selected to obtain a desired source size in the corresponding direction. As illustrated, WM≈DM. As discussed previously, WM could also be substantially smaller or larger, depending on the shape and size of the source spot desired. As illustrated, the length of each of the microstructures 711-717 is LM≈WM/10, and the length of the separation between each pair of microstructures is a distance LGap≈2 LM, making the total length of the region 710 comprising x-ray generating material LTot=7×LM+6×LGap≈19×LM≈1.9×DM. In other embodiments, larger or smaller dimensions may also be used, depending on the amount of x-rays absorbed by the substrate and the relative thermal gradients that may be achieved between the specific materials of the x-ray generating microstructures 711-717 and the substrate 1000. Likewise, the distance between the edge of the shelf and the edge of the x-ray generating material p as illustrated is p≈LM, but may be selected to be any value, from flush with the edge 1003 (p=0) to as much as 1 mm, depending on the x-ray reabsorption properties of the substrate material, the relative thermal properties, and the amount of heat expected to be generated when bombarded with electrons. For a configuration such as shown in FIG. 10, the total length LTot of the x-ray generating region 710 will commonly be about twice the linear attenuation length μL for x-rays in the x-ray generating material, but can be selected to be half to more than 4 times that distance. The microstructures may be embedded in the substrate (as shown), but in some embodiments may they may also be partially embedded, or in other embodiments placed on top of the substrate. The thermal benefits of a structured target such as that illustrated in FIG. 10 are presented in the U.S. Provisional Application 62/155,449, to which a parent Application of this Application claims the benefit of priority, and which has been incorporated by reference in this Application in its entirety. In the cited Provisional Patent Application, calculations therein for two targets are presented using the finite element modeling product Solidworks Simulation Professional. The first target modeled has a uniform coating of copper 300 microns thick as the x-ray material, as is common in commercial x-ray targets. Simulation of bombardment of the copper layer with electrons over an ellipse 10 microns wide and 66 microns long predicts an increase in the temperature of the copper to over 700° C. The second target, according to an embodiment of the invention, has 22 discrete structures of copper as the x-ray generating material, arranged in a one-dimensional array similar to that illustrated in FIG. 10. The microstructures of copper are embedded in diamond, and have an axis of orientation perpendicular to the surface normal of the target. The length of each x-ray generating structure along the axis of the array LM is 1 micron, and elements are placed with a separation LGap of 2 microns. The width of the elements in the direction perpendicular to the array axis WM is 10 microns, and depth perpendicular from the surface into the target DM is also 10 microns. In the simulation, both targets are modeled as being bombarded with an electron beam that raises the temperature to the operating temperature of ˜700° C. The uniform copper target reaches this temperature with an electron exposure of 16 Watts. However, in the case of the second, structured target, the copper reaches the operating temperature of ˜700° C. with an exposure of 65 Watts—a level 4 times higher. Normalizing for the reduced copper volume still gives more than twice the power deposited into the copper regions. Moreover, electron energy deposition rates between the materials is much more substantial in the higher density Cu than in diamond, and is therefore predicted to generate at least twice the number of x-rays. This demonstrates the utility of embedding microstructures of x-ray generating material into a thermally conducting substrate, in spite of a reduction in the total amount of x-ray generating material. FIGS. 11A-11C illustrate a region 1001 of a target as may be used in some embodiments of the invention that comprises an array of microstructures 700 in the form of right rectangular prisms comprising x-ray generating material arranged in a two-dimensional regular array. FIG. 11A presents a perspective view of the sixteen microstructures 700 for this target, while FIG. 11B illustrates a top down view of the same region, and FIG. 11C presents a side/cross-section view of the same region. For a structure comprising the microstructures embedded in the substrate with a side/cross-section view as shown in FIG. 11C with depth DM and lateral dimensions in the plane of the substrate of WM and LM, the ratio of the total surface area in contact with the substrate for the embedded microstructures vs. deposited microstructures is A Embedded A Deposited = 1 + 2 D M ( W M + L M ) ( W M × L M ) [ Eqn . 2 ] With a small value for DM relative to WM and LM, the ratio is essentially 1. For larger thicknesses, the ratio becomes larger, and for a cube (DM=WM=LM) in which 5 equal sides are in thermal contact, the ratio is 5. If an overcoat or cap layer of a material with similar properties as the substrate in terms of mass density and thermal conductivity is used, the ratio may be increased to 6. The heat transfer is illustrated with representative arrows in FIG. 12, in which the heat generated in microstructures 700 embedded in a substrate 1000 is conducted out of the microstructures 700 through the bottom and sides (arrows for transfer through the sides out of the plane of the drawing are not shown). The amount of heat transferred per unit time conducted through a material of area A and thickness d increases with the temperature gradient, the thermal conductivity in W/(m ° C.), and the surface area through which heat is transferred. Embedding the microstructures in a substrate of high thermal conductivity increases all these factors. FIG. 13 illustrates an alternative embodiment in which an overcoat has been added to the surface of the target. This overcoat 725 may be an electrically conducting layer, providing a return path to ground for the electrons bombarding the target. For such embodiments, the thin layer of conducting material that is preferably of relatively low atomic number, such as Titanium (Ti) is used. Other conducting materials, such as silver (Ag), copper (Cu), gold (Au), tungsten (W), aluminum (Al), beryllium (Be), carbon (C), graphene, or chromium (Cr) may be used to allow electrical conduction from the discrete microstructures 700 to an electrical path 722 that connects to a positive terminal relative to the high voltage supply. Such overcoats are typically thin films, with thickness on the order of 5 to 50 nm. In other embodiments, this overcoat 725 may comprise a material selected for its thermal conductivity. In some embodiments, this overcoat 725 may be a layer of diamond, deposited by chemical vapor deposition (CVD). This allows heat to be conducted away from all sides of the microstructure. It may also provide a protective layer, preventing x-ray generating material from subliming away from the target during extended or prolonged use. Such protective overcoats typically have thicknesses on the order of 0.2 to 5 microns. Such a protective overcoat may also be deposited using an additional dopant to provide electrical conductivity as well. In some embodiments, two distinct layers, one to provide electrical conductivity, the other to provide thermal conductivity and/or encapsulation, may be used. In some embodiments, overcoats may comprise beryllium, diamond, polycrystalline diamond, CVD diamond, diamond-like carbon, graphite, silicon, boron nitride, silicon carbide and sapphire. In other embodiments the substrate may additionally comprise a cooling channel 1200, as also illustrated in FIG. 13. Such cooling channels may be a prior art cooling channel using flowing water or some other cooling fluid to conduct heat away from the substrate, or may be fabricated according to a design adapted to best remove heat from the regions near the embedded microstructures 700. Other configurations that may be used in embodiments of the invention, such as a checkerboard array of microstructures, a non-planar “staircase” substrate and various non-uniform shapes of x-ray generating elements, have been described in the above cited parent Applications of the present Application, U.S. patent application Ser. Nos. 14/490,672 and 14/999,147. Additional target configurations presented in U.S. patent application Ser. No. 14/465,816 are microstructures comprising multiple x-ray generating materials, microstructures comprising alloys of x-ray generating materials, microstructures deposited with an anti-diffusion layer or an adhesion layer, microstructures with a thermally conducting overcoat, microstructures with a thermally conducting and electrically conducting overcoat, microstructured buried within a substrate and the like. Other target configurations that may be used in embodiments of the invention, as has been described in the above cited U.S. patent application Ser. No. 14/465,816, are arrays of microstructures that may comprise any number of conventional x-ray target materials patterned as features of micron scale dimensions on or embedded in a thermally conducting substrate, such as diamond or sapphire. In some embodiments, the microstructures may alternatively comprise unconventional x-ray target materials, such as tin (Sn), sulfur (S), titanium (Ti), antimony (Sb), etc. that have thus far been limited in their use due to poor thermal properties. Other target configurations that may be used in embodiments of the invention, as has been described in the above cited U.S. patent application Ser. No. 14/465,816, are arrays of microstructures that take any number of geometric shapes, such as cubes, rectangular blocks, regular prisms, right rectangular prisms, trapezoidal prisms, spheres, ovoids, barrel shaped objects, cylinders, triangular prisms, pyramids, tetrahedra, or other particularly designed shapes, including those with surface textures or structures that enhance surface area, to best generate x-rays of high brightness and that also efficiently disperse heat. Other target configurations that may be used in embodiments of the invention, as has been described in the above cited U.S. patent application Ser. No. 14/465,816, are arrays of microstructures comprising various materials as the x-ray generating materials, including aluminum, titanium, vanadium, chromium, manganese, iron, cobalt, nickel, copper, gallium, zinc, yttrium, zirconium, molybdenum, niobium, ruthenium, rhenium, rhodium, palladium, silver, tin, iridium, tantalum, tungsten, indium, cesium, barium, germanium, gold, platinum, lead and combinations and alloys thereof The embodiments described so far include a variety of x-ray target configurations that comprise a plurality of microstructures comprising x-ray generating material that can be used as targets in x-ray sources to generate x-rays with increased brightness. 2. Generic Considerations for a Linear Accumulation X-Ray Source. FIG. 14 illustrates a collection of x-ray sub-sources arranged in a linear array. The long axis of the linear array runs from left to right in the figure, while the short axis would run in and out of the plane of the figure. Several x-ray generating elements 801, 802, 803, 804 . . . etc. comprising one or more x-ray generating materials are bombarded by beams of electrons 1111, 1112, 1113, 1114, . . . etc. at high voltage (anywhere from 1 to 250 keV), and form sub-sources that produce x-rays 818, 828, 838, 848, . . . etc. Although the x-rays tend to be radiated isotropically, this analysis is for a view along the axis down the center of the linear array of sub-sources, where a screen 84 with an aperture 840 has been positioned. It should be noted that, as drawn in FIG. 14, the aperture allows the accumulated zero-angle x-rays to emerge from the source, but in practice, an aperture which allows several degrees of x-rays radiated at ±3° or even at ±6° to the surface normal may be designed for use in some applications. It is generally preferred that the window be at normal or near normal incidence to the long axis of a linear array, but in some embodiments, a window tilted to an angle as large as 85° may be useful. Assuming the ith sub-source 80i produces x-rays 8i8 along the axis to the right in FIG. 14, the radiation for the right-most sub-source as illustrated simply propagates to the right through free space. However, the x-rays from the other sub-sources are attenuated through absorption, scattering, or other loss mechanisms encountered while passing through whatever material lies between sub-sources, and also by divergence from the propagation axis and by losses encountered by passage through the neighboring sub-source(s) as well. Using the definitions: Ii as the x-ray radiation intensity 8i8 from the ith sub-source 80i; T1,0 as the x-ray transmission factor for propagation to the right of the 1st sub-source 801; Ti,i-1 as the x-ray transmission factor for propagation from the ith sub-source 80i to the i−1-th sub-source 80(i−1); and Ti as the x-ray transmission factor for propagation through the ith sub-source 80i (with T0≡1),the total intensity of x-rays on-axis to the right of the array of N sub-sources can be expressed as: I tot = I 1 × T 1 , 0 + I 2 × T 2 , 1 × T 1 × T 1 , 0 + I 3 × T 3 , 2 × T 2 × T 2 , 1 × T 1 × T 1 , 0 + I 4 × T 4 , 3 × T 3 × T 3 , 2 × T 2 × T 2 , 1 × T 1 × T 1 , 0 + … + I N × T N , N - 1 × T N - 1 × T N - 1 , N - 2 × … × T 2 × T 2 , 1 × T 1 × T 1 , 0 making [ Eqn . 3 ] I tot = ∑ i = 1 N I i ∏ j = 0 i - 1 T j ∏ k = 0 i - 1 T k + 1 , k [ Eqn . 4 ] For a source design in which all sub-sources produce approximately the same intensity of x-raysIi≈I0 [Eqn. 5]the total intensity becomes I tot = I 0 ∑ i = 1 N ∏ j = 0 i - 1 T j ∏ k = 0 i - 1 T k + 1 , k [ Eqn . 6 ] Furthermore, if the sub-sources are arranged in a regular array with essentially the same value for transmission between elements:Ta,a-1=T2,1, a>1, [Eqn. 7]and if the sizes and shapes of the x-ray generating elements are similar enough such that the transmission through any given element will also be the same:Ta=T1, a>0, [Eqn. 8]then the total intensity becomes I tot = I 0 T 1 , 0 ( ∑ n = 0 N - 1 ( T 1 T 2 , 1 ) n ) [ Eqn . 9 ] Note that Ti and Ti,i-1 represent a reduction in transmission due to losses, and therefore always have values between 0 and 1. If N is large, the sum on the right can be approximated by the geometric series 1 ( 1 - x ) = ∑ 0 ∞ x n for x < 1 [ Eqn . 10 ] making the approximate intensity I tot ≈ I 0 T 1 , 0 1 ( 1 - T 1 T 2 , 1 ) [ Eqn . 11 ] Note that this can also be used to estimate how many generating elements can be arranged in a row before losses and attenuation would make the addition of another x-ray generating element unproductive. For example, if the width of a generating element is μL, the 1/e attenuation length for x-rays, transmission through the element gives T1=1/e=0.3679. Assuming a transmission between elements of Ti,i-1=T2,1=0.98, this makes I tot ≈ I 0 T 1 , 0 1 ( 1 - ( 0.3679 ) ( 0.98 ) ) = I 0 T 1 , 0 ( 1.564 ) [ Eqn . 12 ] This means that a large number of elements with a width equal to the 1/e length could only improve the intensity by a factor of 1.564. For 2 elements (a total x-ray generation length of 2×μL), Eqn. 9 indicates that Itot≈I0 T1,0(1.361), 87% of the estimated maximum from Eqn. 12, while for 3 elements (a total x-ray generation length of 3×μL), Itot≈I0 T1,0 (1.490), 95% of the estimated maximum, and for 4 elements (a total x-ray generation length of 4×μL), Itot≈I0 T1,0 (1.537), which is 98% of the estimated maximum degree of linear accumulation from Eqn. 12. This suggests a general rule that linear accumulation near the maximum may be achieved from a total length of x-ray generating material of only 4×μL. FIG. 15 illustrates the 1/e attenuation length for x-rays having energies ranging from 1 keV to 1000 keV for three x-ray generating materials: molybdenum (Mo), copper (Cu), tungsten (W); and from 10 keV to 1000 keV for three substrate materials: graphite (C), beryllium (Be) and water (H2O). [The data presented here were originally published by B. L. Henke, E. M. Gullikson, and J. C. Davis, in “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E=50-30000 eV, Z=1-92”, Atomic Data and Nuclear Data Tables vol. 54 (no. 2), pp. 181-342 (July 1993), and may be also accessed at: henke.lbl.gov/optical_constants/atten2.html. Other x-ray absorption tables are available at: physics.nist.gov/PhysRefData/XrayMassCoef/chap2.html.] The 1/e attenuation length μL for a material is related to the transmission factors above for a length L byTi=e−αiL=e−L/μL [Eqn. 13]Therefore, a larger μL means a larger Ti. As an example of using the values in FIG. 15, for 60 keV x-rays in tungsten, μL≈200 μm, making the transmission of a 20 μm wide x-ray generating elementTi=e−L/μL=e−20/200=0.905 [Eqn. 14]For 60 keV x-rays in a beryllium substrate, μL≈50,000 μm, which makes the transmission of a 100 μm wide beryllium gap between embedded tungsten x-ray generating elements to be:Ti,i-1==e−L/μL=e−100/50,000=0.998 [Eqn. 15]Therefore, for a periodic array of tungsten elements 20 μm wide embedded in a Beryllium substrate and spaced 100 μm apart, the best-case estimate for the on-axis intensity is: I tot ≈ I 0 T 1 , 0 1 ( 1 - ( 0.905 ) ( 0.998 ) ) = I 0 T 1 , 0 ( 10.312 ) [ Eqn . 16 ] which would represent an increase in x-ray intensity by an order of magnitude when compared to a single tungsten x-ray generating element.3. X-Ray Source Controls. There are several variables through which a generic linear accumulation source may be “tuned” or adjusted to improve the x-ray output. Embodiments of the invention may allow the control and adjustment of some, all, or none of these variables. 3.1. E-beam Variations. In some embodiments, the beam or beams of electrons 111 or 1111, 1112, 1113, etc. bombarding the x-ray generating elements 801, 802, 803 . . . etc. may be shaped and directed using one or more electron control mechanisms 70 such as electron optics, electrostatic lenses or magnetic focusing elements. Typically, electrostatic lenses are placed within the vacuum environment of the x-ray source, while the magnetic focusing elements can be placed outside the vacuum. In many embodiments, the area of electron exposure can be adjusted so that the electron beam or beams primarily bombard the x-ray generating elements and do not bombard the regions in between the elements. A source having multiple electron beams that are used to bombard distinct x-ray generating elements independently may also be configured to allow a different accelerating voltage to be used with the different electron beam sources. Such a source 80-B is illustrated in FIG. 16. In this illustration, the previous high voltage source 10 is again connected through a lead 21-A to an electron emitter 11-A that emits electrons 111-A towards a target 1100-B. However, two additional “boosters” for voltage 10-B and 10-C are also provided, and these higher voltage potentials are connected through leads 21-B and 21-C to additional electron emitters 11-B and 11-C that respectively emit electrons 111-B and 111-C of different energies. Although the target 1100-B will usually be uniformly set to the ground potential, the individual electron beam sources used to target the different x-ray generating elements may be set to different potentials, and electrons of varying energy may therefore be used to bombard the different x-ray generating elements 801, 802, 803, . . . etc. This may offer advantages for x-ray radiation management, in that electrons of different energies may generate different x-ray radiation spectra, depending on the materials used in the individual x-ray generating elements. The heat load generated may also be managed through the use of different electron energies. 3.2. Material Variations. Although it is simpler to treat the x-ray generating elements as identical units, and to have the intervening regions also be considered identical, there may be advantages in some embodiments to having variations in these parameters. In some embodiments, the different x-ray generating elements may comprise different x-ray generating materials, so that the on-axis view presents a diverse spectrum of characteristic x-rays from the different materials. Materials that are relatively transparent to x-rays may be used in the position closest to the output window 840 (e.g. the element 801 furthest to the right in FIG. 14), while those that are more strongly absorbing may be used for elements on the other side of the array, so that they attenuate the other x-ray sub-sources less. In some embodiments, the distance between the x-ray generating elements may be varied. For example, a larger space between elements may be used for elements that are expected to generate more heat under electron bombardment, while smaller gaps may be used if less heat is expected. 3.3. Rotating Anode Embodiments. The target described above might also be used in an embodiment comprising a rotating anode, distributing the heat as the anode rotates. A system 580-C comprising these features is illustrated in FIGS. 17A-17C. In this embodiment, many of the elements are the same as in a conventional rotating anode system, as was illustrated in FIG. 5A, but in the embodiment as illustrated, the rotating mechanism has been rotated 90° relative to the electron beam emitter 11-R and the electron beam 511-R. The target in the embodiment as illustrated is a rotating cylinder 5100 mounted on a shaft 530. In one end of the cylinder 5100, a set 5710 of rings of x-ray generating material 5711-5717 have been embedded into a layer of substrate material 5000, with a gap between each ring. The “length” (parallel to the shaft axis in this illustration, and perpendicular to the local normal n in the region under bombardment) of each ring may be comparable to the length discussed for the set of microstructures illustrated in FIG. 10 (i.e. micron-scale), and the spacing may be comparable to LGap. (also micron-scale). The depth (i.e. parallel to the local normal n) into the substrate 5000 may also be comparable to the depth discussed in the previous embodiments (i.e. micron scale, and related to either the penetration depth or the CSDA depth for either the x-ray generating material or the substrate.) The “width”, however, is the circumference, as the rings 5710 circle the entire cylinder 5100. This substrate material 5000 may in turn be attached or mounted on a core support 5050 attached to the rotating shaft 530. The core support may comprise any number of materials, but a core of an inexpensive material with high thermal conductivity, such as copper, may be preferred. A solid core/substrate combination that comprises a single material may also be used in some embodiments. The substrate 5000 may be deposited using a CVD process, or pre-fabricated and attached to the core support 5050. When bombarded with an electron beam 511-R, the portions of the set of rings 5710 of x-ray generating materials that are exposed will generate heat and x-rays 5588. X-rays radiated at a zero-angle (perpendicular to a local surface normal for the target in the region under electron bombardment) or near zero-angle may experience linear accumulation, and appear exceptionally bright. Embedding the set of rings 5710 of x-ray generating material into the substrate 5000 facilitates the transfer of heat away from the x-ray generating structures, allowing higher electron flux to be used to generate more x-rays without causing damage to the structures, as has been demonstrated for the non-rotating case. It should be noted that the illustrations of FIGS. 17A-17C are provided only to illustrate the functioning of an embodiment of the invention, and that the relative sizes, dimensions, and proportions of the rotating shaft 530, core support 5050, substrate 5000, and rings of x-ray generating material 5711-5717 should not be inferred from these drawings. The use of only seven rings in the illustration is also not meant to be limiting, as embodiments with any number of x-ray generating structures may be used. In practical embodiments, the substrate thickness may range from a few microns to 200 microns, while the core may typically have a diameter of 2 cm to 20 cm. A cylinder in which the core and substrate are the same material may also be used in some embodiments. Various overcoats for electrical conduction and/or protection, as discussed for planar targets and illustrated in FIG. 13, may also be applied to embodiments having a rotating anode. Although only parallel rings with zero take-off angle have been illustrated in FIGS. 17A-17C, additional geometries for near-zero take-off angles, such as those using a beveled surface, may have advantages. Likewise, other configurations for the x-ray generating materials may be used. FIG. 18 illustrates a target cylinder 5101 for a rotating anode comprising a set of parallel lines 5720 that have an orientation perpendicular to that used for the rings of FIG. 17B. Other target designs, such as checkerboards, grids, etc. as have been illustrated U.S. Provisional Patent Application Ser. No. 62/141,847 (to which the Parent Application of the Present Application claims the benefit of priority) as well various designs and structures illustrated in other planar embodiments of the present Application and the previously mentioned co-pending Applications may be used. Furthermore, additional elements found in other embodiments described in the present Application, such as focused electron beams and the like, different x-ray generating material selections and the like, the use of a powered x-ray generating material, etc., as well as those described the co-pending patent Applications to which it claims priority, may also be applied to rotating anode embodiments. 3.4. Materials Selection for the Substrate. For the substrate of a target with microstructures of x-ray generating material, as shown above it is preferred that the transmission of x-rays T for the substrate be near 1. For a substrate material of length L and linear absorption coefficient αs,T=e−αsL=e−L/μL [Eqn. 17]where μL is the length at which the x-ray intensity has dropped by a factor of 1/e. Generally,μL∝X3/Z4 [Eqn. 18]where X is the x-ray energy in keV and Z is the atomic number. Therefore, to make μL large (i.e. make the material more transparent), higher x-ray energy is called for, and a lower atomic number is highly preferred. For this reason, both beryllium (Z=4) and carbon (Z=6) in its various forms (e.g. diamond, graphite, etc.) may be desirable as substrates, both because they are highly transparent to x-rays, but also because they have high thermal conductivity (see Table I).4. Design Guidelines for Structured Targets. The embodiments of the invention disclosed in this Application can be especially suitable for making a high brightness x-ray source for use at one or more predetermined low take-off angles. In some embodiments, the arrangement of discrete structures of x-ray generating material can be arranged to increase the x-ray radiation into a predetermined cone of angles around a predetermined take-off angle. Such a predetermined cone can be matched to the acceptance angles of a defined x-ray optical system to increase or maximize the useful x-ray intensity that may be delivered to a sample in applications such as XRD, XRF, SAXS, TXRF, especially, with microbeams, such as microXRD, microXRF, microSAXS, microXRD, etc. Examples of such an x-ray optical system is one having a monocapillary x-ray optical element with a defined inner reflective surface, such as a paraboloidal collimator or a dual paraboloidal or ellipsoidal focusing surface. In other embodiments, the arrangement of discrete structures of x-ray generating material can be arranged to increase the x-ray radiation into a predetermined fan of angles around a predetermined take-off angle. Such a distribution of x-rays may be matched to other x-ray optical elements designed to produce x-ray beams with a line profile or collimated to form a parallel beam instead of a focused spot. The design of the layout of the x-ray generating elements in the target can be optimized to increase the x-rays radiated in specific directions using two factors. One is the management of the thermal load, so that heat is efficiently transported away from the x-ray generating elements. With effective thermal transfer, the x-ray generating elements can be bombarded with an electron beam of even greater power density to produce more x-rays. The second is the distribution of the x-ray generating materials such that the self-absorption of x-rays propagating through the remaining volume of x-ray generating material is reduced and linear accumulation of x-rays is optimized. 4.1. An Example: Microstructured Target for a Conical X-Ray Beam FIGS. 19A-19C illustrate an example of a target 1100-T comprising a set 710 of embedded microstructures of x-ray generating material 711, 712 . . . 717 embedded within a substrate 1000, similar to the target of FIG. 10. As illustrated, the microstructures 711-717 are embedded near a shelf 1002 at the edge 1003 of the surface of the substrate 1000. When bombarded by electrons 111 within a vacuum chamber, the x-ray generating material produces x-rays 2088. For the target 1100-T as illustrated, there is a local surface in the area of the x-ray generating elements that has a surface normal n. This defines an axis for the dimension of depth D into the target for determining the depth of the x-ray generating materials. This axis is also used to measure the electron penetration depth or the electron continuous slowing down approximation depth (CSDA depth). For the target as illustrated, there is furthermore a predetermined take-off direction (designated by ray 88-T) for the downstream formation of an x-ray beam. This take-off direction is oriented at an angle θT relative to the local surface, and the projection of this ray onto the local surface (designated by ray 88-S) in the plane that contains both the take-off angle and the surface normal is a determinant of the dimension of length L for the target. The final dimension of width W is defined as the third spatial dimension orthogonal to both the depth and the length directions. As illustrated, the set of discrete structures of x-ray generating material is in the form of a linear array of x-ray generating microstructures, each of length LM, width WM, and depth DM, the same as was that illustrated in FIG. 10. As illustrated, WM=DM, but in the general case, the width and depth need not be identical. In the target as illustrated in FIG. 19C, the microstructures are aligned along an axis parallel to the length L dimension, and are separated from each other by a gap LGap, so that the total length of the x-ray generating volume comprising 7 microstructures of x-ray generating material is LTot=7 LM+6 LGap. It should be noted that these dimensions of depth, length and width in a given target may or may not correspond to those that might be intuited merely from the layout of the discrete structures of x-ray generating material. As has already been illustrated, discrete structures of x-ray generating material may be laid out in 1-dimensional and 2-dimensional arrays, grids, checkerboards, staggered and buried structures, etc. and the alignment and relative orientation of these physical arrays and patterns with the predetermined take off angle and the surface normal may or may not be parallel. As defined in these embodiments, the coordinates of depth, length and width are defined only by the surface normal and the predetermined take-off angle. As illustrated in FIG. 19A-19C, a predetermined set of cone angles is defined, centered around the take-off angle θT. A ray propagating along the innermost portion of the cone makes an angle θ1 with respect to the take off angle, while a ray propagating along the outermost portion of the cone makes an angle θ2 with respect to the take off angle. These cone angles are generally quite small (less than 50 mrad), and the take-off angle is generally between 0° to 6° (0 to 105 mrad). The actual design of the x-ray target may be more easily described using the concept of an “x-ray generating volume”, as discussed further below. This is the volume of the target from which the substantial majority of the x-rays of a desired energy will be radiated. In the embodiments of the invention, there are four primary factors that may affect the design rules for the structure of x-ray generating material within the x-ray generating volume that may be applied in embodiments of the invention to improve the x-ray brightness radiated into this predetermined cone. These four factors are: the volume fraction of x-ray generating material; the relative thermal properties of the x-ray generating material and substrate; the distance of propagation of the X-rays through x-ray generating material; and the depth of x-ray generation.4.1.1. X-Ray Generating Volume. The “x-ray generating volume” of a target comprising discrete structures of x-ray generating material is the volume of the target that, when bombarded with electrons, generates x-rays of a desired energy. The energy is typically specified as the characteristic x-ray radiation generated by specific transitions in the selected x-ray generating material, although for certain applications, spectral bandwidths of continuum x-rays from the x-ray generating material may also be designated. Two “volumes” must be considered to define the “x-ray generating volume”: a “geometric volume” encompassing the x-ray generating material, and the “electron excitation volume” encompassing the region in which electrons deliver enough energy to generate x-rays. 4.1.1A. Geometric Volume The “geometric volume” for the x-ray generating material is defined as the minimum contiguous volume that completely encompasses a given set of discrete structures of x-ray generating material and the gaps between them. For the x-ray generating structures of FIGS. 19A-19C, also reproduced FIGS. 20A-20C, the “geometric volume” 7710 is a rectangle surrounding the microstructures of x-ray generating material. For other configurations, such as those shown in FIG. 21A-21C, the “geometric volume” may be more complex. In this example, a set 2710 of non-uniform structures of x-ray generating material 2711, 2712 . . . 2717 are embedded within a substrate 1000, in which structures are tapered smaller as they approach the edge 1003 of the substrate. The “geometric volume” 7711 for this case is not a rectangle, but a tapered polyhedron having square ends of different sizes. 4.1.1B. Electron Excitation Volume. The “electron excitation volume” is the volume of the target in which electrons deliver enough energy to generate x-rays of a predetermined desired energy. FIG. 22A-22C illustrate this situation. In FIGS. 22A-22C, electron beam 111 bombards a portion of the same target comprising a set 710 of x-ray generating materials embedded in a substrate 1000—the same target layout as was shown in FIGS. 19A-19C, and 20A-20C. However, the extent of the electron beam does not encompass the entire set of structures, but has a beam width of We less than WM, and a beam length Le which is less than LTot and is also not exactly aligned with the edge of the target structures. The overall area of exposure at the surface is therefore the area of the electron beam at the intersection with the surface (the electron beam “footprint”), defined at some threshold value, such as the full-width-at half-maximum (FWHM) value or the 1/e value relative to the peak intensity. In general, the defined boundary for the footprint will be defined at the contour where the electron intensity is at 50% of the maximum electron intensity. The electron beam bombarding the target may have various sizes and shapes, depending on the electron optics selected to direct and shape the electron beam. For example, the electron beam may be approximately circular, elliptical, or rectangular. Various accelerating voltages may be used as well, although generally the accelerating voltage will be selected to be at least twice that needed to produce x-rays of a given energy (e.g. to produce x-rays with an energy of ˜8 keV, the accelerating voltage is preferred to be at least 16 keV). If the entire region of x-ray generating structures is bombarded with an equivalent footprint of electrons of high energy, the x-ray generating volume may be identical to the “geometric volume” as described above. However, in some cases, the depth of the microstructured x-ray generating material DM may be significantly deeper than the electron penetration depth into the substrate, which may be estimated using Potts' Law (as discussed above), or deeper than the continuous slowing down approximation (CSDA) range (CSDA values normalized for element density may be computed using the NIST website physics.nist.gov/PhysRefData/Star/Text/ESTAR.html). In such cases, the deeper regions of x-ray generating material may be relatively unproductive in generating x-rays, and the x-ray generating volume is preferably defined by the area overlap of the electron footprint upon the sample with the minimal geometric area containing the microstructures and the electron penetration depth of the electrons into the substrate. For 60 keV electrons bombarding copper (density ˜8.96 g/cm3) the electron penetration depth by Potts' Law is estimated to be ˜5.2 microns, while the CSDA depth is ˜10.6 microns. For a diamond substrate (density ˜3.5 g/cm3), the Potts' Law penetration depth is ˜15.3 microns, while the CSDA depth for the diamond substrate is ˜18.9 microns. In some embodiments, the depth of the x-ray generating structures DM measured from the target surface may be limited to be less than the penetration depth of the electrons into the x-ray target substrate material. In most cases (due to the typically lower mass density of the x-ray substrate relative to the x-ray generating material), the entire depth of x-ray generating material will be generating x-rays. In some embodiments, the depth of the x-ray generating structures DM measured from the target surface may be some multiple (e.g. 1×-5×) of the penetration depth of the electrons into the x-ray target substrate material. In this case, the depth DP of the electron excitation volume 7770-E in which x-rays are generated will be less than DM, as illustrated in FIGS. 22A-22C, and the depth DP will be defined as a predetermined number related to either the electron penetration depth or the CSDA depth. (Note: the depth dimension is defined as parallel to the surface normal, and if the electron beam is incident on the target surface at an angle ψ other than 0° (normal incidence), the depth DP of the electron excitation volume must be modified from the normal incidence penetration depth by a factor of cos ψ) In other embodiments, the depth of the x-ray generating structures DM measured from the target surface may be limited to be less than the penetration depth of the electrons into the x-ray generating material. This may include 1× the penetration depth, or in some cases, preferably a fraction of the penetration depth such as ½ or ⅓ of the penetration depth. For some embodiments, the depth DP of the electron excitation volume will be defined as being equal to half the penetration depth of the target X-ray generating material, since this is the depth over which the electrons will generate more characteristic x-rays. (See the discussion of FIG. 2 above for more on the topic of characteristic x-ray generation. 4.1.1C. Synthesis of the X-Ray Generating Volume. For any general embodiment, the x-ray generating volume will be defined as the volume overlap of the “geometric volume” for the x-ray generating material within the target and the “electron excitation volume” for electrons of a predetermined energy and known penetration depth and CSDA depth for materials of the target. 4.1.2. Design Rules for Volume Fraction. The volume fraction of the x-ray generating volume is defined as the ratio of the volume of the x-ray generating material within the x-ray generating volume to the overall x-ray generating volume. A typical prior art x-ray target with a uniform target of x-ray generating material will have a volume fraction of 100%. Targets such those illustrated in FIG. 10, with LM=1 micron and LGap=2 microns, have a volume fraction of ˜37%. A general rule for the x-ray sources according to the invention disclosed here is that the volume fraction of the x-ray generating volume be between 10 and 70%, with the non-x-ray generating portion being filled with material of a high thermal conductivity. The regions of non-x-ray generating material serve to conduct the heat away from the x-ray generating structures, enabling bombardment with an electron beam of higher power, thereby producing more x-rays. The ideal volume fraction for a target typically depends on the relative thermal properties of the x-ray generating material and the substrate material in the x-ray generating volume. If the target is fabricated by embedding discrete structures of x-ray generating material with moderate thermal properties into a substrate of high thermal conductivity, good thermal transfer is generally achieved. If the thermal transfer between the x-ray generating material and the substrate is poor (for example, in circumstances of when the x-ray generating material has poor thermal properties), a smaller volume fraction may be desired. In general, for the embedded target structures described herein, a volume fraction of 30%-50% is preferred. It should be noted that in some embodiments, the discrete x-ray structures are not manufactured through etching or ordered patterning processes but instead formed using less ordered discrete structures, such as powders of target materials. FIG. 23 illustrates a target fabricated by such a process. In a substrate 1000, a groove 7001 or set of grooves may be formed using standard substrate patterning techniques. The groove 7001 is then filled with particles of a powder of x-ray generating material 7077. The particles 7077 may be of a predetermined average size and shape, so that a measured volume of the material may be used to produce a desired volume fraction within the groove. Once the particles of x-ray generating material have been placed in the groove, the gaps between particles 7006 can be filled with a coating of material deposited by chemical vapor deposition (CVD) processes. This provides the thermal dissipation for the heat produced in the x-ray generating target structures. When bombarded by electrons 111, the x-ray generating material will produce x-rays 8088. As long as the space between particles is small, and the depth of the groove is less than half the penetration depth of the electrons into the substrate, the x-ray generating volume 7070 will be the overlap of the groove (defining the geometric volume) and the projection of the footprint of the electron beam at the surface. In some embodiments, the powders may be pressed into an intact ductile substrate material. In some embodiments, additional overcoats as described for more regular structures and illustrated in FIG. 13 may be used for targets fabricated using powders as well. For a target formed using a powder of x-ray generating material, the substrate is preferably a material with high thermal conductivity, such as diamond or beryllium, and the filling material is a matching material (e.g. diamond) deposited by CVD. 4.2.3. Design Rules for Thermal Properties. The x-ray source target substrate material is preferred to have superior thermal properties, particularly its thermal conductivity, in respect to the x-ray generating material. Moreover, it is preferred that substrate materials of the target limit the self-absorption of x-rays produced in the target along the low take-off angle. In many embodiments, this leads to the selection of a substrate material having low atomic number, such as diamond, beryllium, sapphire, or some other carbon-based material. For some materials, such as diamond, the thermal conductivity is severely reduced in very thin samples of the material. There may therefore be a minimum thickness required for the space between structures of x-ray generating material. In general, for diamond having embedded structures of x-ray generating material, suitable results have been achieved when the thickness of the diamond between structures of x-ray generating material is 0.5 micrometer or more. Likewise, if the discrete structures of x-ray generating material are too thick, heat cannot transfer efficiently from the center to the outside, and there is therefore a practical limit on how thick a given structure of x-ray generating material should be. In general, when being embedded into diamond, suitable results have been achieved when the thickness of the x-ray generating structures is 10 micrometers or less. 4.1.4. Design Rules Based on Propagation Length. As described previously, there will be a total length for x-ray generation after which additional x-rays generated cease to contribute additional x-rays to the output, due to reabsorption. There is therefore an upper bound on the length ΣLM of the x-ray generating material within the x-ray generating volume. For a given x-ray energy, which in general may correspond to a characteristic line of the selected x-ray generating material, μL is be defined to be the 1/e attenuation length for x-rays of that energy in the same material. Values for this number have been illustrated in FIG. 15, and numerical values are shown in Table III below for a few commonly used x-ray generating materials. The x-ray energies are taken from the NIST website physics.nist.gov/PhysRefData/XrayTrans/Html/search.html and the attenuation lengths are calculated using the same sources as were used for the data in FIG. 15. TABLE III1/e Attenuation lengths for various x-ray transitionsX-rayX-ray EnergyμLTransition(keV)(μm)Cu Kα8.0521.8Mo Kα17.4855.1W Kα59.32136.3 As a general rule, the propagation path through x-ray generating material for any given x-ray path should be less than 4×μL. For target structures such as the powder structure in FIG. 23, to insure that no path through the x-ray generating volume is significantly longer than the upper bound for x-ray production, a design rule that the entire length of the groove LTot be less than 4×μL may be followed. In other embodiments, a design rule that LTot be less than (4×μL) divided by the volume fraction may be followed. For more defined discrete target structures, such as that illustrated in FIG. 19C, a design rule limiting the length of the sum of segments in which a predetermined ray overlaps the x-ray generating material may be set. In FIG. 19C, the designated ray is the ray 88-T corresponding to the take-off angle at θT, shown relative to a ray 88-M running through the midpoint of the x-ray generating volume. The path of this ray 88-T through the x-ray generating volume 7710-E has several segments of overlap 711-S, 712-S, . . . , 717-S corresponding to the overlap with the slabs 711, 712, . . . , 717 of x-ray generating material. A general design rule can be stated that, for any ray parallel to the take-off angle ray, the sum of the segments of overlap with the x-ray generating material within the x-ray generating volume must be smaller than 4×μL. In some embodiments, this sum of the segments of overlap with the x-ray generating material within the x-ray generating volume must be smaller than 2×μL. Although FIG. 19C uses the ray of the take-off angle as a design rule, other embodiments may instead have a restriction on the sum of segments of overlap for a ray within the cone of propagation, i.e. between angles θ1 and θ2. Such a target design is illustrated in FIGS. 24A-24C. In this embodiment, a number of microstructures 2110 in the form of microslabs of x-ray generating material 2111, 2112, . . . , 2116, . . . etc. are embedded in a substrate 2000, near the edge 2003 of a shelf 2002 in a substrate 2000, but the orientation of the microstructures has the narrowest dimension aligned with the “width” direction and the longest dimension along the length dimension. The geometric volume 2770 in this example is a rectangle of volume LTot×WTot×DM. If the take-off angle is in the plane of the microstructures, the path for x-rays at or near the take-off angle may be longer than the reabsorption upper bound. However, for x-rays emerging from the sides of the microstructures, low attenuation through the surrounding substrate and other x-ray microstructures may be achieved. The spacing between the microstructures may be adjusted so that x-rays emerging at the maximum cone angle θ2 in the plane orthogonal to the plane of the take-off angle (i.e. in the plane of FIG. 24A) intersect a certain number of additional microstructures, achieving linear accumulation, but do not exceed the reabsorption upper bound. The appropriate metric for the limitation on length segments will therefore be for rays at angles corresponding to certain cone angles out of the plane of the microstructures, and not the take-off angle. Note that these cone angles need not be in any particular plane, and therefore a design rule limiting the length of overlap must apply to certain rays within the cone, preferably those out of the plane of orientation for the microstructures. In some embodiments, a design rule limiting the length of the sum of segments will apply to any cone angle within a predetermined subset of cone angles. In some embodiments, a design rule limiting the length of the sum of segments will apply to a majority of cone angles. A general design rule can be stated that, for any ray within a predetermined subset of cone of angles greater than or equal to θ1 and less than or equal to θ2 relative to the take-off angle ray, the sum of the segments of overlap with the x-ray generating material within the x-ray generating volume must be smaller than 4×μL. Note that for prior embodiments, this design rule may also be used rather than using the ray along the take-off angle to define the amount of x-ray generating material within a giving x-ray generating volume. Design rules may also be placed on having a minimum length for sums of segments of overlap, to ensure that at least some accumulation of x-rays may occur. For some embodiments, the sum of the segments of overlap with the x-ray generating material within the x-ray generating volume must be greater than 0.3×μL. For other embodiments, the sum of the segments of overlap with the x-ray generating material within the x-ray generating volume must be greater than 1.0×μL. For other embodiments, the sum of the segments of overlap with the x-ray generating material within the x-ray generating volume must be less than 1×μL and in other embodiments this may be 2.0×μL. 4.1.5. Design Rules for Depth. As discussed above, the depth DM of the structures of x-ray generating material may be determined by any number of factors, such as the ease of reliably manufacturing embedded structures of certain dimensions, the thermal load and thermal expansion of the embedded structures, a minimum thickness to minimize source degradation due to delamination or evaporation, etc. However, creating structures with a depth DM significantly deeper than the electron penetration depth into the substrate will generally result in deep regions that are unproductive in generating x-rays. For 60 keV electrons bombarding copper (density ˜8.96 g/cm3) the electron penetration depth by Potts' Law is estimated to be ˜5.2 microns, while the CSDA depth is ˜10.6 microns. For a diamond substrate (density ˜3.5 g/cm3), the Potts' Law penetration depth is ˜15.3 microns, while the CSDA depth for the diamond substrate is ˜18.9 microns. As a general design rule, the depth of the x-ray structures DM measured from the target surface should be limited to be less than 5 times the penetration depth of the electrons into the x-ray target substrate material. This ensures that the depth of the structures of x-ray generating material, which typically have poorer thermal properties than the substrate, is minimized, as typically only the portion closer to the surface is efficient at generating characteristic x-rays. Although some x-rays are generated at lower depths, there is also associated heat generation. In some embodiments, the depth of the x-ray generating material is preferred to be a fraction (e.g. ½) of the electron penetration depth in the x-ray generating material, providing the overlap of electron excitation and x-ray generating material primarily in the zone in which most of the characteristic x-rays are generated (see previous discussion of FIGS. 2, 8 & 9). In some embodiments, the depth of the x-ray generating material is preferred to be a fraction (e.g. ½) of the electron penetration depth in the substrate material. In some embodiments, the depth of the x-ray generating material is preferred to be half of the CSDA depth in the substrate material. 4.2. Relation of the X-Ray Generating Volume to Take-off Angle. Conventional reflection-type x-ray target geometries are often arranged, such that the x-ray beam emitted is centered along a take-off angle of ˜6° measured from the x-ray target surface tangent. This angle is typically selected in an effort to both minimize apparent x-ray source size (smaller at lower take-off angles) and minimize self-attenuation by the x-ray target (larger at lower take-off angles). The disclosed embodiments of the invention are preferably operated at take-off angles less than or equal to 3°, and for some embodiments at 0° take-off angle, substantially lower than for conventional x-ray sources. This is enabled by the structured nature of the x-ray source and the incorporation of an x-ray substrate, as discussed above, comprised of a material or structure that reduces or minimizes self-absorption of the x-ray energies of interest generated by the x-ray target. Such a structured target is especially useful as a distributed, high-brightness source for use in systems that make use of an x-ray beam having the form of an annular cone. FIG. 25 illustrates the matching of the annular cone as defined in the previous embodiments with an aperture or window 2790 and/or beam stop 2794 in the system. This annular output can be selected to match the acceptance angle of an x-ray optical element, such as a capillary optic with a reflecting inner surface used for directing (e.g. focusing or collimating) the generated x-ray beam for downstream applications. The predetermined cone of x-rays generated by the x-ray source can be defined to correspond to the angles and dimensions of such downstream optical elements. Likewise, a central beamstop to block the x-rays propagating at the take-off angle θT (which typically will not be collected by the downstream optical elements such as monocapillaries) can also be used, with the propagation angles blocked by the beam stop being those that correspond to the inner diameter of the predetermined annular x-ray cone. In some embodiments, annular cones may be defined by the acceptance angles of downstream optics, i.e. by the numerical aperture of such optics, or other parameters that may occur in such systems. Matching the volume to, for example, the depth-of-focus range for a collecting optic or to the critical angle of the reflecting surface of a collecting optic may maximize the number of useful x-rays, while limiting the total power that must be expended to generate them. The angular range for the annular cone of x-rays is generally specified by having the inner cone angle θ1 being greater than 2 mrad relative to the take-off angle, and having the outer cone angle θ2 be less than or equal to 50 mrad relative to the take-off angle. 4.3. Rotating Anodes. The previous discussion on take-off angles and cones of annular x-rays may also be applied to rotating anodes. FIG. 26 presents a cross-section view of a rotating anode in the form of a cylinder 5102 as may be inserted into a system as was illustrated in FIG. 17A. As in the embodiment of FIGS. 17A-17C, the cylinder 5102 is mounted on a rotating shaft 530, and has a core 5050 of a thermally conducting material such as copper. On the outer surface of the cylinder, a layer of substrate material 5000 such as diamond or CVD diamond has been formed, and embedded in this substrate are a number of rings 5711, 5712, . . . , 5717 comprising x-ray generating material. As before, the “length” (parallel to the shaft axis in this illustration, and perpendicular to the local normal n in the region under bombardment) of each ring may be comparable to the length discussed for the set of microstructures illustrated in FIG. 10 (i.e. micron-scale), and the spacing may be comparable to LGap. (also micron-scale). The depth (i.e. parallel to the local normal n) into the substrate 5000 may also be comparable to the depth discussed in the previous embodiments (i.e. micron scale, and related to either the penetration depth or the CSDA depth for either the x-ray generating material or the substrate.) The “width”, however, is the circumference, as the rings 5710 circle the entire cylinder 5100. When a portion of the x-ray generating structures are bombarded by electrons 511-R, an x-ray generating volume 5070 is formed, generating x-rays 5088. Although x-rays may be radiated in many directions, for this system, as with the systems illustrated in FIGS. 19A-19C, a predetermined take-off angle θT may be designated, along with a cone of angles ranging from θ1 to θ2 defined relative to the take-off angle. These angles are generally selected to correspond to x-rays that the will be collected downstream to form a beam for use in x-ray optical systems. For the example illustrated in FIG. 26, the take-off angle is at 0°, making use of the x-rays that linearly accumulate through the set 5710 of rings comprising x-ray generating material. To reduce the attenuation of x-rays in the substrate 5000, the cylinder 5102 may additionally have a notch 5002 near the x-ray generating rings 5710, comparable to the shelf illustrated in the previous planar target configurations. FIG. 27 presents a cross-section view of another embodiment of a rotating anode in the form of a cylinder 5105 as may be inserted into a system as was illustrated in FIG. 17A. As in the embodiment in FIG. 26, the cylinder 5105 is mounted on a rotating shaft 530, with a conducting core 5050 and an outer coating of a substrate material 5005, in which a set 5720 of rings comprising x-ray generating material 5721, 5722, . . . , 5726 are embedded. However, in the embodiment as illustrated, the cylinder is beveled at an angle in the region of the x-ray generating volume, and the take-off angle is at a non-zero angle θT, similar to the configuration for the planar geometry of FIG. 19C. The bevel angle is selected so that linear accumulation through the set 5720 of rings may still occur. Also illustrated in this embodiment, the cylinder 5105 may also be fabricated with a interface layer 5003, which may be provide a coupling between the beveled substrate 5005 and the core 5055. Other rotating anode designs, such as patterns of lines, checkerboards, grids, etc. as have been illustrated U.S. Provisional Patent Application Ser. No. 62/141,847 (to which the Parent Application of the Present Application claims the benefit of priority) as well various designs and structures illustrated in other planar embodiments of the present Application and the previously mentioned co-pending Applications may be used in these configurations as well. These rotating anode embodiments may additionally be fabricated using conducting and/or protective overcoats, as was previously discussed for use with planar targets. 5. Limitations and Extensions. With this application, several embodiments of the invention, including the best mode contemplated by the inventors, have been disclosed. It will be recognized that, while specific embodiments may be presented, elements discussed in detail only for some embodiments may also be applied to others. Also, details and various elements described as prior art may also be applied to various embodiments of the invention. While specific materials, designs, configurations and fabrication steps have been set forth to describe this invention and the preferred embodiments, such descriptions are not intended to be limiting. Modifications and changes may be apparent to those skilled in the art, and it is intended that this invention be limited only by the scope of the appended claims. |
|
061880760 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT Before explaining the disclosed embodiment of the present invention in detail it is to be understood that the invention is not limited in its application to the details of the particular arrangement shown since the invention is capable of other embodiments. Also, the terminology used herein is for the purpose of description and not of limitation. Operating Conditions for a Pulsed Capillary Discharge Lamp for Extreme Ultraviolet Lithography (EUVL) and Other Applications Such as Resist Exposure Tools, Microscopy, Interferometry, Metrology, Biology and Patholgy The pulsed capillary discharge lamp sources that can be used with these operating conditions can be those described in U.S. Pat. No. 5,499,282 to Silfvast; and parent U.S. application Ser. No. 08/815,283 to Silfvast et al., which are both assigned to the same assignee as the subject invention and which are both incorporated by reference. For purposes of clarification: the gaseous species excited within the capillary can be any of the following: 1. a pure, 100%. concentration of an atomic or molecular gas (which } may also include vaporized atomic and/or molecular materials) in either their neutral or ionized stats: acting as the radiating species; 2. a buffered gas mixture of an atomic or molecular gas or vapor in either its neutral form or ionized form with a second atomic or molecular gas wherein the first gas or vapor serves as the radiating species and the second gas serves as the buffering species. The buffered gas interacts with the discharge, thereby promoting effective operation which might include but is not restricted to any of the following processes: generation of appropriate plasma conditions (such as temperature and density), mechanism for either cooling the electrons and/or for cooling the system, and for, in the case of a vapor emitter, preventing vapor diffusion throughout the system such that the lamp operates in either heat pipe mode or as a pure metal vapor cell. An example of a metal vapor radiator useful in the subject invention is a lithium metal vapor operating at one or both of the following wavelengths: 11.4 nm and 13.5 nm. An example of a buffered metal vapor lamp useful in the subject invention is a lithium metal vapor heat pipe as indicated in FIG. 5, buffered by helium or other gas and operating at one or both of the following wavelengths: 11.4 nm and 13.5 nm. An example of a discharge source useful in the subject invention using a pure atomic or molecular gas is an oxygen lamp which contains a 100% concentration of oxygen operating on one or more of the following wavelengths in five times ionized oxygen: 17.3 nm, 15.0 nm, 13.0 nm, and 11.6 nm, as shown in FIGS. 2A and 2B. An example of a buffered gas mixture in a lamp useful in the subject invention is a first atomic or molecular gas with a second atomic or molecular gas is in a lamp which consists of oxygen as the radiating species, (operating on one or more of the following oxygen lines: 17.3 nm, 15.0 nm, 13.0 nm, and 11.6 nm.) buffered by any second gas such as one of the noble gases(helium, neon, argon, krypton, and xenon). The subject inventors observed intense oxygen emissions at approximately 17.3, 15.0, 13.0 and 11.6 nm, wherein the peak intensity per unit wavelength of oxygen at 13.0 nm is greater than that of a tin laser produced plasma at its peak intensity per unit wavelength. The peak emission at 17.3 nm has been observed to be three times higher than at 13.0 nm. Experimental evidence we obtained in a 1 mm bore capillary discharge as shown in FIGS. 2A and 2B for oxygen, and FIGS. 1 and 4 for xenon, suggests that gaseous radiators existing in partial pressures from approximately tens of millitorr up to approximately 20 Torr can intensely emit in the EUV. The range of currents and the range of pressures for operation will now be described. (1) Current Ranges for operation A lamp with a 1 mm capillary using any radiating species would operate within the following current ranges, whereby the minimum current represents the smallest current at which the required flux for the selected application is obtained, and the maximum current is determined by the current at which significant bore erosion begins to occur. For aluminum nitride capillaries this is anywhere between approximately 2000 to approximately 5500 Amperes; for silicon carbide capillaries between approximately 2000 to approximately 10,000 Amperes. Larger or smaller capillary bore sizes can be used consistent with the above current densities; for aluminum nitride capillaries: approximately 250,000 to approximately 700,000 Amperes per square centimeter; for silicon carbide capillaries: approximately 250,000 to approximately 1,300,000 Amperes per square centimeter. Other ceramic capillary materials can be operated in a range of currents from a minimum current density of approximately 250,000 Amperes/cm.sup.2 and a maximum current density which is determined by that current density at which significant bore erosion occurs (as determined by debris tests indicating reduced emission from the lamp after approximately 10.sup.8 to approximately to approximately 10.sup.9 pulses or window damage). (2) Range of Pressures for Operation For a capillary discharge lamp the radiating species can exist in a partial pressure range anywhere from approximately 0.025 to approximately 20 Torr, and a total pressure (radiator plus buffer partial pressure) no greater than approximately 50 Torr. Techniques and Processes to Mitigate Against Capillary Bore Erosion, Pressure Pulse Generation, and Debris Formation in Capillary Discharge-Powered Lamps Operating in the Extreme Ultraviolet (EUV) The capillary discharge lamp sources that can be used with these techniques and processes can be those described in U.S. Pat. No. 5,499,282 to Silfvast; and parent U.S. application Ser. No. 08/815,283 to Silfvast et al., which are both assigned to the same assignee as the subject invention and which are both incorporated by reference (A) Operational Ranges Erosion in ceramic capillary bores is substantially reduced if the operational current and current density are held to certain limits, and will be described in reference to FIG. 6. The range of operational currents in 1 mm capillary discharges is the following: for aluminum nitride capillaries, peak currents between approximately 2000 Amperes and approximately 5500 Amperes, and for silicon carbide capillaries, peak currents between approximately 2000 Amperes and approximately 10000 Amperes. The range of current densities for discharges in any size capillary is the following: for aluminum nitride capillaries, peak current densities between approximately 250,000 Amperes per square centimeter and approximately 700,000 Amperes per square centimeter, and for silicon carbide capillaries, peak current densities between approximately 250,000 Amperes per square centimeter and approximately 1,300,000 Amperes per square centimeter. (B) Preprocessing of the Insulator Material emissions from discharges in ceramic capillary bores is not constant over the life of the capillary and can be substantially decreased if, before the capillary is incorporated into a final lamp assembly, it is seasoned by exposure to a number of discharge current pulses, and will be described in reference to FIG. 7. From these figures, and analysis, the pre-treatment of capillary bores by passing discharge current pulses in the operational ranges described above is necessary to reduce discharge material emissions. Between approximately 1 and approximately 10,000 discharge pulses(for example 3,000 pulses using conditions in paragraph (1) for Characteristics common to all discharges . . . as described below, are required, and pulses above approximately 10,000 are not relevant to the process of emission mitigation. Pretreatment by discharge or other heat-treatment affects structural morphology of the ceramic bore. The morphological changes in the capillary bore wall are the essential causal factors resulting in material emissions decrease, and that means other than discharges can bring about the salutory changes. These other means can include, but are not limited to, laser drilling and laser heat treatment as shown in FIG. 8. (1) Characteristics Common to All Discharges in this Investigation of Bore Erosion. Capacitor bank with a total capacitance of 0.18 .mu.F(microfarads) is charged to voltage and discharged across a 1 millimeter nominal diameter by 6.35 mm long capillary in ceramic, either aluminum nitride (AIN) or silicon carbide (SiC). At 5 kV discharge voltage, the total stored energy is 2.25 J. so 1-2 Joules per shot is typical across the capillary. Repetition rate is variable up to a present maximum of 60 Hz The current-versus-time curve looks like a damped sinusoid with 460 ns full width for the first half cycle. The second half cycle peak is about -0.5 times the first half cycle peak. All discharge processing pulses were made with 10 Torr argon gas fill. (2) Bore Erosion Data Beginning with a virgin capillary, we fired 1000 shots at a given peak current We microscopically analyzed the capillary bore before and after each set of shots. Microanalysis measures average bore diameter at the capillary face and also at a point slightly (estimated approximately 0.25 mm) inside the bore from the face, this for both the high-voltage-facing side and the ground-facing side of the capillary. Hence four diameter measurements are made at each peak current, which are expressed as ablated mass amounts by assuming uniform wear down the entire length of the capillary (this is not always true). In some cases the bore begins to close up at one end; this is expressed as negative ablated mass amounts. Referring to the graph in FIG. 6, fifty milligrams ablated mass corresponds to a 33% diameter increase, or a 76% increase in bore cross-sectional area. Below approximately 5 kA, aluminum nitride capillaries show very little erosion. Extended discharge runs show bore erosion at the 0 to 6% level after 100,000 shots at 4 kA. Silicon carbide capillaries do not exhibit erosion out to 10 kA peak current (1.27 MA/cm.sup.2). FIG. 6 shows the stability of SiC capillaries even at the high peak current of 7500 A. Some very slight filling in of the ground side bore aperture is evident in these data at 10,000 shots. (3) Pressure Pulse Data Starting with virgin capillaries, we measured the pressure impulse (time-integrated overpressure) generated by the discharge by measuring mechanical impulse delivered to a moveable detector. While we have no data on the temporal form of the pressure wave from these measurements, an assumption is typically made that its extent is roughly that of the current, i.e. about half to one microsecond. Data from AIN capillaries (FIG. 7) show that an almost two order of magnitude decrease in impulse occurs over the first few thousand discharges. We call this the "break-in" or "seasoning" curve. Systematics suggest this is caused by vaporization of more volatile components in the capillary bore inner wall. Morphology changes are seen microscopically. Early results with ultra-thin windows provided by Sandia National Labs placed approximately 10 cm from the discharge show survivability from 3.5 kA discharge pressure pulses, but failure when the current was raised to 4 kA. However, this data as taken with unseasoned capillaries (around 1600 shots at less than 3 kA before the window test was tried). So that more extensive testing with seasoned capillaries can still be done. (4) Witness Plate Debris Data Plastic debris-collecting slides (22 mm square, approximately 160 mg each) were placed at approximately 5 and 10 cm from the discharge, with the top edge of the 5 cm plate slightly below the bore centerline and the 10 cm plate square to the bore centerline, hence partially shadowed by the 5 cm plate top edge. Weights before and after shot runs were recorded, using a scale with 100 microgram resolution and approximate 200 microgram reproducibility. Fogging observed was patterned, not uniform as would be expected for vapor diffusing. A clear shadow of the top of the 5 cm plate is seen on the 10 cm plates for all fogged sets. The as-laid transparent film which fogs after sitting on the shelf suggests oxidation of a very thin, perhaps metal, coating. No evidence of particulate deposition was seen in the fogged material when viewed microscopically, down to the resolution limit of the optical microscope (estimated at 0.5 micrometers). Atomic Force Microscope imaging can be done for future testing. Additional Materials for Construction of Capillary Discharge Devices for Euvl and Related Applications Any of the previous materials combinations claimed for a lithium discharge lamp can also be used in operating lamps that use other gaseous media as described above, as well as those described in U.S. Pat. No. 5,499,282 to Silfvast; and parent U.S. application Ser. No. 08/815,283 to Silfvast et al., which are both assigned to the same assignee as the subject invention and which are both incorporated by reference. These materials can be based on the following: any combination of metallic, electrically conducting electrodes and ceramic or insulating capillaries wherein the thermal expansions of the metallic and ceramic materials are closely matched to ensure the mechanical robustness of the lamp at its operating temperature, and such that the materials are resistant to damage or corrosion by the emitting gaseous species and the buffering gaseous species (if present). These include but are not limited to molybdenum as the metallic conductor and either aluminum nitride, alumina or silicon carbide as the ceramic insulator (as described in U.S. Pat. No. 5,499,282 to Silfvast; and parent U.S. application Ser. No. 08/815,283 to Silfvast et al. for use with lithium). For an oxygen emitter/helium buffered system, the above mentioned materials combination can be used, but more conventional and economic material combinations can be used including but not limited to Kovar metallic conductor and an alumina ceramic insulator. Capillary Configurations With Uniform Discharge and Differentially Pumped Discharge FIGS. 3A and 3B show two assemblies that utilize the capillary discharge EUV source. FIG. 3A shows an arrangement which maintains a uniform constant gas pressure along the length of the capillary discharge. FIG. 3B shows a configuration which utilizes the capillary bore itself as a solid-angle limiting aperture, giving a wide divergence of emitted EUV radiation at the expense of creating a gas pressure gradient across the length of the capillary. FIG. 3A shows an arrangement for producing and detecting EUV radiation using a capillary discharge source. Electrode 300 is charged to high voltage; as well, gas is fed to the cavity region contained by this electrode. This gas will contain the EUV radiating species, and in the simplest case, will be the radiating gas itself, such as but not limited to xenon gas. A discharge 304 is initiated between electrodes 300 and 306 which flows through and is contained by the capillary bore in the insulator 302. The electrode 306 can be a separate conductor within the assembly which completes the circuit, or it can simply be the grounded body of the lamp housing as shown. A differential pumping port 308 is a plug of solid material with a long narrow bore hole, such as but not limited to 1" thick stainless steel with a 1 mm diameter hole drilled there-through. The differential pumping port interfaces to a region 310 of high vacuum(less than approximately 0.01 Torr). The impedance to gas flow caused by the long narrow hole allows the maintenance of a substantial gas pressure gradient across the differential pumping port. As a result, the gas pressure along the capillary discharge 304 is kept very nearly constant while the EUV can be propagated 312, and detected and analyzed by a spectrograph detector 314, under a vacuum condition. The gas pressure profile versus position in this assembly is plotted in 316. The base pressure P at the discharge 318, can be maintained anywhere in a useful range from approximately 0.1 to approximately 10 Torr by adjusting the gas feed rate to the electrode 300. FIG. 3B shows as less constrained sources assembly. Electrode 350 can be fed with gas and charged to high voltage, and a discharge 354 to ground electrode 356 is contained by a capillary bore in insulator 352, all as was the case in FIG. 3A for 300, 304, 306 and 302, respectively. In this assembly, however, the capillary bore itself is used as the differential pumping port and the capillary directly interfaces the high vacuum region 358. The EUV emission 360 propagates in a much wider sold angle as shown. As a consequence, the gas pressure profile 362 shows a gradient along the capillary bore. Base pressure P, 364 is here in the range of approximately 0.1 to approximately 50 Torr. FIG. 3B shows the novel lamp configuration referred to as "differentially pumped capillary geometry" which allows a lamp that uses gases(as opposed to a lamp that operates with metal vapors) to operate without a window between the gaseous region and the optics that collects the radiation emitted from the lamp in the 11 nm to 14 nm wavelength region. Because of the very strong absorption of radiation in that wavelength region by all materials, including gasses, it is necessary in an EUV lithography system, as well as other applications, to operate the imaging system within a very low pressure environment having a pressure of less than approximately 0.01 Torr. Hence, a lamp would generally need a window to separate the region of the lamp operating in the 0.1 to 50 Torr. pressure region from the low pressure region(less than approximately 0.01 Torr) of the imaging system. Our differentially pumped capillary geometry allows for the operation of the lamp containing the radiating gas without the need of such as window. In the operation of this lamp, the gas is inserted at the opposite end of the discharge capillary from that where the radiation flux in the 11 nm to 14 nm radiation is collected. The pressure at that end of the capillary would be in the range of from approximately 0.1 to approximately 50 Torr. depending upon the particular gas and the desired emission characteristics of the lamp. The gas is pumped through the capillary by having a vacuum pump accessible to the opposite end of the capillary, the end where the radiation flux between 11 nm and 14 nm is collected and used in the desired optical system such as EUV lithography. As the gas is pumped through the discharge capillary the pressure drops approximately linearly such that it is at the necessary low pressure(less than approximately 0.01 Torr.) when it emerges from the capillary. The lamp is operated just like other lamps that have a constant pressure over the length of the capillary bore region by initiating a pulsed discharge current within the capillary. We have observed that there is sufficient pressure within the capillary, even at the low pressure side, to produce the desired emission form the lamp and yet the region beyond the lamp has sufficiently low pressure to allow for transmission of the radiation between 11 nm and 14 nm. The capillary itself acts as a retarding system for the gas as it flows through the capillary so that the usage of gas is at a very low rate. The gas can also be recycled back to the high pressure side for reuse. Lamp Configuration Structures for Lamps Using Gases and for Using Metal Vapors as the Radiating Species FIG. 5 shows a novel lamp configuration that can operate in the heat pipe mode having a wick on the front (window) side of the lamp. FIG. 5 shows a metal vapor heat pipe type lamp assembly suitable for generating EUV radiation from lithium vapor. The electrode 500 is charged to high voltage and contains in its cavity some pressure of lithium vapor 504 and a source of lithium such as a few grams of lithium metal or liquid lithium. A discharge 506 is generated between this electrode and an electrode completing the circuit, which can most simply be the grounded body of the lamp housing 510. The discharge is contained in the capillary bore 508 of the insulator 502. The plasma 508 will be ionized lithium and will radiate 522 useful narrow line emissions in the EUV. To maintain the lithium vapor pressure requires the use of a heater 514. heat sink 516, wick 512. and buffer gas 520. This is the principle of the heat pipe. Heater 514 can be a commercial high temperature resistive oven such as but not limited to a Lindberg model 50002. Heater 514 maintains an equilibrium vapor pressure between the lithium source in electrode 500 and the lithium vapor 504. Lithium vapor flowing out toward the cooler region of the assembly condenses as liquid lithium on the wick 512. Wick 512 can be a stainless steel woven wire mesh fabric with approximately 30 lines per inch or finer, which is rolled into a hollow cylinder shape and placed in contact with the inside tube walls of the heat pipe body 510. A temperature gradient across the wick is maintained by a cooling collar such as but not limited to a few(approximately 2 to 7) turns of refrigerated fluid(such as but not limited to chilled water) flowing through a coil of copper tubing and conductively contacting the heat pipe body 510 as shown. The temperature gradient thus created along the wick causes liquid lithium which has condensed on the wick to flow back toward the hotter region, to maintain the lithium vapor pressure on the EUV output side of the capillary. A buffer gas 520, such as but not limited to helium, is necessary for the operation of the heat pipe. In unheated regions, the system-wide gas pressure equilibrium is maintained by this buffer gas. In the vicinity of the wick 512, there is a transition region 518, where there are partial pressures of both lithium vapor and buffer gas. In this region, nearer the capillary, the lithium vapor dominates, and as the temperature decreases in going outward, the partial pressure of the buffer gas progressively increases. Pressures balance so that throughout the entire lamp assembly, the total pressure(sum of lithium vapor pressure and buffer gas pressure is a constant The region adjacent to the capillary must be maintained at a temperature equivalent to the temperature necessary to generate the desired lithium vapor density within the capillary. This will establish a lithium metal vapor in that region of the pipe. This vapor will diffuse into the capillary and rear electrode region, and will not condense there as long as these regions are maintained at a higher temperature. Thus within the capillary region is established a lithium metal vapor pressure equivalent to the saturated vapor pressure of the wick region adjacent to the capillary. A discharge is struck between the two electrodes 10, 30 such that the current passes through the ceramic capillary, exciting the lithium vapor, and generating soft x-rays. A buffer gas establishes a transition region in the pipe, on the window side, beyond which lithium vapor diffusion is sharply reduced. The heatpipe mode of FIG. 5 differ from that shown in FIG. 4 of the lithium heat pipe of U.S. Pat. No. 5,499,282 primarily in the placement of the wick. In that description, the wick is shown placed within the capillary itself and extending into the rear electrode region, opposite the window. In contrast the modified lithium heat pipe of subject invention FIG. 6 has a mesh wick 40 only on the front (window) side 90 of the lamp 1, extending up to, but not beyond the capillary 20, creating a more favorable environment for conduction through the lithium vapor within the capillary 20. The minimum capillary bore diameter will be pressure sensitive and of such a dimension so as to insure that sufficient collisions of electrons with ions occur to produce excitation of radiating states before the electrons collide with the capillary wall and are consequently de-energized. It will also be determined by the size below if it is difficult to initiate a pulsed discharge current within the capillary. Such a minimum diameter is of the order of approximately 0.5 mm. The maximum bore diameter is determined by the desire to keep the radiating flux to a minimal size so as to make it more readily adaptable to a condenser system for imaging purposes and also to keep the total current to a reasonable size and yet still provide the optimum current density desired. A reasonable maximum size would be on the order of approximately 3 mm. The minimum length of the bore should be no smaller that the capillary bore diameter. The maximum bore length should be sufficiently long to produce enough radiative flux for the selected application but not overly long so as to waste input energy to produce radiation that cannot be used because of being too far removed from the output end of the capillary. From geometrical considerations associated with radiating output flux, the bore length should be no longer than approximately ten bore diameters. Pass the 10 diameter bore length would restrict the radiation flux. Pre-Processing the Capillary Bore to Mitigate Against Bore Erosion Techniques for pre-processing the inner bore walls will now be described in reference to both FIGS. 7 and 8. FIG. 7 shows a graph of the reduction in the impulse produced on the axis of the capillary at a distance of approximately 10 cm beyond the end of the capillary as the number of pulses of discharge current are initiated within the capillary as the number of discharge current pulses are increased within the capillary. It is desirable to have this impulse minimized to prevent rupturing of a window or other optical element. This can be obtained either by subjecting the bore to a number of pre-operation pulses (3000 for the conditions shown in FIG. 8) or by heat treating the capillary bore surface with a laser or other means of heat treatment so as not to have a disruptive pressure pulse during operation that could possibly damage a window or other useful element that is located beyond the capillary region but in the path of the emitted radiation emerging from the capillary. Lasers have been used successfully for machining, heat treating, welding and the like. In the subject invention, the laser can be used to heat treat the region inside the capillary bore to make it more resistive to erosion. This treatment would occur by subjecting the surface of the capillary bore region, as shown in one embodiment in FIG. 8 to one or more pulses of high intensity laser radiation, in the intensity region of approximately 10.sup.6 to approximately 10.sup.11 W/cm.sup.2. The laser radiation would heat the entire bore region as it passes through the bore of the capillary. In some instances the lens can be adjusted along the axis to focus on different regions within the bore. FIG. 8 shows an example of preparing the capillary bore. Experimentally it has been discovered that gas pressure pulses emanating from the capillary on firing the discharge can be substantially reduced in magnitude by preliminarily firing the discharge a few thousand times. The effect is to drive all condensed volatile materials out from the capillary bore walls. Alternatively, a heat treatment using high power laser radiation can be applied to the capillary before it is mated to the lamp assembly. FIG. 8 shows a heat treatment technique. A high power pulsed laser beam 800, such as one generated from a laser such as but not limited to an excimer laser, a Nd:YAG laser, a copper vapor laser, carbon dioxide laser, and the like, sufficient to produce fluences on the order of approximately 10.sup.8 W/cm.sup.2 or higher at the capillary. Laser beam 800 will locally shock heat the capillary walls to near the melting point, is focussed by a converging lens 802 to a focal point 804 proximate and axially concentric to the capillary bore. The laser beam 800 would irradiate the bore region and produce sufficient heating to change the material structure of the bore to make it more durable and smooth than would be achieved by the process that formed the bore, such as the drilling process. Depending on the bore material used, laser pulses up to and larger than 1,000 or more can be used to achieve the required compensation change in the bore material. The concentrated light diverging just past the focus is intercepted by the capillary bore walls of the insulator 806 to be used in the EUV lamp assembly. Provided the F number of the lens is smaller than the length-to-diameter ratio of the capillary(approximately 6 or higher), most of the light will be intercepted by the bore and only a small fraction will pass through the bore. For complete coverage of the length of the capillary bore wall, the insulator can be translated axially and also flipped to present the opposite fact to the light. While the invention has been described, disclosed, illustrated and shown in various terms of certain embodiments or modifications which it has presumed in practice, the scope of the invention is not intended to be, nor should it be deemed to be, limited thereby and such other modifications or embodiments as may be suggested by the teachings herein are particularly reserved especially as they fall within the breadth and scope of the claims here appended. |
abstract | A spacer grid for use in a nuclear fuel assembly has double-deflected vanes that guide an axial flow of coolant around fuel rods and thereby generate swirl flow. The vanes each have a double bend projecting upwardly from first inner straps and projecting toward one fuel rod. The vanes are sufficiently wide at their bases to prevent inadvertent deformation due to contact with fuel rods during an insertion of fuel rods into the cells. The vanes also make a smooth variation in the cross-sectional area of the coolant channel at the outlet of the spacer grid, thus reducing a loss of pressure during reactor operation. |
|
abstract | A system and method for containing plasma and forming a Field Reversed Configuration (FRC) magnetic topology are described in which plasma ions are contained magnetically in stable, non-adiabatic orbits in the FRC. Further, the electrons are contained electrostatically in a deep energy well, created by tuning an externally applied magnetic field. The simultaneous electrostatic confinement of electrons and magnetic confinement of ions avoids anomalous transport and facilitates classical containment of both electrons and ions. In this configuration, ions and electrons may have adequate density and temperature so that upon collisions they are fused together by nuclear force, thus releasing fusion energy. Moreover, the fusion fuel plasmas that can be used with the present confinement system and method are not limited to neutronic fuels only, but also advantageously include advanced fuels. |
|
041742567 | claims | 1. Apparatus for the stable confinement of a fast rotating gaseous mixture of a heavy gas component and a light gas component comprising: an elongated reactor vessel constructed for nuclear fission reactions having a wall of circular cross section and end covers; means for cooling the reactor vessel; at least one supply pipe for supplying a gaseous mixture of heavy and light components to the interior of the vessel; means for imparting rapid rotation to the gas in the center of the vessel so that the heavy gas component, by means of a high pressure gradient caused by centrifugal forces, moves to the inside of the vessel wall and so that the light gas component forms a central gas column extending axially in the vessel, said means for imparting rapid rotation including means for ionizing the gas column, means for inducing an axial magnetic field extending the length of the vessel so that the gas in the vessel is subjected to the field, and means including at least one electrode mounted on at least one end cover of the reactor vessel in the center of the cover, from which an ionized column of light gas extends along the central rotation axis of the gas mass, for producing a radial electrical potential between the ionizing means and the vessel wall; means for flowing the heavy gas component in the form of a gas envelope axially along the vessel wall and then axially in an opposite direction; and supply and discharge pipes communicating with the ionized gas column, and a neutron reflector-moderator jacket mounted between the wall of the reactor vessel and the magnetic field-inducing means, said vessel wall being lined with electrode rings which are electrically insulated from each other, openings being provided in the inner surface of the wall of said reactor vessel which give access to annular cavities surrounded by cooling channels, said inner surface and the walls of the cavities being made of carbon or of a carbon compound. 2. Apparatus as in claim 1 including a vessel for implementing an enrichment process and a vessel for implementing a reprocessing operation, wherein a number of separation chambers are defined by a group of electrode rings or cylinders succeeding each other, the outermost rings or cylinders of which have the smallest diameters of the group while the interjacent rings or cylinders culminate from both sides in a largest diameter thus forming a bottle-shaped cavity, and wherein the heavy component to be separated in each separation chamber is discharged at the largest chamber diameter through outlets placed there, wherein a selective part of the heavy gas component is drained off at the largest diameter of a zone and fed back to a previous zone. 3. Method for effecting a rotation in a mass of light and heavy gas components contained in a rotationally symmetric housing comprising effecting a gas discharge arc functioning as a central electrode along an axially symmetric pattern along the lines of force of an axial magnetic field, applying a radially symmetric electric potential between this central arc and the enveloping wall of the housing, under whose influence ions move in a radial direction from the arc to the wall producing thereby a radial electric current in the gas normal to the axial magnetic field, whereby a force is exerted on the partially ionized gas, which force as a result of the rapid rotation produced in this way compensates the frictional forces between the gas and the wall and thus produces a controllable stationary rotation, whereby light gas components and heavy gas components are compressed at the wall according to a pressure exponentially increasing with the radius, the pressure increase being attended by a separation of light and heavy gas components, in such a manner that the mass of gas passes successively through different zones of the housing lying one behind the other, wherein the wall of the vessel includes electrodes which form hollow chambers through which an electrically conductive coolant is propelled under the influence of the magnetic field present and of the local artificial radial electric fields. |
claims | 1. A control rod drive mechanism, comprisinga lifting-lowering assembly;a mounting assembly; anda release assembly;wherein the mounting assembly is configured to mount a control rod;the lifting-lowering assembly comprises a fixing component, a scissor-type lifting-lowering mechanism and a lifting-lowering component; an end of the scissor-type lifting-lowering mechanism close to the fixing component is connected to the fixing component, and an end of the scissor-type lifting-lowering mechanism away from the fixing component is connected to the lifting-lowering component; the scissor-type lifting-lowering mechanism is configured to drive the lifting-lowering component to move close to or away from the fixing component;the release assembly is movably arranged on the lifting-lowering component, and is detachably connected to the mounting assembly; and the release assembly is configured to move relative to the lifting-lowering component when power is off, so as to release the mounting assembly; andthe release assembly comprises a slidable main body, an electromagnet, a fixing base and a first elastic component; the slidable main body is in sliding fit with the lifting-lowering component; the mounting assembly is detachably connected to the slidable main body; the electromagnet and the fixing base are arranged on the lifting-lowering component; two ends of the first elastic component are respectively connected to the fixing base and the slidable main body; the electromagnet is configured to attract the slidable main body when power is on; and the first elastic component is configured to drive the slidable main body to move on the lifting-lowering component in a direction away from the electromagnet when power is off, so as to disconnect the slidable main body from the mounting assembly. 2. The control rod drive mechanism of claim 1, wherein the slidable main body comprises a support frame, a first support block, a second support block and an armature; the support frame is in sliding fit with the lifting-lowering component; the armature is arranged on an outer wall of an end of the support frame near the electromagnet; the first support block and the second support block are arranged spaced apart on an inner wall of an end of the support frame away from the electromagnet; and the mounting assembly is straddledly arranged between the first support block and the second support block. 3. The control rod drive mechanism of claim 1, wherein a side of the lifting-lowering component close to the fixing component is provided with a guide sliding groove; the slidable main body is in sliding fit with the guide sliding groove; the electromagnet and the fixing base are respectively arranged in the guide sliding groove; a bottom wall of the guide sliding groove is penetratedly provided with a release hole, and the mounting assembly penetrates through the release hole to be detachably connected to the slidable main body; and when the mounting assembly is disconnected from the slidable main body, the mounting assembly moves in a direction away from the slidable main body through the release hole. 4. The control rod drive mechanism of claim 1, wherein the mounting assembly comprises a mounting component and a straddling component; an end of the straddling component close to the mounting component is connected to a side of the mounting component; an end of the straddling component away from the mounting component is straddledly provided on the release assembly; and a side of the mounting component away from the straddling component is configured for arrangement of a plurality of control rods. 5. The control rod drive mechanism of claim 4, wherein the mounting assembly further comprises a second elastic component; an end of the second elastic component close to the mounting component is arranged on a side of the mounting component close to the release assembly; and an end of the second elastic component away from the mounting component abuts against a side of the lifting-lowering component away from the fixing component. 6. The control rod drive mechanism of claim 1, wherein the scissor-type lifting-lowering mechanism comprises a scissor-type bracket and a drive component; the drive component is arranged on the fixing component; two ends of the scissor-type bracket are respectively connected to the fixing component and the lifting-lowering component; and a movable side of an end of the scissor-type bracket connected to the fixing component is connected to an output end of the drive component, so as to slide on the fixing component under drive of the drive component. 7. The control rod drive mechanism of claim 6, wherein the scissor-type lifting-lowering mechanism further comprises a connecting rod; the scissor-type bracket comprises a plurality of scissor-type brackets; a movable side of an end of each of the plurality of scissor-type brackets connected to the fixing component is connected via the connecting rod; the output end of the drive component is connected to the connecting rod; and the connecting rod is configured to drive the movable side of the end of each of the plurality of scissor-type brackets to synchronously slide on the fixing component under drive of the drive component. 8. The control rod drive mechanism of claim 7, wherein a first slide rail is provided on the fixing component; a first pulley is provided on the movable side of the end of each of the plurality of scissor-type brackets connected to the fixing component; the first pulley is in sliding fit with the first slide rail; a second slide rail is provided on the lifting-lowering component; a second pulley is provided on a movable side of an end of each of the plurality of scissor-type brackets connected to the lifting-lowering component; the second pulley is in sliding fit with the second slide rail; and the second slide rail is parallel to the first slide rail. 9. A reactor control system, comprising the control rod drive mechanism of claim 1. |
|
claims | 1. A mobile heat pipe cooled reactor system, comprising:a core block;a plurality of heat pipes comprising coolant, a portion of each of the plurality of heat pipes extending into the core block and another portion of each of the plurality of heat pipes extending outward from the core block;a plurality of fuel pins containing nuclear fuel, the plurality of fuel pins located within the core block and positioned proximate to the portions of the plurality of heat pipes extending into the core block;a decay heat exchanger located outside the core block and surrounding part of the portion of each of the plurality of heat pipes extending outward from the core block, the decay heat exchanger configured to perform decay heat removal;shielding located between the decay heat exchanger and the core block; andan active heat exchanger outside the core block and surrounding another part of the portion of each of the plurality of heat pipes extending outward from the core block, whereinthe plurality of heat pipes are operably connected to the core block, the decay heat exchanger and the active heat exchanger,the plurality of heat pipes are configured to transfer heat away from the core block to an outside of the reactor system through the active heat exchanger, the decay heat exchanger, or both, andthe decay heat exchanger is located closer to the core block than the active heat exchanger. 2. The mobile heat pipe cooled reactor system of claim 1, further comprising:a gas plenum located between the decay heat exchanger and the core block. 3. The mobile heat pipe cooled reactor system of claim 2, further comprising:a reflector located between the gas plenum and the core block. 4. The mobile heat pipe cooled reactor system of claim 3, further comprising:a lower plenum located between the decay heat exchanger and the active heat exchanger. 5. The mobile heat pipe cooled reactor system of claim 4, further comprising:an upper plenum, whereinthe active heat exchanger is located between the upper plenum and the lower plenum. 6. The mobile heat pipe cooled reactor system of claim 1, wherein the core block comprises a plurality of segments. 7. The mobile heat pipe cooled reactor system of claim 6, wherein the segments of the plurality of segments are mechanically and thermally isolated from each other. 8. The mobile heat pipe cooled reactor system of claim 7, wherein the segments of the plurality of segments are neutronically connected to each other. 9. A reactor system, comprising:a core block;a plurality of heat pipes, a portion of each of the plurality of heat pipes extending into the core block and another portion of each of the plurality of heat pipes extending outward from the core block;a plurality of fuel pins containing nuclear fuel, the plurality of fuel pins located within the core block and positioned proximate to the portions of the plurality of heat pipes extending into the core block;a decay heat exchanger located outside the core block and surrounding part of the portion of each of the plurality of heat pipes extending outward from the core block, the decay heat exchanger configured to perform decay heat removal; andan active heat exchanger located outside the core block and surrounding another part of the portion of each of the plurality of heat pipes extending outward from the core block, whereinthe decay heat exchanger is located closer to the core block than the active heat exchanger. 10. The reactor system of claim 9, further comprising:a gap between the decay heat exchanger and the core block. 11. The reactor system of claim 9, further comprising:shielding located between the decay heat exchanger and the core block. 12. The reactor system of claim 9, further comprising:a gap between the decay heat exchanger and the active heat exchanger. 13. The reactor system of claim 9, wherein the core block comprises a plurality of segments. 14. The reactor system of claim 13, wherein the segments of the plurality of segments are mechanically isolated from each other. 15. The reactor system of claim 14, wherein the segments of the plurality of segments are thermally isolated from each other. 16. The reactor system of claim 15, wherein the segments of the plurality of segments are neutronically connected to each other. 17. A reactor system, comprising:a core block;a plurality of heat pipes, a portion of each of the plurality of heat pipes extending into the core block and another portion of each of the plurality of heat pipes extending outward from the core block;a decay heat exchanger located outside the core block and surrounding part of the portion of each of the plurality of heat pipes extending outward from the core block, the decay heat exchanger configured to perform decay heat removal; andan active heat exchanger located outside the core block and surrounding another part of the portion of each of the plurality of heat pipes extending outward from the core block, whereinthe decay heat exchanger is located closer to the core block than the active heat exchanger. 18. The reactor system of claim 17, further comprising:a gap between the decay heat exchanger and the core block. 19. The reactor system of claim 17, further comprising:shielding located between the decay heat exchanger and the core block. 20. The reactor system of claim 17, further comprising:a reflector located between the decay heat exchanger and the core block. |
|
046506390 | description | DETAILED DESCRIPTION FIGS. 1 and 2 show a partitioning constituted by vertical flat partitions 1 assembled at right angles and contiguously disposed with respect to the outer side faces of the peripheral assemblies 2 of the reactor core. FIG. 1 shows that the partitioning is constituted by four preassembled stepped parts 3a, 3b, 3c and 3d and four flat parts 4a, 4b, 4c and 4d assembled by means of eight angle pieces 5a to 5h. The partitioning containing the core is itself surrounded by the core casing 7 constituted by a cylindrical shell with a height greater than the height of the core. FIGS. 1 and 2 show that, between the partitions 1 and the core casing 7, horizontal reinforcing pieces 8 are disposed whose spacing decreases from the bottom part to the top part of the core. FIG. 3 shows that the angle pieces 5 are fixed to the reinforcing pieces 8 by screws 9 and that the partitions 1 are themselves fixed on these reinforcing pieces 8 by screws 10. The partitions 1 are fixed together where they join at right angles such as 12 in FIG. 3, by means of screws 11 passing through the whole thickness of one of the partitions and entering and screwing into the other partition in the direction of its width. FIG. 2 shows that the core is bounded at its lower part by the lower plate 14 of the core and at its upper part by the upper plate 15 of the core. These plates are pierced by openings allowing passage of the water which passes through the core in the direction and sense of the arrow 18. In the upper part of the core casing 7, openings 19 allow passage of the water filling the reactor vessel, inside the space between the partitioning and the core casing, this water running vertically through this space, in the direction of the arrows 20. At the upper part of the partitioning, the difference in pressure between the inner region of this partitioning and the outer region constituting the space in which the water for cooling the partitioning circulates in the direction of the arrows 20, is maximum and has a value of approximately 2 bars. In the case of a nuclear reactor including a partitioning whose joins 12 include only a small number of screws 11, in the upper part of the partitioning, jets of pressurized water pass through these joins 12 and can set up vibrations in the rods disposed outside peripheral assemblies 2 of the core disposed near these joins 12. Joins such as 13 do not have this disadvantage since the joins 13 tend to close under the effect of the pressure and the pressurized jets capable of entering these joins are directed tangentially with respect to the rods of the neighbouring assembly. The method of eliminating leakage spaces according to the invention is therefore essentially applied to closure of leakage spaces at the joins 12, in the case of operating nuclear reactors including a small number of screws at these joins 12. FIGS. 4 and 5 show an apparatus allowing elimination of leakage spaces at a join 12, between two partitions 1, with the reactor core under water, during a period when the reactor is shut down. The support stand of the apparatus, represented in FIG. 4, includes a mast 100 connected at its lower part to two girders 101 and 102, themselves braced by tie beams 103. The faces 104 and 105 of these girders constitute a running track for a movable plate 106 whose vertical movement can be obtained by the action of the screw 107 and the nut 108. Rotation of the screw is controlled by the motor 109. The case 25 of the apparatus is made solid with the plate 106 by a rail (not represented), which itself allows displacement in two perpendicular horizontal directions, these displacements being controlled by actuators. The girders 101 and 102 each include a stud 110 and 111 which in service are engaged in corresponding bores 120, 121 of the lower core plate 14. The support stand also includes an arm 112 provided with a yoke 113 which comes to bear on the upper edge of the partition 1. The mast 100 is hollow and serves as guiding conduit for the various cables 113 for supplying and controlling the apparatus. The mast 100 is fixed at its upper part to a hoist (not represented) fixed on a carriage positioned above the swimming pool of the reactor which is capable of being displaced in the two directions of the horizontal plane. In a known way (not represented), this apparatus also includes a television camera allowing the joins in the partitioning which are being worked on to be identified and positioning of the apparatus at these joins to be controlled. The apparatus is represented in FIG. 5, in working position at the join 12. FIGS. 4, 5 and 6 show that the apparatus for eliminating leakage spaces is constituted by a case 25 bearing on its front face 26 an axial stop 27 provided with a sealing apparatus 28 at an opening 29 allowing sealed connection of this case with the partition 1 parallel to the junction 12. The face 26 of the case also bears an adjustable side stop 30 and a vertical expansion-screw magazine 31 whose bottom part constitutes an apparatus 32 for loading screws inside the case. An hydraulic actuator 33 is fixed to the loader 32 allowing screws to be pushed into the case, for loading. Inside the case 25, a six-position drum 36, bearing six different tools allowing the successive operations for closing the join 12 to be carried out, is mounted to rotate about the horizontal shaft 35 of the case. On the rear part of the drum six holes are machined, such as 37 and 38, each corresponding to the position of a tool and disposed at 60.degree. with respect to rotation of the drum about the shaft 35. A spring finger disposed on one of the side faces of the case 25 and controlled in its withdrawal direction by an actuator and in the other direction by the spring allows, when introduced into one of the openings such as 37 and 38, the drum to be fixed in an angular position to carry out one of the operations at the join 12. The angular movement of the drum is controlled by a rack 40 solid with the rod of an hydraulic actuator for displacing this rack 40 in translation which drives a gear 41 mounted via a free wheel 42 on a gear 43 which itself drives a toothed crown 44 machined on the rear part of the drum 36. Operation of the free wheel 42 allows driving of the gear 43 and the drum from the movement of the rack in one direction whereas this driving is not carried out for displacement of the rod of the actuator and the rack 40 in the other direction. The length of the rack 40 allows a complete rotation of the drum to be carried out by means of moving the actuator in one direction. The rear face 46 of the case bears an hydraulic actuator 45 whose rod is solid with a pusher 47 movable inside a guiding cylinder 48 having a window 49 over one part of its length. The pusher 47 bears a spur 50 moving inside the window 49. In FIG. 4, the spur 50 is represented in the rear position and, in this position, the sleeves such as 51, which in certain toolings are borne by the drum 36, in the working position in the case engage an opening 52 on the spur 50 so that displacement of the sleeve and the tool it contains can be achieved by means of the actuator 45. The actuator 45 can thus serve to advance the boring tools borne by the drum and withdraw them. FIG. 5 shows two boring tools, one of which is in the working position inside the case. This boring tool is constituted by a double drill 54 including a front part for boring a pilot hole and a rear part for boring a hole in the partitions 1. This drill 54 is fixed by a screw 55 inside a drill-holder 56 mounted to rotate inside the sleeve 51 by means of two ball bearings 57 and 58. At its rear part, the drill-holder 56 is solid with a gear 59 engaging with a toothed wheel 60 which is itself solid with a second toothed wheel 61 engaged with a gear 62 driven by an hydraulic motor 63 borne by the rear face 46 of the case 25. Rotating of the hydraulic motor 63 thus allows the drill 54 to be turned to bore the partitions 1, when the hydraulic actuator 45 for advance is supplied for the boring direction. FIG. 5 also shows a second drill 65 allowing the part of the hole pierced by the drill 54 inside the first partition to be widened. This drill 65 is solid with a drill-holder 66 mounted to rotate inside a sleeve 67 mounted in the drum 36 and having a groove 68 which comes into position on the spur 50, when the drill 65 is brought into the working position by rotation of the drum 36. The drill 65 is rotated by the kinematic chain constituted by the crowns 60 and 61 and the gear 62 solid with the shaft of the motor 63, via a gear 70. FIG. 7 shows the position of the different tools equipping the drum. The drills 54 and 65 have already been described with reference to FIG. 5, these two tools being disposed at 60.degree. in successive positions on the drum with respect to the direction of rotation of the latter indicated by the arrow 71. The other tools borne by the drum, all disposed at 60.degree. to each other, are constituted, in order of use, by the tap 72, a tube 73 for cleaning the hole after machining, a screwdriver 74 for screwing up and locking, and a punch 75 for locking the screw. All these tools are driven by the actuator 45 and/or the hydraulic motor 63 via driving members similar to those described for the drills 54 and 65. FIGS. 8 and 9 show a screw 80 for assembling the partitions 1a and 1b, this screw including a head 80a inside which an opening 82 of hexagonal section is provided, ending in a shoulder 81 intended to come to bear on the end surface of the widening of the hole in the plate 1a, a median part 80b including the thread of the screw and an end part 80c for radial expansion. This part 80c is constituted by four sectors which can be spaced apart by a control rod 84 disposed in a central bore passing through the whole screw. In FIG. 8, the rod 84 is in the position to lock the screw while in FIG. 9 the central rod 84 is in the position before locking the screw. To describe a complete operation of fixing a screw in the partitions 1a and 1b between which there is a leakage space 12, reference will now be made to FIGS. 10 to 15. At the beginning of the operation, the tooling is lowered into the vessel by the hoist to which the mast 100 is hooked so that the studs 110 and 111 come to engage in the bores 120 and 121 and the yoke 113 bears on the plate 1. Actuating the motor 109, the tooling is positioned vertically at the required level of the join of the partitions 1a and 1b which have been previously identified. Identification can be carried out by introducing shims into the various joins between the partitions so as to determine which have a leakage space to be eliminated. The tooling is then brought into the position represented in FIG. 10 by displacement of the case 25 with respect to the plate 106, by means of actuators allowing displacement of the case in the direction of the shaft 35 of the drum and in the perpendicular horizontal direction. The drill 54 is then brought into the working position by rotating the drum and then operated by means of the hydraulic motor 63 and the actuator 45, to make the bore through the whole thickness of the partition 1a and for a certain length in the direction of the width in the partition 1b, as represented in FIG. 9. The drill 54 is then put back in the rear position inside the case 25 before the drill 65 is brought into the working position by rotating the drum by 60.degree.. For each drum position, the spring locking finger allows the tooling to be kept exactly in position in the centre of the opening 29 of the case 25. As FIG. 11 shows, the drill 65 allows the hole inside the plate 1a to be widened and the bearing surface 85 for the screw head to be made. As FIG. 12 shows, the tap 72 allows the hole inside the plate 1b to be machined over a certain length for screwing a joining element such as the screw 80. The drills 54 and 65 and the tap 72 are rotated by means of the hydraulic motor 63 and they are moved forward by means of the actuator 45. FIG. 13 shows the cleaning tube 73 brought into working position by means of the actuator 45 inside the bore, its outlet end being disposed near the bottom of the hole pierced inside the plate 1b. A pressurized water supply connected to the case 25 allows a jet of pressurized water to be sent into the hole via the cleaning tube 73 which drives the swarf from the partitions to be recovered inside the case which is kept under slightly lower pressure. In practice, it is necessary to avoid metal particles remaining in the reactor vessel where they could be entrained to any point in the primary circuit when the reactor is restarted. During boring of the hole by means of the drill 54, a screw 80 is supplied in its transporting tube 86 shown in FIG. 13. This transporting tube 86 is mounted solid with the drum and the screwdriver 74 slides inside this tube 86, by means of the actuator 45. When the tube 86 and the screwdriver 74 are brought into the working position opposite the hole 29 in the case 25 in alignment with the hole pierced in the partitions, the screw is simply pushed, by means of the screwdriver 74 which is engaged in the opening in the screw head, up to a position which allows screwing of it inside the hole in the partition 1b to be started. Screwing is then continued by rotation of the screwdriver 74 until the leakage space between the two partitions at the screw 80 has been eliminated or reduced so as to avoid any leaking of pressurized water. The punch 75, as represented in FIG. 15, is then brought into working position. The actuator 45 acting on the punch 75 allows the rod 84 to be moved forward into the position represented in FIG. 8 where this rod spaces apart the sections of the end part 80c of the screw so as to lock it inside the hole in the partitions 1a and 1b. The outer surface of the end part 80c of the screw has toothing allowing an improved locking of this screw in the axial position. Any risk of the screw 80 unscrewing while the nuclear reactor is working can thus be avoided, without a welding operation being required to lock this screw against rotation. When the set of operations for fixing a screw has been completed, the machining head is displaced with respect to the movable plate so as to move this tooling away from the partitions and bring it into a new vertical position along the stand which allows machining and fixing of a screw 80 at another location on the join between the partitions 1a and 1b. The leakage space between these partitions can thus be completely eliminated by positioning the screws with a certain spacing in the vertical direction. When the operation for the join between the partitions 1a and 1b has been completed, the tooling is displaced to another join between two other partitions where a leakage space has been found to exist. FIG. 16 shows a variant embodiment of the screw for fixing the partitions. The end of the rod 84' includes a groove 90 in which the end of the sectors comes to engage constituting the end part 80'c of the screw which acts as axial locking spur for this rod with respect to the screw. Thus, no sliding is possible between the rod 84 and the screw 80. The upper end of the rod 84 also includes an enlargement 91 which allows retention of the screw head 80a even if the median part 80b of the screw breaks. The principal advantages of the method and apparatus according to the invention are clearly that they allow play between the partitions of the core of a nuclear reactor already in service to be definitely eliminated, since all the operations can be carried out under water and at a distance, without introducing metal particles inside the vessel of the reactor from the operations of machining the partitions. In addition, fixing of the screws on the partitions is highly reliable and highly efficient as regards elimination of play so that there is no longer any necessity to check the joins between the partitions of the core, after this operation has been carried out. The invention is not, however, limited to the embodiment described; it includes all the variants thereof. Thus, expansion screws differently shaped to those described are conceivable. Use of a tooling for boring the partitions and positioning and locking the screws different to a drum tooling is also conceivable. In addition, in the drum tooling as described, the number of working positions of the drum can be other than six and a seven-position drum can be used for arranging seven tools, for example. This solution allows two taps to be used, for example. Lastly, the use of the method and apparatus according to the invention is conceivable in the case of any pressurized water nuclear reactor already in service and whose partitions may have leakage spaces. |
046541900 | abstract | An emergency feedwater system for the steam generators of a pressurized water nuclear reactor has two separately located subsystems, each subsystem supplying water to at least one steam generator when activated, where each subsystem contains an emergency feedwater supply tank, and a pair of emergency feedwater lines leading from the tank which communicate with the inlet line of a steam generator. An electrical operated motor driven pump is located in one of said pair of emergency feedwater lines and a steam turbine driven pump in the other of said pair, with a cavitating venturi provided in an emergency feedwater line between the pump and inlet line of the steam generator. The system is adaptable for use with two, three, and four loop pressurized water reactor systems. |
043022952 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention: This invention relates to a nuclear fuel element having a tag gas sealed therein for minimizing the accident such as breakage of a cladding tube. 2. Description of the Prior Art: A number of fuel assemblies are arranged in a nuclear reactor. The fuel assembly used in a BWR consists of a number of fuel elements (rods) arranged in a lattice form within a channel. In a first breeder reactor, the fuel assembly consists of a number of fuel elements (pins) arranged in a honeycomb form within a wrapper tube. The fuel element is prepared by loading in a laminate form a number of pellets within a cladding tube and has plenum in the upper portion. The pellet is prepared by compression molding, followed by sintering, of a fuel material such as uranium dioxide. The fuel element thus prepared is subjected to fission reaction within a reactor and the tremendous heat generated by the fission reaction is taken out by a coolant. When the cladding tube has been broken undesirably during the reactor operation, the fission product leaks within the reactor, resulting in contamination in and around the reactor as well as in trouble in the reactor operation. In order to detect breakage of the cladding tube in an early stage for preventing a serious accident, it is customary to seal a tag gas of particular composition within a cladding tube. If the cladding tube within the reactor has been broken, the leaked tag gas is detected, rendering it possible to know from the composition of the leaked tag gas which of the cladding tubes has been broken. Such a method of detecting an accident is called a gas tagging and the fuel element used is called a tag gas-sealed fuel element. A conventional tag gas-sealed fuel element is of the type that a particular capsule having a tag gas-sealed therein is loaded in a cladding tube. The tag gas is released by some means from within the capsule into the cladding tube. For example, a conventional element is disclosed by C. A. Strand and R. E. Schenter in "Nuclear Technology", Vol. 26, pp. 472-479, August 1979. In this case, a tag gas-sealed stainless steel capsule having a thin film portion is loaded in the plenum of a cladding tube and a fuel element is assembled by sealing the both ends of the cladding tube. The thin film portion of the capsule is broken by a magnetically moving penetrator so as to release the tag gas within the cladding tube. Also known is a method in which the thin film portion of the capsule is broken by a penetrator which is moved by thermal expansion in accordance with temperature elevation of the reactor. Japanese Patent Publication No. 22635/78 discloses an additional technique. In this case, a capsule containing a tag gas is sealed by an alloy having a melting point lower than the operation temperature of the reactor so as to permit the alloy to be melted during the reactor operation for releasing the tag gas within the cladding tube. In general, the tag gas leaked within the reactor vessel by breakage of the cladding tube is detected by a mass spectrometer as shown in FIG. 1 of "Nuclear Technology", Vol. 26, p. 473 mentioned above. The conventional tag gas-sealed fuel element described above leaves room for further improvements. Specifically, where tag gas-sealed capsule having a thin film portion is loaded in a cladding tube, the fuel element must be assembled very carefully so as not to break the thin film portion, leading to a very inefficient assembly work. Further, the assembled fuel element must be handled very carefully for preventing the thin film from being broken undesirably. Still further, it is extremely difficult and troublesome to seal a tag gas-containing capsule with a thin film. The technique utilizing a penetrator which is moved by thermal expansion for breaking the thin film gives rise to difficulty in achieving construction of the assembly which permits imparting the penetrator with a sufficient force for breaking the thin film, resulting in a low reliability. The technique utilizing a sealing alloy having a low melting point is also unsatisfactory in reliability. Specifically, the sealing alloy tends to peel off or drop because of the impulse or vibration in the constructing step of the fuel element unless the alloy is firmly bonded to the capsule. Further, when it is required to release the tag gas within the cladding tube before operation of the nuclear reactor, many portions of the fuel element must be heated from outside, resulting in that bad influences are given to the fuel element material. In addition, the alloy having a low melting point fails to be melted in some cases during operation of the nuclear reactor because of insufficient heat conduction. SUMMARY OF THE INVENTION An object of this invention is to provide a tag gas-sealed nuclear fuel element putting the impulse and vibration in the step of assembly and transportation of the fuel element out of the question and, thus, easy to be assembled and handled. Another object is to provide a fuel element which permits carrying out a gas tagging by a very simple and reliable means without using gas tagging capsules. According to this invention, there is provided a nuclear fuel element having a tag gas-implanted metal foil disposed within a cladding tube together with a fuel material. Isotopes of xenon, krypton, etc. used as the tag gas are implanted into the metal foil by ion implantation method. The metal foil is formed of a material which is not harmful to the nuclear reactor operation including, for example, aluminum or an alloy thereof, stainless steel and zirconium or an alloy thereof which is also used in some cases as an oxygen getter in a light water-type reactor. |
claims | 1. A scintillator panel for converting radiation into scintillation light, the scintillator panel comprising:a substrate having a front surface and a back surface;a plurality of scintillator sections formed on the front surface of the substrate so as to be separate from one another, and having upper surfaces and side surfaces extending from the upper surfaces toward the front surface of the substrate;a solvent permeation blocking film formed on the upper surfaces and the side surfaces of the scintillator sections so as to cover the upper surfaces and the side surfaces of the scintillator sections; anda light shielding layer formed on the solvent permeation blocking films, and for shielding the scintillation light;wherein each scintillator section is composed of a plurality of columnar crystals of a scintillator material,the solvent permeation blocking film is formed so as not to fill gaps between the side surfaces of the scintillator sections adjacent to one another,the light shielding layer is formed on the solvent permeation blocking films on the side surfaces of the scintillator sections so as to fill the gaps,the solvent permeation blocking film is integrally formed on both the upper surface and the side surface of each scintillator section and the solvent permeation blocking film is transparent to scintillation light,the gap between the portions of the solvent permeation blocking film on the side surfaces of the scintillator section is tapered andthe scintillator sections are formed periodically in a two-dimensional array. 2. The scintillator panel according to claim 1, wherein the light shielding layer is formed on the solvent permeation blocking film on the side surfaces of the scintillator sections, so as to cover the side surfaces of the scintillator sections. 3. The scintillator panel according to claim 1, wherein the light shielding layer is further formed on the solvent permeation blocking film on the upper surfaces of the scintillator sections, so as to cover the upper surfaces of the scintillator sections. 4. The scintillator panel according to claim 1, wherein a plurality of convex portions are formed periodically in a two-dimensional array and projecting from the front surface in a direction from the back surface toward the front surface of the substrate, and concave portion defined by the convex portions are formed on the substrate, andthe scintillator sections are respectively formed on the upper surfaces of the convex portions. 5. The scintillator panel according to claim 4, wherein the solvent permeation blocking film is further formed on side surfaces of the convex portions so as to cover the side surfaces of the convex portions. 6. The scintillator panel according to claim 4, wherein the solvent permeation blocking film is further formed on a bottom surface of the concave portion so as to cover the bottom surface of the concave portion. 7. A radiation detection device comprising:a substrate having a plurality of photoelectric conversion elements, a front surface, and a back surface;a plurality of scintillator sections formed on the front surface of the substrate so as to be separate from one another, and having upper surfaces and side surfaces extending from the upper surfaces toward the front surface of the substrate, the scintillator sections optically coupled to the photoelectric conversion elements,a solvent permeation blocking film formed on the upper surfaces and the side surfaces of the scintillator sections so as to cover the upper surfaces and the side surfaces of the scintillator sections; anda light shielding layer formed on the solvent permeation blocking films, and for shielding the scintillation light;wherein each scintillator section is composed of a plurality of columnar crystals of a scintillator material,the solvent permeation blocking film is formed so as not to fill gaps between the side surfaces of the scintillator sections adjacent to one another, andthe light shielding layer is formed on the solvent permeation blocking films on the side surfaces of the scintillator sections so as to fill the gaps,the solvent permeation blocking film is integrally formed on both the upper surface and the side surface of each scintillator section and the solvent permeation blocking film is transparent to scintillation light,the gap between the portions of the solvent permeation blocking film on the side surfaces of the scintillator section is tapered, andthe scintillator sections are formed periodically in a two-dimensional array. 8. The radiation detection device according to claim 7, wherein the light shielding layer is formed on the solvent permeation blocking film on the side surfaces of the scintillator sections, so as to cover the side surfaces of the scintillator sections. 9. The radiation detection device according to claim 7, wherein the light shielding layer is further formed on the solvent permeation blocking film on the upper surfaces of the scintillator sections, so as to cover the upper surfaces of the scintillator sections. 10. The radiation detection device according to claim 7, wherein a plurality of convex portions are formed periodically in a two-dimensional array and projecting from the front surface in a direction from the back surface toward the front surface of the substrate, and concave portion defined by the convex portions are formed on the substrate,the convex portions are formed so as to correspond to each of the photoelectric conversion elements, andthe scintillator sections are respectively formed on the upper surfaces of the convex portions. 11. The radiation detection device according to claim 10, wherein the solvent permeation blocking film is further formed on side surfaces of the convex portions so as to cover the side surfaces of the convex portions. 12. The radiation detection device according to claim 10, wherein the solvent permeation blocking film is further formed on a bottom surface of the concave portion so as to cover the bottom surface of the concave portion. |
|
summary | ||
048184772 | summary | BACKGROUND OF THE INVENTION The present invention relates to a method and apparatus for minimizing the effects of pellet-cladding interaction (PCI) in nuclear fuel rods. The present invention also relates to coated nuclear fuel pellets and more particularly to fuel pellets coated with a burnable poison. It is known that nuclear fuel may have various shapes such as plates, columns, or fuel rods comprising fuel pellets disposed in end-to-end abutment within a tube or cladding made of a zirconium alloy or stainless steel. Such fuel pellets contain fissionable material, such as uranium dioxide, plutonium dioxide, or mixtures thereof. The fuel rods are usually grouped together to form a fuel assembly. The fuel assemblies are arranged together to constitute the core of a nuclear reactor. The nuclear fuel pellets in a fuel rod may interact with the tube or cladding in an undesirable manner. Theoretically, the PCI phenomenon may even result in cladding fracture whereby the fuel pellets are exposed to reactor coolant water resulting in the introduction of radioactive fission products into the coolant. One method of controlling PCI is to position cushioning layers of non-fuel material between the fuel and the cladding. Such layers may be attached to either the fuel outside diameter or to the cladding inside diameter. Either is sufficient as long as the layer prevents direct contact between the fuel pellets and the cladding. U.S. Pat. No. 3,427,222 describes coating of burnable poisons applied to fuel pellets of the above-described configuration. One of the preferred designs of this patent is a coating of pure zirconium diboride (ZrB.sub.2) applied to the fuel pellets as a layer of about 5 to 10 microns (0.2 to 0.4 mils) thick although coatings in the range of 0.02 to 5.0 mils are discussed. However, to achieve the proper separation of the fuel and cladding and to prevent undesirable PCI, a layer of 10 to 100 microns (0.4 to 4 mils) thick is desirable. Such relatively thick layers should be good conductors of heat, such as zirconium diboride, so as not to unduly interfere with heat exchange between the fuel pellets and the coolant. Unfortunately, if zirconium diboride or other known burnable poisons were added to all of the fuel pellets in a reactor with no regard to the amount of boron-10 (an isotope constituent of natural boron) or other neutron absorbing isotopes present, and in thicknesses of 10 to 100 microns, the reactor core would contain too much poison to operate. In other words, the burnable poisons would more than compensate for the excess reactivity of the core. As used herein, burnable poisons comprise neutron absorbing poisons which burn faster than the nuclear fuel. A reactor core is typically operated to produce heat which is converted to steam. The steam may then be used to produce electricity or for other purposes. When a new reactor starts, its core is often divided into a plurality, e.g. three, groups of assemblies which may or may not be distinguished by their position in the core but which are usually distinguished by the enrichment of the nuclear fuel in the fuel pellets. For example, a first batch or region may be enriched to an isotopic content of 2.0% uranium-235. A second batch or region may be enriched to 2.5% uranium-235 and a third batch may be enriched to 3.5% uranium-235. After ten to eighteen months of operation, the reactor would typically be shut down and the first batch would be removed from and replaced by a new batch, enriched to about 3.5% uranium-235. Subsequent cycles would repeat this sequence at intervals in the range of from about eight to eleven months. Refueling as described above is required since the reactor operates as a nuclear device to produce heat only as long as it remains a critical mass. In order for a core to remain a critical mass at the end of a given period of operation, it must possess excess reactivity, k, at the beginning of operation. Power reactors are typically provided with sufficient excess reactivity at the beginning of a cycle to allow operation for a period of about six to eighteen months. Since a reactor operates only slightly supercritical, the excess reactivity supplied at the beginning of a cycle must be counteracted. It is for this reason that various schemes such as partial insertion of control rods or adding neutron absorbing poisons to the core or fuel are used. Combinations of the above-described control methods may be employed to improve the efficiency of control of excess reactivity as evident by U.S. Pat. No. 3,349,152; U.S. Pat. No. 3,372,213, or U.S. Pat. No. 3,427,222; and EPRI Report NP-1974. The use of control rods to control excess reactivity introduces a disadvantage in that it effectively removes part of the active core. This removes part of the moderator as well and makes the reactor less efficient in its creation and use of neutrons. The use of neutron absorbing poisons in the coolant is better in terms of efficiency but is limited by other considerations. For example, a boiling water reactor can use practically no water soluble salt with poisons in its coolant since these salts would be left on the fuel rods and interfere with heat transfer and would also accelerate corrosion as the coolant water evaporated to form steam. The amount of burnable poison used in pressurized water reactor coolant (chemical shim) is limited by the fact that as the reactor heats up, some of the coolant is forced out of the core by thermal expansion. Since the coolant is both a moderator and the poison, the reactivity of the core will not progressively increase as the reactor heats up unless the coolant is more poison than moderator. This condition of progressive increase in reactivity during heat up by forcing soluble poison out of the core with moderator water occurs when the coolant contains more than about 1200 ppm of natural boron in solution. While boron may be used as chemical shim to counteract excess reactivity for a period of five to six months, for the reasons stated above, it cannot be used as a chemical shim if a longer cycle is desired. Under those circumstances either control rods must be used or some other form of burnable poison supplied. Incorporation of burnable poison in fuel assemblies has been recognized in the nuclear field as an effective means of increasing fuel capacity and thereby extending core life. Burnable poisons are used either uniformly with the fuel (i.e. distributed poison) or placed discretely on separate elements in the reactor, so arranged that they burn out or are depleted at about the same rate as the fuel. Thus, the net reactivity of the core is maintained relatively constant over the active life of the core. U.S. Pat. No. 3,427,222. It is known that nuclear fuel contained in an aluminum can may be coated with a layer of niobium to prevent the fuel from reacting with the can (U.K. Pat. No. 859,206). It is also known that minute nuclear fuel particles, such as uranium dioxide particles may be coated with a single layer or several layers of the same or different non-poison materials, including niobium for such purposes as protecting the fuel from corrosion and helping to retain the products of fission. The coating may be applied by various techniques such as depositing from a vapor of the coating material, depositing from a decomposing vapor, and electroplating (U.K. Pat. No. 933,500). Japanese Pat. No. 52-3999 describes a nuclear fuel which is first coated with a thin layer of material such as niobium to absorb fission fragments and then coated with a main coating material such as zircoloy. This reference is not concerned with burnable poison coatings. In Dispersion Fuel Elements, an AEC monograph by A. N. Holden published in 1967 by Gordon and Breach of New York, there is described coating fuel particles in dispersion fuels to prevent chemical interaction of the particles with the matrix and to retain fission products (page 30). Uranium dioxide coated with niobium by vapor phase reduction is also discussed (page 48). Also discussed is uranium dioxide coated with chromium, by vapor phase reduction using chromium dichloride, which was deposited over a niobium undercoat (page 48). Accordingly, it will be appreciated that there remains an unsolved need for a fuel assembly design wherein a protective layer is provided between substantially all of the fuel pellets and the cladding in the core and at the same time introducing an appropriate amount of burnable poison into the core to control excess reactivity and enhance operating efficiency. SUMMARY OF THE INVENTION It is therefore an object of the present invention to provide a fuel assembly comprising fuel rods containing pellets having a coating operable to reduce PCI. It is a further object of the present invention to provide a fuel assembly comprising fuel rods containing pellets having a coating with sufficient neutron absorbing material to prevent excess reactivity and thick enough to prevent undesirable PCI. It is a further object of the present invention to produce a fuel rod which is resistant to PCI damage. It is a still further object of the present invention to produce a fuel assembly which will generate less internal gas pressure per fuel rod during operation than conventional rods having boride coated fuel pellets. It is yet another object of the present invention to provide a method for controlling excess reactivity of a core at the point of origin of reactivity in the fuel assembly. According to the present invention, there is provided a nuclear reactor core which comprises a plurality of generally cylindrical fuel rods each of which comprise a cladding containing a plurality of nuclear fuel pellets stacked end to end within the cladding. Substantially all of the fuel pellets of substantially all of the fuel rods are coated with a layer comprising a refractory material interposed between the fuel pellet and the cladding to prevent direct contact between the pellet and the cladding. The layer comprises a burnable poison an isotopic composition which is adjustable in order to reduce, in a controlled fashion, any excess reactivity in the reactor core. In another preferred aspect, the invention comprises a nuclear fuel rod which comprises a cladding tube and end plugs which are arranged to close the ends of the tube. A plurality of fuel pellets are stacked end-to-end within the cladding and between the end plugs. Substantially all of the fuel pellets are coated with a barrier layer comprising a refractory material operable to prevent contact between the pellets and the cladding. In accordance with the invention, the refractory material comprises a burnable poison isotopic composition which is operable to control any excess reactivity of the fuel pellets. In accordance with the further aspect of the present invention, a method of controlling excess reactivity and preventing interaction between fuel pellets and fuel rod cladding in a nuclear reactor core is provided. The method comprises the steps of applying a layer of refractory material to substantially all of the fuel pellets in the core, the layer being disposed between the fuel and the cladding and being of sufficient thickness to prevent interaction between the pellets and the cladding. The layer comprises a burnable poison having an isotopic composition which is adjustable to reduce excess reactivity of the reactor core. In accordance with a preferred embodiment of the present invention, the majority of the fuel pellets in all of the fuel rods of a reactor core are coated with zirconium boride having controlled ratios of boron-10 and boron-11. Both boron-10 and boron-11 are present in natural boron, boron-11 comprising about 80% of all natural boron. Boron-10 has a neutron capture cross section of about 3840 barns per atom whereas boron-11 has a negligible neutron capture cross section. By controlling the ratio of boron-10 and boron-11 in the zirconium boride, the level of chemical shim in reactor coolant water during startup can be minimized. The present invention permits a reactor fuel cycle which begins with a very low chemical shim concentration, for example on the order of about 100 ppm boron. The boron concentration in a chemical shim is then increased, for example to about 500 ppm at cycle midlife and then decreased, for example to about 10 ppm at the end of life in order to balance the burnout of the fissionable materials with the burnout of the poison materials. |
059463678 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a pin (i.e., cylindrical member) for absorbing neutrons, which is an element or component of a reactor control rod (or to a reactor control rod which has been assembled by using such an element). The pin according to the present invention is capable of retaining not only a good mechanical characteristic but also a good compatibility between plural components constituting the pin, even up to a high temperature. 2. Related Background Art In a nuclear reactor such as fast breeder reactor, it is extremely important to control the operating state or condition of the nuclear reactor (e.g., to control the output of the reactor, to carry out an emergency shutdown, etc.). In order to effect such a control, the reactivity of the reactor(or the degree of the reaction occurring in the reactor) is changed, usually by putting into the reactor a control rod including a neutron absorber (or neutron absorbing material) as a constituent thereof, and taking the control rod out of the reactor in an appropriate manner. Many of the above-mentioned control rods have a structure comprising an assembly of a plurality of "neutron absorbing pins" bundled together, and each of the neutron absorbing pins comprises a cladding (or covering tube) comprising stainless steel, and a neutron absorber (such as boron carbide (B.sub.4 C) pellet) inserted therein. In the neutron absorbing pin, the neutron absorber comprising a boron carbide pellet, etc., generally has a cylindrical shape having a high density, which has been sintered by hot-pressing, etc. Usually, a small gap portion is provided between the pellet and the stainless steel cladding. In many cases, the gap portion has a structure such that it is filled with helium gas, or liquid sodium for the purpose of lengthening the life of the control rod. The former type of neutron absorbing pins are referred to as "helium-bonded pin", and the latter type of neutron absorbing pins are referred to as "sodium-bonded pin". It has been known that, during the use of a neutron absorbing pin within a nuclear reactor, when a boron carbide pellet constituting the pin is irradiated with neutrons, .alpha..sup.10 B(n, .alpha.).sup.7 Li reaction occurs, and helium (He) produced from the reaction is accumulated in particles of the boron carbide, whereby the pellet causes swelling (volume expansion). The change in size or dimension of the boron carbide pellet in the axial direction of the neutron absorbing pin caused by the swelling is usually absorbed by a pellet-pressing spring which is disposed between an end of the pin and the pellet in the control rod in the axial direction thereof, whereby such a phenomenon little causes a problem substantially. However, when the size or dimension of the boron carbide pellet is increased in the diametrical direction, thereof the gap between the boron carbide pellet and the stainless steel cladding is decreased, whereby the boron carbide pellet and the cladding would finally come into mechanical contact with each other. When the neutron absorbing pin is further used in such a state successively, the degree of the mechanical interaction between the pellet and the cladding is further increased, and the cladding begins to be deformed plastically. When such a plastic deformation exceeds the limit of deformation or strain, there occurs an accident such that the cladding is finally damaged or broken. In addition, the above-mentioned boron carbide pellet has a tendency such that it is liable to be broken into fine fragments mainly due to a thermal stress occurring in the pellet, while the boron carbide pellet is used in the reactor. When the boron carbide is broken into fine fragments, there occurs a phenomenon such that the fragment of the pellet is moved or relocated in the cladding, or a small fragment enters a crack or cleavage in the pellet, or the gap between the pellet and the cladding. When such a phenomenon occurs, the gap initially provided between the pellet and the cladding is rapidly filled (closed), after the initiation of the irradiation thereof with neutrons. As a result, the mechanical interaction between the boron carbide pellet and the cladding will occur at a point of time which is earlier than that has preliminarily been expected, and the life of the cladding of the neutron absorbing pin (or control rod) is shortened. In consideration of these circumstances, for the purpose of preventing the fragments of boron carbide from moving in the cladding, there has been proposed a structure wherein a thin-wall pipe (usually, referred to as a "shroud") comprising austenite stainless steel, ferrite steel-stainless steel, etc., is disposed in the above-mentioned stainless steel cladding so that the shroud covers the entire length of the neutron absorber pellet (see, Japanese Patent Publication (KOKOKU) No. Hei 6-31769 (i.e., 31769/1994); and B. T. Kelly et al., "International Conference on Fast Reactors and Related Fuel Cycles," Proceedings Volume III, p 1. 10-1, November 1991). In the neutron absorbing pin equipped with such a shroud, the fragment of boron carbide is not moved in the cladding, and the neutron absorber is swollen while substantially retaining its original shape, whereby a longer life of the pin can be achieved until the mechanical interaction between the pellet and the cladding is initiated. When a further long life is intended to be realized in such a neutron absorbing pin equipped with a shroud, it is theoretically possible to lengthen the life of the pin, e.g., by increasing the initial gap between the boron carbide pellet and the cladding. In this case, however, when the gap is increased, the heat transfer efficiency or rate is decreased between the pellet as a heat-generating member under neutron irradiation, and the cladding cooled by a coolant, whereby the temperature of the boron carbide pellet is elevated. Accordingly, the temperature of the shroud pipe becomes too high, and there occurs a phenomenon that the shroud comprising stainless steel becomes unusable. In order to solve the above-mentioned problem, there has been adopted a measure such that the heat transfer efficiency is enhanced at the gap portion by putting liquid sodium in the gap portion between the cladding and the pellet constituting the neutron absorbing pin (i.e., to cause the pin to have a "sodium-bonded" structure), whereby the surface temperature of the pellet and the working temperature of the shroud are lowered. However, the sodium-bonded pin inevitably poses a problem such that it is difficult to handle the pin in view of the treatment (or disposal) of the sodium at the time at which the control rod is to be produced, the absorbing pin is to be discarded after the use thereof in the reactor, etc. In consideration of these circumstances, it has strongly been demanded to develop a neutron absorbing pin including as a constituent a heat-resistant shroud tube comprising a material other than stainless steel, e.g., in the case of above-mentioned "helium-bonded pin" having excellent characteristics in view of the production and handling thereof. Further, for example, even in the case of the sodium-bonded pin, when the pin is used for a long period of time, the shroud comprising stainless steel is reacted with the boron carbide pellet, so as to cause carburization, etc., and to loose ductility thereof, whereby the performance or function as a shroud tube is inevitably lowered. Therefore, it has also been demanded strongly to develop a neutron absorbing pin including, as a constituent, a shroud material excellent in compatibility with boron carbide. SUMMARY OF THE INVENTION An object of the present invention is to provide a neutron absorbing pin which has solved the above problems encountered in the prior art. Another object of the present invention is to provide a neutron absorbing pin capable of providing a long life, which includes a shroud excellent in heat resistance. A further object of the present invention is to provide a neutron absorbing pin capable of providing a long life, which includes a shroud excellent in compatibility with boron carbide. As a result of earnest study, the present inventors have found that, in view of the provision of a longer life of a neutron absorbing pin under irradiation thereof with neutrons, the interrelation between the thermal expansion characteristics of the materials (particularly, the materials adjacent to each other) constituting the pin is extremely important. As a result of further study based on the above-mentioned discovery, the present inventors have also found it extremely effective in achieving the above-mentioned object to set to a specific range the absolute value of the difference between the coefficients of thermal expansion .alpha.1 and .alpha.2, respectively) of the neutron absorber and thin-wall pipe (shroud), which are elements adjacent to each other in the neutron absorbing pin. The neutron absorbing pin according to the present invention is based on the above-mentioned discovery and comprises: at least, a neutron absorber, a thin-wall pipe surrounding said neutron absorber, and a cladding disposed at a distance from the thin-wall pipe; wherein the difference between the coefficient of thermal expansion (.alpha.1) of the neutron absorber and the coefficient of thermal expansion (.alpha.2) of the thin-wall pipe has an absolute value of .vertline..alpha.2-.alpha.1.vertline..ltoreq.10.times.10.sup.-6 /K. As described above, in the present invention, the absolute value of the difference between the coefficient of thermal expansion of the neutron absorber (.alpha.1) and the coefficient of thermal expansion of the thin-wall pipe (.alpha.2) is set to a value satisfying the relationship of .vertline..alpha.2-.alpha.1.vertline..ltoreq.10.times.10.sup.-6 /K. Accordingly, even when the neutron absorber (such as boron carbide) and the thin-wall pipe are thermally expanded under the irradiation thereof with neutrons, the adhesion or close contact property between them is retained due to the above-mentioned small difference in the thermal expansion therebetween. As a result, the neutron absorber is more effectively held or supported by the thin-wall pipe, whereby the life of the neutron absorbing pin can be lengthened. In the present invention, as the material constituting the above-mentioned thin-wall pipe (shroud), a fiber-reinforced composite material (particularly, ceramic fiber-reinforced composite material) may suitably be used. In such an embodiment, the fiber-reinforced ceramic composite material has a characteristic suitable for producing a shroud as a thin-wall pipe, and further shows a mechanical strength higher than that of the pipe comprising a ceramic material alone. In addition, even when a stress which can cause fracture or breakage thereof, the fiber-reinforced ceramic composite material shows a ductile characteristic based on the pull-out (or drawing) effect of the fibers, etc., and has a characteristic suitable for the function or performance as a shroud tube. Such a composite material can almost completely overcome the brittleness or fragility as a defect of the ceramic alone. In contrast, according to the present inventors' study, it has been found that, when a ceramic material (such as silicon carbide and alumina) is simply used as a heat-resistant material in place of the conventional stainless steel, it is extremely difficult to shape the ceramic material itself into a thin-wall pipe, and even when the ceramic material is successfully shaped into a thin wall, the resultant thin-wall pipe has a low strength and shows a brittleness fracture characteristic, and therefore such a material is mechanically unstable. Consequently, according to the present inventors' study, the above-mentioned problems cannot be solved by simply using a thin-wall pipe comprising a monolithic ceramic material in place of the conventional thin-wall pipe comprising stainless steel. The present invention will become more fully understood from the detailed description given hereinbelow and the accompanying drawings which are given by way of illustration only, and thus are not to be considered as limiting the present invention. Further scope of applicability of the present invention will become apparent from the detailed description given hereinafter. However, it should be understood that the detailed description and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modifications within the spirit and scope of the invention will become apparent to those skilled in the art from this detailed description. |
054616476 | claims | 1. A drive tool for an upper end fitting locking arrangement, comprising: a. a driver formed from a shaft having a first end having a diameter larger than the remainder of the shaft and a second tapered end, said driver having a radial notch and a plurality of axial slots in communication with the radial notch at a selected distance from the tapered end; b. a positioner rotatably and slidably received on said driver at the radial notch and axial slots; c. a set screw that extends through said positioner and is received in the radial notch and axial slots in said driver whereby said driver is movable relative to said positioner between a first normal position where said set screw is located at the end of one of the axial slots distant from the radial notch and a second operational position where said set screw is located in the radial notch; d. a spring received on said driver between said positioner and the larger diameter of said driver whereby said spring resiliently biases said driver toward said first normal position; and e. a wrench received on and attached to said driver between said positioner and the tapered end of said driver, said wrench having one end provided with equally spaced slots around the circumference. 2. The drive tool of claim 1, further comprising a drive head attached to the larger diameter end of said driver. |
claims | 1. An X-ray focusing device comprising:a multi-capillary lens comprising a plurality of bundled capillaries for guiding X-rays, wherein at least one end face of said multi-capillary lens is a converging end for concentrating and irradiating X-rays to a micro-area located outside of the end face; anda single-capillary lens comprising one capillary for guiding X-ray, wherein at least one end face of said single-capillary lens is a converging end for irradiating X-ray to the micro-area located outside of the end face where the micro-area is smaller than the irradiation area of the X-ray converged by the multi-capillary lens, and the other end face is a long-focal length converging end or a parallel end capable of accepting parallel X-ray beams where the long-focal length converging end or the parallel end has a focal length that is longer than the focal length of the converging end of the single-capillary lens for irradiating X-ray, andwherein the parallel end or the long-focal length converging end of said single-capillary lens is positioned outside the converging end of said multi-capillary lens, and said multi-capillary lens and said single-capillary lens are positioned so that the optical axis of said multi-capillary lens at the converging end coincides with the optical axis of the single-capillary lens at the parallel end or the long-focal length converging end. 2. The X-ray focusing device according to claim 1, wherein the single-capillary lens is a substantially tubular shape with a tapered tip at the converging end. 3. An X-ray focusing device comprising:a multi-capillary lens comprising a plurality of bundled capillaries for guiding X-rays, wherein at least one end of said multi-capillary lens is a converging end for concentrating and irradiating X-rays to a micro-area outside of the one end; anda single-capillary lens comprising one capillary for guiding X-ray, wherein a first end of said single-capillary lens is a spheroid-shaped converging end with a short-focal length for irradiating X-ray to the micro-area outside of the first end, and a second end, opposite to the first end, is a spheroid-shaped converging end with a long-focal length, opposing the one end of the multi-capillary lens, whereby the second end of the single-capillary lens has a focal length that is longer than the first end of the single-capillary lens for irradiating X-ray, andwherein the second end of said single-capillary lens is positioned outside the converging end of said multi-capillary lens, and said multi-capillary lens and said single-capillary lens are positioned to have the optical axis coincide. |
|
043495060 | abstract | Apparatus is provided for controlling the plasma energy production rate of a magnetic-confinement fusion reactor, by controlling the magnetic field ripple. The apparatus includes a group of shield sectors (30a, 30b, etc.) formed of ferromagnetic material which has a temperature-dependent saturation magnetization, with each shield lying between the plasma (12) and a toroidal field coil (18). A mechanism (60) for controlling the temperature of the magnetic shields, as by controlling the flow of cooling water therethrough, thereby controls the saturation magnetization of the shields and therefore the amount of ripple in the magnetic field that confines the plasma, to thereby control the amount of heat loss from the plasma. This heat loss in turn determines the plasma state and thus the rate of energy production. |
claims | 1. Apparatus for assembling a spacer grid of a fuel assembly for a nuclear reactor, the grid being constituted by a first set of metal straps of generally rectangular shape that extend parallel to one another, and a second set of metal straps that are rectangular, parallel to one another, and that cross the strap of the first set of straps in planes perpendicular to the straps of the first set, the straps being assembled together by interengagement via slots that are spaced apart at a constant distance in a length direction of the straps, the slots occupying at least half a width of the straps so as to constitute a regular array of rectangular cells, each cell being defined by four walls, each wall being constituted by a portion of a strap that lies between two successive slots, each strap carrying a set of springs projecting from at least one face of the strap over at least a fraction of the portions between the slots of the strap, the assembly apparatus comprising a frame configured to support the straps of the first set of straps so that they are disposed parallel to one another, clamping and engagement arrangement configured to clamp on each of the straps of the second set of straps in succession and to engage them with the straps of the first set of straps in position in the support frame, and at least one comb movable between a disengaged position and an engaged position with each of the straps of the first set when in position in the support frame, the comb occupying a position adjacent to a slot configured to engage a strap of the second set of straps, wherein: the support frame configured to support the straps of the first set of straps is secured to a fixed structure; the clamping and engagement arrangement and the comb are mounted to move in respective planes perpendicular to the straps of the first set of straps in position in the support frame and in a direction parallel to the straps of the first set of straps, on a first carriage itself mounted on a first guide arrangement secured to the fixed structure to move in a direction parallel to a longitudinal direction of the straps of the first set of straps; said first carriage carrying a second guide arrangement configured to guide a second carriage and a third guide arrangement configured to guide a third carriage, on respective first and second sides of the support frame, in planes perpendicular to the straps of the first set of straps and in directions parallel to straps of the first set of straps; said clamping and engagement arrangement being fixed on said second carriage and said comb on said third carriage for being moved towards and away from the support frame in opposite directions, on the first and second sides of the support frame; the clamping arrangement comprises two jaws movable in translation parallely to each other in opposite directions under the control of a cam and studs for retracting springs of a strap of the second set of straps engaged in the clamping arrangement by pressing them into the thickness of the strap. 2. The assembly apparatus according to claim 1 , wherein the comb comprises successive teeth separated by slots for engaging the comb with practically no clearance on the straps of the first set of straps in a position that is adjacent to a plane for engaging a strap of the second set of straps. claim 1 3. The assembly apparatus according to claim 1 , wherein the fixed structure comprises a base configured to stand on a plane horizontal surface, and a vertical frame including a window configured to receive the straps of the first set of straps so that their longitudinal direction is vertical. claim 1 4. The assembly apparatus according to claim 3 , wherein a top guide and a bottom guide are disposed along two horizontal edges of the window in the frame, the guides having notches configured to receive longitudinal end portions of the straps of the first set of straps, the notches being spaced apart at regular intervals along the horizontal edges of the window in the support frame. claim 3 5. The assembly apparatus according to claim 4 , wherein the carriage is mounted to move in the vertical direction parallel to the longitudinal direction of the straps of the first set of straps on two vertical slideways disposed along two side uprights of the frame. claim 4 6. The assembly apparatus according to claim 5 , wherein the frame and the carriage have complementary indexing arrangements enabling the carriage to be placed in a plurality of assembly positions corresponding to a plurality of positions for engaging straps of the second set of straps on the straps of the first set of straps. claim 5 7. The assembly apparatus according to claim 6 , wherein the indexing arrangement is constituted by two indexing units and two indexing fingers carried respectively by the carriage and by the frame, the indexing units having aligned openings, and the indexing fingers being actuatable for insertion into the openings and being disposed laterally on either side of the carriage. claim 6 8. The assembly apparatus according to claim 1 , wherein the clamping arrangement is constituted by a clamp wherein clamping jaws have actuating surfaces facing each other, at least one of the actuating surfaces having projecting studs configured to retract the springs of a strap of the second set of straps inserted between the actuating surfaces of the jaws of the clamp by pressing against them. claim 1 9. The assembly apparatus according to claim 8 , wherein the cam for controlling displacement of the jaws of the clamp is connected to a control lever that can be placed in at least one of a first position in which the clamp is wide open for inserting a strap of the second set of straps in the clamp, and in a position in which the clamp is closed for clamping on the strap of the second set of straps, for retracting the springs of the strap of the second set of straps, and for engaging the strap of the second set of straps in the straps of the first set of straps, and in a position between the wide-open position and the closed position to enable the clamp to be extracted after engaging the strap of the second set of straps on the straps of the first set of straps. claim 8 10. A method of assembling a spacer grid of a fuel assembly for a nuclear reactor, the grid being constituted by-a first set of metal straps of generally rectangular shape that extend parallel to one another, and a second set of metal straps that are rectangular, parallel to one another, and that cross the strap of the first set of straps in planes perpendicular to the straps of the first set, the straps being assembled together by interengagement via slots that are spaced apart at a constant distance in a length direction of the straps, the slots occupying at least half a width of the straps so as to constitute a regular array of rectangular cells, each cell being defined by four walls, each wall being constituted by a portion of a strap that lies between two successive slots, each strap carrying a set of springs projecting from at least one face of the strap over at least a fraction of the portions between the slots of the strap, by using an assembly apparatus comprising a frame configured to support the straps of the first set of straps so that they are disposed parallel to one another, a clamping and engagement arrangement configured to clamp on each of the straps of the second set of straps in succession and to engage them with the straps of the first set of straps in position in the support frame, and at least one comb movable between a disengaged position and an engaged position with each of the straps of the first set when in position in the support frame, the comb occupying a position adjacent to a slot for engaging a strap of the second set of straps, wherein the support frame for supporting the straps of the first set of straps is secured to a fixed structure, the clamping and engagement arrangement and the comb are mounted to move in respective planes perpendicular to the straps of the first set of straps in position in the support frame and in direction parallel to the straps of the first set of straps, on a first carriage itself mounted on a first guide arrangement secured to the fixed structure to move in a direction parallel to a longitudinal direction of the straps of the first set of straps, said first carriage carrying a second guide arrangement configured to guide a second carriage and a third guide arrangement configured to guide a third carriage, on respective first and second sides of the support frame, in planes perpendicular to the straps of the first set of straps and in directions parallel to straps of the first set of straps, said clamping and engagement arrangement being fixed on said second carriage and said comb on said third carriage for being moved towards and away from the support frame in opposite directions, on the first and second sides of the support frame, said clamping and engagement arrangement having two jaws movable in translation parallely to each other in opposite directions under the control of a cam and studs for retracting springs of a strap of the second set of straps engaged in the clamping arrangement by pressing them into the thickness of the strap, wherein the springs are retracted by being pressed into the thickness of each of the straps of the second set of straps by using the clamping and engagement arrangements prior to engaging the strap of the second set of straps on the straps of the first set of straps. |
|
description | An embodiment of an X-ray exposure apparatus according to the present invention will now be described. FIG. 1 is a schematic view of a main portion of an exposure apparatus according to a first embodiment of the present invention, and FIG. 2 is a schematic view of a similar exposure apparatus, corresponding to a modified example of the FIG. 1 embodiment. The X-ray exposure apparatus of this embodiment uses synchrotron radiation (SR) light as an X-ray light source. It includes an exposure chamber 24 and a mask cassette chamber, if desired, which is not shown. It also includes a unit 23 which provides an auxiliary chamber. In the example shown in FIG. 1, only the unit 23 is provided with an auxiliary light source 20. In the example shown in FIG. 2, on the other hand, both of the exposure chamber 24 and the unit 23 are provided with an auxiliary light source 20. Thus, in the example of FIG. 2, the exposure chamber 24 can function as a first auxiliary chamber, while the unit 23 can function as a second auxiliary chamber. Denoted at 10 is a synchrotron radiation (SR) light source (accumulation ring) which produces a synchrotron radiation (SR) beam 11. The radiation beam 11 emitted from the SR light source 10 has a sheet-like beam shape, being elongated in a lateral direction with a uniform intensity and having substantially no expansion in a vertical direction. The radiation beam 11 is reflected by a cylindrical mirror 12 by which it is expanded in the vertical direction, such that a beam of an approximately rectangular sectional shape for illuminating a rectangular exposure region is produced. The thus expanded radiation beam 11 is adjusted by a shutter 13, so that a uniform exposure amount is provided within a region to be irradiated. The radiation beam 11 passing the shutter 13 is directed to an X-ray mask 14, which is held by attraction upon a mask stage 16, at a position to be opposed to a wafer 15. Denoted at 15 is a wafer which is going to be exposed. The wafer 15 is held by a wafer chuck 17 which is mounted on a wafer stage 18. By moving the wafer stage 18, the wafer 15 can be positioned. There is an alignment unit 19 which comprises an optical system for detecting alignment marks formed on the mask 14 and the wafer 15, respectively, and computing means for calculating a relative deviation between them. With this alignment unit, high precision alignment can be accomplished. After alignment between the X-ray mask 14 and the wafer 15 is accomplished, a pattern formed on the X-ray mask 14 is lithographically transferred to the wafer 15, in accordance with a step-and-repeat method or a scanning method. Details of an X-ray mask 15 will be described with reference to FIGS. 3 and 4. The X-ray mask structure comprises a holding frame 1 made of Si and having a thickness of 2 mm, an X-ray transmissive supporting film 2 being made of SiC and formed by CVD to a thickness of 2.0 microns, an X-ray absorptive material 3 made of W, and a reinforcing member 4 being made of Pyrex glass and adhered to the holding frame 1 by anodic bonding. A titanium oxide material 6 (photocatalyst) is formed with a thickness of 1,000 nm, by applying alkyl titanate to a peripheral portion of a mask (outside an exposure region) and the reinforcing member 4 and by sintering it. The titanium oxide material 6 on the reinforcing member 4 may be provided by applying a titanium oxide powder by use of a binder agent such as Teflon (trademark). Alternatively, in order to avoid mutual influence of the reinforcing member 4 and the titanium oxide 6, a separation film (not shown) of SiO2, for example, may be formed on the reinforcing member 4. In the X-ray exposure region of the mask of this embodiment, there is no titanium oxide layer formed. By forming the layer in a region outside the exposure region, it can be formed with a sufficient film thickness, enough for reaction, and without a possibility of attenuation of X-rays due to absorption by the titanium oxide or of a change of titanium oxide due to X-rays. The X-ray exposure process can be performed by use of a mask such as described above. In the example of FIG. 2, there is an auxiliary light source 20 inside the exposure chamber 24, which is placed juxtaposed to the mask stage 16. This auxiliary light source may use ultraviolet rays, vacuum ultraviolet rays or X-rays. Thus, within a range not adversely affecting the wafer 15, auxiliary light can be projected to the X-ray mask 14 from the auxiliary light source 20. Specifically, the auxiliary light source 20 inside the exposure chamber 24 may comprise a Hg lamp, a black light, a laser, or laser plasma generated X-rays. In the exposure apparatus of FIG. 2, by irradiation of the mask 14 with auxiliary light inside the exposure chamber 24, and through decomposition of any deposited materials or an anti-charging effect, deposition of particles or the like can be prevented to some degree. However, if the X-ray transmissivity or the transmissivity to laser light used for the alignment operation decreases due to any depositions, the exposure process may be interrupted, and the mask 14 may be moved into the unit 23. Then, light from the auxiliary light source 20 may be projected thereto. Similarly, in the exposure apparatus of FIG. 1, if the X-ray transmissivity or the transmissivity to laser light used for the alignment operation decreases due to any depositions, the exposure operation may be interrupted, and the mask 14 may be moved into the unit 23. Then, light from the auxiliary light source 20 may be projected thereto. The level for deterioration or attenuation of X-rays or alignment light, for execution of exposure interruption, may be determined in accordance with the precision required for a workpiece to be exposed. The inside of exposure chamber 24 is controlled to a vacuum ambience or a light element ambience such as He, for example. The water content is controlled to a ppm order or less. As regards the unit 23, on the other hand, except the water content, it is controlled under similar conditions as those of the exposure chamber. Only the water content is controlled to a humidity of 1-90%, preferably, 4-80%. Further, there is an exhaust port for discharging contaminated gases. In the examples of FIGS. 1 and 2, there is a partition wall between the exposure chamber 24 and the unit 23. However, it may be omitted in a case where differential gas exhaustion, for example, is performed so that any influence to the exposure process can be prevented thereby. The auxiliary light source 20 in the unit 23 may comprise a Hg lamp, a black light, a xenon lamp, a laser or laser plasma generated X-rays. The titanium oxide produces a photocatalytic function in response to absorption of light of short wavelengths of 380 nm or lower. In a range not longer than 380 nm, use of a longer wavelength is preferable because the absorption efficiency is higher. Therefore, use of a Hg lamp, black light, xenon lamp or laser, which produces many rays of 350-380 nm, is effective. Decomposition of deposition due to the photocatalytic function will now be described in detail. In response to absorption of light energies by a photocatalyst, electrons and positive holes are produced. The electrons and positive holes then react with water, whereby hydroxy-radicals (xe2x80xa2OH) or super-oxide ions (O2xe2x88x92) are produced. These radicals or ions serve to decompose the deposition such as organic materials. Therefore, if the water content is low (low humidity), the reactions become slow. If, however, the humidity is too high, water condensation or the like will adversely influence the maintenance of the apparatus. The exhaust port 22 functions to discharge decomposition products of depositions, outwardly, and it accelerates the reaction. Also, it is effective to re-deposition of intermediate matters of decomposition. Electrons produced from a photocatalyst are transferred to an electrically conductive material and, due to a reducing action, any depositions upon the surface of the electrically conductive material can be decomposed. This is effective also to prevent recombination of produced electrons and positive holes, to increase the efficiency. The SiC film used in this embodiment as the supporting film 2 has a small electrical conductivity. Therefore, a conductive film 7 may not be provided as shown in FIG. 3, or it may be provided as shown in FIG. 4. As regards the conductive film 7, a film of noble metal such as Au or a metal oxide such as ITO may be formed with a thickness of a few nanometers or less. However, if no conductive film is used, the absorptive material 3 made of metal (electrically conductive material) may preferably be in contact with the photocatalyst 6. Using (or not using) a conductive film may be determined in accordance with the ratio in area between the X-ray absorbing portion and the X-ray transmitting portion of the exposure region. By irradiating a photocatalyst provided outside the exposure region with auxiliary light, not only can depositions on the photocatalyst surface, but also depositions on the surfaces of the supporting film and the absorptive material, inside the exposure region, be decomposed. With an exposure apparatus having a unit 23 for cleaning a mask through a photocatalytic action, as of this embodiment, an X-ray exposure process that can meet mass-production can be performed. An X-ray exposure apparatus according to another embodiment of the present invention will be described. FIG. 5 is a schematic view of a main portion of an exposure apparatus according to a second embodiment of the present invention. FIG. 6 is a plan view of the exposure apparatus of FIG. 5, as viewed from above. The inside structure of an exposure chamber 24 is similar to that of the first embodiment. It may include an auxiliary unit, as shown in FIG. 1 or 2. Details of an X-ray mask will be explained with reference to FIG. 7. The X-ray mask structure comprises a holding frame 1 made of Si and having a thickness of 2 nm, an X-ray transmissive supporting film 2 being made of SiN and formed by CVD to a thickness of 2.0 microns, an X-ray absorptive material 3 made of Ta and formed by sputtering, and a reinforcing member 4 being made of SiC and adhered to the holding frame 1 by an adhesive agent 5. A titanium oxide material 6 (photocatalyst) is provided by vapor deposition, after formation of the absorptive material 3. During film formation of the titanium oxide 6 based on sputtering, a mesh may be used to provide a directionality. Since there is no titanium oxide on the side faces of the absorptive material 3, the linewidth control for the absorptive material 3 is easy. In the X-ray exposure apparatus of this embodiment, as shown in FIG. 6, there is an auxiliary light source 20 which is disposed inside a mask cassette chamber 21 (auxiliary chamber) for accommodating a mask therein during a non-exposure period. As regards the mask cassette chamber 21, except the water content, it is controlled under similar conditions as those of the exposure chamber 24. Only the water content is controlled to a humidity of 1-90%, preferably, 4-80%. Further, there is an exhaust port formed. The auxiliary light source 20 may comprise a Hg lamp, a black light, a xenon lamp, a laser or laser plasma generated X-rays. By use of light of efficient wavelengths and an appropriate water content, the reaction speed increases. The provision of the exhaust port 22 is effective to discharge contaminated gases, containing decomposition products of depositions, outwardly, to thereby accelerate the reaction and also to prevent re-deposition of intermediate matters of the decomposition products. It is to be noted that the function of titanium oxide continues even under normal chamber illumination (e.g., from a fluorescent lamp). In the mask structure shown in FIG. 7, a photocatalyst is provided also in an exposure region. Therefore, not only during an X-ray exposure process but also in the mask cassette chamber, the mask cleaning based on the photocatalytic action can be performed. Further, because the photocatalyst becomes electrically conductive in response to irradiation with the auxiliary light, deposition of particles upon the mask can be prevented effectively. With an exposure apparatus such as described above, an X-ray exposure process that can meet mass-production can be performed. It is to be noted that the exposure apparatus of this embodiment may use a mask structure such as shown in FIG. 3 or 4, and similarly, the exposure apparatus of the first embodiment may use a mask structure such as shown in FIG. 7. FIG. 8 is a sectional view of a mask structure according to a third embodiment of the present invention. The mask structure of this embodiment may be used either in an X-ray exposure apparatus having a unit, as in the first embodiment, or in an X-ray exposure apparatus having a mask cassette chamber as in the second embodiment. Further, it may be used in an X-ray exposure apparatus having both a unit and a mask cassette chamber. The X-ray mask structure comprises a holding frame 1 made of Si and having a thickness of 2 mm, an X-ray transmissive supporting film 2 being made of C (diamond) and formed by CVD to a thickness of 2.0 microns, an X-ray absorptive material 3 made of W, and a reinforcing member 4 being made of Pyrex glass and adhered to the holding frame 1 by anodic bonding. There is a titanium oxide film 6 (photocatalyst) which is formed on a peripheral portion of the mask (outside an exposure region) and the reinforcing member 4, through resistance heating, EB vapor deposition or sputtering, for example. In order to avoid mutual influence between the reinforcing member 4 and the titanium oxide film 6, a separation film (not shown) made of SiO2, for example, may be provided. To this titanium oxide film 6, Cr may be ion-injected by 2xc3x9710xe2x88x926 mol/g. By this, the absorption wavelength of the titanium oxide may be prolonged to about 450 nm, such that a longer wavelength (providing a higher absorption efficiency) can be used and, therefore, the efficiency can be increased. Further, the types of usable light sources become wider. A white bulb such as a halogen lamp may be used, for example. When a xenon lamp or Hg lamp is used, light of 380 nm or more can be used. Therefore, the lamp utilization efficiency is high. Generally, the usable wavelength becomes longer with a larger Cr ion injection amount. Thus, the injection amount may be adjusted in accordance with a lamp to be used. As regards, a metal to be injected, V may be used, for example. The film of C (diamond) used in this embodiment as a mask supporting film 2 has an electrical conductivity. Thus, by irradiating a titanium oxide provided outside the exposure region with an auxiliary light source, not only can depositions on the surface of the titanium oxide but also depositions on the surfaces of the supporting film and the absorptive material, inside the exposure region, to which electrons are transferred, be decomposed. By injection of a metal such as Cr into titanium oxide, the wavelength to be absorbed can be longer, causing an increase in the reaction efficiency and the lamp efficiency. Through decomposition action and anti-electrification action of photocatalyst, deposition of particles on the mask can be prevented effectively. When such a mask is used with an X-ray exposure apparatus of the first or second embodiment, a high precision X-ray exposure process which meets mass-production can be accomplished. FIG. 9 is a flow chart of a procedure for the manufacture of microdevices such as semiconductor chips (e.g., Ics or LSIs), liquid crystal panels, CCDs, thin film magnetic heads or micro-machines, for example. Step 1 is a design process for designing a circuit of a semiconductor device. Step 2 is a process for making a mask on the basis of the circuit pattern design. Step 3 is a process for preparing a wafer by using a material such as silicon. Step 4 is a wafer process (called a pre-process) wherein, by using the so-prepared mask and wafer, circuits are practically formed on the wafer through lithography. Step 5 subsequent to this is an assembling step (called a post-process) wherein the wafer having been processed by step 4 is formed into semiconductor chips. This step includes an assembling (dicing and bonding) process and a packaging (chip sealing) process. Step 6 is an inspection step wherein an operation check, a durability check and so on for the semiconductor devices provided by step 5, are carried out. With these processes, semiconductor devices are completed and they are shipped (step 7). FIG. 10 is a flow chart showing details of the wafer process. Step 11 is an oxidation process for oxidizing the surface of a wafer. Step 12 is a CVD process for forming an insulating film on the wafer surface. Step 13 is an electrode forming process for forming electrodes upon the wafer by vapor deposition. Step 14 is an ion implanting process for implanting ions to the wafer. Step 15 is a resist process for applying a resist (photosensitive material) to the wafer. Step 16 is an exposure process for printing, by exposure, the circuit pattern of the mask on the wafer through the exposure apparatus or method described above. Step 17 is a developing process for developing the exposed wafer. Step 18 is an etching process for removing portions other than the developed resist image. Step 19 is a resist separation process for separating the resist material remaining on the wafer after being subjected to the etching process. By repeating these processes, circuit patterns are superposedly formed on the wafer. With these processes, high density microdevices can be manufactured. Although the invention has been described mainly with reference to examples for the manufacture of semiconductor devices through an X-ray exposure process, the present invention is not limited to this. Provided that light of a wavelength with respect to which a photocatalyst is functional is used, the present invention is applicable also to an exposure method, an exposure apparatus or a mask structure in a case where light rays other than X-rays (e.g., ultraviolet rays or vacuum ultraviolet rays) are used as exposure light and/or auxiliary light. Namely, an exposure process wherein ultraviolet rays or vacuum ultraviolet rays from a light source such as an excimer laser, for example, an X-ray exposure process wherein a transmission type or reflection type mask (or a reflection optical system) is used, and an exposure process based on an electron beam or ion beam, all can be done within the scope of the present invention. Also, while titanium oxide is referred to as an example of a photocatalyst, a different photocatalyst may of course be used. Examples are a metal oxide such as ZnO, Nb2O5, WO3, SnO2 or ZrO2; a compound metal oxide such as SrTiO3 or Ni-K4Nb6O17; a metal sulfide such as CdS or ZnS; or a metal chalcogenide such as CdSe, GaP, CdTe, MoSe2 or WSe2. As described, an exposure apparatus for transferring a desired pattern to a workpiece through an exposure process is provided with a unit for projection of auxiliary light and a control system for precisely controlling the humidity inside the unit, by which a satisfactory cleaning process can be done to a mask. For example, contamination of a mask may be detected and, when it reaches a predetermined level, the mask may be moved into the unit for acceleration of the decomposition action. The cleaning operation may be done repeatedly and frequently. The exposure apparatus may be provided with a mask cassette chamber for storing a mask therein during a period in which no exposure is made to a workpiece. The mask cassette chamber may be provided with a light source best suited to the photocatalytic action as well as an environment therefore. This enables a mask cleaning operation when the mask is not used, and it effectively prevents mask contamination. In accordance with the present invention, the necessity of a mask cleaning operation can be reduced or removed and, therefore, the lifetime of each mask can be prolonged. Further, production of non-uniform exposure, deterioration of exposure amount control, or degradation of alignment precision due to a decrease of alignment light transmissivity, can be avoided. As a result, high precision printing can be accomplished in mass-production order. Furthermore, by printing a pattern onto workpieces through an exposure process using an exposure apparatus of the present invention, mass-production of high performance semiconductor devices can be accomplished. While the invention has been described with reference to the structures disclosed herein, it is not confined to the details set forth and this application is intended to cover such modifications or changes as may come within the purposes of the improvements or the scope of the following claims. |
|
abstract | An electron beam apparatus with an aberration corrector using multipole lenses is provided. The electron beam apparatus has a scan mode for enabling the operation of the aberration corrector and a scan mode for disabling the operation of the aberration corrector and the operation of each of the aberration corrector, a condenser lens, and the like is controlled such that the object point of an objective lens does not change in either of the scan modes. If a comparison is made between the secondary electron images of a specimen in the two modes, the image scaling factor and the focus remain unchanged and evaluation and adjustment can be performed by distinctly recognizing only the effect of the aberration corrector. This reduces the time required to adjust an optical axis which has been long due to an axial alignment defect inherent in the aberration corrector and an axial alignment defect in a part other than the aberration corrector which are indistinguishably intermingled with each other. |
|
047298677 | summary | CROSS REFERENCE TO RELATED APPLICATIONS Reference is hereby made to the following copending U.S. patent applications dealing with related subject matter and assigned to the assignee of the present invention: "Apparatus and Method For Loading Fuel Rods Into Grids Of A Fuel Assembly" by E. E. DeMario et al, assigned U.S. Ser. No. 717,263 and filed Mar. 28, 1985, now U.S. Pat. No. 4,651,403. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear reactors and, more particularly, is concerned with spring retainer apparatus and method for facilitating loading of fuel rods into grid of a fuel assembly without incurring scratches on the exterior surfaces of the fuel rods. 2. Description of the Prior Art Typically, large amounts of energy are released through nuclear fission in a nuclear reactor with the energy being dissipated as heat in the elongated fuel elements or rods of the reactor. The heat is commonly removed by passing a coolant in heat exchange relation to the fuel rods so that the heat can be extracted from the coolant to perform useful work. In nuclear reactors generally, a plurality of the fuel rods are grouped together to form a fuel assembly. A number of such fuel assemblies are typically arranged in a matrix to form a nuclear reactor core capable of a self-sustained, nuclear fission reaction. The core is submersed in a flowing liquid, such as light water, that serves as the coolant for removing heat from the fuel rods and as a nuetron moderator. Specifically, in a boiling water reactor (BWR) the fuel assemblies are typically grouped in clusters of four with one control rod associated with each four assemblies. The control rod is insertable within the fuel assemblies for controlling the reactivity of the core. Each such cluster of four fuel assemblies surrounding a control rod is commonly referred to as a fuel cell of the reactor core. A typical BWR fuel assembly in the cluster is ordinarily formed by a N by N array of the elongated fuel rods. The bundle of fuel rods are supported in laterally spaced-apart relation and encircled by an outer tubular channel having a generally rectangular cross-section. The outer flow channel extends along substantially the entire length of the fuel assembly and interconnects a top nozzle with a bottom nozzle. The bottom nozzle fits into the reactor core support plate and serves as an inlet for coolant flow into the outer channel of the fuel assembly. Coolant enters through the bottom nozzle and thereafter flows along the fuel rods removing energy from their heated surfaces. In a fuel assembly of this type the fuel rods in the central region of the bundle thereof may be undermoderated and overenriched. In order to remedy this condition by increasing the flow of moderator water through this region of the assembly, an elongated centrally-disposed water cross is frequently used in the assembly. The central water cross has a plurality of four radial panels which together form a cruciform water flow channel which divides the fuel assembly into four, separate elongated compartments, with the bundle of fuel rods being divided into mini-bundles disposed in the respective compartments. The water cross thus provides a centrally-disposed cross-shaped path for the flow of subcooled neutron moderator water within the channel along the lengths of, but separated from, adjacent fuel rods in the mini-bundles thereof. The fuel rods of each mini-bundle extend in laterally spaced apart relationship between an upper tie plate and a lower tie plate and connected together with the tie plates to comprise a separate fuel rod subassembly within each of the compartments of the channel. The water cross has approximately the same axial length as the fuel rod subassemblies, extending between the upper and lower tie plates thereof. Additionally, a plurality of spacers or grids, for example six in number, are disposed at axially displaced positions along the fuel rods of each fuel rod subassembly to maintain the fuel rods in their laterally spaced relationships. The grids have interleaved straps which define a multiplicity of cells disposed in vertical alignment. The fuel rods are supported in an organized and transversely spaced array in the vertically aligned cells of the grids by springs and dimples on the straps which extend into the cells. Thus, the grids are introduced to maintain the desired fuel mini-bundle configuration and to prevent excessive fuel rod bow and flow induced vibrations, and thereby provide significant benefits from a structural standpoint. In the common way of loading fuel rods into the fuel assembly grids containing the springs and dimples for holding the fuel rods in place, the fuel rods are ordinarily scraped by the springs and dimples as they enter the grid cells. Such scraping produces scratches which provide sites for accelerated corrision of the exterior surfaces of the fuel rods and debris for release into the coolant flow system of the reactor. Devices are known for deflecting the springs of the fuel assembly grids for facilitating insertion of the fuel rods without marring the surfaces thereof. Representative of the prior art are the devices disclosed in U.S. Pat. Nos. 3,982,994 and 4,058,224 to Jabsen. While these prior art devices would appear to operate reasonably well and generally achieve their objectives under the range of operating conditions for which they were designed, they seem to embody shortcomings which make them less than an optimum solution to the problem of scratch-free loading of the fuel rods. The devices require openings in the straps of the grid through which their actuating parts must be inserted to position them for deflecting the grid springs. Such openings may tend to weaken the grid structure. Also, operation of such devices in deflecting the grid springs appears to be unduly complicated. Consequently, a need exists for a fresh approach to insertion of fuel rods into the grids which will avoid or reduce the damage to the exterior surfaces of the fuel rods and at the same time be less complicated in operation. SUMMARY OF THE INVENTION The present invention provides a spring retainer apparatus and method which are designed to satisfy the aforementioned needs. Specifically, the spring retainer apparatus facilitates loading the fuel rods into the fuel assembly grids in a scratch-free way. The springs of the grids are held in retracted positions so as to provide sufficient clearance for entry of the fuel rods without contacting the springs and dimples of the grid and thus without incurring scratching of the fuel rod exterior surfaces. Accordingly, the present invention relates to a spring retainer apparatus for facilitating the loading of fuel rods into a fuel assembly. The fuel assembly has at least one grid formed of interleaved straps defining a plurality of hollow cells for respectively receiving the fuel rods. At least some of the straps are disposed in pairs thereof so as to form springs in pairs thereof positioned in back-to-back relationships between adjacent ones of the cells. The springs in each pair thereof are configured to normally assume expanded positions in which they are displaced away from one another to engage fuel rods received in the respective cells and are deflectible to retracted positions in which they are displaced toward one another to allow loading of the fuel rods in the respective cells without engaging the springs. The spring retainer apparatus comprises: (a) a plurality of elongated holder bars, each holder bar being alignable with one of the pairs of the straps of the grid which defines the pairs of springs and extendible along one strap pair and between and spaced from positions occupied by fuel rods when received in the cells of the grid; and (b) a plurality of members supported by each of the holder bars corresponding to the pairs of springs defined by the pair of straps aligned with the holder bar; (c) each of the members having a terminal end configured to engage and retain the springs of one of the pairs thereof in their retracted positions when the respective holder bar supporting the member is aligned with and moved toward the pair of straps aligned with the holder bar. More particularly, each of the members is an elongated post with the terminal end of the member being a bifurcated end on the post. The bifurcated post end defines at least one pair of spaced apart fingers adapted to receive the pair of springs therebetween for retaining the springs in their retracted positions. Preferably, the bifurcated end on each of the posts defines two spaced pairs of spaced apart fingers adapted to receive the pair of springs therebetween and engage the spring pair at two displaced locations therealong for retaining them in their retracted positions. Also, each member in the plurality thereof supported by a respective one holder bar is rigidly connected to and extends from the bar in a generally parallel relationship with respect to the other members supported by the bar. Specifically, the terminal end of each member defines a pocket adapted to receive the pair of springs therein and retain the springs in their retracted positions and a convergently-tapered entrance to the pocket for facilitating insertion of the springs when in their retracted positions into the pocket. Preferably, the convergently-tapered entrance to the pocket also causes deflection of the springs from their normal extanded positions to their retracted positions as the springs are inserted into the pocket. The present invention also relates to a spring retainer method for facilitating the loading of fuel rods into a fuel assembly, comprising the steps of: (a) aligning a plurality of elongated holder bars with pairs of the straps of the grid which define the pairs of the springs such that the holder bars extend along the strap pairs and between and spaced from positions occupied by fuel rods when received in the cells of the grid; and (b) moving the holder bars toward the pairs of the straps to position terminal ends of members supported by each of the holder bars in engagement with the springs to retain the springs in their retracted positions. More particularly, moving of the holder bars toward the strap pairs causes deflection of the springs from normal expanded positions to retracted positions and retention of the retracted springs in their retracted positions. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention. |
claims | 1. An irradiation cell for producing a radioisotope of interest through the irradiation of a target material by a particle beam, the irradiation cell comprising a target body, a diffuser configured to provide a path for a cooling medium, and a removable metallic insert comprising a cavity designed to house the target material and the cavity closed by an irradiation window, the metallic insert configured to be inserted in and removed from the target body, wherein the removable metallic insert comprises at least two separate metallic parts of different materials, the metallic insert comprising at least a first part and a second part, the first part having a wall with a thickness between 0.3 and 0.7 mm and an elongated cavity surrounded by the wall, the cavity being longer in a direction parallel to the particle beam that irradiates the target than in a direction perpendicular to the particle beam, and the second part partially surrounding the first part and forming a channel configured to guide a cooling medium, the first part being removable from the irradiation cell without removal of the second part by sliding the first part in a direction parallel to the particle beam. 2. The irradiation cell according to claim 1, wherein said cell further comprises a coolant supply configured to supply the cooling medium and the coolant supply in connection with the channel the diffuser device surrounding the first part and being configured to guide the cooling medium around the first part, and wherein the second part surrounds both the first part and the diffuser device in a manner to form a return path for the cooling medium between the diffuser device and the second part. 3. The irradiation cell according to claim 1, wherein the contact between the first and second part is a metal-to-metal contact, and wherein the parts are sealed by at least one O-ring. 4. The irradiation cell according to claim 1, wherein the first and second parts are sealed by a gold foil between the parts. 5. The irradiation cell according to claim 1, wherein the first and second parts are assembled together by a number of bolts. 6. The irradiation cell according to claim 1, wherein the first and second parts are assembled together by welding. 7. The irradiation cell according to claim 1, wherein the first part comprises a flat, circular and ring-shaped portion having an inner circular edge and an outer circular edge, a cylindrical portion rising perpendicularly from the inner circular edge of the flat portion, and a hemispherical portion being on top of the cylindrical portion, the cavity being formed inside the cylindrical and hemispherical portions. 8. The irradiation cell according to claim 7, wherein the cavity has a length of at least 50 mm. 9. The irradiation cell according to claim 7, wherein the second part has the form of a hollow cylinder having two flat sides essentially perpendicular to a cylindrical side, the cylinder being connected by one flat side against the flat portion of the first part. 10. The irradiation cell according to claim 7, wherein one of the two parts has a ridge and the other has a groove corresponding to the ridge, in order to obtain perfect coaxial positioning of the two parts with respect to each other. 11. The irradiation cell according to claim 1, wherein the first part is made of niobium or tantalum. 12. The irradiation cell according to claim 1, wherein the second part is made of stainless steel. 13. A method for filling the cavity volume of the irradiation cell according to claim 1 with about 50% of target material before starting irradiation. 14. The irradiation cell according to claim 1, wherein the cell further comprises a supply tube for a cooling medium and, in connection with the supply tube, a diffuser device mounted on one end of the supply tube, the diffuser device surrounding the first part, the diffuser element being configured to guide the cooling medium around the first part, and wherein the second part surrounds both the first part and the diffuser element in a manner to form a return path for the cooling medium between the diffuser element and the second part. 15. An irradiation cell for producing a radioisotope of interest through the irradiation of a target material by a particle beam, the irradiation cell comprising a target body, a diffuser for providing a path for a cooling medium, and a removable metallic insert comprising a cavity designed to house the target material, the cavity closed by an irradiation window, the metallic insert configured to be inserted in and removed from the target body, wherein the removable metallic insert comprises at least two separate metallic parts of different materials, the metallic insert comprising at least a first part and a second part, the first part having a cylindrical portion and a hemispherical portion and machined from a material selected from the group consisting of niobium and tantalum and forming a cavity that is elongate in a direction parallel to the particle beam that irradiates the target, and the second part being a generally cylindrical hollow member disposed concentrically about the first part and comprising a material selected from the group consisting of stainless steel, silver, and titanium, with the second part disposed around at least a portion of the elongate cavity of the first part and the first and second parts forming a channel configured to guide a cooling medium, the first part removable from the irradiation cell without removal of the second part by sliding the first part in a direction parallel to the particle beam. 16. The irradiation cell according to claim 15, wherein said cell further comprises a coolant supply configured to supply the cooling medium and the coolant supply in connection with the channel the diffuser device surrounding the first part and being configured to guide the cooling medium around the first part, and wherein the second part surrounds both the first part and the diffuser device in a manner to form a return path for the cooling medium between the diffuser device and the second part. 17. The irradiation cell according to claim 15, wherein the contact between the first and second part is a metal-to-metal contact, and wherein the parts are sealed by at least one O-ring. 18. The irradiation cell according to claim 15, wherein the first and second parts are sealed by a gold foil between the parts. 19. The irradiation cell according to claim 15, wherein the first and second parts are assembled together by a number of bolts. 20. The irradiation cell according to claim 15, wherein the first and second parts are assembled together by welding. 21. The irradiation cell according to claim 15, wherein the first part comprises a flat, circular and ring-shaped portion having an inner circular edge and an outer circular edge, a cylindrical portion rising perpendicularly from the inner circular edge of the flat portion, and a hemispherical portion being on top of the cylindrical portion, the cavity being formed inside the cylindrical and hemispherical portions. 22. The irradiation cell according to claim 21, wherein the cylindrical portion and/or the hemispherical portion have a wall thickness comprised between 0.3 and 0.7 mm. 23. The irradiation cell according to claim 21, wherein the second part has the form of a hollow cylinder having two flat sides essentially perpendicular to a cylindrical side, the cylinder being connected by one flat side against the flat portion of the first part. 24. The irradiation cell according to claim 21, wherein one of the two parts has a ridge and the other has a groove corresponding to the ridge, in order to obtain perfect coaxial positioning of the two parts with respect to each other. 25. An irradiation cell for producing a radioisotope of interest through the irradiation of a target material by a particle beam, the irradiation cell comprising a target body, a removable metallic insert comprising a cavity designed to house the target material, the cavity closed by an irradiation window and the metallic insert configured to be inserted in and removed from the target body, wherein the removable metallic insert comprises at least two separate metallic parts of different materials, the metallic insert comprising at least a first part and a second part, the first part having an elongated cavity that is longer in a direction parallel to the particle beam that irradiates the target than in a direction perpendicular to the particle beam, and the second part partially surrounding the first part and forming a channel configured to guide a cooling medium in a direction parallel to the direction of the beam and perpendicular to the direction of the beam so that the cooling medium surrounds the cavity, the first part being removable from the irradiation cell without removal of the second part by sliding the first part in a direction parallel to the particle beam. 26. The irradiation cell according to claim 25, wherein said cell further comprises a coolant supply configured to supply the cooling medium with the coolant supply in connection with the channel, a diffuser device surrounding the first part, the diffuser device being configured to guide the cooling medium around the first part, and wherein the second part surrounds both the first part and the diffuser device in a manner to form a return path for the cooling medium between the diffuser device and the second part. 27. The irradiation cell according to claim 25, wherein the contact between the first and second part is a metal-to-metal contact, and wherein the parts are sealed by at least one O-ring. 28. The irradiation cell according to claim 25, wherein the first and second parts are sealed by a gold foil between the parts. 29. The irradiation cell according to claim 25, wherein the first and second parts are assembled together by a number of bolts. 30. The irradiation cell according to claim 25, wherein the first and second parts are assembled together by welding. 31. The irradiation cell according to claim 25, wherein the first part comprises a flat, circular and ring-shaped portion having an inner circular edge and an outer circular edge, a cylindrical portion rising perpendicularly from the inner circular edge of the flat portion, and a hemispherical portion being on top of the cylindrical portion, the cavity being formed inside the cylindrical and hemispherical portions. 32. The irradiation cell according to claim 25, wherein the first part is made of niobium or tantalum. 33. The irradiation cell according to claim 25, wherein the second part is made of stainless steel. 34. The irradiation cell according to claim 25, wherein the cell further comprises a supply tube configured to supply a cooling medium and, in connection with the supply tube, a diffuser device mounted on one end of the supply tube, the diffuser device surrounding the first part, the diffuser element being configured to guide the cooling medium around the first part, and wherein the second part surrounds both the first part and the diffuser element in a manner to form a return path for the cooling medium between the diffuser element and the second part. 35. An irradiation cell for producing a radioisotope of interest through the irradiation of a target material by a particle beam, the irradiation cell comprising a target body, a removable metallic insert comprising a cavity designed to house the target material, the cavity closed by an irradiation window and the metallic insert configured to be inserted in and removed from the target body, wherein the removable metallic insert comprises at least two separate metallic parts of different materials, the metallic insert comprising at least a first part and a second part, the first part machined from a material selected from the group consisting of niobium and tantalum and forming a cavity surrounded by a wall having a thickness between 0.3 and 0.7 mm, the cavity being elongate in a direction parallel to the particle beam that irradiates the target, and the second part being a generally cylindrical hollow member comprising a material selected from the group consisting of stainless steel, silver, and titanium, the second part partially surrounding the first part and forming a channel configured to guide a cooling medium in a direction parallel to the direction of the beam and perpendicular to the direction of the beam so that the cooling medium surrounds the cavity, the first part removable from the irradiation cell without removal of the second part by sliding the first part in a direction parallel to the particle beam;wherein the cell further comprises a supply tube configured to supply a cooling medium and, in connection with the supply tube, a diffuser device mounted on one end of the supply tube, the diffuser device surrounding the first part, the diffuser element being configured to guide the cooling medium around the first part, and wherein the second part surrounds both the first part and the diffuser element in a manner to form a return path for the cooling medium between the diffuser element and the second part. |
|
046845011 | abstract | A fuel assembly has a plurality of elongated fuel rods, a plurality of grid structures axially spaced from one another along the fuel rods between opposite ends thereof and supporting the fuel rods in a side-by-side spaced array, and a pair of tie plates disposed at the respective opposite ends of the fuel rods. Each of the fuel rods has a pair of end plugs sealing opposite ends thereof, with at least the end plug at one of the opposite ends of each fuel rod having an extension member thereon which extends axially outward from the end plug and is of a diameter less than that of the fuel rod. At least one of the tie plates has a plurality of holes defined by endless sidewalls formed therethrough between opposite sides of the tie plate and in an array which matches that of the fuel rods. A compliant insert is disposed in each hole in the one tie plate and engaged with the tie plate and the end plug extension member so as to yieldably support the extension member within the hole in spaced relationship from the hole sidewall. The compliant insert includes a plurality of spring members. In one embodiment, the spring members are separate from one another, whereas in another embodiment, the spring members are integrally connected to one another. |
description | Illustrated schematically in FIG. 1 is an ECP sensor 10 configured for measuring electrochemical corrosion potential of reactor surfaces in circulating water 12 inside the pressure vessel of a conventional boiling water nuclear reactor 14, shown in relevant part. The sensor includes a tubular ceramic probe 16 having a closed tip 16a in the form of a cup at a distal end of the probe packed with a dry metal and metal oxide mixture or powder 18. A metal support tube 20 has a distal end receiving an opposite, proximal end of the probe for support thereof, and is joined thereto by a braze joint 22 therewith. The support tube 20 may be formed of conventional alloy 42 or Invar, for example. The braze joint may be a conventional alloy, such as silver, copper, and titanium alloy. The support tube 20 is typically welded coaxially with a secondary support tube 20b typically formed of stainless steel. An electrical conductor 24 extends coaxially through the support tubes 20, 20b and the probe 16, and has a distal end buried in the powder 18 for electrical contact therewith. A coaxially electrical cable 26 extends through a suitable sealing collar 28 at the proximal of the secondary tube 20b and is suitably joined to the electrical conductor 24 inside the sensor. The cable 26 is suitably routed to a conventional digital volt meter 30 for measuring electrochemical corrosion potential. The sensor 10 as above described is conventional in configuration and operation in the reactor. In one embodiment, the powder 18 is a mixture of iron and iron oxide (Fe/Fe3O4) for providing a constant reference potential of xe2x88x92820 mV vs standard hydrogen electrode (SHE) at 288xc2x0 C. in high purity water. As indicated above, this type of sensor is subject to thermal shock and corrosion at the braze joint 22 during operation, but for the improvement in accordance with the present invention. In a preferred embodiment, a ceramic band 32 is selectively applied around the perimeter of the sensor for bridging the probe and support tube at the braze joint for covering and sealing thereof. The band 32 preferably locally coats the probe and support tube at the braze joint and is spaced from the remainder of the probe including its tip 16a. The ceramic probe 16 may be formed of magnesia-stabilized-zirconia (MSZ) or yttria-stabilized-zirconia (YSZ) which have different coefficients of thermal expansion than that of the metal support tube 20, and that of the braze joint 22. The ceramic band 32 is preferably also zirconia, such as MSZ or YSZ, for matching the coefficient of thermal expansion of the ceramic probe. Since the band 32, like the probe 16, has a different coefficient of thermal expansion than that of the support tube 20 and braze joint 22, it is preferably applied locally or selectively solely at the exposed end of the braze joint 22 between the probe and support tube. In one embodiment, the ceramic band 32 is directly bonded to the probe and tube at the braze joint in a coating effected using conventional plasma spraying equipment. The band 32 thusly provides an intimate bond with the probe and support tube for providing an effective seal at the juncture therebetween in which is found the braze joint 22. A relatively thin coating of the ceramic band 32 of about 5-10 mils thick (0.13-0.25 mm) provides thermal shock and corrosion protection of the braze joint for extending the useful life of the sensor. The coating band may be applied using plasma spraying in vacuum or in air to produce a relatively high density coating of up to about 97% density. The thin and narrow band of ceramic coating accommodates differential thermal expansion and contraction between the probe and the support tube for reducing the likelihood of cracking thereat. FIG. 2 illustrates an alternate embodiment of the sensor illustrated in FIG. 1 in which a bond coating 34 is firstly applied at the junction of the probe and support tube for enhancing the adherence of the ceramic band 32 atop the metal support tube 20. In either embodiment illustrated in FIGS. 1 and 2, the surfaces of the probe and support tube are prepared using conventional grit blasting, followed in turn by the bond coating 34, if used, and the ceramic band 32. The bond coating 34 may be about the same thickness as that of the ceramic band 32 and is also locally applied in the immediate region of the junction between the probe and the support tube. The bond coating may be conventionally applied, such as by plasma spraying. And any suitable bond coating may be used, such as Nickel 210, which is a nickel-chrome-iron-aluminum alloy, or MCrAlY alloy, where M is nickel-cobalt-iron or nickel-cobalt alloy. The bond coating 34 underlays in most part the ceramic band for improving its adherence to at least the metal support tube. In a preferred embodiment, the powder 18 is a mixture of iron and iron oxide trapped within a MSZ ceramic probe 16 brazed to an alloy 42 support tube 20. The ceramic band 32 is preferably YSZ. In alternate embodiments, the powder 18 may be a mixture of copper and copper oxide (Cu/Cu2O), or a mixture of nickel and nickel oxide (Ni NiO), both in corresponding MSZ probes 16. The ceramic band 32 thusly provides a hermetic water seal at the braze joint, and a thermal barrier providing thermal insulation. YSZ is the preferred material for the band 32 as having had proven resistance to high temperature and high flow water under high radiation environments in nuclear reactors. The YSZ ceramic band 32 additionally provides electrical insulation for preventing the formation of a corrosion cell, even after long term exposure to high temperature water under various water chemistry conditions possible in a nuclear reactor environment. The YSZ ceramic coating therefore has proven stability for this hostile environment. While there have been described herein what are considered to be preferred and exemplary embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. Accordingly, what is desired to be secured by Letters Patent of the United States is the invention as defined and differentiated in the following claims in which we claim: |
|
abstract | A packaging system for radioactive waste is robust, highly functional, and can be used for nearly all radioactive waste streams that require shielded packaging. The packaging system includes a modular container that is configured to receive modular shielding inserts. The packaging system can be used to store, transport, and dispose of radioactive waste. |
|
claims | 1. A method comprising:flowing, by a corrosion inhibitor injection pump, a corrosion inhibitor into a flowline through which hydrocarbons are flowed at a pressure greater than a flowline pressure of the hydrocarbons flowing through the flowline, the corrosion inhibitor configured to inhibit corrosion of the flowline due to the flow of the hydrocarbons;determining, based on a failure of a rupture disc in a first branch of a flow pathway through which the corrosion inhibitor flows, that a first pressure at which the corrosion inhibitor is flowed into the flowline exceeds a threshold pressure; andin response to determining that the pressure exceeds the threshold pressure:ceasing a first flow of the corrosion inhibitor through the first branch,permitting a second flow of the corrosion inhibitor in a second branch of the flow pathway fluidically isolated from the first branch at a second pressure less than the threshold pressure, andtransmitting one or more electronic alerts to one or more electronic terminals to communicate that the second pressure exceeds the threshold pressure. 2. The method of claim 1, wherein ceasing the flow of the corrosion inhibitor in the first branch comprises changing a first block valve coupled to the first branch from an open state to a closed state. 3. The method of claim 2, wherein permitting the flow of the corrosion inhibitor in the second branch comprises changing a second block valve coupled to the second branch from a closed state to an open state. 4. The method of claim 1, further comprising:determining that a time between ceasing the flow of the corrosion inhibitor in the first branch and permitting the flow of the corrosion inhibitor in the second branch exceeds a time threshold; andin response to determining that the time exceeds the time threshold, transmitting a closure signal to an isolation valve upstream of the flowline to cause the isolation valve to cease flow through the flowline. 5. The method of claim 1, further comprising, in response to determining that the first pressure exceeds the threshold pressure, causing the corrosion inhibitor injection pump to flow the corrosion inhibitor at the second pressure less than the threshold pressure. 6. The method of claim 1, further comprising:sensing a third pressure on the rupture disc in the first branch due to flow of the corrosion inhibitor; anddetermining that the rupture disc has failed responsive to drop in the third pressure on the rupture disc. 7. The method of claim 1, wherein the rupture disc is a first rupture disc, wherein the method further comprises sensing a fourth pressure on a second rupture disc in the second branch due to flow of the corrosion inhibitor. |
|
description | 1. Field of the Invention This invention relates to the field of gasification of hydrocarbon-containing solids, liquids and gases. More specifically, the invention comprises a process for gasifying hydrocarbon materials and rendering them suitable for use in combustion processes or petrochemical processes. 2. Description of the Related Art Ideal combustion often requires that the fuel material be ignited as a gas, liquid, or finely particulated solid. When this is true, advanced technology can be employed to regulate the combustion process and eliminate or reduce the production of pollutants. Complex solids can then be combusted in a controlled fashion. Many solid hydrocarbon fuels are available at relatively low cost. One good example is coal, which exists in a wide variety in terms of concentration and the presence of unwanted impurities. Coal is typically burned in power plants to produce electricity. Unfortunately, it is really only suitable for use in large fixed installations (power plants) or large and complex moving installations (coal-fired ships or steam locomotives). The use of coal in a smaller application—such an automobile—is impractical. Several processes have been developed to convert solid coal into a more usable form—commonly known as “coal gasification.” While these processes work, they often consume more energy in the conversion than is available in the final product. They have also been quite complex and difficult to maintain. Processes to gasify other types of hydrocarbon-containing solids and liquids are also known in the prior art, typically concentrating on the recycling of used solids such as rubber tires and unrefined liquids such as crude oils. These processes have also been quite complex and of marginal economic worth. In addition, other prior art gasification processes produce greenhouse gases and other pollutants as byproducts. The present invention comprises a process which is capable of gasifying a variety of hydrocarbon-containing materials. The resulting gas is suitable for use in various combustion processes and for petrochemical processes. A hydrocarbon-containing material is mixed with natural gas (or other suitable hydrocarbon gas) under pressure. The suspended material and gas are then injected under pressure into an accelerator/gasifier. Intense heat (provided by an external energy source) is applied to the mixture as it travels through the gasifier, resulting in the cracking of the hydrocarbon chains and the release of additional energy. The released bond energy, along with the addition of the external energy, rapidly expands the gas and causes the velocity of the moving mixture to rise sharply as it proceeds down the tube of the gasifier. The accelerator/gasifier tube is connected to a magnetohydrodynamic generator which generates electricity and removes free electrons from the stream. From the magnetohydrodynamic generator, the resultant stream is transmitted to a decelerator/heat exchanger which cools the stream to approximately 700 degrees Celsius. Hydrogen and usefully hydrocarbon gases are eventually separated from the stream. 10mixer12gas supply14injector16microwave18heavy hydrocarbon storage20accelerator/gasifier22microwave24MHD generator26electricity28decelerator/heat exchanger30metals32particulate refiner34heat36turbine generator38electricity40light hydrocarbon storage42reboiler44separator46condenser48condenser50hydrogen purification filter52hydrogen storage54inlet56inlet flange58first expansion nozzle60refractory shell62tube supports64heating elements66housing68outlet flange70inlet flange72gas inlet74gas cooling jacket76second expansion nozzle78gas outlet80liquid inlet82liquid cooling jacket84solids collection outlet86cooled gas outlet88outlet flange90liquid outlet92coal and natural gas94cracked natural gas andsoftened coal96first acceleration phase98second acceleration phase100gasification phase102hot gas104centrifuge106carbon particulates108gas flow110conduit112insulator walls114anode116insulators118load120cathode FIG. 1 depicts the process of the present invention. The reader should appreciate that the present process can be used to gasify solids, liquids, or a combination of the two (a “slurry”). In this initial example, a solid will be employed. A finely-ground hydrocarbon-containing solid is fed to mixer 10. Natural gas or other light hydrocarbon gas is also fed into mixer 10 from gas supply 12. The entire system is pressurized. Thus, those skilled in the art will know that the gas must be fed in under pressure and the solid material must be fed in under pressure. Mixer 10 disperses the hydrocarbon-containing solid into the natural gas, and delivers the stream through injector 14 into microwave 16 and then on to accelerator/gasifier 20. Microwave 16 is used to “jump start” the heating process before the mixture is passed to accelerator/gasifer 20. Microwave 16 is a continuous feed device. A multi-head LASER such as an 8-beam-point multi-head laser, may be used in conjunction with or in place of microwave 16 to preheat the mixture. The mixture is further heated within accelerator/gasifier 20. It undergoes a transformation process—which will be described subsequently—before passing through microwave 22. Accelerator/gasifier 20 receives the feed from mixer 10 at a temperature of approximately 10-20 degrees Celsius. The stream is heated to approximately 1700 degrees Celsius in accelerator/gasifier 20. Microwave 22 provides further energy to the stream before the components are transferred to magnetohydrodynamic (“MHD”) generator 24. MHD generator 24 uses the enthalpy or kinetic energy of the stream to generate electricity 38. Those that are skilled in the art know that an MHD generator generates electric current from a high-temperature, conductive gas passing through a magnetic field. The removal of free electrons by MHD generator 24 facilitates the separation of components of the stream further “downstream.” The removal of free electrons also allows for subsequent cooling and separation of the components without any “reforming” (due to the reversibility of the reactions based upon the post-gasification environment). Because of this, the final separated products streams are nearly pure in composition. FIG. 6 shows one possible configuration for MHD generator 24. Hot gases 108 leaving gasifier 20 and/or microwave 22 pass through conduit 110. A series of anodes 114 align the side walls of conduit 110. Each anode is separated from adjacent anodes by an insulator 116. Insulator walls 112 extend across the top and bottom of conduit 110 and connect to the side walls. Each anode 114 is electrically connected to cathode 120 through load 118. As hot gases 108 pass through conduit 110, free electrons are collected by anode 114 and transmitted to cathode 120. There are many potential uses for these electrons, including AC or DC power. The electrons may also be transmitted to an electron accumulator for use with an electron beam or may be used as a supply for negative ion source devices. Referring back to FIG. 1, the stream is transmitted from MHD generator 24 to decelerator/heat exchanger 28 where heat 34 (thermal energy) is extracted from the stream. Heat 34 may be used for a variety of purposes such as feeding it into a boiler to generate electricity. Decelerator/heat exchanger 28 cools the stream from approximately 1700 degrees Celsius at the inlet to approximately 700 degrees Celsius at the outlet. From decelerator/heat exchanger 28, the stream is transmitted to particulate refiner 32 where solids (including metals) are removed from the stream. Metals 30 are stockpiled in storage for further processing or use. Once solid particulates are removed from the stream, the stream is transmitted to turbine generator 36 where the kinetic energy of the stream is used to generate electricity 38. From turbine generator 36, the stream is transmitted to separator 44 where hydrogen gas is reverse distilled from the stream. “Heavier” hydrocarbon gases are condensed out of the stream in separator 44. The reverse distillation may be accomplished using selective-membrane separators, staged-separation vessels or other suitable reverse distillation means. The reverse distillation may also be accomplished using combinations of these types of equipment. Separator 44 receives the stream at an inlet temperature of approximately 650 degrees Celsius and the hydrogen stream from condenser 48 is approximately 15 degrees Celsius. In FIG. 1 a staged-separation vessel is illustrated. Condenser 48 separates the stream coming from separator 44 into a liquid stream and a gas stream. The liquid stream is returned to the top stage of separator 44 and the gas stream (which includes hydrogen and light hydrocarbons such as methane) is transmitted to hydrogen purification filter 50. Condenser 46 receives a portion of the vapor from an intermediate stage and separates the vapor into a liquid stream which is returned to separator 44 and a vapor stream which is sent to light hydrocarbon storage 40. The liquid stream exiting the bottom of separator 44 is transmitted to reboiler 42. Reboiler 42 separates the liquid stream into a vapor stream and a liquid stream. The vapor stream is reinjected into the bottom of separator 44 and the liquid stream is sent to heavy hydrocarbon storage 18. Those that are skilled in the art know that the heat-driven reverse distillation of hydrogen from light hydrocarbon is energy intensive and expensive. Thus, staged-separation equipment may only be practical to separate relatively heavy hydrocarbons from light hydrocarbons and hydrogen. Hydrogen purification filter 50 includes membrane separators with hydrogen-selective qualities to separate hydrogen from light hydrocarbons. Although not illustrated in FIG. 1, the light hydrocarbons may be stored or returned to mixer 10 for reprocessing. The purified hydrogen is sent to hydrogen storage 52. The reader will note that the foregoing process does not produce any “greenhouse gases” or pollutants. In addition, none of the materials injected into the process are consumed in the gasification reaction (or any other reaction) in such a manner which would necessitate the use of exhaust stacks. Once the hydrocarbon-containing material is gasified, the stream is separated into its useful components, and electricity is generated by three separate processes: (1) by MHD generator 24, (2) by boiler using heat 34, and (3) by turbine generator 36. The process also results in useful products (such as H2) which can be employed in other processes. Several components of the present process will now be considered in greater detail. FIG. 2 shows accelerator/gasifier 20 sectioned in half to show its internal details. The unit is connected to mixer 10 by inlet flange 56. The mixture of hydrocarbon gas and solids is forced in through inlet 54. It then passes through first expansion nozzle 58. Most of the length of the gasifier tube is contained within housing 66. Refractory shell 60 surrounds the gasifier tube and insulates the metal comprising housing 66 from the extreme heat generated by a plurality of electrical heating elements 64. A set of tube supports 62 holds the tube in position within housing 66. The wall of the cylindrical tube must have a high degree of thermal conductivity, in order to conduct heat to the gas and solids passing within the tube (essential to the processes occurring therein). It must also be capable of withstanding high temperatures. Outlet flange 68 connects accelerator/gasifier 20 to a conduit. This conduit passes the stream on to microwave 22 and MHD generator 24. The temperature within the refractory shell is typically maintained between 2200 and 3400 degrees Fahrenheit, depending on the material to be gasified. This heat is transferred to the mixture of gases and solids being forced through the tube. FIG. 4 graphically depicts the processes 58 occurring within the accelerator/gasifier. It is simultaneously heated. The heating adds energy to reach the activation energy needed to alter the chemical structure of the compounds present. The result is that the hydrocarbon chains within the natural gas are “cracked”, thereby releasing some carbon bond energy. The coal is also broken into progressively finer particles (“softened”) by the intense turbulent motion of the swirling gases. The added heat produces explosive acceleration, which further contributes to the carbon chain cracking process. The result is the region denoted as cracked natural gas and softened coal 94. As the temperature of the mixture rises, the carbon bonds contained within the coal break, thereby releasing more potential energy. The sharply rising temperature causes the gas to expand—producing violent acceleration down the tube. This is denoted as first acceleration phase 96. The energy transferred to the mixture from heating elements 64 causes more expansion and further acceleration, denoted as second acceleration phase 98. The gases can exceed the speed of sound, forming shock waves as illustrated. A violently rotational flow typically develops as the gas accelerates down the tube. The hydrocarbon chains within the coal ultimately break into their constituent elements, as do the hydrocarbon chains within the natural gas. This action occurs through the region marked gasification phase 100. The result is the escape of hot gas 102 out the right end of the assembly at high velocity. This hot gas, at this stage, may be composed mostly of hydrogen. Shorter-chain hydrocarbon gases may also be present (methane, ethane, etc.). Those skilled in the art will also realize that a substantial quantity of free electrons will be present (so long as the gas remains at the highly elevated temperature). The goal of the device is to provide a continuous process. Thus, the hot gas produced must be removed and collected. After free electrons are extracted from the stream by MHD generator 24, the stream is cooled. FIG. 3 illustrates a device intended to accomplish this task. Decelerator/heat exchanger 28 assumes the form of an enclosed expansion nozzle. It is connected to the conduit exiting MHD generator 24 by inlet flange 70. The hot gases entering the device expand through second expansion nozzle 76. This process expands and cools the hot gas. Second expansion nozzle 76 is surrounded by gas cooling jacket 74. Cool gas is forced into the jacket through gas inlet 72. It flows around a circular manifold and is forced along the bell-shaped wall of second expansion nozzle 76, where it is eventually collected in a second circular manifold and extracted through gas outlet 78. The cooling gas, which may be ambient air, can be used as a heat source for another process or simply exhausted. Although gas cooling jacket 74 removes considerable thermal energy from the expanded gas within decelerator/heat exchanger 28, more energy must typically be removed prior to storing the gasified hydrocarbons. A second cooling stage is produced by liquid cooling jacket 82. A conductive liquid, such as water, is fed in through liquid inlet 80. The liquid flows around a circular manifold and through liquid cooling jacket 82. It is then collected in a second circular manifold and extracted through liquid outlet 90. The cooled hydrocarbon gas is then extracted through cooled gas outlet 86. The gas may then be fed directly into particulate refiner 32 and then to turbine generator 36. An extraction pump is generally attached to cooled gas outlet 86 (via outlet flange 88) in order to maintain flow in the system. Those skilled in the art will realize that the gas extraction can be accomplished using multiple pumps attached to multiple gas outlets. Although nearly all of the hydrocarbon-containing solid will be converted to a gas, some solid materials (such as silicon) will remain. Some of these materials may collect in the bottom of decelerator/heat exchanger 28. Solids collection outlet 84 is provided for the removal of these materials. It is attached to an accumulation unit, from which the solids must periodically be collected. Those skilled in the art will know that the hydrocarbon gas present at this point in the process may be different from the one fed into the accelerator/gasifier tube. The extreme temperatures and violent kinetic action tends to break down longer carbon chains (“cracking”). As an example, if the feed gas is propane (containing a molecule with a carbon chain which is three carbon atoms long), the gas may be very nearly transformed to free hydrogen and methane (containing only a single carbon atom per molecule) by the time it reaches the end of the accelerator/gasifier tube. Both the input and output gas include hydrocarbon gases, but they are not the same gases. For purposes of clarity, the gas exiting the accelerator/gasifier tube will be referred to as a “resultant gas.” Various other conventional components have not been illustrated. For instance, as explained previously, the solid material must be pressurized in order to feed into mixer 10. This pressurization component has not been illustrated. Although coal has been used in the preceding example, other solid materials can be substituted. As a second example—used rubber tires can be employed as the hydrocarbon-containing solid. Different mechanical hardware is needed to shred used tires to an appropriate particle size, but the process is otherwise similar. The process is not limited to the use of hydrocarbon-containing solids, however. Hydrocarbon-containing liquids, such as crude oil and oil contained in “Distiller's dried grains” (a byproduct of ethanol production processes), can also be employed. In many respects the use of such a liquid simplifies the process, since it is easier to disperse the liquid into the natural gas than a finely ground solid. Combinations of liquids and solids are also possible. Finely ground coal can be mixed into crude oil to form a slurry, which is then dispersed into the natural gas and fed into accelerator/gasifier 20. A slurry can also be made by mixing shredded rubber products with crude oil. The proposed method may also be used to drive the dissociation of methane and thus the separation of methane into carbon and hydrogen atoms. The following formula is representative of the dissociation of methane into carbon and hydrogen atoms:CH4+ΔH→C4++4H++4e− (Eq. 1)where ΔH represents the bond dissociation energy of methane. For a mole of methane, a ΔH of 1662 kJ is needed to break all four C—H bonds. As shown in FIG. 5, methane or other low molecular weight hydrocarbon gases can be injected into gasifier 20 from gas supply 12 by injector 14. In this example, no hydrocarbon solids or liquids are mixed with the gas feed. Microwaves 16 and 22 are again employed upstream and downstream of gasifier 20 in order to provide additional energy to drive the dissociation of the gas. If methane is used, sufficient heat is added by gasifier 20 to drive the dissociation of methane as shown in Eq. 1. Thus, the gas stream exiting microwave 22 contains a mixture of carbon ions, hydrogen ions, and free electrons. This gas stream is then transmitted through MHD generator 26. MHD generator 26 strips the stream of the free electrons, thereby preventing the reformation of methane downstream. MHD generator 26 should have sufficient capacity to remove sufficient electrons to prevent the reformation of the “starting” gas (methane, in the present example). The exact size of MHD generator 26 needed is dictated by the gas feed rate into MHD generator 26 and the efficiency of the cathode-anode circuit. The stream is then transmitted to centrifuge 104 where carbon atoms and hydrogen atoms are separated into streams of carbon particulates 106 and hydrogen. The hydrogen stream is then passed through hydrogen purification filter 50 on to hydrogen storage 52. Although centrifuge 104 is illustrated in FIG. 5, other separation devices may be used to separate carbon and hydrogen atoms including selective membranes, cyclone separators, and settlement chamber type separators. The result of the separation is a supply of carbon particulates and gaseous hydrogen. Both of these products are highly useful in many industries. Although the specific hydrocarbon-containing examples of coal, shredded rubber, crude oil, and methane were disclosed, the reader should keep in mind that the devices disclosed could be used to gasify virtually any type of hydrocarbon-containing liquid or solid. The preceding descriptions should not be construed, therefore, as limiting the scope of the invention but rather as providing illustrations of the preferred embodiments of the invention. |
|
043138454 | summary | BACKGROUND OF THE INVENTION The invention described herein was made in the course of, or under a contract with the United States Department of Energy. The invention relates generally to acid digestion processes and more particularly to the chemical digestion of combustible, low level radioactive, solid waste material. Disposal of radioactive waste is an important problem in the nuclear energy field today since many radioactive wastes must be stored for very long time periods to assure that no health hazard will be incurred. Low level radioactive, combustible, solid waste materials are a particular problem because of the relatively large bulk of such materials associated with small amounts of contamination. Typical combustible, solid waste materials of concern are those resulting from fuel fabrication operations, such as used rubber gloves, paper, rags, brushes and various plastics. Of particular concern as well is the disposal of spent ion exchange resins from reactors, fuel fabrication plants and reprocessing plants (e.g. estimated to comprise from 500 to 800 cubic feet of material per year per nuclear reactor). Present practice consists of packaging the solid waste materials in containers ranging from cardboard boxes lined with plastic bags to steel drums and then burying the packages in pits or trenches. This technique involves transporting the packaged materials over roadways and finally storing the materials in monitored repositories. Potential release of contamination to the environment is possible as a result of decay of the containers, or inadvertent combustion, etc. Moreover in fuel reprocessing plants and fuel preparation plants, spent ion exchange resins contain significant amounts of plutonium as well as other fission products, which may preclude direct burial of these resins. Inasmuch as a large percentage of the contaminated solid waste material is simply light-weight, bulky, combustible material, incineration of solid nuclear waste materials has been studied extensively, but it is subject to poor control of combustion, with attendant off-gas system difficulties and severe corrosion problems, coupled with expensive maintenance problems. Mechanical compaction of the solid waste material has also been studied extensively with volume reductions of two- to five-fold being achieved. In general, however, compaction and sorting of solid waste materials are moderately expensive in that special personnel protection devices are needed over and above normal protective equipment costs and these operations do not put the material into an inert form. In another approach a process based on the use of sulfuric acid with a selenium catalyst has been used to reduce the volume of combustible, low level radioactive waste. This process is described in "Treatment of Combustible, Solid, Low Level Radioactive Waste at RISQ, the Danish Atomic Energy Commission Research Establishment", Proceedings of a Symposium on Practices in the Treatment of Low and Intermediate Level Radioactive Waste, IAEA and ENEA, Vienna, December, 1965. While this process affords volume reductions approaching 60, the process requires the use of a very toxic catalyst and apparently has poor control of the reaction rate. An improved system for the digestion of low level radioactive solid waste material has been described in U.S. Pat. No. 3,957,676, issued May 18, 1976. In the patented process the waste material is digested by reacting the combustible, solid waste with concentrated sulfuric acid at a temperature within the range of 230.degree. to 300.degree. C. and simultaneously and/or thereafter contacting the reacted mixture with concentrated nitric acid or nitrogen dioxide. The process is conducted batchwise or by incremental additions of solid waste materials and nitric acid or nitrogen dioxide. While a significant improvement in volume reduction in the order of up to 160 can be achieved with very little acid consumed, the waste through-put rate is relatively low, because of the geometrical limitations that must be imposed when treating fissile containing materials and this detracts from its practical value. It is therefore desirable, and a primary object of this invention, to provide an improved system to that described in U.S. Pat. No. 3,957,676, that has a controlled, safe, less expensive and more readily manageable form of treatment of low level radioactive, combustible, scrap material, with suitable volume reductions and a relatively high through-put. SUMMARY OF THE INVENTION In accordance with this invention an improved system for chemically digesting low level radioactive solid waste material is provided wherein the solid waste is reacted with concentrated sulfuric acid at a temperature within the range of 220.degree. to 330.degree. C. and simultaneously the reacting mixture is brought in contact with concentrated nitric acid or nitrogen dioxide. The improved system comprises an annular vessel (10) constructed to be substantially filled with the concentrated sulfuric acid. The waste material is introduced into the annular vessel and the nitric acid or nitrogen dioxide is added to the sulfuric acid while the sulfuric acid is reacting with the solid waste. Means (16) are provided for mixing the solid waste within the sulfuric acid so that the solid waste remains substantially fully immersed. During the reaction, the off gas and the product slurry residue are removed from the annular vessel. In one preferred form the means for mixing includes an air lift recirculator wherein mixing is provided by air used to oxidize the off gases and the nitric acid or nitrogen dioxide used to oxidize the carbon slurry residue. In another preferred form the vessel is constructed to retain the heat of the exothermic chemical reaction to substantially maintain the reaction temperature within the range of 220.degree. to 330.degree. C. |
claims | 1. An electron beam exposure system for exposing a pattern on a wafer by a plurality of electron beams, comprising: an electron beam generating section for generating the plurality of electron beams; an electron lens section with a plurality of apertures through which the plurality of electron beams pass, for independently focusing the plurality of electron beams; and a first magnetic field formation section, which is provided in at least one of the plurality of apertures, for forming a magnetic field in a direction substantially perpendicular to an irradiation direction of the electron beams passing through one of said plurality of apertures. 2. The electron beam exposure system as claimed in claim 1 , wherein said first magnetic field formation section is provided on a wall of one of said plurality of apertures. claim 1 3. The electron beam exposure system as claimed in claim 2 , wherein said first magnetic field formation section comprises a coil for forming a magnetic field and an insulating member provided between said coil and the wall of the aperture. claim 2 4. The electron beam exposure system as claimed in claim 1 , wherein said first magnetic field formation section comprises: claim 1 a plurality of first magnetic field formation sections, which are provided in the plurality of apertures; and a coil control section for independently controlling current supplied to each of said plurality of first magnetic field formation sections. 5. The electron beam exposure system as claimed in claim 4 , wherein said coil control section independently controls current supplied to each of said plurality of first magnetic field formation sections based on position of each of said plurality of apertures in which each of said plurality of first magnetic field formation sections is provided. claim 4 6. The electron beam exposure system as claimed in claim 5 , wherein said coil control section controls the current so that current supplied to a part of said plurality of magnetic field formation sections provided in first apertures of the plurality of apertures is higher than current supplied to another part of said plurality of magnetic field formation sections provided in second apertures of the plurality of apertures, where the second apertures are located outside of the first apertures in said electron lens section. claim 5 7. The electron beam exposure system as claimed in claim 1 , further comprising a second magnetic field formation section provided in a position for forming magnetic field in a direction substantially perpendicular to the direction of the magnetic field formed in the aperture by said first magnetic field formation section. claim 1 8. The electron beam exposure system as claimed in claim 7 , further comprising a coil control section for independently controlling the current supplied to said first magnetic field formation section and current supplied to said second magnetic field formation section. claim 7 9. The electron beam exposure system as claimed in claim 7 , further comprising a third magnetic field formation section and a fourth magnetic field formation section, which are provided in an opposite side of the first magnetic field formation section and the second magnetic field formation section respectively. claim 7 10. The electron beam exposure system as claimed in claim 1 , wherein said electron lens section comprises: claim 1 a first magnetic conductor with a plurality of apertures through which the plurality of electron beams pass; a second magnetic conductor with a plurality of apertures through which the plurality of electron beams pass; wherein said second magnetic conductor is provided substantially parallel with said first magnetic conductor; said first magnetic field formation section is provided in the apertures of said first magnetic conductor, and the electron beam exposure system further comprises a fifth magnetic field formation section provided in the apertures of said second magnetic conductor. 11. The electron beam exposure system as claimed in claim 10 , further comprising a cooling section for cooling said first magnetic conductor and said second magnetic conductor. claim 10 12. The electron beam exposure system as claimed in claim 1 , further comprising: claim 1 a substrate, which is provided substantially in parallel with said electron lens section, including wiring in which the current to said first magnetic field formation section flows; and a wire section for connecting said first magnetic field formation section and said wiring. 13. An electron lens for independently focusing a plurality of electron beams, comprising: a first magnetic conductor with a plurality of apertures through which the plurality of electron beams pass; a second magnetic conductor with a plurality of apertures through which the plurality of electron beams pass, wherein said second magnetic conductor is provided substantially parallel with said first magnetic conductor; and a magnetic field formation section, which is provided in at least one aperture of the plurality of first apertures and the plurality of second apertures, for forming a magnetic field in a direction substantially perpendicular to an irradiation direction of the electron beams passing through said apertures. 14. An electron beam exposure system for exposing a pattern on a wafer by a plurality of electron beams, comprising: an electron beam exposure apparatus comprising: an electron beam generating section provided at one end of said electron beam exposure apparatus; a wafer stage provided at the other end of said electron beam exposure apparatus, and opposing to said electron beam generating section; at least one shaping member provided between said electron beam generating section and said wafer stage, including a plurality of apertures having predetermined shapes, each of said apertures having an axis extending in a direction substantially parallel with an irradiation direction of the electron beams; and at least one electron lens section provided between said electron beam generating section and said wafer stage, and positioned either upstream or downstream of said shaping member in the irradiation direction of the electron beams, said electron beam generating section comprising: a plurality of apertures with an axis extending in a direction substantially parallel with the irradiation direction of the electron beams; and at least one magnetic field formation section provided at each of said plurality of apertures for forming a magnetic field in a direction substantially perpendicular to the irradiation direction of the electron beams passing through each of said plurality of apertures. 15. An electron lens used for an electron beam exposure system for exposing a pattern on a wafer by a plurality of electron beams, comprising: a plurality of apertures with an axis extending in a direction substantially parallel with an irradiation direction of the electron beams; and at least one magnetic field formation section provided at each of said plurality of apertures, for forming a magnetic field in a direction substantially perpendicular to the irradiation direction of the electron beams passing through each of said plurality of apertures. 16. An electron lens section disposed in an electron beam exposure apparatus, comprising: a plurality of apertures with an axis extending in a direction substantially parallel with an irradiation direction of the electron beams; and at least one magnetic field formation section provided in at least one of the plurality of apertures for forming a magnetic field in a direction substantially perpendicular to the irradiation direction of the electron beams passing through one of said plurality of apertures. |
|
claims | 1. A process for treating a gas stream, which comprises the steps of:passing the gas stream over a first catalytic adsorber module in a first purification stage for oxidation of entrained impurities;mixing in molecular or atomic oxygen into the gas stream;passing the gas stream with the mixed-in oxygen over an oxidation catalyst in a second purification stage; andpassing the gas stream leaving the oxidation catalyst over a second catalytic adsorber module in a third purification stage for reducing excess oxygen. 2. The process according to claim 1, which further comprises using a Cu/CuO mixture as a catalytic adsorber material both in the first catalytic adsorber module and in the second catalytic adsorber module. 3. The process according to claim 1, which further comprises determining an index for a proportion of entrained impurities in the gas stream on a basis of which an amount of the oxygen mixed into the gas stream is set, before the gas stream enters the first catalytic adsorber module. 4. The process according to claim 1, which further comprises setting a temperature of the gas stream to from about 400° C. to 450° C. at a point where the gas stream enters the oxidation catalyst. 5. The process according to claim 1, which further comprises preheating the gas stream before the gas stream enters the oxidation catalyst using a recuperative heat exchanger receiving the gas stream leaving the oxidation catalyst. 6. The process according to claim 1, which further comprises setting a temperature of the gas stream to about 250° C. at a point where the gas stream enters the first catalytic adsorber module. 7. The process according to claim 1, which further comprises preheating the gas stream before the gas stream enters the first catalytic adsorber module using a recuperative heat exchanger receiving the gas stream leaving the second catalytic adsorber module. 8. A method of operating a nuclear power station, which comprises the steps of:passing a substrearn of a helium cooling gas stream over a first catalytic acisorber module in a first purification stage for oxidation of entrained impurities;mixing in molecular or atomic oxygen into the substream of a helium cooling gas stream;passing the gas stream with the mixedin oxygen over an oxidation catalyst in a second purification stage; andpassing the gas stream leaving the oxidation catalyst over a second catalytic adsorber module in a third purification stage for reducing excess oxygen. 9. The method according to claim 8, which further comprises determining an index for a proportion of possibly entrained oxygen in the gas stream leaving the second catalytic adsorber module and positions of the first and second catalytic adsorber elements in a flow path of the gas stream are exchanged after a prescribed limit for the, index has been exceeded. 10. A gas treatment system, comprising:at least tPo catalytic adsorber modules disposed in series relative to a gas stream;an oxidation catalyst disposed between said catalytic adsorber modules in a gas flow direction; anda feed unit for feeding molecular or atomic oxygen disposed upstream of said oxidation catalyst. 11. The gas treatment system according to claim 10, further comprising:a sensor sensing a proportion of entrained impurities in the gas stream and disposed upstream of a first, one of said catalytic adsorber modules; anda controlled parameter transducer assigned to said feed unit and having an input side connected to said sensor. 12. The gas treatment system according to claim 10, wherein said catalytic adsorber.modules each contain a Cu/CuO mixture as a catalytic adsorber material. 13. The gas treatment system according to claim 10, further comprising an intermediate heating system disposed upstream of said oxidation catalyst. 14. The gas treatment system according to claim 13,further comprising an outflow line for conducting the gas stream from said oxidation catalyst;further comprising an inflow line; andwherein said intermediate heating system contains a recuperative heat exchanger having a primary side connected into said outflow line for receiving the gas stream from said oxidation catalyst and a secondary side connected into said inflow line for receiving the gas stream to 1Se sent to said oxidation catalyst. 15. The gas treatment system according to claim 10, further comprising a heating system installed upstream of a first, of said catalytic adsorber modules. 16. The gas treatment system according to claim 15,further comprising a gas discharge line connected to a second one of said catalytic adsorber modules;further comprising a gas feed system for said first catalytic adsorber module; andwherein said heating system has a recuperative heat exchanger having a primary side connected into said gas discharge line for receiving the gas stream from said second catalytic adsorber module and a secondary side connected into said gas feed system for feeding the gas stream to said first catalytic adsorber module. 17. The gas treatment system according to claim 10, further comprising a joint switching system for directing a flow of the gas stream for said catalytic adsorber modules. 18. The gas treatment system according to claim 10, further comprising a pressure vessel functioning as a common outer housing, said catalytic adsorber modules and said oxidation catalyst disposed in said pressure vessel. 19. The gas treatment system according to claim 10, wherein the gas treatment system is connected to a helium cooling gas circuit of a nuclear plant. |
|
summary | ||
claims | 1. Electron beam writing equipment comprising:means for generating plural electron beams;means for controlling on/off of each of said plural electron beams according to pattern data to be written; andmeans for forming desired patterns on a wafer by scanning a writing area which includes a plurality of unit writing areas in each of which one of said plural electron beams is scanned, said on/off controlled electron beams together forming said desired patterns on a wafer,wherein a center of the writing area is shifted to an already written area, andwherein a center of each of the unit writing areas is shifted by substantially an integral multiple of the beam interval of the plural electron beams or by substantially an integral multiple and substantially 1/integer of the beam interval of the plural electron beams. 2. The electron beam writing equipment according to claim 1, further comprising a plurality of Faraday cups arrayed to receive said plural electron beams to detect variation in said plural electron beams. 3. An electron beam writing method comprising the steps of:generating plural electron beams;on/off controlling each of the plural electron beams according to pattern data to be written; andforming desired patterns on a wafer by scanning a writing area which includes a plurality of unit writing areas in each of which one of the plural electron beams is scanned,wherein a center of the writing area is shifted to an already written area, andwherein a center of each of the unit writing areas is shifted by substantially an integral multiple of the beam interval of the plural electron beams or by substantially an integral multiple and substantially 1/integer of the beam interval of the plural electron beams. 4. The electron beam writing method according to claim 3,wherein one side of each of said unit writing areas is larger than the beam interval of said plural electron beams. 5. The electron beam writing method according to claim 3,wherein the positions of said patterns are optically measured. 6. The electron beam writing method according to claim 3,wherein the positions of said patterns written at a position closer to the center of a position of a second adjacent electron beam than to the center of a position of a first electron beam of said electron beams is measured optically so as to correct said pattern. 7. Electron beam writing equipment, comprising:an aperture array;a blanker array arranged to receive the plural electron beams and to on/off control passage of the electron beams; andan optical system arranged to scan a writing area of a subject so as to form a desired pattern;wherein the writing area includes a plurality of unit writing areas in each of which one of said plural electron beams is scanned,wherein a center of the writing area is shifted to an already written area, andwherein a center of each of the unit writing areas is shifted by substantially an integral multiple of the beam interval of the plural electron beams or by substantially an integral multiple and substantially 1/integer of the beam interval of the plural electron beams. 8. The electron beam writing equipment, according to claim 7, further comprising: a plurality of Faraday cups arrayed to receive said plural electron beams to detect variation in said plural electron beams. 9. The electron beam writing method according to claim 3,wherein positions of said patterns are measured to obtain relative positions between said electron beams so that said patterns are corrected. 10. The electron beam writing method according to claim 1,wherein one side of each of said unit writing areas is larger than the beam interval of said plural electron beams. 11. The electron beam writing method according to claim 7, wherein one side of each of said unit writing areas is larger than the beam interval of said plural electron beams. |
|
description | This application is based upon and claims the benefit of priority from prior Japanese Patent Applications No. 2005-332998, filed Nov. 17, 2005; and No. 2006-047787, filed Feb. 24, 2006, the entire contents of both of which are incorporated herein by reference. 1. Field of the Invention This invention relates to a charged beam drawing technique of a main/sub two-stage deflection system and more particularly to a charged beam drawing apparatus and drawing method having a correction function of a sub deflection astigmatic point. 2. Description of the Related Art In order to prevent occurrence of deflection distortion according to the deflection position of an electron beam in an electron beam drawing apparatus used for manufacturing semiconductor integrated circuits, the operations of deflection sensitivity correction and deflection astigmatic correction are performed (JP-A 2003-347188 (KOKAI) and JP-A 2000-100363 (KOKAI)). On the other hand, in an electron beam drawing apparatus of a main/sub two-stage deflection system, since a sub deflection region is small and an influence by deterioration in the CD precision due to the presence of a sub deflection astigmatic point, it is a common practice not to correct the sub deflection astigmatic point even though the main deflection astigmatic point is corrected. However, recently, the number of deflection astigmatic points in the sub deflection increases to a value which cannot be neglected with a miniaturization of the semiconductor integrated circuit. In order to correct the sub deflection astigmatic points, it is necessary to correct the sub deflection points in the shot unit together with correction of the sub deflection sensitivity and an extremely high-speed operation is required. Therefore, it is extremely difficult to make the correction of the sub deflection astigmatic points. In the conventional technique, methods of reducing the number of sub deflection astigmatic points by use of an alignment coil and making a sub deflection region small in order to reduce an influence by the sub deflection points are used. However, it is difficult to attain the satisfactory correction with the above methods. Thus, conventionally, it is required to perform the high-speed operation in order to make the correction of the sub deflection points in the main/sub two-stage deflection system and it becomes extremely difficult to make the correction of the sub deflection astigmatic point. Therefore, there occurs a problem that the drawing precision is lowered due to the influence of the sub deflection astigmatic points. Further, it can be said that the above problem occurs not only in the electron beam drawing apparatus but also in an ion beam drawing apparatus. According to a first aspect of this invention, there is provided a charged beam drawing apparatus which draws a desired pattern on a sample by use of a charged beam deflected in main/sub two stages, comprising a main deflector which deflects a charged beam, the main deflector sequentially selecting a plurality of sub-deflection drawing regions obtained by dividing a main deflection drawing region of a sample, a main deflection driving unit which drives the main deflector, a sub deflector which deflects the charged beam in the selected sub deflection region, the sub deflector drawing a pattern in the selected sub deflection region, and a sub deflection driving unit which drives the sub deflector, the sub deflection driving unit including a sub deflection sensitivity correction circuit which corrects deflection sensitivity according to a shot position in the sub deflection region, a sub deflection astigmatic correction circuit which corrects a deflection astigmatic point according to a shot position in the sub deflection region, an adder circuit which superimposes an output of the sub deflection sensitivity correction circuit and an output of the sub deflection astigmatic correction circuit and a deflection amplifier which applies an output of the adder circuit to the sub deflector. According to a second aspect of this invention, there is provided a charged beam drawing apparatus which draws a desired pattern on a sample by use of a charged beam deflected in main/sub two stages, comprising a sub deflection astigmatic correction value calculating unit which measures a preset inclination coefficient and a sub deflection astigmatic difference of the charged particle beam by scanning a preset mark in two perpendicularly intersecting directions by use of a charged particle beam in which a sub deflection astigmatic point is corrected by use of an n-th sub deflection astigmatic correction value and calculates an (n+1)-th sub deflection astigmatic correction value used to eliminate a sub deflection astigmatic difference based on the measured preset inclination coefficient and sub deflection astigmatic difference, a sub deflection astigmatic correction coefficient calculating unit which repeatedly performs an operation of calculating sub deflection astigmatic correction values starting from the first sub deflection astigmatic correction value by use of the astigmatic correction value calculating unit until an absolute value of a difference between the n-th and (n+1)-th sub deflection astigmatic correction values becomes smaller than a preset value and calculates a sub deflection astigmatic correction coefficient which is a coefficient of a relational expression defining a sub deflection astigmatic correction amount by use of the (n+1)-th sub deflection astigmatic correction value which is finally obtained, and a drawing unit which draws a preset pattern on the sample by use of the charged particle beam in which the sub deflection astigmatic point is corrected according to the relational expression containing the calculated sub deflection astigmatic correction coefficient. According to a third aspect of this invention, there is provided a charged beam drawing method for drawing a desired pattern on a sample by use of a charged particle beam deflected in main/sub two stages, comprising measuring sub deflection astigmatic differences in two perpendicularly intersecting directions of a charged particle beam by scanning a preset mark in the two perpendicularly intersecting directions by use of the charged particle beam in which a sub deflection astigmatic point is corrected by use of an n-th sub deflection astigmatic correction value, calculating an (n+1)-th sub deflection astigmatic correction value used to eliminate a sub deflection astigmatic difference based on the n-th sub deflection astigmatic correction value, measured sub deflection astigmatic difference and preset inclination coefficient, determining whether an absolute value of a difference between the (n+1)-th sub deflection astigmatic correction value calculated and the n-th sub deflection astigmatic correction value used for calculation is smaller than a preset value, repeatedly performing operations of measuring the sub deflection astigmatic difference, calculating the sub deflection astigmatic correction value and determining whether the absolute value of the difference is smaller than the preset value starting from the first sub deflection astigmatic correction value and terminating the above operations when the absolute value of the difference between the (n+1)-th and n-th sub deflection astigmatic correction values becomes smaller than the preset value, and drawing a desired pattern on the sample by use of the charged particle beam subjected to astigmatic correction by use of the (n+1)-th sub deflection astigmatic correction value obtained when the difference becomes smaller than the preset value. There will now be described embodiments of this invention with reference to the accompanying drawings. FIG. 1 is a schematic configuration diagram showing an electron beam drawing apparatus of a main/sub two-stage deflection system according to a first embodiment of this invention. In FIG. 1, a reference symbol 10 denotes a sample chamber and a sample stage 12 used for mounting a sample 1 such as a semiconductor wafer or the like thereon is arranged in the sample chamber 10. The sample stage 12 can be moved in the right and left directions and in directions perpendicular to the paper sheet by a stage drive system 31 under the control of a control calculator 30. The movement position of the sample stage 12 is detected by use of a laser interferometer 32. An electronic optical lens barrel 20 is arranged above the sample chamber 10. The electronic optical lens barrel 20 includes an electron gun 21, various lens systems (in FIG. 1, only an objective lens 22 is shown) and various deflection systems (in FIG. 1, only a main deflector 24 and sub deflector 23 are shown). An electron beam emitted from the electron gun 22 is formed into a preset form and focused on the sample surface by the objective lens 22. Deflection of the beam position is controlled by the main deflector 24 and sub deflector 23. Drawing data is subjected to an operation process by a drawing circuit 33 connected to the control calculator 30 and the drawing data subjected to the operation process by the drawing circuit 33 is supplied to a main deflection amplifier 35 and sub deflection amplifier 34. Then, a sub field is positioned by the main deflector 24 and the process of drawing the sub field is performed by the sub deflector 23. In this case, the relation between the drawing region (main deflection region), sub deflection regions (sub fields) and shots is shown in FIG. 2. That is, a main deflection region 51 is divided into sub fields 52 determined by the deflection width of the sub deflector 23 and a pattern is drawn in the sub field 52 by use of shots. That is, a sub field is selected by the main deflector 24 and a pattern is drawn in the selected sub field 52 by use of shots of a beam which is positioned by the sub deflector 23. In FIG. 2, a reference symbol 53 denotes a shot (x, y) and 54 denotes an origin coordinate (Mxp, Myp) of the sub field. As shown in FIG. 3, a sub deflection drive unit for sub deflection in the drawing circuit 33 includes a correction coefficient memory 41, sub deflection sensitivity correction circuit 42, sub deflection astigmatic correction circuit 43 and adder circuit 44. In this case, it is supposed that a correction circuit which is conventionally generally used is provided in a main deflection drive unit for main deflection and the explanation thereof is omitted here. As shown in FIG. 4, the correction coefficient memory 41 stores deflection sensitivity correction coefficients (A1, A2, A3, B1, B2, B3) relating to sub deflection and deflection astigmatic correction coefficients (S1, S2, S3, T1, T2, T3) relating to sub deflection for respective meshes obtained by dividing the main deflection region. In the present embodiment, the size of each mesh is set larger than the sub field, but it can be made equal to or smaller than the sub field. As the mesh is made smaller, the precision becomes higher, but the capacity required for the memory becomes larger. The deflection astigmatic correction coefficients relating to sub deflection can be derived by a process in a second embodiment which will be described later. The sub deflection sensitivity correction circuit 42 corrects and calculates the deflection sensitivity for each shot position based on the deflection sensitivity correction coefficient. The sub deflection astigmatic correction circuit 43 corrects and calculates a deflection astigmatic point for each shot position based on the astigmatic correction coefficient. The adder circuit 44 adds outputs of the sub deflection sensitivity correction circuit 42 and sub deflection astigmatic correction circuit 43 and outputs the addition result to the sub deflection amplifier 34. In the sub deflection drive unit, when certain sub deflection (sub field) position information is input, a difference between the position information and a present laser value (stage position information) is calculated. Then, the sub field position information is converted into a main deflection coordinate and a sub deflection position (Mxp, Myp) is obtained. The deflection sensitivity correction coefficients (A1, A2, A3, B1, B2, B3) and deflection astigmatic correction coefficients (S1, S2, S3, T1, T2, T3) are read out from the correction coefficient memory 41 based on the above coordinate and the respective coefficients are set in the sub deflection sensitivity correction circuit 42 and sub deflection astigmatic correction circuit 43. Then, the operation of correcting and calculating the deflection sensitivity for each shot position (x, y) is performed based on the thus set deflection sensitivity correction coefficients by the sub deflection sensitivity correction circuit 42. Likewise, the operation of correcting and calculating the deflection astigmatic point for each shot position (x, y) is performed based on the thus set deflection astigmatic correction coefficients by the sub deflection astigmatic correction circuit 43. That is, P1 and P2 are calculated according to the following equations by use of the sub deflection sensitivity correction circuit 42.P1=A1x+A2y+A3P2=B1x+B2y+B3 Further, Q1 and Q2 are calculated according to the following equations by use of the sub deflection astigmatic correction circuit 43.Q1=S1x+S2y+S3Q2=T1x+T2y+T3 Then, the operation results obtained in the sub deflection sensitivity correction circuit 42 and sub deflection astigmatic correction circuit 43 are added in adequately adjusted timing by the adder 44 and the addition result is supplied to the sub deflection amplifier 34. Thus, in the present embodiment, a sub deflection astigmatic correction value can be calculated at high speed by operating the sub deflection astigmatic correction circuit 43 having the same configuration as that of the sub deflection sensitivity correction circuit 42 in parallel with the sub deflection sensitivity correction circuit 42. The calculated astigmatic correction value is finally added to the drive voltage of the sub deflector. Further, the correction coefficient memory 41 has a map corresponding to the field in the main deflection region and has a circuit configuration to obtain the coefficients based on a mesh corresponding to the sub deflection position if the sub deflection position is determined. In this case, it is required to perform the high-speed operation in order to correct both of the sub deflection sensitivity and sub deflection astigmatic point and it is difficult to make the correction of both of them. The reason is to prevent the operation time from limiting the drawing time. That is, this is because the position correcting operation in the shot unit is performed in a pipe-line system (a method for outputting one data item from the final stage each time one data item is input from the upstream and sequentially processing data in the internal portion) and data is sequentially transferred and processed in a cycle which is shorter than the drawing time of one shot. If the operation for calculating the astigmatic point is performed after the end of the operation of one shot, it becomes clear that the operation time limits the drawing time and the drawing time is delayed. In the present embodiment, as a method for performing the high-speed operation, a method of deriving an astigmatic correction value by driving a circuit having the same configuration as the sub deflection sensitivity correction circuit in parallel with the above circuit is provided. Thus, it is featured that deflection voltage and astigmatic correction voltage are applied to each electrode by adding the deflection voltage to the astigmatic correction value when deflection data items are finally allocated to the respective electrodes. At this time, even if a difference occurs between the operation times thereof, the operation times may be synchronized at the final stage and the sub deflection astigmatic point may be corrected for each shot. Map information of the correction coefficient memory 41 is previously calculated based on the cubic polynomial before drawing and set. The calculation of the cubic polynomial is expressed as follows.fn(x,y)=a0+a1x+a2y+a3x2+a4xy+a5y2+a6x3+a7x2y+a8xy2+a9y3 In this case, coefficients a0,1,2, . . . 9 are separately provided for the coefficients (A1,2,3, B1,2,3, S1,2,3, T1,2,3). The coefficients a0,1,2, . . . 9 can be derived by moving a mark attached to the stage to at least ten desired positions in the main deflection region, measuring the sub deflection sensitivity and sub deflection astigmatic points in the respective positions and using the measurement result. In this case, as shown in FIG. 4, if the main deflection field is uniformly divided to define meshes and a certain desired mesh coordinate is set to (Mxp, Myp), coefficients A, B, S, T set in the mesh are expressed as follows.A1=fa1(Mxp,Myp), A2=fa2(Mxp,Myp), A3=fa3(Mxp,Myp)B1=fb1(Mxp,Myp), B2=fb2(Mxp,Myp), B3=fb3(Mxp,Myp)S1=fs1(Mxp,Myp), S2=fs2(Mxp,Myp), S3=fs3(Mxp,Myp)T1=ft1(Mxp,Myp), T2=ft2(Mxp,Myp), T3=ft3(Mxp,Myp) Based on the above equations, coefficients corresponding to all of the mesh coordinates are previously calculated before drawing and written into corresponding memory areas. Then, the results obtained in the respective circuits are finally superimposed on data items of the respective electrodes and output to the deflection amplifier. Thus, the high-speed operation can be attained by performing the operations in parallel. In the actual circuit, the following operation is performed according to the type of the deflector based on a shot position (P1, P2) and sub deflection astigmatic correction data (Q1, Q2) calculated by performing the parallel pipeline operation. In this case, k1, k2 are proportional constants. As shown in FIG. 5, the sub deflector 34 is an octopole electrode having eight electrodes (SD1 to SD8).SD1=P1+Q1/k1SD2=(P1+P2)/√{square root over (2)}+Q2/k2SD3=P2+Q1/k1SD4=(−P1+P2)/√{square root over (2)}−Q2/k2SD5=−P1+Q1k1SD6=(−P1−P2)/√{square root over (2)}+Q2/k2SD7=−P2−Q1/k1SD8=(P1−P2)/√{square root over (2)}−Q2/k2 If the above data items are input to a deflection amplifier (DAC/AMP), voltages can be applied to the respective deflection electrodes. Thus, astigmatic correction data is superimposed on deflection data and voltage corresponding to the superimposed data is applied to the electrostatic deflector. More specifically, as sub deflection astigmatic points caused by the processing precision of the electrodes of the sub deflection 34, astigmatic points in directions of 0° to 90° and astigmatic points in directions of 45° to 135° are provided and respectively expressed as Q1, Q2. Shot deflection amounts P1, P2 and astigmatic correction points Q1, Q2 are subjected to the parallel calculating operation and finally superimposed on each other according to the above equations and applied to the octa-pole electrostatic deflector. According to the present embodiment, the sub deflection sensitivity correction circuit 42 which corrects the deflection sensitivity and the sub deflection astigmatic correction circuit 43 which corrects the deflection astigmatic point are separately provided and are operated in parallel to perform the operation for deflection astigmatic correction which is independent from the deflection sensitivity. Therefore, the sub deflection astigmatic correcting operation can be performed at high speed and the drawing precision can be enhanced. In this case, the number of orders of the correction equations used in the sub deflection sensitivity and sub deflection astigmatic correction circuits which perform the parallel operation may be different. For example, the sub deflection sensitivity correcting operation can be expressed by the following quadratic equations.P1=A0+A1x+A2y+A3x2+A4xy+A5y2P2=B0+B1x+B2y+B3x2+B4xy+B5y2 Thus, the same effect of astigmatic correction can be attained without degrading the high-speed operation by enhancing the deflection sensitivity correction precision, setting the final output timing equal to the output timing of the sub deflection astigmatic point and then adding the outputs. FIG. 6 is a diagram showing the main portion of a flowchart for illustrating an electron beam drawing method according to a second embodiment of this invention. In the electron beam drawing method of this embodiment, a process including the calculation step (S102) of calculating a sub deflection astigmatic coefficient R1 in the directions of 0° and 90°, the calculation step (S104) of calculating a sub deflection astigmatic coefficient R2 in the directions of 45° and 135°, the main deflection shifting step (S106), the sub deflection shifting step (S108), the initial value setting step (S110), the sub deflection astigmatic difference measuring step (S112) in the directions of 0° and 90°, the calculation step (S114) of calculating a sub deflection astigmatic correction value Q1(n+1), the sub deflection astigmatic difference measuring step (S116) in the directions of 45° and 135°, the calculation step (S118) of calculating a sub deflection astigmatic correction value Q2(n+1), the determination step (S120), the re-setting step (S122) of Q1(n) and Q2(n), the distribution measurement end determination step (S124) in the sub deflection field, the distribution measurement end determination step (S126) in the main deflection field, the sub deflection astigmatic coefficient calculating step (S128) dependent on the main deflection position and the drawing step (S130) is performed. FIG. 7 is a conceptual diagram showing the configuration of an electron beam drawing apparatus used in the method of the present embodiment. In FIG. 7, an electron beam drawing apparatus 100 which is one example of a charged particle beam drawing apparatus includes an electronic optical lens barrel 102, drawing chamber 103, XY stage 105, electron gun 201, illumination lens 202, first aperture 203, projection lens 204, formation deflector 205, second aperture 206, objective lens 207, sub deflector 212 and main deflector 214 which configure a drawing unit 150. Further, it includes a deflection control circuit 110, CPU 120, memory 122, digital-analog converter (DAC) relay box 130, digital-analog converter (DAC) 142, digital-analog converter (DAC) 144, lens control circuit 154, lens control power supply 152 and laser length measuring machine 160 as a control system. The deflection control circuit 110 includes a sub deflection control circuit 112, sub deflection astigmatic correction circuit 114 and main deflection control circuit 116. The DAC relay box 130 includes a sub relay box 132 and main relay box 134. The deflection control circuit 110, lens control circuit 154 and memory 122 are connected to the CPU 120 used as a computer via a bus (not shown). The deflection control circuit 110 and lens control circuit 154 are controlled by a control signal output from the CPU 120. Further, input data or output data processed by the CPU 120 is stored in the memory 122. In the electronic optical column 102, the electron gun 201, illumination lens 202, first aperture 203, projection lens 204, shaping deflector 205, second aperture 206, objective lens 207, sub deflector 212 and main deflector 214 are arranged. In the drawing chamber 103, the XY stage 105 is arranged. In FIG. 7, a portion other than the configuration which is required for illustrating the present embodiment is omitted. Of course, in the drawing apparatus 100, the other configuration generally required is contained. The illumination lens 202, projection lens 204 and objective lens 207 are controlled by respectively passing desired excitation currents through them from the lens control power supply 152 controlled by the lens control circuit 154. Further, the sub deflector 212 is controlled by the sub deflection control circuit 112 and sub deflection astigmatic correction circuit 114 and applied with voltage via the sub relay box 132 and DAC 142. Likewise, the main deflector 214 is controlled by the main deflection control circuit 116 and applied with voltage via the main relay box 134 and DAC 144. FIG. 8 is a diagram showing the main portion of the internal function of the CPU 120 in the present embodiment. The CPU 120 has functions of a sub deflection astigmatic correction value Q(n+1) calculating unit 123, difference value determining unit 124 and sub deflection astigmatic coefficient calculating unit 126. In FIG. 8, a portion other than the configuration which is required for illustrating the present embodiment is omitted. Of course, the CPU 120 may have the other function required for controlling the drawing apparatus. Further, the functions of the sub deflection astigmatic correction value Q(n+1) calculating unit 123, difference value determining unit 124 and sub deflection astigmatic coefficient calculating unit 126 are realized by software executed by the computer, but this is not limitative. For example, they can be realized by hardware by use of an electric circuit. Alternatively, they can be attained by a combination of the software and hardware configured by the electric circuit. Further, they can be attained by a combination of the hardware and firmware. An electron beam 200 which is one example of a charged particle beam emitted from the electron gun 201 illuminates the whole portion of the first aperture 203 having a rectangular hole, for example, oblong hole by use of the illumination lens 202. Then, the electron beam 200 is formed into a rectangular shape, for example, oblong shape. The electron beam 200 of a first aperture image which has passed through the first aperture 203 is projected onto the second aperture 206 by the projection lens 204. Deflection of the position of the first aperture image on the second aperture 206 is controlled by the shaping deflector 205 so as to change the beam shape and size. The electron beam 200 of a second aperture image which has passed through the second aperture 206 is focused by the objective lens 207 and deflected by the two deflectors (main deflector 214 and sub deflector 212) controlled by the deflection control circuit 110. Thus, the beam application position is determined while the beam follows the movement of the XY stage 105 which is continuously moved. In this case, for example, an octa-pole electrostatic deflector is used for beam deflection. The electron beam 200 can be controlled at high speed with high precision by using the octa-pole electrostatic deflector. FIG. 9 is a diagram showing the main deflection region and sub deflection region. As shown in FIG. 9, when a preset pattern is drawn by use of the drawing apparatus 100, for example, the drawing region of a mask used as a sample 101 is divided into a plurality of stripe-form drawing regions (stripes) 156 in the Y direction with the width in which the beam can be deflected by the main deflector 214. Each stripe 156 is divided in the X direction with the same width as that of the stripe in the Y direction. The thus divided region is used as a main deflection region 151 in which the beam can be deflected by the main deflector 214. Each of regions obtained by further dividing the main deflection region 151 is used as a sub deflection region (sub field) 152. The sub deflection region is divided into a plurality of shot patterns 153 and each shot pattern 153 is drawn by beam application. The sub deflector 212 is used to control the position of the electron beam 200 of each shot at high speed with high precision. Therefore, as shown in FIG. 9, the deflection range is narrow and limited in the sub field on the mask blank. Deflection of a range exceeding the above deflection range is performed by moving the position of the sub field by the main deflector 214. The main deflector 214 is used to control the position of the sub field and is moved in a range (main deflection region) in which a plurality of sub fields are contained. Further, since the XY stage 105 is continuously moved in the X direction during the drawing operation, the beam can be caused to follow the movement of the XY stage 105 by continuously moving (tracking) the drawing point of the sub field by use of the main deflector 214. As the astigmatic points, astigmatic points on the axis of the objective lens 207, main deflection astigmatic points caused by deflection by the main deflector 214 and sub deflection astigmatic points caused by deflection by the sub deflector 212 are provided. In this example, the above astigmatic points are treated as independent phenomena and separately corrected. In the present embodiment, particularly, correction of the sub deflection astigmatic point among the above independent phenomena is explained with emphasis placed thereon. First, the astigmatic points on the axis may be statically corrected by use of an astigmatic coil (not shown). Next, the sub deflection astigmatic point is corrected after the main deflection astigmatic point caused by deflecting the electron beam 200 by the main deflector 214 is corrected. The sub deflection astigmatic correction method is explained below. In the step S102, the calculation step of calculating a sub deflection astigmatic coefficient R1 in the directions of 0° and 90° which is one example of the first inclination coefficient calculating step is performed as follows. That is, the sub deflection astigmatic coefficient R1 (first inclination coefficient, one example of a preset inclination coefficient) indicating the inclination of a variation amount of the astigmatic difference when the sub deflection astigmatic correction amount S is set as a variable is calculated based on the relation between the astigmatic difference and the sub deflection astigmatic correction amount S in the directions of 0° and 90° (the first two directions). The method of deriving the sub deflection astigmatic coefficient R1 of the first perpendicularly intersecting two directions (0° and 90°) is explained. FIG. 10 is a conceptual diagram showing the scanning direction of an electron beam in the directions of 0° and 90° in the second embodiment. FIG. 11 is a diagram showing one example of a beam profile by beam of the electron beam in the directions of 0° and 90° in the second embodiment. As shown in FIG. 10, the optimum point of focus in the perpendicularly intersecting two directions is obtained by scanning a rectangular beam 200 in the directions of 0° and 90° on a dot mark 220 of the sample surface and changing the sub deflection astigmatic correction value. That is, a reflected electron signal obtained by scanning the beam on the dot mark 220 is fetched as a beam profile and, as shown in FIG. 11, a point of focus at which the edge portion of the beam profile becomes steep is derived. FIG. 12 is a diagram for illustrating voltages applied to respective electrodes of the sub deflector for sub deflection astigmatic correction in the directions of 0° and 90° in the second embodiment. In this case, an octa-pole electrostatic deflector is used as one example. As shown in FIG. 12, for example, voltages are applied as follows to deflect the beam in a preset direction of the XY direction. Voltage y is applied to the electrode (SD3) in the direction of 90°, voltage (x+y)/√2 is applied to the electrode (SD2) in the direction of 45°, voltage x is applied to the electrode (SD1) in the direction of 0°, voltage (x-y)/√2 is applied to the electrode (SD8) arranged in opposition to the electrode in the direction of 135°, voltage −y is applied to the electrode (SD7) arranged in opposition to the electrode in the direction of 90°, voltage (−x−y)/√2 is applied to the electrode (SD6) arranged in opposition to the electrode in the direction of 45°, voltage −x is applied to the electrode (SD5) arranged in opposition to the electrode in the direction of 0°, and voltage (−x+y)/√2 is applied to the electrode (SD4) in the direction of 135°. Further, in order to adjust the sub deflection astigmatic correction amount in the directions of 0° and 90°, astigmatic voltage V1 may be superimposed on deflection voltage and the value of V1 may be changed. That is, in order to adjust the sub deflection astigmatic correction amount in the directions of 0° and 90°, −V1 may be additionally applied to the electrodes (1) and (5) and +V1 may be additionally applied to the electrodes (3) and (7). FIG. 13 is a diagram showing the relation between the sub deflection astigmatic correction amount and optimum points of focus in the directions of 0° and 90° in the second embodiment. As described above, if the optimum points of focus in the perpendicularly intersecting two directions are measured while the sub deflection astigmatic correction amount is being changed, the relation between the sub deflection astigmatic correction amount Q1 and the optimum points of focus as shown in FIG. 13 can be attained. A point at which the sub deflection astigmatic difference in the directions of 0° and 90° is set to “0” (at the point of intersection of the two straight lines) is used as the sub deflection astigmatic correction amount which is originally derived. FIG. 14 is a diagram showing the relation between the sub deflection astigmatic correction amount and an astigmatic difference in the directions of 0° and 90° in the second embodiment. A graph indicating the relation between the sub deflection astigmatic correction amount Q1 and the astigmatic difference as shown in FIG. 14 can be attained when plotting the sub deflection astigmatic difference shown in FIG. 13 on the ordinate axis. The inclination of the straight line of the graph is defined as a sub deflection astigmatic coefficient R1. When the beam is deflected to another position in the sub deflection field by use of the sub deflector 212, a sub deflection astigmatic coefficient R1 used as a proportional constant can be used as a non-variable value even if a constant C1 is changed depending on the position. In the step S104, the calculation step of a sub deflection astigmatic coefficient R2 in the directions of 45° and 135° which is one example of the second inclination calculating step is performed as follows. That is, a sub deflection astigmatic coefficient R2 (one example of the second inclination coefficient) indicating the inclination of a variation amount of the astigmatic difference when a sub deflection astigmatic correction amount is used as a variable is calculated based on the relation between the astigmatic difference and the sub deflection astigmatic correction amount in the directions of 45° and 135° (second two directions). A method for deriving the sub deflection astigmatic coefficient R2 in the perpendicularly intersecting two directions (in the directions of 45° and 135°) is explained below. FIG. 15 is a conceptual diagram showing the scanning direction of electron beams in the directions of 45° and 135° in the second embodiment. FIG. 16 is a diagram showing one example of a detection beam profile by use of electron beams in the directions of 45° and 135° in the second embodiment. As shown in FIG. 15, optimum points of focus in two directions which intersect at right angles are obtained by scanning two types of triangular beams 200 on a dot mark 220 of the sample surface in the directions of 45° and 135° and changing the sub deflection astigmatic correction value. That is, a reflected electron signal obtained by scanning the beam on the dot mark 220 is fetched as a beam profile and a point of focus in which the edge portion of the beam profile becomes steep is derived as shown in FIG. 16. In this example, the two types of triangular beams are used, but a highly precise beam profile can be attained by scanning a beam having an edge intersecting at right angles with respect to the scanning direction. FIG. 17 is a diagram showing a state of voltages applied to the respective electrodes of the sub deflector for sub deflection astigmatic correction in the directions of 45° and 135° in the second embodiment. Like the case of FIG. 12, voltages are applied as follows to deflect the beam in a preset direction of the XY direction as shown in FIG. 17. Voltage y is applied to the electrode (SD3) in the direction of 90°, voltage (x+y)/√2 is applied to the electrode (SD2) in the direction of 45°, voltage x is applied to the electrode (SD1) in the direction of 0°, voltage (x−y)/√2 is applied to the electrode (SD8) which is arranged in opposition to the electrode in the direction of 135°, voltage −y is applied to the electrode (SD7) which is arranged in opposition to the electrode in the direction of 90°, voltage (−x−y)/√2 is applied to the electrode (SD6) arranged in opposition to the electrode in the direction of 45°, voltage −x is applied to the electrode (SD5) arranged in opposition to the electrode in the direction of 0°, and voltage (−x+y)/√2 is applied to the electrode (SD4) in the direction of 135°. Further, in order to adjust the sub deflection astigmatic correction amounts in the directions of 45° and 135°, astigmatic voltage V2 may be superimposed on deflection voltage and the value of V2 may be changed. That is, in order to adjust the sub deflection astigmatic correction amounts in the directions of 45° and 135°, −V2 may be additionally applied to the electrodes (2) and (6) and +V2 may be additionally applied to the electrodes (4) and (8). FIG. 18 is a diagram showing the relation between the sub deflection astigmatic correction amount and the optimum points of focus in the directions of 45° and 135° in the second embodiment. As described above, if the optimum points of focus in the perpendicularly intersecting two directions are measured while the sub deflection astigmatic correction value is being changed, the relation between the sub deflection astigmatic correction amount Q2 and the optimum points of focus as shown in FIG. 18 can be obtained. A point at which the sub deflection astigmatic difference in the directions of 45° and 135° is set to “0” (at the point of intersection of the two straight lines) is used as the sub deflection astigmatic correction value which is originally derived. FIG. 19 is a diagram showing the relation between the sub deflection astigmatic correction amount and the astigmatic difference in the directions of 45° and 135° in the second embodiment. A graph indicating the relation between the sub deflection astigmatic correction amount Q2 and the astigmatic difference as shown in FIG. 19 can be attained when plotting the sub deflection astigmatic difference shown in FIG. 18 on the ordinate axis. The inclination of the straight line of the graph is defined as a sub deflection astigmatic coefficient R2. When the beam is deflected to another position in the sub deflection field by use of the sub deflector 212, a sub deflection astigmatic coefficient R2 used as a proportional constant can be used as a non-variable value even if a constant C2 is changed depending on the position. In the step S106, the step of deflecting the electron beam 200 to a preset position in the preset main deflection region 10 by the main deflector 214 is performed as the main deflection shifting step. In the step S108, the step of deflecting the electron beam 200 to a preset position in the preset main deflection region 10 corresponding to the main deflection shifting position by the sub deflector 212 from a state in which the electron beam 200 is shifted to the preset position in the main deflection region by the main deflector 214 is performed as the sub deflection shifting step. As described above, if the inclinations R1, R2 are previously derived and the sub deflection astigmatic difference in the directions of 0° and 90° and the sub deflection astigmatic difference in the directions of 45° and 135° in the above positions are derived for each point, a sub deflection astigmatic correction amount (a point at which no astigmatic point occurs) can be derived by using the inclinations R1, R2. However, there occur some cases wherein the sub deflection astigmatic difference does not become “0” even if the correction is made by use of the sub deflection astigmatic correction amount at the point at which the astigmatic point thus derived does not occur. Therefore, in this example, the process of comparing the absolute values of the differences between the n-th sub deflection astigmatic correction amount and the (n+1)-th sub deflection astigmatic correction amount is performed and the sequence of repeatedly performing the above process is carried out until the difference becomes equal to or smaller than an error (Δ). By using the repeating sequence, for example, an astigmatic correction amount can be derived in an extremely shorter period of time in comparison with a case wherein a graph shown in FIG. 13 or 18 is obtained based on a large number of astigmatic measurement data items and an astigmatic correction value is derived based on the graph. Next, the sub deflection astigmatic measurement routine is performed. In the step S110, the step of setting a sub deflection astigmatic correction value Q1(n) in the directions of 0° and 90°, a sub deflection astigmatic correction value Q2(n) in the directions of 45° and 135° and a value of n is performed as the initial value setting step. First, as the initial values, 0, A1 and A2 are respectively set as n, the sub deflection astigmatic correction value Q1(0) in the directions of 0° and 90° and the sub deflection astigmatic correction value Q2(0) in the directions of 45° and 135°. If the above values are initially set, A1, A2 may be set to “0”. Further, if the conventionally used values are present, the values may be used. In the step S112, as the sub deflection astigmatic difference measurement step in the directions of 0° and 90° which is one example of the first astigmatic difference measurement step, the following process is performed. That is, the dot mark 220 (preset mark) is scanned in the directions of 0° and 90° by use of an electron beam 200 in which the sub deflection astigmatic point is corrected by use of the sub deflection astigmatic correction value Q1(0) (first sub deflection astigmatic correction value). Thus, sub deflection astigmatic differences in the directions of 0° and 90° of the electron beam 200 are measured. Specifically, optimum points of focus in the directions of 0° and 90° are obtained by scanning the dot mark 220 of the sample surface shown in FIG. 10 by using a rectangular beam in the directions of 0° and 90° by use of the sub deflection astigmatic correction value Q1(0) That is, a reflected electron signal obtained by scanning the dot mark 220 is fetched as a beam profile and a point of focus at which the edge portion of the beam profile becomes steep as shown in FIG. 11 is derived. Then, the differences at the points of focus in the directions of 0° and 90° are measured as the sub deflection astigmatic differences obtained by use of the sub deflection astigmatic correction value Q1(0). In the step S114, as the sub deflection astigmatic correction value Q1(n+1) calculating step which is one example of the first astigmatic correction value calculating step, the following process is performed. That is, the sub deflection astigmatic correction value Q1(n+1) calculating unit 123 calculates a sub deflection astigmatic correction value Q1(1) (in this case, which is one example of the second sub deflection astigmatic correction value) in which no sub deflection astigmatic difference occurs based on a sub deflection astigmatic correction value Q1(0), a sub deflection astigmatic difference measured by use of the sub deflection astigmatic correction value Q1(0) and a sub deflection astigmatic coefficient R1. FIG. 20 is a diagram for illustrating a method for calculating the sub deflection astigmatic correction value Q1(n+1) in the second embodiment. Like the graph shown in FIG. 14, the sub deflection astigmatic correction amount is plotted on the abscissa axis and the astigmatic difference is plotted on the ordinate axis as shown in FIG. 20. In this case, a sub deflection astigmatic correction value with which the value of the astigmatic difference becomes “0” can be obtained by drawing a straight line with an inclination corresponding to the sub deflection astigmatic coefficient R1 with the value of the sub deflection astigmatic difference in the sub deflection astigmatic correction value Q1(0) set as a starting point. Then, the sub deflection astigmatic correction value with which the astigmatic difference becomes “0” is calculated as the sub deflection astigmatic correction value Q1(1). However, as shown in FIG. 20, even if the astigmatic point is corrected by use of the sub deflection astigmatic correction value Q1(1) with which the value of the astigmatic difference becomes “0”, the value of the astigmatic difference does not become “0” in many cases if the astigmatic difference is actually measured. Therefore, the sub deflection astigmatic correction value Q1(n) is converged so as to set the value of the astigmatic difference closer to “0” as will be described later. Next, the same process is performed for the directions of 45° and 135°. In the step S116, as the sub deflection astigmatic difference measuring step in the directions of 45° and 135° which is one example of the second astigmatic difference measuring step, the following process is performed. That is, the electron beam 200 in which the sub deflection astigmatic point is corrected with the sub deflection astigmatic correction value Q2(0) (third sub deflection astigmatic correction value) is used to scan the dot mark 220 (preset mark) in the directions of 45° and 135°. Thus, sub deflection astigmatic differences in the directions of 45° and 135° of the electron beam 200 are measured. Specifically, optimum points of focus in the directions of 45° and 135° are obtained by scanning the dot mark 220 of the sample surface as shown in FIG. 15 by use of two types of triangular beams in the directions of 45° and 135° with the sub deflection astigmatic correction value Q2(0). That is, a reflected electron signal obtained by scanning the dot mark 220 is fetched as a beam profile and a point of focus at which the edge portion of the beam profile becomes steep as shown in FIG. 16 is derived. Then, the differences at the points of focus in the directions of 45° and 135° are measured as the sub deflection astigmatic differences obtained by use of the sub deflection astigmatic correction value Q2(0). In the step S118, as the sub deflection astigmatic correction value Q1(n+1) calculating step which is one example of the second astigmatic correction value calculating step, the following process is performed. That is, the sub deflection astigmatic correction value Q1(n+1) calculating unit 123 calculates a sub deflection astigmatic correction value Q2(1) (in this case, which is one example of the fourth sub deflection astigmatic correction value) in which no sub deflection astigmatic difference occurs based on a sub deflection astigmatic correction value Q2(0), a sub deflection astigmatic difference measured by use of the sub deflection astigmatic correction value Q2(0) and a sub deflection astigmatic coefficient R2. FIG. 21 is a diagram for illustrating a method for calculating the sub deflection astigmatic correction value Q2(n+1) in the second embodiment. Like the graph shown in FIG. 19, the sub deflection astigmatic correction amount is plotted on the abscissa axis and the astigmatic difference is plotted on the ordinate axis as shown in FIG. 21. In this case, a sub deflection astigmatic correction value in which the value of the astigmatic difference becomes “0” can be obtained by drawing a straight line with an inclination corresponding to the sub deflection astigmatic coefficient R2 with the value of the sub deflection astigmatic difference in the sub deflection astigmatic correction value Q2(0) set as a starting point. Then, the sub deflection astigmatic correction value in which the astigmatic difference becomes “0” is calculated as the sub deflection astigmatic correction value Q2(1). However, as shown in FIG. 21, even if the astigmatic point is corrected by use of the sub deflection astigmatic correction value Q2(1) in which the value of the astigmatic difference becomes “0”, the value of the astigmatic difference does not become “0” in many cases if the astigmatic difference is actually measured. Therefore, the sub deflection astigmatic correction value Q1(n) is converged so as to set the value of the astigmatic difference closer to “0” as will be described later. In the step S120, as the determination step, the step of determining whether the absolute value of the difference between the sub deflection astigmatic correction value Q(n+1) and the sub deflection astigmatic correction value Q(n) is smaller than a preset value Δ is performed. That is, whether the relational expression of |Q(n+1)−Q(n)|<Δ is set or not is determined. First, as the first determination step, the difference value determining unit 124 determines whether the absolute value of the difference between the sub deflection astigmatic correction value Q1(1) and the sub deflection astigmatic correction value Q1(0) used for calculation is smaller than the preset value Δ with respect to the directions of 0° and 90°. That is, in this case, whether the relational expression of |Q1(1)−Q1(0)|<Δ is set or not is determined. Likewise, as the second determination step, the difference value determining unit 124 determines whether the absolute value of the difference between the sub deflection astigmatic correction value Q2(1) and the sub deflection astigmatic correction value Q2(0) used for calculation is smaller than the preset value Δ with respect to the directions of 45° and 135°. That is, in this case, whether the relational expression of |Q2(1)−Q2(0)|<Δ is set or not is determined. Then, if both of the above values are smaller than the preset value, the process proceeds to the step S124 and if at least one of the above values is not smaller than the preset value, the process proceeds to the step S122. In the step S122, as the Q(n) re-setting step, the sub deflection astigmatic correction amount Q(n+1) is re-set into the sub deflection astigmatic correction amount Q(n) when the relational expression of |Q(n+1)−Q(n)|<Δ is not set. In this example, if the relational expression of |Q(1)−Q(0)|<Δ is not set, Q(1) is re-set as the sub deflection astigmatic correction amount Q(n). That is, if the relational expression of |Q1(1)−Q1(0)|<Δ is not set with respect to the directions of 0° and 90°, Q1(1) is re-set as the sub deflection astigmatic correction amount Q1(n) (at this time, Q1(1) becomes the first sub deflection astigmatic correction value by the re-setting step). Likewise, if the relational expression of |Q2(1)−Q2(0)|<Δ is not set with respect to the directions of 45° and 135°, Q2(1) is re-set as the sub deflection astigmatic correction amount Q2(n) (at this time, Q2(1) becomes the third sub deflection astigmatic correction value by the re-setting step). Then, the process of the steps S112 to S122 is repeatedly performed. Specifically, an astigmatic difference in Q1(1) is measured in the directions of 0° and 90° as shown in FIG. 20. Thus, a sub deflection astigmatic correction value Q1(2) (second sub deflection astigmatic correction value) in which the value of the astigmatic difference becomes “0” is obtained along the inclination of the sub deflection astigmatic coefficient R1. After this, whether the relational expression of |Q1(2)−Q1(1)|<Δ is set or not is determined. When the difference between Q1(2) and Q1(1) is not smaller than Δ, the astigmatic difference in Q1(2) (at this time, Q1(2) becomes the first sub deflection astigmatic correction value by the re-setting step) is measured and a sub deflection astigmatic correction value Q1(3) (second sub deflection astigmatic correction value) in which the value of the astigmatic difference becomes “0” is obtained along the inclination of the sub deflection astigmatic coefficient R1. After this, whether the relational expression of |Q1(3)−Q1(2)|<Δ is set or not is determined. Then, Q1(n+1) (second sub deflection astigmatic correction value) attained when the relational expression of |Q1(n+1)−Q1(n)|<Δ is set is obtained and used as an astigmatic correction value by performing the same process until the relational expression of |Q1(n+1)−Q1(n)|<Δ is set. Then, an astigmatic difference in Q2(1) is measured in the directions of 45° and 135° as shown in FIG. 16 and a sub deflection astigmatic correction value Q2(2) (fourth sub deflection astigmatic correction value) in which the value of the astigmatic difference becomes “0” is obtained along the inclination of the sub deflection astigmatic coefficient R2. After this, whether the relational expression of |Q2(2)−Q2(1)|<Δ is set or not is determined. When the difference between Q2(2) and Q2(1) is not smaller than Δ, the astigmatic difference in Q2(2) (at this time, Q2(2) becomes the third sub deflection astigmatic correction value by the re-setting step) is measured and a sub deflection astigmatic correction value Q2(3) (fourth sub deflection astigmatic correction value) in which the value of the astigmatic difference becomes “0” is obtained along the inclination of the sub deflection astigmatic coefficient R2. After this, whether the relational expression of |Q2(3)−Q2(2)|<Δ is set or not is determined. Then, Q2(n+1) (fourth sub deflection astigmatic correction value) attained when the relational expression of |Q2(n+1)−Q2(n)|<Δ is set is obtained and used as an astigmatic correction value by performing the same process until the relational expression of |Q2(n+1)−Q2(n)|<Δ is set. Thus, the correction value is converged to a point with preset precision at which no astigmatic point occurs. Q(n+1) finally obtained is stored in the memory 122 together with deflection shifting information such as a main deflection shifting position and sub deflection shifting position. As described above, a sub deflection astigmatic correction value Q1(n+1) attained when the absolute value of the difference in the directions of 0° and 90° becomes smaller than the value Δ and a sub deflection astigmatic correction value Q2(n+1) attained when the absolute value of the difference in the directions of 45° and 135° becomes smaller than the value Δ can be obtained at one point (position) in a certain sub deflection field in a certain main deflection field. Then, a sub deflection astigmatic correction value Q1(n+1) attained when the absolute value of the difference in the directions of 0° and 90° becomes smaller than the value Δ and a sub deflection astigmatic correction value Q2(n+1) attained when the absolute value of the difference in the directions of 45° and 135° becomes smaller than the value Δ are derived in a next position in a certain sub deflection field in a certain main deflection field. In the step S124, as the distribution measurement end determining step in the sub deflection field, whether or not the distribution measurements in all of the sub deflection shifting positions in the sub deflection field are completed, in other words, whether or not the process of the steps S110 to S122 is completed is determined. If the process is not yet completed, the process returns to the step S108 to shift the sub deflection shifting position and perform the process of the steps S110 to S122 in a next sub deflection shifting position. Thus, the process of the steps S110 to S122 is repeatedly performed in all of the sub deflection shifting positions in a preset main deflection shifting position. FIG. 22 is a diagram for illustrating a sub deflection shifting method in the second embodiment. As shown in FIG. 22, in this example, the sub deflection region is divided into nine portions of 3×3 in total, sub deflection astigmatic points are measured in the respective positions and correction amounts thereof are derived. Specifically, the main deflection position is fixed and the dot mark 220 is moved by moving the XY stage 105 so as to relatively shift the sub deflection position. Thus, sub deflection astigmatic points at the respective points (in the respective sub deflection shifting positions) are measured. Then, as described above, a sub deflection astigmatic correction value Q1(n+1) set when the absolute value of the difference in the directions of 0° and 90° becomes smaller than the value Δ and a sub deflection astigmatic correction value Q2(n+1) set when the absolute value of the difference in the directions of 45° and 135° becomes smaller than the value Δ are obtained. In the step S126, as the distribution measurement end determining step in the main deflection field, whether or not the distribution measurements in all of the main deflection shifting positions in the main deflection field are completed, in other words, whether or not the process of the steps S108 to S124 is completed is determined. If the process is not yet completed, the process returns to the step S106 to shift the main deflection shifting position and perform the process of the steps S108 to S124 in a next main deflection shifting position. Thus, the process of the steps S108 to S124 is repeatedly performed in all of the main deflection shifting positions 12 in the preset main deflection field. FIG. 23 is a diagram for illustrating a main deflection shifting method in the second embodiment. The sub deflection astigmatic point has a property of varying depending on the main deflection position. Therefore, as shown in FIG. 23, the main deflection region is divided into 25 portions of 5×5 in total and sub deflection astigmatic points are measured in the respective positions. Specifically, sub deflection astigmatic point distributions of 3×3 points are measured in the 25 portions of the main deflection field. Thus, sub deflection astigmatic correction amount distributions of nine portions of 3×3 points are derived in the 25 portions of the main deflection field. In the step S128, as the sub deflection astigmatic correction coefficient calculating step which is one example of the astigmatic coefficient calculating step, the following process is performed. That is, the sub deflection astigmatic coefficient calculating unit 126 which is one example of the astigmatic coefficient calculating unit calculates a coefficient (sub deflection astigmatic correction coefficient) in the relational equation which defines the sub deflection astigmatic correction amount Q1 in each position of the drawing region by use of a sub deflection astigmatic correction value Q1(n+1) obtained when the absolute value of the difference between values in the directions of 0° and 90° calculated in each position becomes smaller than the value Δ. Likewise, it calculates a coefficient (sub deflection astigmatic correction coefficient) in the relational equation which defines the sub deflection astigmatic correction amount Q2 in each position of the drawing region by use of a sub deflection astigmatic correction value Q2(n+1) obtained when the absolute value of the difference between values in the directions of 45° and 135° becomes smaller than the value Δ. The relational equations which define the sub deflection astigmatic correction amounts Q1 and Q2 in the second embodiment are as follows.Q1(x, y)=S1x+S2y+S3Q2(x, y)=T1x+T2y+T3 where (x, y) indicates the sub deflection position. The sub deflection astigmatic correction amount distribution of nine portions of 3×3 in total in the sub deflection region fixed in the main deflection shifting position in which the main deflection position lies is subjected to a fitting process by use of a linear equation (relational equation) which uses the coordinate (x, y) indicating the sub deflection position as indicated in the above equation as a variable. Then, coefficients S1, S2 and S3 of the sub deflection astigmatic correction amount Q1(x, y) which becomes the relational equation in the directions of 0° and 90° and coefficients T1, T2 and T3 of the sub deflection astigmatic correction amount Q2(x, y) which becomes the relational equation in the directions of 45° and 135° are calculated. Likewise, six coefficients (S1, S2, S3, T1, T2, T3) used as sub deflection astigmatic correction coefficients in 25 positions in the main deflection field are derived. S 1 = a 0 + a 1 X + a 2 Y + a 3 X 2 + a 4 XY + a 5 Y 2 + a 6 X 3 + a 7 X 2 Y + a 8 XY 2 + a 9 Y 3 S 2 = b 0 + b 1 X + b 2 Y + b 3 X 2 + b 4 XY + b 5 Y 2 + b 6 X 3 + b 7 X 2 Y + b 8 XY 2 + b 9 Y 3 S 3 = c 0 + c 1 X + c 2 Y + c 3 X 2 + c 4 XY + c 5 Y 2 + c 6 X 3 + c 7 X 2 Y + c 8 XY 2 + c 9 Y 3 T 1 = d 0 + d 1 X + d 2 Y + d 3 X 2 + d 4 XY + d 5 Y 2 + d 6 X 3 + d 7 X 2 Y + d 8 XY 2 + d 9 Y 3 T 2 = e 0 + e 1 X + e 2 Y + e 3 X 2 + e 4 XY + e 5 Y 2 + e 6 X 3 + e 7 X 2 Y + e 8 XY 2 + e 9 Y 3 T 3 = f 0 + f 1 X + f 2 Y + f 3 X 2 + f 4 XY + f 5 Y 2 + f 6 X 3 + f 7 X 2 Y + f 8 XY 2 + f 9 Y 3 where (X, Y) indicates the main deflection position. The coefficients S1, S2 and S3 (sub deflection astigmatic correction coefficients in the directions of 0° and 90°) and coefficients T1, T2 and T3 (sub deflection astigmatic correction coefficients in the directions of 45° and 135°) in the above 25 positions are subjected to a fitting process by use of a cubic function having the coordinate (X, Y) indicating the main deflection position as a variable by using the least squares method as described above. The sub deflection astigmatic point has a property of varying depending on the main deflection position. Therefore, when the sub deflection astigmatic point is set as a function of the main deflection position and if the sub deflection position is positioned in a desired position, a sub deflection astigmatic correction coefficient in the position can be attained. As a result, the sub deflection astigmatic point can be corrected with high precision. Further, although not shown in FIG. 6, the sub deflection astigmatic correction coefficients S1, S2, S3, T1, T2 and T3 dependent on the main deflection position in each position of the whole drawing region can be obtained by performing the above steps for all of the main deflection fields. Then, the thus obtained sub deflection astigmatic correction coefficients S1, S2, S3, T1, T2 and T3 are stored in the memory 122 together with deflection shifting position information relating to positions of the main deflection field. The sub deflection astigmatic correction coefficients S1, S2, S3, T1, T2 and T3 are set as parameters for sub deflection astigmatic correction in the sub deflection astigmatic correction circuit 114. The equations indicating the relations between the sub deflection astigmatic correction amount Q and the correction voltage V are as follows.Q1=k1·V1Q2=k2·V2 The correction voltage V1 and the sub deflection astigmatic correction amount Q1 in the two directions of 0° and 90° can be defined by Q1=k1·V1 as shown in the above equation by use of a preset coefficient k1. That is, Q1 varies in proportion to V1. Likewise, the correction voltage V2 and the sub deflection astigmatic correction amount Q2 in the two directions of 45° and 135° can be defined by Q2=k2·V2 as shown in the above equation by use of a preset coefficient k2. That is, Q2 varies in proportion to V2. Correction voltages V1 and V2 in corresponding positions are derived based on the sub deflection astigmatic correction amount Q1 in the two directions of 0° and 90° and the sub deflection astigmatic correction amount Q2 in the two directions of 45° and 135° defined as functions of the main deflection position (X, Y) and sub deflection position (x, y). Then, the sub deflection astigmatic point can be corrected by correcting voltages applied to the respective electrodes of the sub deflector 212 of the electrostatic deflector by using the correction voltages V1 and V2 in the corresponding positions. FIGS. 24A, 24B and FIGS. 25A and 25B are conceptual views for illustrating the fact that the astigmatic point distribution in the sub deflection region is measured in each main deflection region and corrected by the deflection control circuit 110 which is a correction mechanism and occurrence of the deflection astigmatic point distribution is suppressed in the second embodiment. FIGS. 24A and 24B show the focus deviation distributions of edges in the 0° direction of the beam and FIGS. 25A, 25B show the focus deviation distribution of edges in the 90° direction of the beam. The difference between the above distributions appears as a deflection astigmatic point. The deflection astigmatic point distribution occurs in the small sub deflection region depending on the mechanical manufacturing precision of the sub deflector 212. Since focus deviation occurs in the two perpendicularly intersecting directions (for example, 0° and 90° directions) according to the deflection amount of the sub deflector 212, the distribution appears as a deflection astigmatic point distribution. As shown in FIG. 24A, astigmatic point distributions appear in the sub deflection regions and the distribution varies according to the main deflection position. Therefore, the mechanism which calculates the sub deflection astigmatic correction amount Q1 in a desired main deflection position (X, Y) and desired sub deflection position (x, y) on the real-time basis is realized by use of the deflection control circuit 110. Voltages are applied to the respective electrodes of the sub deflector 212 of the electrostatic deflector shown in FIG. 12 by outputting the astigmatic correction voltage V1 based on the relational equations of S1, S2, S3 and T1, T2, T3. Thus, as shown in FIG. 24B, the focus distributions in the respective directions (0° and 90° directions) can be reduced and occurrence of the deflection astigmatic points can be suppressed. This also applies to astigmatic points caused by focus deviation in the directions of 45° and 135° as shown in FIGS. 25A and 25B. That is, occurrence of astigmatic point distribution caused by focus deviation in the respective directions can be suppressed by deriving an astigmatic correction amount Q2 and outputting astigmatic correction voltage V2. In the step S130, as the drawing step, the drawing apparatus 100 corrects astigmatic points in the two directions of 0° and 90° of the electron beam 200 by use of the sub deflection astigmatic correction coefficients S1, S2, S3 (which are one example of the coefficients) of the relational equations which define the calculated sub deflection astigmatic correction amounts. Further, it corrects astigmatic points in the two directions of 45° and 135° of the electron beam 200 by use of the sub deflection astigmatic correction coefficients T1, T2, T3 (which are one example of the coefficients). Then, a preset pattern is drawn on the sample by the drawing unit 150 by using the thus corrected electron beam 200. Specifically, the sub deflection astigmatic correction circuit 114 in which the sub deflection astigmatic correction coefficients S1, S2, S3, T1, T2, T3 are set as parameters inputs the position of the XY stage 105 from the laser length measuring machine 160 and calculates correction voltages V1 and V2 on the real-time basis for each shot according to the deflection position by use of a high-speed operation circuit. Then, the original deflection voltages calculated in the sub deflection control circuit 112 are corrected as voltages applied to the respective electrodes by use of the correction voltages V1 and V2 in the sub relay box 132. The thus corrected application voltages are applied to the sub deflector 212 via the DAC 142. Also, original deflection voltages calculated in the main deflection control circuit 116 are applied to the main deflector 214 via the main relay box 134 and DAC 144. In this example, correction of the main deflection astigmatic point is not shown in the drawing and the explanation thereof is omitted. The electron beam 200 in which the astigmatic point is corrected is focused by the objective lens 207 and applied to the sample to draw a preset pattern on the sample. As described above, in the second embodiment, the sub deflection astigmatic correction process is performed by the sub deflection astigmatic correction circuit 114 or the like configured by the high-speed operation circuit. When calculating sub deflection astigmatic coefficients used in the sub deflection astigmatic correction process, sub deflection astigmatic coefficients R1 and R2 are previously derived and a final astigmatic correction amount Q(n+1) is derived based on the thus calculated coefficients and an astigmatic correction amount Q(0) at a desired point in order to converge Q1(n) and Q2(n). As a result, the process can be completed by performing the focusing operation n times. On the other hand, if sub deflection astigmatic correction amounts are adequately changed and the focusing adjustment of the objective lens is made in the respective states, the number of beam scanning operations corresponding to the focusing adjustment operations of (n×t) times is required if the number of times of beam scanning operations performed until the correction amounts are converged is n and the number of searching points for variation in correction amounts is set to t. Thus, in comparison with the above case, in the present embodiment, the number of focusing operations or the number of measuring points can be reduced. Therefore, an astigmatic correction amount can be derived by use of a less number of beam scanning operations. As a result, the high-speed operation can be attained. Further, in the above method, the astigmatic correction amount Q at the point of intersection is used again as a next astigmatic correction amount Q(n) for the (n+1)-th measuring process, but the method is not limited to this case. For example, it is preferable to recursively use a value obtained by adding ΔQ(n+1)=k|Q(n)−Q(n−1)| (k is a constant smaller than 1.0) as a variation amount for (n+1)-th measurement. Thus, the deterioration in the convergence due to an error caused by reducing the number of measurement points can be suppressed and the convergence can be enhanced. In the main deflection astigmatic correction process, an astigmatic point measurement is made in the position of the sub deflection center in which no sub deflection astigmatic point is present and coefficients of a cubic polynomial are calculated. Then, the main deflection astigmatic correction process which is the same as the sub deflection astigmatic correction process can be performed by use of a main deflection astigmatic correction circuit (not shown). As described above, in the present embodiment, the drawing process in which the sub deflection astigmatic point is corrected can be performed and the CD precision with higher precision can be attained. Further, the number of measurements can be more reduced by using a preset inclination coefficient in comparison with a case wherein the astigmatic correction amount is adequately changed and converged. Thus, the number of operations can be reduced. In the third embodiment, a method performed before performing the sub deflection astigmatic correction process by use of the method of the second embodiment and after the number of sub deflection astigmatic points is reduced by use of a method explained below is explained. FIG. 26 is a conceptual diagram showing the configuration of a drawing apparatus according to the third embodiment of this invention. In FIG. 26, portions which are the same as those of FIG. 7 are denoted by the same reference symbols and the detail explanation thereof is omitted. The apparatus of the present embodiment is the same as that of FIG. 7 except that it includes an alignment coil 216 in addition to the configuration of FIG. 7. The alignment coil 216 is controlled by passing a desired exciting current therethrough from a lens control power supply 152 controlled by a lens control circuit 154. Further, in FIG. 26, description of portions other than the configuration required for explaining the present embodiment is omitted. Of course, a drawing apparatus 100 normally contains the other necessary configuration. Since the third embodiment is the same as the second embodiment except that the alignment coil 216 is additionally provided and an electron beam 200 is controlled by the alignment coil 216, the explanation of the portions other than different portions is omitted. In the third embodiment, first, occurrence of sub deflection astigmatic points is suppressed in the beam parallel movement step. As the beam parallel movement step, the alignment coil 216 is driven to move in parallel (in a direction perpendicular to the beam axis direction) the orbit of the beam in a sub deflector 212. Then, as the focus deviation measurement step, a focus deviation in the sub deflection field is measured by deflecting the beam by use of the sub deflector 212 in a state in which the orbit of the beam in the sub deflector 212 is moved in parallel. Further, an in-plane focus inclination is calculated. As is explained with reference to FIGS. 24A, 24B and FIGS. 25A, 25B, a certain inclination occurs in the focus position distribution even in the small sub deflection region depending on the mechanical manufacturing precision of the deflector. Since a certain inclination occurs in the focused position according to the deflection amount of the sub deflector 212 and a focus deviation occurs in the X and Y directions, deflection astigmatic points appear. When an attention is paid to a certain region, the focused position is deviated in a preset direction in each of a plurality of sub deflection regions positioned in the above certain region as shown in FIGS. 24A and 25A. That is, a certain inclination (focus inclination) tends to occur in the focused position distribution. Therefore, the electron beam 200 is moved in parallel in the xy directions perpendicular to the beam axis direction (z-axis direction) of the electron beam 200 by passing a preset current through the alignment coil 216 from the lens control power supply 152 controlled by the lens control circuit 154. The focus inclination can be reduced as shown in FIGS. 24B and 25B by moving the electron beam 200 in parallel in the perpendicularly intersecting directions XY. The current amount passing through the alignment coil 216 is controlled to reduce the focus deviation amount of the electron beam 200 deflected by the sub deflector 212 in the field of the sub deflection region of the sample surface. Specifically, the focus deviation in the sub deflection field is divided into the focus deviations in the x and y directions and linear coefficients of the focus inclination in the sub deflection field are derived. Then, the coefficients and two-dimensional excitation value are expressed in a function form and an excitation value of the alignment coil 216 which makes the absolute values of the coefficients minimum is derived. A current corresponding to the thus derived excitation value is passed through the alignment coil 216. As a result, the focus deviation amount in the sub deflection field can be made minimum. In other words, the distribution of the focused positions can be set closer to the flat distribution. That is, the focus deviation amount can be reduced. In FIG. 26, the alignment coil 216 is arranged in the upper position of the sub deflector 212, but the arrangement is not limited to this case. The arrangement position of the alignment coil 216 can be set in any position in which the orbit of the electron beam 200 passing through the sub deflector 212 can be changed by the sub deflector 212 (position which can be deflected by the sub deflector 212). More preferably, for example, the alignment coil 216 may be set in substantially the same height position (in the z direction) as that of the sub deflector 212. Alternatively, it can be set in the slightly higher position of the sub deflector 212. As described above, the sub deflection astigmatic correction coefficients S1, S2, S3, T1, T2, T3 are derived by the method explained in the second embodiment after the focus deviation amount in the sub deflection field is reduced. Thus, the sub deflection astigmatic correction amount can be further reduced by sequentially performing the two types of methods. In the above explanation, the processing contents or operation contents of “-unit” or “-step” can be attained by a program which can be executed by a computer. Alternatively, they can be attained not only by a program used as software but also by a combination of hardware and software. Further, they can be attained by a combination of software and firmware. If the program is used, the program is recorded on a recording medium such as a magnetic disk device, magnetic tape device, FD, ROM (Read Only Memory) (not shown) or the like. For example, the program is recorded in the memory 122. In FIGS. 7 and 26, the CPU 120 used as a computer can be connected to a RAM (Random Access Memory), ROM, magnetic disk (HD) device or the like which is one example of the storage device via a bus (not shown). Further, the CPU 120 can be connected to a keyboard (K/B) or mouse which is one example of input means, a monitor or printer which is one example of output means, or an external interface (I/F), FD, DVD or CD which is one example of input/output means. (Modification) This invention is not limited to the above embodiments. The configuration of the electron beam drawing apparatus is not limited to those shown in FIGS. 1, 7 and 26 and can be adequately modified according to the specification if the main/sub two deflection system can be used. Further, the sub deflector is not limited to the octopole electrode and any electrode can be used if it can deflect the electron beam at high speed. In the above embodiments, the electron beam drawing apparatus is explained as an example, but this invention can also be applied to an ion beam drawing apparatus. Further, the explanation of the device configuration, control method and the like which are not directly required to explain the present invention is omitted, but the required device configuration and control method can be adequately selected and used. For example, the explanation of the configuration of the control unit which controls the drawing apparatus is omitted, but of course, the configuration of the required control unit can be adequately selected and used. Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. |
|
047088443 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS The reactor system shown in FIG. 1 includes a reactor pressure vessel 1 housing a reactor core 3. The reactor and its core may be of a conventional pressurized water type, the core being provided with a plurality of fuel assemblies extending vertically therein. One detector assembly 5 according to the invention is shown extending vertically through the core. Typically, a plurality of such detector assemblies will be provided, with each assembly being installed at the center of an associated fuel rod assembly in such a manner that detector assemblies will be distributed essentially uniformly across the horizontal cross-section of core 3. For effecting external connections to the various components of each detector assembly, there is provided guide tubing 7 which extends from pressure vessel 1 to a conventional seal table 9 at which mechanical and electrical connections to detector assembly 5 can be effected while sealing the region outside of the seal table from the reactor pressure vessel itself. Basically, electrical connections between the various detectors in detector assembly 5 and suitable signal processing circuitry (not shown) can be effected via a connection box 11, while the movable neutron detector within detector assembly 5 is driven via a movable detector drive unit 13 and a drive transfer system 15 connected between drive unit 13 and a flexible cable which extends through guide tubing 7 from the neutron detector to seal table 9. For establishing the necessary electrical connections to the thermocouples within detector assembly 5, seal table 9 may be provided, for example, with a suitable multi-contact receptacle such as receptable #CIR 01 KP-20-27S-TC, manufactured by Veam Division of Litton Industries. Such receptacle can mate with a plug #CIR 06 KP-20-27P-TC manufactured by the same company. FIG. 2 shows the upper portion of one preferred embodiment of a detector assembly 5 according to the invention. The illustrated assembly includes an outer, or sheath, tube 20 whose upper end is sealed by an outer tube end plug 22. Within tube 20, and extending along the length thereof, there is an inner tube 24 constituting a detector guide tube and closed at its upper end by an inner tube end plug 26. Within tube 24, there is disposed a neutron flux detector 28 which is constructed and connected to monitor the neutron flux within the reactor core. Detector 28 is carried by, and disposed at the end of, a detector drive cable 30. Tubes 20 and 24, as well as plugs 22 and 26 may be made, for example, of Inconel SB-166 stainless steel. Alternatively, plugs 22 and 26 may be made of SA479-T type 316 stainless steel. Plugs 22 and 26 are secured in the upper ends of tubes 20 and 24, respectively, by a suitable force fit and seal weld. Secured to the outer surface of tube 24 is an array of thermocouple elements 34-40, only the elements 34, 35, 36 and 37 being visible in FIG. 2. These are conventional thermocouple elements enclosed in housing tubes, and the thermocouple element tubes may be secured to tube 24 in any suitable manner, such as by brazing. Thermocouple 34 constitutes the normally operative core exit temperature monitoring thermocouple, while thermocouple element 35 is provided as a backup to element 34, element 35 being connected to monitor the core exit temperature in case of failure of element 34. Thermocouples 36-40 are spaced apart along the axis of the assembly and are provided to serve as a backup reactor coolant level monitoring system which will be used if the primary level monitoring system, which is normally of the pressure sensitive type, should fail. In a practical embodiment of the invention, neutron flux detector 28 may be of the Westinghouse type #23957, which has an outer diameter of 0.300 cm. This size enables the entire assembly to be dimensioned for installation in a conventional fuel rod assembly. For example, the assembly, i.e. outer tube 20, can be given an outer diameter of the order of 0.800 cm with a maximum wall thickness for outer tube 20 of the order of 0.056 cm, a maximum outer diameter for inner tube 24 of the order of 0.513 cm, a maximum wall thickness for inner tube 24 of the order of 0.080 cm, and thermocouple tube maximum diameters of the order of 0.11 cm. These, of course, represent only exemplary values. Thermocouple elements 34-40 may suitably be of the chromel-alumel type. Drive cable 30 and the electrical connections for neutron detector 28 and thermocouples 34-40 all extend downwardly from the bottom of tube 20 and then through reactor vessel 1 via guide tubing 7 to seal table 9. Therefore, electrical connections are made to the receptacle provided at the seal table, and the drive cable is coupled in a suitable manner to system 15. In operation, drive unit 13 is operated via system 15 to displace cable 30 in a manner to move detector 28 vertically in tube 24 to permit monitoring of the neutron flux at different levels within the reactor, while the reading provided by thermocouple element 34, or in the event of failure of that element by thermocouple element 35, is continuously monitored. The readings produced by thermocouple elements 36-40 can be employed only if the main coolant level monitoring system should fail. Thus, all of the connections for the components in the detector assembly according to the invention can be brought out of the pressure vessel via a single opening in the bottom thereof, thereby greatly reducing the complexity of the reactor structure and the problems associated with sealing openings in the reactor pressure vessel. The thermocouples 36-40 serving as the backup coolant level monitoring system are preferably spaced equidistantly over the height of core 3. In a reactor employing detector assemblies according to the invention, all of the detector assemblies may be identical with respect to the location of thermocouple elements 36-40. Alternatively, according to a further feature of the invention, the vertical positions of these thermocouple elements can be staggered from one detector assembly to another in order to provide a more precise coolant level monitoring operation. One suitable arrangement of an array of five detector assemblies is shown in FIG. 4. Such a group of assemblies may be disposed in respective fuel rods in proximity to one another, with similar arrays being regularly distributed over the cross section of the reactor core. The location of each thermocouple element of the illustrated array with respect to the height 44 of the associated core is depicted. The difference in height of the thermocouple elements from one detector assembly to the next adjacent one will typically be of the order of one fifth the spacing between thermocouple elements in any one detector assembly, thereby providing a uniform height coverage. Typically, all of the backup coolant level monitoring thermocouples 36-40 may be electrically connected so that the voltage generated by each thermocouple is individually monitored. The voltage readings from the individual thermocouples may then be sequentially scanned or all printed out under control of a computer for observation by a operator. Thus, no special circuitry is required for these thermocouples. It will be understood that the above description of the present invention is susceptible to various modifications, changes and adaptations and the same are intended to be comprehended within the meaning and range of the appended claims. |
abstract | An x-ray imaging system uses a synchrotron radiation beam to acquire x-ray images and at least one integrated x-ray source. The system has an imaging system including sample stage controlled by linear translation stages, objective x-ray lens, and x-ray sensitive detector system, placed on a fixed optical table and a mechanical translation stage system to switch x-ray sources when synchrotron radiation beam is not available. |
|
description | FIG. 1 shows diagrammatically an X-ray examination apparatus that includes a filter in accordance with the invention. The X-ray source 1 emits an X-ray beam 2 that irradiates an object 3, for example a patient, to be examined. As a result of local differences in the absorption of X-rays in the object 3 an X-ray image is formed on the X-ray detector 4, in this case being an image intensifier pick-up chain. The X-ray image is formed on the entrance screen 5 of the X-ray image intensifier 6 and is converted into a light image on the exit window 7, which light image is imaged on a video camera 9 by means of a lens system 8. The video camera 9 forms an electronic image signal from the light image. The electronic image signal is applied, for example for further processing, to an image processing unit 10 or to a monitor 11 on which the image information in the X-ray image is displayed. Between the X-ray source 1 and the object 3 there is arranged a filter 12 for local attenuation of the X-ray beam 2. The filter 12 includes several tubular filter elements 13 whose X-ray absorptivity can be adjusted by application of electric voltages to the wall of the filter elements by means of an adjusting circuit 14. The electric voltages are adjusted, for example, on the basis of the setting of the X-ray source 1 by means of the power supply 15 of the X-ray source and/or on the basis of, for example, brightness values of the X-ray image that can be derived from the signal on the output terminal 16 of the video camera 9. The general construction of a filter 12 of this kind and the composition of the liquid filling are described in greater detail in United States patent U.S. Pat. No. 5,625,665 (PHN 15.044). FIG. 2a is a diagrammatic sectional view of a tubular filter element 13 of a filter as shown in FIG. 1. The filter element 13 is filled, via the supply duct 20, with the liquid filling 22 which is electrically conductive and X-ray absorbing. For each filter element there is defined the longitudinal direction z as well as the internal volume 21 that is bounded by the walls 28 of the filter element. Each filter element includes a first electrode 23 in the form of an electrically conductive layer which is electrically isolated from the liquid filling 22 present in the internal volume 21, said isolation being realized by way of an isolator layer 34 and an inert cover layer 24 that is provided on an inner side of the walls 28, and also includes a second electrode 29 for applying an electric potential to the liquid filling. The first electrode 23 of the filter element 13 is coupled to a switching element which forms part of the first means for applying an electric voltage to an individual filter element. In the present example the switching element consists of a drain contact 30 of a field effect transistor 25 whose source contact 31 is coupled to a voltage line 26 that forms part of an electrical control device (not shown). The field effect transistor 25 is turned on, that is, the switching element is closed, by means of a control voltage that is applied to a gate contact 32 of the field effect transistor 25 via the control line 27. The electric voltage on the voltage line 26 is applied to the first electrode 23 by closing the switching element. When the voltage line is set to the value of the xe2x80x9cfillingxe2x80x9d voltage, the contact angle O enclosed by the liquid filling 22 relative to the inert cover layer 24 decreases and the relevant filter element is filled with the liquid filling. FIG. 2b is a diagrammatic sectional view of the tubular filter element 113 of a filter as shown in FIG. 1 when the filter element is filled with the liquid filling that consists of an electrically conductive liquid component 122 and an X-ray absorbing liquid component 124. In this case the liquid components are not miscible. The liquid components are applied via respective supply ducts 120 and 121. The other functional parts of the filter element 113 are substantially the same as those of the filter element 13, so that the electric control circuits for the electrically conductive liquid component can be constructed in a similar manner. The control circuits determine the level of the electrically conductive liquid component 122 in the internal volume 21 of the filter element 113 which in its turn determines the level of the X-ray absorbing liquid component 124 in the filter element 113, because the respective components constitute one common liquid column with an interface 130. The degree of X-ray absorption is in this case determined by the degree of filling of the filter element 113 with the X-ray absorbing component 124. FIG. 3 is a diagrammatic representation of a filter 12 in accordance with the invention in which the liquid filling comprises two liquid components 222, 224 that are not miscible, each liquid component being applied to the filter 22 from a respective liquid reservoir 126, 128. The filter 12 is provided with a hydrostatic pressure control system in the form of two liquid reservoirs. The positions of the liquid reservoirs 126, 128 relative to one another and to the filter 12 can be varied. The resultant hydrostatic pressure in the filter is thus determined. Each liquid component 222, 224 is applied to the matrix of filter elements via a flexible duct 127, 129 and a corresponding common supply duct 220, 221. In the present example the liquid reservoirs are shown as reservoirs that are isolated from one another (path 126, 13, 128). It is also possible to interconnect the liquid reservoirs 126, 128 by way of a tube 125 that is denoted by a dashed line. The function of the tube 125 is to create a system that is completely closed relative to the environment, so as to counteract evaporation of liquid. The assembly can be mounted in the head of an X-ray apparatus which is not shown in FIG. 3. A hydrostatic pressure in the system of filter elements is determined by the densities of the liquid components 222, 224 and by the heights of the liquid reservoirs 126, 128 relative to one another. For a given ratio of the densities of the liquid components a change in the hydrostatic pressure can be compensated by changing the heights of the liquid reservoirs. The filter is provided with measuring means in the form of a hydrostatic pressure meter 131 in order to measure the effect of the orientation of the filter 12 as a whole on the hydrostatic pressure in the filter elements 13 that is due to a rotation of the gantry of the X-ray apparatus. In the present example the hydrostatic pressure meter is arranged in the liquid supply duct 220, but it may also be arranged in a different location. It is also possible to provide two hydrostatic pressure meters, that is, one in the liquid supply duct 220 and the other in the liquid supply duct 221. The change of the hydrostatic pressure is thus measured across a meniscus that separates the liquid components 222 and 224 from one another. The filter 12 is calibrated for optimum operation in a reference position; a reference hydrostatic pressure corresponds thereto. As soon as the hydrostatic pressure meter 131 detects a deviation in the hydrostatic pressure, the height of a liquid reservoir is changed. In the present example this procedure involves the control of further control means (not shown) in the form of drive motors for the liquid reservoirs, the relative height of the liquid reservoirs thus being changed. In order to realize the desired rise of the liquid filling in a filter element, a given electric voltage is applied to the first electrode via an electrode 140. The degree of X-ray absorption is determined by the degree of filling of the filter element 13 with an X-ray absorbing liquid component. FIG. 4a is a diagrammatic sectional view of the filter 12 in accordance with the invention in which the liquid reservoir 150, 150xe2x80x2 includes filter elements 13xe2x80x2, 13xe2x80x3. In this case the filter elements 13xe2x80x2, 13xe2x80x3, belonging to the reservoir volume, are situated in the periphery of the overall construction. In addition to a compact construction, there is the advantage that the use of integrated liquid reservoirs 150, 150xe2x80x2 offers a reduction of the number of technological steps required for the manufacture of a filter of this type. In the case of an integrated liquid reservoir the filter is provided with the hydrostatic pressure control system in the form of an active pump 160 which keeps the hydrostatic pressure, measured by a hydrostatic pressure meter 131 at a given level as shown in FIG. 4b. FIG. 4b illustrates the case where the orientation of the filter as a whole (h) is moved through an angle (xcex2) relative to the vertical direction (g). The associated changes in the hydrostatic pressure are measured by the measuring means 131 and are compensated by the hydrostatic pressure control system in the form of the active pump 160. A desired height of the column of the liquid filling is in this case also determined by an electric voltage applied to the first electrode of a filter element 13xe2x80x2, 13xe2x80x3 and by the hydrostatic pressure. It is known that the absolute value of the filling voltage, or the voltage corresponding to the maximum height of the liquid column in a filter element, is dependent on a hydrostatic pressure in the system of filter elements 13. FIG. 5 shows diagrammatically a variation of the curve of the height of the liquid column as a function of the electric voltage applied to the first electrode, which curve is referred to hereinafter as the h/V curve. A further embodiment of the filter in accordance with the invention utilizes measuring means in the form of a calibrated reference filter element which is arranged, for example, in one of the liquid reservoirs 126,128. The reference filter element is calibrated in respect of the reference hydrostatic pressure in the filter. The calibration curve 300 represents the variation of the height of the column of the liquid filling in the internal volume of the filter element as a function of the applied electric voltage. It follows from FIG. 5 that in the reference condition the height of the column of the liquid filling increases when the value of the electric voltage becomes higher than the drain voltage Vleeg, the maximum height of the column of the liquid filling being reached at the value of the electric filling voltage Vvul. In a condition of the filter that deviates from the reference condition, the hydrostatic pressure assumes a value that deviates from the reference value. FIG. 5 shows a deviating variation of the h/V curve 301. The change in the variation of the h/V curve in the reference filter element, for example as represented by the curve 301, is decisive in respect of the change of the hydrostatic pressure. This change can again be compensated by means of a hydrostatic pressure control system in the form of, for example, the active pump 160 (FIG. 4b). As will be evident to those skilled in the relevant art, in the case of a large matrix of filter elements a local variation will occur in the hydrostatic pressure for a rotated position of the filter. This variation can influence the reproducibility of the height of the column of the liquid filling. In order to limit such a variation, FIG. 6 illustrates diagrammatically a further embodiment of the filter in accordance with the invention in which the matrix of filter elements is subdivided into a number of hydrostatically isolated sub-filters 212, 213, 214, 215. Each sub-filter is connected to a corresponding liquid sub-reservoir 250, 251, 252, 253, said liquid sub-reservoirs being integrated with the system of sub-reservoirs in the present example. When the filter 12 is thus subdivided into sub-filters, a distance between two filter elements 13 that are situated furthest apart in the matrix is reduced and hence the local variation of the hydrostatic pressure is also reduced. In this case each sub-filter is provided with its own pump and its own hydrostatic pressure meter in conformity with the principle shown in FIG. 4. |
|
description | 1. Field of the Invention The present invention relates to a transmission electron microscope (TEM) capable of analyzing the three-dimensional structure of a specimen without sectioning it. 2. Description of Related Art In the past, analysis of the three-dimensional structure of a specimen in TEM (transmission electron microscopy), i.e., three-dimensional reconstruction, has been performed by cutting ultrathin sections from the specimen and stacking TEM images of the sections. This procedure is also known as successive sectioning. In this procedure, however, much labor is necessary to section a specimen. Furthermore, information about the height depends on the thickness of each section. Therefore, it has been difficult to obtain information providing a sufficient degree of quantitativeness and resolution because of thickness variations among the sections. In recent years, attempts to reconstruct TEM images in three dimensions by making use of computerized tomography (CT) have been proposed. The CT method is a procedure consisting of reconstructing the internal distribution within an object from the principles of Radon and inverse Radon transforms. Its applications using X-rays in the medical field are well known. If this CT method is applied to electron microscopy, a three-dimensional image containing information about the inside of a specimen can be reconstructed without sectioning it simply by obtaining TEM images in succession while varying the tilt angle of the specimen stage supporting the specimen. For example, Japanese Patent Laid-Open No. H4-337236 is known as a patent reference regarding an electron microscope for obtaining such three-dimensional images. Where the CT method is applied to electron microscopy as mentioned previously, however, there are some problems intrinsic to electron microscopy, i.e., limitations on the tilt angle of the specimen stage and problems associated with identification of the axis of rotation and rotation and shift of the image. For these reasons, the application of the CT method to electron microscopy has been limited to special cases. It is an object of the present invention to provide an electron microscope capable of analyzing the three-dimensional structure of a specimen without sectioning it by making use of computerized tomography. It is another object of the present invention to provide an electron microscope permitting application of computerized tomography to general cases by solving the problems intrinsically occurring when computerized tomography is applied to the electron microscope, i.e., limitations on the tilt angle of the specimen stage and problems associated with identification of the axis of rotation and rotation and shift of the image. An electron microscope according to the present invention solves the above-described problems and acts to direct an electron beam at a specimen supported tiltably and to gain TEM images based on electrons transmitted through the specimen. The microscope is fitted with a signal processing circuit for implementing computerized tomography based on data about the gained TEM images of the specimen. The signal processing circuit selects and extracts the same field of view from a series of TEM images obtained by tilting the specimen by plural angles by two-dimensional correlation processing between each TEM image and a reference image. Thus, positional deviation of the specimen is corrected. Other objects and features of the present invention will appear in the course of the description thereof, which follows. The preferred embodiments of the present invention are hereinafter described with reference to the accompanying drawings. FIG. 1 is a schematic view of an electron microscope according to the present invention, showing its whole appearance. The electron microscope, generally indicated by reference numeral 1, according to the present invention is a transmission electron microscope (TEM) and has an electron gun 1a emitting an electron beam, an illumination lens system 1b (condenser lens system) for directing the beam at a specimen S, a focusing lens system 1c for focusing a TEM image based on electrons transmitted through the specimen, and a TEM image detector 1d for detecting the focused TEM image. The electron microscope 1 performs a three-dimensional reconstruction of the TEM image by CT (computerized tomography). The microscope 1 is fitted with a signal processing circuit (computer) 2 for implementing a CT procedure based on the TEM image of the specimen gained by the TEM image detector 1d. In this electron microscope, the specimen is supported on a specimen stage 3 capable of being rotated and tilted. The stage 3 can be automatically moved under control of the signal processing circuit 2. The signal processing circuit 2 accepts necessary information while moving the specimen stage 3 in various kinds of processing (described later) and performs these kinds of processing automatically. Principle of Computerized Tomography FIG. 2 is a plan view illustrating the principle of computerized tomography (CT) applied to the electron microscope according to the present invention. In CT, X-rays or electron beam is first made to hit a specimen whose cross sections should be observed, and TEM images of the sections are obtained. This sequence of steps is known as projection. In this projection, the X-rays or electron beam is made to hit the specimen as shown in FIG. 2. The intensity of the beam transmitted through the specimen is measured. Let f (x,y) be the distribution of the absorption coefficient of one cross section for the beam. Let Ii be the intensity of the illuminating beam. Let I0 be the intensity of the beam transmitted through the specimen. Then, the following relation holds: ∫ s f ( x , y ) ⅆ s = ln I i I 0 ( 1 ) Modification of this equation gives rises to: I 0 = I i - ∫ s f ( x , y ) ⅆ s ( 2 ) where ds is a line element along the beam. The intensity of the beam is constant. We now introduce a coordinate system rotated through θ from the x-y coordinate system. The projection p (r, θ) in the θ-direction is defined by p ( r , θ ) = ∫ - ∞ ∞ f ( r cos θ - s sin θ , r sin θ + s cos θ ) ⅆ s = ∫ - ∞ ∞ ∫ - ∞ ∞ f ( x , y ) δ ( x cos θ + y sin θ - r ) ⅆ x ⅆ y ( 3 ) where δ is the Dirac's delta function and r and s are given by r = x cos θ + y sin θ s = - x sin θ + y cos θ } ( 4 ) The integral transform that makes f (x,y) correspond to p (r, θ) as mentioned previously is known as the Radon transform. The cross-sectional reconstruction from the projections is carried out by an inverse process of this transform, i.e., inverse Radon transform (back projection). This is hereinafter referred to as the back projection. The f (x,y) can be easily found from the p (r, θ) by using a two-dimensional Fourier transform. From the definition, the two-dimensional Fourier transform of f (x,y) is given by F ( μ , v ) = ∫ - ∞ ∞ ∫ - ∞ ∞ f ( x , y ) - j 2 π ( μ x + vy ) ⅆ x ⅆ y ( 5 ) F (μ, ν) is expressed using polar coordinates by performing variable transforms μ=ρcos θ and ν=ρsin θ. Thus, we have F ( ρ cos θ , ρ sin θ ) = ∫ - ∞ ∞ ∫ - ∞ ∞ f ( x , y ) - j2πρ ( x cos θ + y sin θ ) ⅆ x ⅆ y ( 6 ) Introducing the relations given by Eq. (4) above into Eq. (6) results in F ( ρ cos θ , ρ sin θ ) = ∫ - ∞ ∞ [ ∫ - ∞ ∞ f ( r cos θ - s sin θ , r sin θ + s cos θ ) ⅆ s ] - j2πρr ⅆ r = ∫ - ∞ ∞ ρ ( r , θ ) - j2πρr ⅆ r ( 7 ) It can be seen from Eq. (7) that a spectrum obtained by a Fourier transform of the θ-direction projection p (r, θ) with respect to r is equal to a cross section obtained by cutting a spectrum in the θ-direction, the latter spectrum being obtained by a two-dimensional transform of f (x, y). The spectrum obtained by the two-dimensional transform of f (x, y) has been cut in the θ-direction. FIG. 3 is a plan view showing the resulting state. Accordingly, a two-dimensional (2D) Fourier spectrum of cross sections of the specimen can be found by Fourier-transforming projections from all directions through the specimen and arraying the resulting spectra in a Fourier space. Cross-sectional images of the specimen can be obtained by inverse-Fourier transforming the 2D Fourier spectrum. In this way, a two-dimensional image (cross-sectional image) can be reconstructed from one-dimensional projections. Since a TEM image is a 2D projection, a three-dimensional image can be reconstructed simply by rotating the specimen along the axis of rotation. FIG. 4 is a diagram illustrating the process for reconstructing a three-dimensional image. That is, a data array in a direction perpendicular to the axis of rotation is regarded as a 1D projection. A cross-sectional image is reconstructed from projections from various angles. A 3D image can be obtained by stacking 2D images obtained in this way along the axis of rotation. Solution of Positional Deviation of Specimen Where a 3D image is reconstructed by computerized topography as described above, strict positional alignment of the specimen is required. Especially, where observations are made at high magnifications, positioning dependent on mechanical accuracy does not suffice. Furthermore, where a transmission image is printed on film or paper without using a slow scan CCD (SSCCD) camera, it is necessary to obtain data from the image on the film by means of a scanner. When the film is set on the scanner, positional deviation occurs, shifting each TEM image greatly. In an electron microscope according to the present invention, a series of transmission images is obtained by tilting the specimen in incremental angular steps. The same field of view is selected and extracted from the series of transmission images by two-dimensional correlation processing. In this way, the problem of positional deviation of the specimen is solved. FIG. 5 is a diagram illustrating the process for selecting and extracting the same field of view from a series of transmission images by two-dimensional correlation processing, the series of transmission images being obtained by tilting a specimen in incremental angular steps. That is, in this electron microscope, two-dimensional correlation processing is performed between each obtained transmission image and a reference image. Parts of the transmission images which have the same field of view as the reference image are extracted from the transmission images at different angles. Computerized tomography is done using the extracted images. The reference image is obtained by extracting an image of a part of field of view from the transmission image obtained when the specimen stage is at some tilt angle (e.g., 0°). As a premise for conducting two-dimensional correlation processing, if the trend of the contrast of each transmission image is first removed, it is expected that the accuracy will be improved. The trend in each transmission image may occur according to the state of the specimen or the operating conditions, such as tilt of the specimen stage. Furthermore, image concentration variations due to specimen thickness nonuniformity might be observed. If such a trend is present, the accuracy at which each transmission image is extracted by two-dimensional correlation processing that corresponds to positional alignment of the specimen may deteriorate or an image of an entirely different field of view might be extracted. Accordingly, the accuracy at which transmission images are extracted by two-dimensional correlation processing can be improved by removing the trend in the transmission images (i.e., by removing first-order component or bias component). The first-order component can be removed by the following procedure. First, a first-order plane [p (x,y)] is found by applying the least squares method to the image [f (x,y)]. Then, an image [f′ (x,y)] from which the first-order and bias components have been removed can be found using the following equation.f′(x,y)=f(x,y)−p(x,y) (8)In the 2D correlation processing, the portion providing a maximum amount of correlation out of a transmission image is used as a reference in performing an alignment or extracting an image. Therefore, even in a case where the transmission image has deteriorated severely and the alignment is impossible to perform in practice, the portion giving a maximum amount of correlation out of the transmission image will be used as a reference in performing an alignment. For example, where the contrast of the transmission image is low and the same value is repetitively obtained from within the same transmission image, or where the maximum value obtained from the transmission image is extremely low, the reliability that the identified maximum value is a true maximum value is low. In this case, the reliability of the alignment (extraction of image) is deteriorated severely. Accordingly, in this electron microscope, a certain decision criterion is established about the maximum value of the results of correlation. A value that does not satisfy this criterion is not used as the results of the two-dimensional correlation processing. In this way, the reliability of positional alignment (image extraction) is secured. In particular, in this electron microscope, the maximum value [Max] of the results of correlation must satisfy the following relation: [Max]>n×[Ave] (where n varies depending on the image quality; for example, n=2) where [Ave] is the average value of the correlation over the whole transmission image. For the correlation, c of Eq. (9) or (10) described later is used, for example. Furthermore, in this electron microscope, when 2D correlation processing is performed, rotation (tilt) (i.e., deviation in the θ-direction) within the transmission image should be taken into account, as well as translational shifts (deviations in the X- and Y-directions) of the transmission image. Usually, the degree of similarity c (i, j) used where an area similar to a reference image g (x−i, y−j) is found from an image f (x, y) of interest using 2D cross-correlation is given byc(i,j)=∫∫f(x,y)g(x−i,y−j)dx dy (9) In this case, the degree of similarity is calculated in succession while translating the reference image within the image of interest. Because of the characteristics of the electron microscope, rotational movement is produced between the reference image and the image of interest. Accordingly, Eq. (9) is modified into Eq. (10) by adding a rotation through θ within the xy plane with respect to a point within the image. This point within the image does not need to be the center of the image as long as it is a fixed point.c(i,j,θ)=∫∫∫f(x,y)g(x−i,y−j,θ)dx dy dθ (10) That is, an image of a field of view that will become a reference image is extracted from a transmission image when the specimen stage is at some tilt angle (e.g., 0°). Then, 2D correlation processing is performed between the reference image and a transmission image derived when the specimen stage is at a different tilt angle. A field of view producing a maximum amount of correlation is extracted. The extracted field of view is rotated or tilted in the direction of θ within the transmission image. Each such a field of view is multiplied with the reference image, and the total sum is taken. An image closest to the reference image can be obtained by adopting the maximum value of those values. That is, the rotation (tilt) in the direction of θ within the transmission image is corrected. This processing is carried out repetitively. In consequence, images of the same field of view can be accurately extracted regarding transmission images at all tilt angles. In this electron microscope, with respect to the reference image for carrying out 2D correlation processing, an image extracted from the transmission image obtained when the specimen stage is at some tilt angle (e.g., 0°) is not used for transmission images at all tilt angles. Rather, an image of the same field of view extracted from a transmission image at a different tilt angle using some reference image is used as a new reference image for 2D correlation processing between the reference image and a transmission image at a further tilt angle. FIG. 6 is a diagram showing one example of process of performing 2D correlation processing using successively new reference images. For example, as shown in FIG. 6, using a reference image extracted from a transmission image obtained when the tilt angle of the specimen stage is 0°, an image of the same field of view is extracted from a transmission image obtained when the tilt angle of the stage is 30°. In this way, using an image extracted from a transmission image obtained when the tilt angle of the stage is 30° as a new reference image, an image of the same field of view is extracted from a transmission image obtained when the tilt angle of the stage is 60°. Consequently, it is possible to avoid the problem that the difference in tilt angle of specimen stage between the reference image and the image to be processed is too large to perform the processing. Identification of Axis of Rotation Where a 3D image is reconstructed using computerized tomography, back projection is performed on the assumption that the axis of rotation and rotational angle were known when the transmission image was derived. Especially, information about the axis of rotation is important. The information about the axis of rotation cannot be obtained from the microscope image. A procedure in which the specimen is marked by some method has been proposed, but sufficient accuracy cannot be obtained. Hence, it cannot be said that this technique is a practical procedure. In the electron microscope according to the present invention, the amount of deviation between the center of range in which a 3D image is reconstructed and the mechanical axis of rotation is added during back projection. This offers latitude in selecting a field of view. Therefore, an accurate reconstructed image is obtained. The amount of deviation can be found probabilistically from successive projection images. For example, the amount of deviation is optimized such that a good reconstructed image is obtained. Solution of Limitations on Specimen Stage Angle In order to fully reconstruct a cross-sectional image of a specimen by the use of computerized tomography, projections (i.e., gaining of transmission images) from a range of ±90° are necessary. Where limitations are placed on this angular range, information from directions along which no transmission images are obtained is missing and so reconstruction from a certain angular range is impossible to achieve. In actual specimen stages that support specimens, there are limitations on their tilt angle. Furthermore, at a large tilt angle, the apparent thickness of the specimen is increased except where the specimen is spherical or cubic. In this case, it is impossible to gain transmission images. In an attempt to solve this problem, a procedure in which a function is extrapolated and an estimative procedure using a probabilistic process have been proposed. However, there are problems including production of virtual images. In the electron microscope according to the present invention, the aforementioned problem is circumvented by taking the practically achievable maximum tilt angles of ±60° as recommended conditions for obtaining transmission images and adjusting the operating conditions under which 3D images are observed. Accuracy of Specimen Stage Angle When projections are performed to gain transmission images, the specimen stage is controlled manually. At this time, mechanical error (backlash) and angular error due to error in reading the scale are produced. It is assumed that the projection angles are set in units of 1°. If the rotational angle of the specimen stage contains error much greater than 1°, it is possible to reconstruct 3D images. Number of Projections According to the principle of computerized tomography, a 2D Fourier space cannot be filled up unless projections are performed while varying the angle of the specimen continuously. In practice, however, such projections are impossible to perform. In practice, if 17 projections are performed at angular steps of 10°, for example, reconstruction of a 3D image is possible. Where limitations on the tilt angle of the specimen stage, the operating conditions of the projection process, and the shape of the specimen are taken into consideration, it is desired that more than about 20 projections be performed. As mentioned previously, in the electron microscope according to the present invention, two-dimensional correlation processing is performed between each of a series of transmission images obtained by tilting the specimen by plural angles and a reference image to thereby select and extract the same field of view. Positional deviation of the specimen is corrected. Therefore, an accurate 3D image can be reconstructed. Furthermore, in the electron microscope according to the present invention, the effects of the trend of the contrast of each transmission image are removed before the aforementioned two-dimensional correlation processing is performed. Consequently, the accuracy at which the transmission images are extracted by the 2D correlation processing can be improved. Moreover, in the electron microscope according to the present invention, a certain decision criterion is established about the maximum value of the correlation results of the 2D correlation processing. Values not satisfying the criterion are not used as the results of the 2D correlation processing. Hence, the reliability of the correlation results of the 2D correlation processing can be secured. In addition, in the electron microscope according to the present invention, during the 2D correlation processing, translational shifts of the transmission images and rotations within the images are also corrected. As a result, an image closest to the reference image can be obtained. Further, in the electron microscope according to the present invention, an image of the same field of view is extracted from a transmission image at a different tilt angle by the use of a reference image. The extracted image is used as a new reference image. Two-dimensional correlation processing is performed between this new reference image and a transmission image at a still other tilt angle. As a result, it is possible to circumvent the problem that the difference in tilt angle of stage between the reference image and the image to be processed is so large that the processing cannot be performed. Additionally, in the electron microscope according to the present invention, the amount of deviation between the center of the range of reconstruction of a 3D image and the mechanical axis of rotation is added during inverse. Radon transform. This offers latitude in selecting a field of view. Hence, an accurate reconstructed image can be obtained. That is, the present invention makes it possible to analyze the three-dimensional structure of a specimen without sectioning it, by making use of computerized tomography. Furthermore, problems intrinsically occurring when computerized tomography is applied to electron microscopy, i.e., limitations on the tilt angle of the specimen stage and problems associated with identification of the axis of rotation and rotation and shift of the image, are solved. As a result, an electron microscope that can utilize the computerized tomography in general cases can be offered. Having thus described our invention with the detail and particularity required by the Patent Laws, what is desired protected by Letters Patent is set forth in the following claims. |
|
description | This application is a continuation application of U.S. application Ser. No. 12/190,883, filed Aug. 13, 2008 now U.S. Pat. No. 7,929,656, now allowed, the entirety of which is incorporated herein by reference. 1. Field of the Invention The present invention relates to inspection apparatuses for inspecting a weld zone between a reactor pressure vessel and a structure inside a nuclear reactor. For example, the invention relates to an inspection apparatus for inspecting weld zones in a reactor pressure vessel, the inspection apparatus being capable of detecting a crack of a weld zone between a control rod drive housing and a reactor pressure vessel, which are located on the bottom of a boiling water reactor. 2. Description of the Related Art In a pressure vessel of a nuclear reactor, it is necessary to check its soundness; in particular, weld zones and the like in the nuclear reactor need to be inspected. In most cases, visual inspection is performed periodically. If it is judged that further inspection is necessary as a result of the visual inspection, situational tests of the surface and inside of the material are carried out (the size of a crack is measured). Well known methods for the above inspection include ultrasonic testing and eddy current testing. In addition, inspection areas often exist in narrow portions; therefore, as a method for improving such inspection efficiency, there is disclosed an inspection method in which a lower head of a pressure vessel of the nuclear reactor is inspected by use of a scanning cart that travels while adhering to the lower head (for example, refers to JP-A-6-11595). A reactor pressure vessel is equipped with a control rod drive housing, an in-core monitor housing, a shroud support, and the like. The control rod drive housing, which is located in a nuclear reactor, is a tube for storing a mechanism for driving a control rod that is used for the output control of the nuclear reactor. The control rod drive housing is mounted onto the reactor pressure vessel by welding in such a manner that the control rod drive housing penetrates the bottom of the reactor pressure vessel. In addition, the in-core monitor housing is a tube for storing a monitor that is used to monitor neutrons generated by nuclear fission in the nuclear reactor. The in-core monitor housing is mounted onto a build-up weld inside the reactor pressure vessel by welding in such a manner that the in-core monitor housing penetrates the bottom of the reactor pressure vessel. Moreover, the shroud support is provided in order that structures inside the nuclear reactor are supported. The shroud support is mounted onto the inner surface of the reactor pressure vessel by welding. The weld zone is located inside the reactor pressure vessel that is a pressure pressure boundary, or the weld zone itself is a withstand pressure boundary. The inner bottom surface of the reactor pressure vessel is subjected to cladding processing by welding. The weld zone and the build-up weld are attached to this cladding portion. The weld zones of the reactor pressure vessel are located in areas where devices inside the reactor pressure vessel are closely placed; their spaces are narrow, and their shapes are complex. Accordingly, the accessibility of the inspection apparatus within the reactor pressure vessel is limited. Presently, when some form of abnormality is found by visual inspection, a situational test is conducted on the surface of and the inside of the weld material by placing a sensor (probe) against or close to those complex and narrow areas. Since inspection areas are thus complex and narrow, a certain level of skill has been required to have the inspection apparatus and the probe approach those areas. Furthermore, since weld materials to be inspected change in three-dimensional shape, inspection needs to be preformed with their curvatures and surface states in mind especially when the ultrasonic testing is to be applied. Also, because of largeness and poor ultrasonic propagation properties of the weld portions, the ultrasonic testing occasionally involves difficulty when it is performed toward a deeper region from the inner surface of the reactor pressure vessel. The present invention has been made on the basis of the foregoing facts and circumstances, and an object of the present invention is to provide an inspection apparatus for inspecting weld zones in a reactor pressure vessel, the inspection apparatus being capable of easily approaching three-dimensionally shape-changing weld zones present at complex and narrow portions and of accurately performing inspection. In order to achieve the above object, in a first aspect of the present invention, the invention is an inspection apparatus for inspecting weld zones in a reactor pressure vessel, the inspection apparatus inspecting a weld zone of a control rod drive housing and an area in proximity to the weld zone, the control rod drive housing being placed from the bottom of the reactor pressure vessel to the inside thereof, the inspection apparatus comprising: a probe for emitting an ultrasonic wave; a probe holding unit for holding the probe such that an ultrasonic wave transmitting surface of the probe is kept in direct contact with or at a constant distance from the outer surface of the reactor pressure vessel; a pressing unit for pressing the probe holding unit parallel to the central axis of the control rod drive housing against the reactor pressure vessel; and a rotator for rotating the probe holding unit and the pressing unit around the central axis of the control rod drive housing. In addition, in a second aspect of the present invention, the invention is the inspection apparatus according to the first aspect, wherein the longer side of the ultrasonic wave transmitting surface of the probe if said surface is rectangular-shaped or the major axis of said surface if said surface is oval-shaped or circular-shaped is set to a value selected from 35 mm to 120 mm. In addition, in a third aspect of the present invention, the invention is the inspection apparatus according to the second aspect, wherein the reactor pressure vessel and an area to be inspected inside the reactor pressure vessel are provided by the probe with an ultrasonic field within a range of −6 db with respect to a focus of the ultrasonic wave or the echo intensity of the focus. In addition, in a fourth aspect of the present invention, the invention is the inspection apparatus according to the first aspect, the inspection apparatus further comprising an elevator for moving up and down the probe, the probe holding unit, the pressing unit, and the rotator along the control rod drive housing. In addition, in a fifth aspect of the present invention, the invention is the inspection apparatus according to the fourth aspect, wherein the longer side of the ultrasonic wave transmitting surface of the probe if said surface is rectangular-shaped or the major axis of said surface if said surface is oval-shaped or circular-shaped is set to a value selected from 35 mm to 120 mm. Moreover, in a sixth aspect of the present invention, the invention is the inspection apparatus according to the fifth aspect, wherein the reactor pressure vessel and an area to be inspected inside the reactor pressure vessel are provided by the probe with an ultrasonic field within a range of −6 db with respect to a focus of the ultrasonic wave or the echo intensity of the focus. Furthermore, in a seventh aspect of the present invention, the invention is the inspection apparatus according to the first or second aspect, wherein the probe can inspect a weld zone between the reactor pressure vessel and a structure inside an nuclear reactor, a built-up weld, and an inner-surface cladding portion of the reactor pressure vessel. According to the present invention, the ultrasonic probe can easily approach three-dimensionally shape-changing weld zones present at complex and narrow portions and perform the inspection accurately. An embodiment of an inspection apparatus for inspecting weld zones in a reactor pressure vessel according to the present invention will be described below with reference to the accompanying drawings. FIGS. 1 and 2 are diagrams illustrating an inspection apparatus for inspecting weld zones in a reactor pressure vessel according to one embodiment of the present invention. To be more specific, FIG. 1 is a front view illustrating an example in which the present invention is applied to the inspection of weld zones of a control rod drive stub tube that is mounted onto a reactor pressure vessel; and FIG. 2 is a plan view as viewed from the direction of the arrow II-II in FIG. 1. First of all, as one embodiment of the inspection apparatus for inspecting weld zones in the reactor pressure vessel according to the present invention, how the control rod drive stub tube, mounted onto the reactor pressure vessel, and weld zones of the control rod drive stub tubes are configured will be described with reference to FIG. 1. A control rod drive stub tube 2 is secured to the inside of a reactor pressure vessel 1 by a weld zone 3. A control rod drive housing 8 is inserted into and secured to the control rod drive stub tube 2. In order to inspect the weld zone 3 of the control rod drive stub tube 2 that is mounted onto the reactor pressure vessel 1, an ultrasonic probe 6 is placed on the outer surface side of the reactor pressure vessel 1 so that the ultrasonic probe 6 emits an ultrasonic wave toward the inner surface side of the reactor pressure vessel 1. The incident ultrasonic wave reaches the inside of the weld zone 3 of the control rod drive stub tube 2 or reaches an inner-surface cladding portion 200. If a crack exists there, the reflection of the ultrasonic wave from the position of the crack is detected. The depth of the crack inside the weld zone 3 can be evaluated by identifying the position at which the ultrasonic wave is reflected. The size of the ultrasonic probe 6 needs to be set in consideration of the accessibility of the ultrasonic probe 6 to a narrow portion, the thickness of the reactor pressure vessel 1, and the like. This point described in detail, the reactor pressure vessel 1 has a thickness of about 150 mm or more. If the end face of the weld zone 3 is included, the reactor pressure vessel 1 is about 200-mm thick. In order to detect a crack existing in the weld zone 3 by use of an ultrasonic wave, it is necessary to emit the ultrasonic wave to the area whose thickness ranges from about 150 mm to 200 mm. In addition, in order to acquire a sufficiently strong signal from the reflection source of a flaw such as a crack, it is necessary to properly converge the ultrasonic wave on the above area so as to perform inspection. The criterion for selecting a proper focus area of the ultrasonic wave when inspection is performed from the outer surface of the reactor pressure vessel 1 is now explained with reference to FIG. 3. In FIG. 3, the horizontal axis indicates the size of the transducer of the ultrasonic probe (sensor); the vertical axis indicates the distance from the sensor (more specifically, the distance in the thickness direction in which inspection is performed). The size of the sensor is expressed as the size of the long side of the sensor or the size of the long axis of the sensor because it is known that the focus settable area of an ultrasonic wave generated by the sensor depends on the size of the long side if the sensor has a rectangular shape including a square and depends on the size of the long axis if the sensor has an oval shape including a circle. In FIG. 3, the solid line indicates maximum sound pressure characteristics; the dotted line indicates sound pressure characteristics obtained when a forward shift from the maximum sound pressure is made by −6 dB; and the alternate long and short dash line indicates sound pressure characteristics obtained when a backward shift from the maximum sound pressure is made by −6 dB. When the ultrasonic probe 6 is placed on the outer surface of the reactor pressure vessel 1, the size of the sensor can be set at a value ranging from 35 mm to 120 mm, as shown in FIG. 3, in order that an effective focus area (within a range of −6 dB from the maximum sound pressure height) reaches an area to be inspected ranging from 150 mm to 200 mm. In particular, when a narrow portion is to be inspected, the size of the sensor can be set at 35 mm at minimum; it is desirable that the size of the sensor be 45 mm. Next, one embodiment of the inspection apparatus using the ultrasonic probe 6 whose size has been set as above will be described with reference to FIGS. 1 and 2 again. In one embodiment of the inspection apparatus according to the present invention, because the weld zone 3 exists 360 degrees around the control rod drive stub tube 2, the inspection apparatus also rotates 360 degrees so as to inspect the whole target area. In addition, in order to locate the position of the ultrasonic probe 6 or an ultrasonic wave inspection position, the inspection apparatus has the function of setting the position at which the main body of the inspection apparatus is placed. Moreover, because the lower surface of the reactor pressure vessel 1 has a spherical shape and because the tilt of the surface accessed by the ultrasonic probe 6 becomes larger as the ultrasonic probe 6 moves from the center of the nuclear reactor toward its outside, the inspection apparatus has the function of adjusting the posture of the ultrasonic probe 6 to the shape of an inspection area. For the purpose of achieving the above-described functions, the inspection apparatus according to one embodiment of the present invention is configured such that the main body of the access device is placed and secured around the control rod drive housing 8. The inspection apparatus includes an elevator 30 for moving up and down the whole inspection apparatus along the control rod drive housing 8; a rotator 40 for rotating the ultrasonic probe 6 360 degrees around the control rod drive housing 8; a height adjustment unit (pressing mechanism) 50 for adjusting the height-directional distance between the reactor pressure vessel 1 and the whole inspection apparatus; and a probe holding unit 60 including a probe-posture adjustment mechanism 21 for adjusting the posture of the ultrasonic probe 6 to the surface of the reactor pressure vessel 1. Besides the method in which the inspection apparatus is secured around the control rod drive housing 8 as shown in this embodiment, an alternatively possible method is one in which the position of the inspection apparatus is set by measuring the reference distance between the inspection apparatus and the control rod drive housing 8 by use of, for example, an ultrasonic wave range finder or a laser range finder while keeping the distance constant. As a function of the probe holding unit 60, if the ultrasonic probe 6 is pressed against a wall surface of the reactor pressure vessel 1, the ultrasonic probe 6 rotates around a pin 22 attached to the probe-posture adjustment mechanism 21 that functions as a gimbal. This makes it possible to arbitrarily change the angle of the ultrasonic probe 6 in response to the slant of the reactor pressure vessel 1. As a result, the ultrasonic probe 6 can stably move along the surface of the reactor pressure vessel 1. When inspection is performed, besides the method in which the ultrasonic probe 6 is kept in direct contact with the outer surface of the reactor pressure vessel 1, the ultrasonic probe 6 may also be provided with a spacer or the like on the sound-wave-generating-surface side of the ultrasonic probe 6 so that the distance between the ultrasonic probe 6 and the reactor pressure vessel is kept constant. The probe holding unit 60 is attached to the height adjustment unit 50. The height adjustment unit 50 is mounted onto the rotator 40. The height adjustment unit 50 includes a fixed frame 18 that is secured to the rotator 40; a guide 20 guided by this fixed frame 18, the upper end of which is connected to the probe holding unit 60; and a spring 19 that is disposed between the fixed frame 18 and the probe holding unit 60. Even when the whole inspection apparatus is further lifted after the ultrasonic probe 6 is brought into contact with the reactor pressure vessel 1, the ultrasonic probe 6 can be kept in contact with the reactor pressure vessel 1 by the contraction of the spring 19. The rotator 40 is disposed on the top surface of a base 14 whereas the elevator 30 is disposed on the bottom surface of the base 14. The elevator 30 includes a fixed stand 13 that is located on the lower surface side of the base 14; an elevator wheel 12 provided on the fixed stand 13; an elevator motor 10 also mounted onto the fixed stand 13; and a gear 11 for transferring the rotational force of the elevator motor 10 to the elevator wheel 12. Driving of the elevator motor 10 rotates the elevator wheel 12, which moves up or down the whole inspection apparatus along the control rod drive housing 8. The rotator 40 includes a rotator table 17 located on the upper surface side of the base 14; a rotator motor 15 that is mounted on the upper surface side of the base 14; and a gear 16 for transferring the rotational force of the rotator motor 15 to the rotator table 17. The rotation of the rotator motor 15 causes the rotator table 17 to rotate around the control rod drive housing 8. The rotation of the rotator table 17 causes the ultrasonic probe 6 to rotate 360 degrees around the axis of the control rod drive housing 8. The position of the ultrasonic probe 6 or an ultrasonic wave inspection position can be identified by a sensor detecting the rotational angle of the rotator table 17 or detecting the distance traveled by the inspection apparatus around the control rod drive housing, which distance can be converted from the rotational angle. The base 14 of the rotator 40 is provided with a positioning pad 24 through an arm 23. As shown in FIG. 2, placed against the side surface of a control rod drive housing 9 adjacent to the control rod drive housing 8 to which the inspection apparatus is attached, the positioning pad 24 determines the position of the whole inspection apparatus in its rotational direction. In place of the mechanical positioning method using the arm 23 and the positioning pad 24, an ultrasonic-wave or laser range finder can be used; with the use of such a device, each distance from one or more adjacent control rod drive housings 9 to the control rod drive housing 8 can be measured to compute the current position of the inspection apparatus and thereby to locate the position of the ultrasonic probe 6 or of an ultrasonic wave inspection. Next, the operation of the above-described inspection apparatus according to one embodiment of the present invention in which weld zones of the control rod drive stub tube are inspected will be described with reference to FIGS. 4 through 6. FIG. 4 is a diagram illustrating, as the initial access state of an inspection apparatus according to one embodiment of the present invention, the state in which the inspection apparatus is located at a surface of the bottom side in the reactor pressure vessel 1 of the control rod drive housing 8. First of all, the ultrasonic probe 6 is mounted onto the inspection apparatus (step 600 in FIG. 6). Focus position settings for the ultrasonic probe 6 are then performed (step 601 in FIG. 6). After that, the inspection apparatus is attached to the control rod drive housing 8 (step 602 in FIG. 6). Next, the ultrasonic probe 6 is pressed against the reactor pressure vessel 1 by the elevator 30 (step 603 in FIG. 6) so that the spring 19 of the height adjustment unit 50 is brought into the most contracted state (step 604 in FIG. 6). Proper pressing of the ultrasonic probe 6 is checked by emitting an ultrasonic wave toward the reactor pressure vessel 1 to judge whether or not the ultrasonic wave reflected at its inner bottom surface can be externally acquired through its inner surface. Next, the rotator 40 rotates the ultrasonic probe 6 around the control rod drive housing 8 to a position at which inspection is required (step 605 in FIG. 6), and the inspection is then performed (step 606 in FIG. 6). FIG. 5 is a diagram illustrating the state in which the inspection apparatus is positioned at a surface of the upper side in the reactor pressure vessel 1 of the control rod drive housing 8. In this case, the expansion of the spring 19 continuously presses the ultrasonic probe 6 against the reactor pressure vessel 1, and the probe-posture adjustment mechanism 21 adjusts the posture of the probe 6. Thus, sufficient adjustability of the probe 6 to the outer surface of the reactor pressure vessel 1 can be ensured. After the completion of the inspection (step 607 in FIG. 6), the elevator 30 lowers the ultrasonic probe 6 to a lower portion of the reactor pressure vessel 1 (step 608 in FIG. 6). The inspection apparatus is then removed from the control rod drive housing 8 (step 609 in FIG. 6), and this completes the operation (step 610 in FIG. 6). The above-described operation control enables the ultrasonic probe 6 to access an arbitrary region around the control rod drive housing 8. Moreover, inspection with high accuracy can be performed by use of the probe holding unit 60 which stably adjusts the posture of the ultrasonic probe 6 and the positioning mechanism 24 of the inspection apparatus. The above embodiment describes the example in which the inspection apparatus according to the present invention is applied to the inspection of the weld zone 3 of the control rod drive stub tube 2. Not limited to this, the inspection apparatus according to the present invention can also be applied to a case where a weld zone 5 of an in-core monitor housing 4, a weld zone 101 of a shroud support 100, and an inner-surface cladding portion 200, which are shown in FIG. 7, are inspected from the outer surface of the reactor pressure vessel 1 by the ultrasonic inspection. In addition, in the above embodiment, the spring 19 is used to stably adjust the posture of the ultrasonic probe 6 to the wall surface shape of the reactor pressure vessel 1. However, instead of using the spring 9, a cylinder mechanism can also be used. According to the above embodiment of the present invention, after the inspection apparatus is correctly positioned, inspection can be performed with the posture of the ultrasonic probe 6 stably adjusted to the wall surface of the reactor pressure vessel 1. Therefore, the probe 6 can easily approach three-dimensionally shape-changing weld zones present at complex and narrow portions and perform inspection accurately there. Moreover, the size of a crack present in a weld zone of a structure inside the nuclear reactor can be simply and easily measured without employing underwater remote control for access to a complex and narrow region. Furthermore, deep areas of weld zones, which was conventionally difficult to inspect by an ultrasonic wave because of its attenuation caused by a material and the shape of the material, can also be easily subjected to the ultrasonic wave inspection by external access of the ultrasonic probe to the reactor pressure vessel, contrary to the conventional method. |
|
summary | ||
052356248 | abstract | The fuel element is inserted into a cell, under water, in a pool. Compressed air is injected into the cell so as to drain it of water. A pressure lower than atmospheric pressure is established in the cell and then the gases contained in the cell are subjected to a stream of scavenging air and are collected in a fission product detecting unit. Water is introduced into the cell, the water in the cell is sampled, fission products in the water sample are detected and the fuel element is extracted from the cell if the possible presence of a leak from this fuel element is determined. The detection device comprises a cell having an elongate body delimiting a central housing for a fuel element and end parts. One of the end parts, traversed by a channel in the extension of the housing, receives a cap for opening and closing the channel associated with a remotely controllable opening and closing arrangement. The device also comprises a fluid circuit enabling the cell to be supplied with pressurized water and compressed air. |
description | 1. Field The present disclosure relates to a radioactive product removal system and a method of removing a radioactive product. 2. Description of Related Art Hydrogen may be produced by damaged nuclear fuel after a nuclear reactor accident. The produced hydrogen poses a potential combustion and explosion hazard. For instance, reactor primary containment and associated rooms could accumulate the produced hydrogen and experience an explosion. To decrease the risk of an explosion, the containment hydrogen concentration could be reduced by venting. Venting may also be used as a safety measure in other situations. However, harmful fission products may be released to the environment by the venting. A post-accident fission product removal system may include an air mover connected to a filter assembly. The air mover may be configured to move contaminated air through the filter assembly to produce filtered air. An ionization chamber may be connected to the filter assembly. The ionization chamber may include an anode and a cathode. The ionization chamber may be configured to receive the filtered air from the filter assembly and to ionize and capture radioisotopes from the filtered air to produce clean air. A method of removing a post-accident fission product may include filtering contaminated air containing radioisotopes to produce filtered air. The filtered air may be ionized to facilitate the electrostatic capture of the radioisotopes to produce clean air. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and/or intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. FIG. 1 is a schematic view of a post-accident fission product removal system according to a non-limiting embodiment of the present invention. Referring to FIG. 1, a post-accident fission product removal system 100 includes an air mover 104 connected to a filter assembly 106. The air mover 104 may be configured to move contaminated air 102 through the filter assembly 106 to produce filtered air 115. The air mover 104 may be a blower or a vacuum, although example embodiments are not limited thereto. The filter assembly 106 may include a centrifugal separator 106a, a charcoal filter 106b, and/or a high-efficiency particulate air (HEPA) filter 106c. Although the air mover 104 is shown in FIG. 1 as being integrated with the centrifugal separator 106a, it should be understood that the example embodiments are not limited thereto. For instance, the air mover 104 and the centrifugal separator 106a may be separate and independent pieces of equipment. The centrifugal separator 106a may be configured to receive the contaminated air 102 and to initially separate out larger-sized debris from the contaminated air 102 so as to output centrifuged air 108. For example, the centrifugal separator 106a may separate entrained particle aerosols and/or debris from air. The charcoal filter 106b may be connected to the centrifugal separator 106a. The charcoal filter 106b may include activated carbon. The charcoal filter 106b may be configured to receive the centrifuged air 108 and to remove gases with an affinity to the activated carbon so as to output carbon-filtered air 110. The high-efficiency particulate air (HEPA) filter 106c may be connected to the charcoal filter 106b. The high-efficiency particulate air filter 106c may be configured to receive the carbon-filtered air 110 and to remove smaller particulates missed by the charcoal filter 106b so as to output HEPA-filtered air 112. For instance, the high-efficiency particulate air filter 106c may remove 99.97% of all particles greater than 0.3 micrometer from the air that passes through. An ionization chamber 116 may be connected to the filter assembly 106. The ionization chamber 116 includes an anode 118 and a cathode 120. The anode 118 may be positively charged, while the cathode 120 may be negatively charged. The anode 118 and the cathode 120 may be in the form of charged plates 122 in the ionization chamber 116. For example, the anode 118 may be in the form of one charged plate 122, and the cathode 120 may be in the form of another charged plate 122. In such a case, there will be two charged plates 122 in the ionization chamber 116. In another non-limiting embodiment, each of the anode 118 and the cathode 120 may be in the form of at least two charged plates 122. In such a case, there will be at least four charged plates 122 in the ionization chamber 116. The at least two charged plates 122 of each of the anode 118 and cathode 120 may be alternately arranged with each other. The charged plates 122 may also be arranged in parallel. It should be understood that the various embodiments discussed herein are merely simplified examples for purposes of presentation. That being said, it should be understood that there may be numerous plate pairs depending upon the extent (size, diameter) of the ionization chamber. The charged plates 122 may be in planar form. Alternatively, the charged plates 122 may be in curved form. For instance, when the ionization chamber 116 is in the form of a cylinder, the charged plates 122 may be curved so as to conform to the internal contours of the ionization chamber 116. The surface of the charged plates 122 may be smooth or patterned. For example, the surface of at least one of the charged plates 122 may have a chevron pattern. The ionization chamber 116 may be configured to receive the filtered air 115 from the filter assembly 106 and to ionize and capture radioisotopes from the filtered air 115 to produce clean air 124. For instance, the ionization chamber 116 may be configured such that the filtered air 115 from the filter assembly 106 is directed to a flow path passing between the anode 118 and the cathode 120. The ionization chamber 116 may also be configured to permit sealing and detachment from the post-accident fission product removal system 100 prior to excessive accumulation of the radioisotopes in the ionization chamber 116. The sealed ionization chamber 116 may be replaced with a new ionization chamber. The ionization chamber 116 may be a canister type container. The ionization chamber 116 may also have a battery power source configured to maintain a charge on the anode 118 and cathode 120 to prevent escape of the radioisotopes during the sealing and detachment of the ionization chamber 116. The captured radioisotopes in the sealed and detached ionization chamber 116 may be subjected to processing and/or prolonged confinement by the sealed ionization chamber 116 for a sufficient period of time while the radioisotopes decay (various radioisotopes have relatively short half-lives). The post-accident fission product removal system 100 may further include a laser separator 114 connected between the filter assembly 106 and the ionization chamber 116. In such a case, the HEPA-filtered air 112 may be additionally treated by the laser separator 114 to obtain the filtered air 115. The laser separator 114 may be configured to separate radioisotopes in the HEPA-filtered air 112 based on mass. As a result, although radioisotopes will be present in the filtered air 115, the radioisotopes will be separated by mass because of the laser separator 114. For example, the trajectory of radioisotopes with a greater mass will be less affected by the momentum of a laser than radioisotopes with a smaller mass. The radioisotopes to be removed by the post-accident fission product removal system 100 may originate from damaged or melted fuel and/or from contaminated combustion products resulting from fire, although the example embodiments are not limited thereto. The post-accident fission product removal system 100 may be designed as a portable system that can be used to ventilate and clean relatively small areas. For example, the portable system may be an elephant trunk type system. Alternatively, the post-accident fission product removal system 100 may be designed as an in-place equipment to ventilate and clean larger areas (e.g., dry well primary containment reactor building rooms). FIG. 2 is a schematic view of another post-accident fission product removal system according to a non-limiting embodiment of the present invention. Referring to FIG. 2, the post-accident fission product removal system 100 may be as described in connection with FIG. 1 except that each of the anode 118 and cathode 120 in the ionization chamber 116 may be in the form of three charged plates 122. Thus, six charged plates 122 may be present in the ionization chamber 116, wherein three charged plates 122 correspond to the anode 118 and three charged plates 122 correspond to the cathode 120. The three charged plates 122 corresponding to the anode 118 may be positively charged, while the three charged plates 122 corresponding to the cathode 120 may be negatively charged. The three charged plates 122 corresponding to the anode 118 may be alternately arranged with the three charged plates 122 corresponding to the cathode 120. Although each of the anode 118 and cathode 120 in the ionization chamber 116 are shown in FIG. 2 as being in the form of three charged plates 122, it should be understood that the example embodiments are not limited thereto. For instance, each of the anode 118 and cathode 120 in the ionization chamber 116 may be in the form of two charged plates 122 (for a total of four charged plates 122) or four or more charged plates 122 (for a total of eight or more charged plates 122). FIG. 3 is a schematic view of another post-accident fission product removal system according to a non-limiting embodiment of the present invention. Referring to FIG. 3, the post-accident fission product removal system 100 may be as described in connection with FIGS. 1-2 except that the charged plates 122 corresponding to each of the anode 118 and cathode 120 in the ionization chamber 116 may be in the form of a plurality of strips. The plurality of strips corresponding to the anode 118 may be alternately arranged with the plurality of strips corresponding to the cathode 120. The plurality of strips corresponding to the anode 118 may also extend in a first direction, while the plurality of strips corresponding to the cathode 120 may extend in a second direction. In a non-limiting embodiment, the plurality of strips corresponding to the anode 118 may extend orthogonally relative to the plurality of strips corresponding to the cathode 120. FIG. 4 is a flow chart of a method of removing a post-accident fission product according to a non-limiting embodiment of the present invention. Referring to FIG. 4, a method of removing a post-accident fission product may include steps S100 and S200. Step S100 may include filtering contaminated air containing radioisotopes to produce filtered air. Step S200 may include ionizing the filtered air to facilitate the electrostatic capture of the radioisotopes to produce clean air. The filtering in S100 may include centrifuging the contaminated air to separate out larger-sized debris so as to output centrifuged air. The centrifuged air may be carbon filtered with activated carbon to remove gases with an affinity to the activated carbon so as to output carbon-filtered air. The carbon-filtered air may be directed through a high-efficiency particulate air (HEPA) filter to remove smaller particulates missed by the carbon filtering so as to output HEPA-filtered air. As a result, the entry of gross contaminants into the ionization chamber may be prevented, thereby reducing the occurrence of clogging of the ionization chamber. The ionizing in S200 may include exposing the filtered air to an electric potential of a magnitude that is sufficient to ionize the radioisotopes in the filtered air. The electrostatic capture of the radioisotopes may be performed with charged plates. For example, the electrostatic capture of the radioisotopes may include flowing the filtered air between the charged plates. The electrostatic capture of the radioisotopes may be performed with at least two pairs of oppositely charged plates (for a total of at least four charged plates), although the example embodiments are not limited thereto. For instance, the electrostatic capture of the radioisotopes may be performed with only one pair of oppositely charged plates. When two or more pairs of charged plates are used, the charged plates may be alternately arranged with each other. The electrostatic capture of the radioisotopes may also include using a battery power source to maintain a charge on the charged plates to prevent escape of the radioisotopes during a removal of the charged plates. The method of removing a post-accident fission product may further include exposing the filtered air to a laser to separate the radioisotopes based on mass prior to ionizing the filtered air. While a number of example embodiments have been disclosed herein, it should be understood that other variations may be possible. Such variations are not to be regarded as a departure from the spirit and scope of the present disclosure, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
abstract | Disclosed herein is a decay heat removal system, including: a decay heat exchanger that absorbs decay heat generated by a nuclear reactor; a heat pipe heat exchanger that receives the decay heat from the decay heat exchanger through a sodium loop for heat removal and then discharges the decay heat to the outside; and a sodium-air heat exchanger that is connected to the heat pipe heat exchanger through the sodium loop and discharges the decay heat transferred thereto through the sodium loop to the outside. According to the decay heat removal system, a heat removal capability can be realized by the heat pipe heat exchanger at such a high temperature at which the safety of a nuclear reactor is under threat, and a cooling effect can be obtained through the sodium-air heat exchanger at a temperature lower than that temperature. |
|
description | This is a divisional application of U.S. application Ser. No. 10/597,456, filed Jan. 17, 2007, now allowed, which claims priority from PCT Application No. PCT/US2005/030796, filed Aug. 30, 2005, which claims priority from provisional U.S. Application No. 60/605,481, filed Aug. 30, 2004. The invention is directed to improved containers for pharmaceuticals and the tubing and tubing connectors associated therewith, particularly containers for pharmaceuticals which are heated, irradiated or otherwise subjected to increased pressure. In a preferred embodiment, the invention is directed to an improved container for use in a radioisotope generator. Specifically, the designs and materials of the column container and its closure and associated tubing and tubing connectors have been improved. The invention includes improved pharmaceutical containers, particularly improved containers for pharmaceuticals that are subjected to increased pressure (such as by heating or other means) and/or are subjected to radioactivity. In a preferred embodiment, the invention is directed to an improved container, also called a column, for use in a radioisotope generator. In an especially preferred embodiment, the improved column is for use with rubidium-82 generator such as those disclosed in U.S. Pat. Nos. 3,953,567; 4,400,358; 4,406,877; 4,562,829; 4,585,009; 4,585,941; and 5,497,951, incorporated herein by reference in their entirety. In a particularly preferred embodiment, the improved column is used in a rubidium-82 generator such as that sold under the trade name CardioGen®. The improved pharmaceutical container of the invention includes an improved seal and crimping process, as well as changes to the design of the stopper and the container to prevent blockages and improve consistency in packing and closing the container, which improves flow rate and elution from the column. Further improvements include constructing the container and stopper out of radiation resistant or tolerant materials. In addition, flexible tubing used with the container is made of a radiation resistant or tolerant material, and the Luer locks used to fasten the flexible tubing to the container is made of a radiation resistant or tolerant material and is further improved to insure a tight, secure lock which will not inadvertently loosen or disconnect. Specifically, the improved container has a new, stronger seal which is used to crimp the stopper in a pharmaceutical container and particularly, which is used to seal a radioisotope generator column/stopper assembly system, such as the CardioGen® system. This improved seal prevents leakage, even at increased pressure, and reduces ballooning of the rubber stopper material. The seal has a configuration similar to one of those shown in FIG. 5B through FIG. 5F and FIGS. 6A and 6B and is made of any suitably strong material including metal or plastic. A pneumatically operated automatic or semi-automatic crimper, set at optimized pressure, is preferably used to crimp the seal during assembly of a pharmaceutical container such as a radioisotope generator column/stopper assembly system. The invention includes identification of optimized crimping pressure(s) for crimping the seal (regardless of material) to a pharmaceutical container such as a glass or plastic vial or column and thus securing in place a rubber closure(s) when using an automatic crimping system and/or manual crimping. The stopper which is crimped into place is also improved. Specifically, it is made of a material which is radiation resistant or tolerant, is resistant to ballooning and can withstand the pressure at which the container operates. Additionally, the configuration and placement of the stopper at the bottom of the column reduces the “dead volume”-space where non-radioactive, decayed eluate could mix with (and dilute) fresh, radioactive eluate, reducing the efficacy of the eluent. The improved pharmaceutical container also includes improvements to the design which improve its packing/assembly and thus ensure specified flow of eluent through the container. These improvements are illustrated in the context of a radioisotope generator column container. Flow rate of the eluent through the column could be partially or completely blocked if the stopper blocks the outlet arm of the column. As shown in FIGS. 1A-1G, the outlet arm of the container of the invention has been repositioned slightly and a small piece of plastic removed from the inside edge of the column to create a recess or notch where the outlet arm enters the column lumen to prevent a stopper from blocking flow. See FIG. 4. A small reinforcement piece of resin is added to the outside of the column between the outlet arm and column body to provide additional strength. Another improvement in the containers of the invention addresses consistency of assembly and packing of the containers. In prior columns for a radioisotope generator, a plastic basket or spacer was supplied separately and was placed on the top of the column packing before the seal was inserted and the seal crimped into place. In these prior columns, placement of the baskets or spacers, which hold the column packing in place, could vary significantly, potentially creating some problems with consistency in packing. In the improved columns, two small orientation knobs have been added to the outside of the top basket/spacer and the orientation knobs are positioned 180° apart. These knobs fit into two small slots cut into the wall of the column. This combination eliminates the potential variability of manual alignment and depth placement of the basket/spacer into the column and ensures a consistent fit every time. Critical to the function of the column is the alignment of the basket/spacer openings with the column inlet in the top arm. This prevents misalignment and consequent restricted flow and possible back pressure and also ensures consistent and timely out put of eluent to the patient. Another improvement is to make the column assembly out of a radiation resistant or tolerant material, such as radiation resistant polypropylene. Likewise, the flexible tubing and Luer connector are made of radiation resistant or tolerant materials, such as radiation resistant polyvinylchloride. Furthermore, the Luer connector on the flexible tube and its counterpart Luer connector on the column assembly are configured to provide for a tight lock which will not leak and which will not loosen or inadvertently disconnect during use. The invention was designed to solve a number of technical problems experienced with prior art pharmaceutical containers. 1. Leakage From the Stopper/Column Interface Leakage from the flange (or other area) of the seal of prior pharmaceutical containers such as column/stopper assembly systems was found to occur when the system was exposed to increasing pressure. The new seal, consisting of a stronger material crimped at optimized crimping pressure, prevents leakage at the flange seal area even at increasing pressure. 2. Ballooning Ballooning and/or burst of rubber materials (both before and after irradiation) through the center hole of current aluminum seals has been observed when they are subject to repeated pulsations of pressure cycling. The seals of the invention, which are stronger and are crimped at optimized pressure, reduce the likelihood of this problem. However, in a preferred embodiment the seal used in the improved container of the invention has a center hole of reduced size. For example, a seal with the configuration of those in FIG. 5B, FIG. 5C, FIG. 5E or FIGS. 6A and 6B may preferably be used. Due to the small center hole and strength of these seals, and crimping at optimized pressure, ballooning and/or burst of rubber materials is prevented. Consequently, pharmaceutical containers of the invention, and particularly column/stopper systems of the invention, can be exposed to much higher pressures during use of the system in the field. In addition, the larger surface area of the crimp resulting from the reduction of the diameter of the center hole serves as additional support for the rubber closure and inhibits possible rupture as it is weakened over time due to the cumulative effect of exposure to radiation from the column or container content. Also, the stopper is made of a radiation resistant or tolerant material. This also helps prevent ballooning and bursting. 3. Leakage Through Puncture Points Leakage through puncture points has been observed in prior art pharmaceutical containers. Such leakage may be eliminated in containers of the invention through a combination of the stronger seal material, preferably a smaller center hole, and crimping at optimized pressure. 4. Splitting of the Seal Splitting or tearing of current aluminum seals has been observed at pressures intended for use with a pharmaceutical container system (or pressures to which the system can potentially be exposed during intended usage in the field). Due to the strength of the new seal material, no splitting or rupture of seal material is observed at pressures intended for use. For example, the seals on the columns of the invention do not split or rupture when used in, for example, a rubidium generator at intended pressures. 5. Inconsistent Manual Crimping Procedure The manual crimping procedure commonly used with many prior container systems, including radioisotope column systems, is not always consistent and thus does not result in reproducible crimping pressures. Over-pressuring results in buckling and collapse of the skirt of the seal material. Under-pressuring results in a loose overseal. Use of the automatic or semi-automatic crimping procedure of the invention with compressed or pressurized air results in consistent/reproducible crimping pressures, and enables selection of optimized crimping pressures when crimping various seal materials. 6. Maintenance of Consistent Flow/Reduction of Back Pressure In some prior pharmaceutical columns, flow rate of the eluent through the column could be partially or completely blocked because the stopper blocked the outlet arm of the column. The outlet arm of the container of the invention has been repositioned slightly and a small piece of plastic removed from the inside edge of the column to create a recess or notch where the outlet arm enters the column lumen to prevent a stopper from blocking flow. A small reinforcement piece of resin is added to the outside of the column between the outlet arm and column body to provide additional strength. The recessed outlet arm and notch near the bottom of the column body greatly reduces the chance of back pressure due to a stopper blocking the outlet arm. 7. Inconsistent Positioning Within Column In a column for a radioisotope generator, a plastic basket or spacer is supplied separately and is placed on the top of the packed column before the seal or closure is inserted and the seal crimped into place. In prior columns, the baskets/spacers, which hold the column packing in place, were not easily positioned consistently both in terms of depth and orientation. In the improved columns of the invention, two small orientation knobs have been added to the outside of the top basket/spacer and these orientation knobs are positioned 180° apart. These knobs fit into two small slots cut into the wall of the column. This combination eliminates the potential variability of manual placement of the basket into the column, ensuring a consistent fit from generator to generator and reducing the variability in packing density associated with this manual process. 8. Degradation Due To Radiation Many materials degrade when exposed to radiation. Degradation includes possible changes in color, loss of flexibility, increased brittleness and the leaching out of various substances from the materials. To avoid these potential problems, the column assembly, stopper, flexible tubing and Luer connectors are made out of radiation resistant or tolerant materials. Frequently, when a material is said to be radiation resistant or tolerant, that means the material can withstand the amount of radiation used for sterilization, which is typically about 25 kGy. For the purposes of the present invention, however, a material is radiation resistant or tolerant when it can be exposed to about 145 kGy radiation and not degrade to the point where the functioning of the column assembly will be adversely affected. 9. Properly Closed Luer Locks Luer locks are known in the art. However, it can be difficult to determine when a Luer lock has been sufficiently tightened to form a tight, non-leaking lock. Thus, one improvement is to provide for one or more tabs on each Luer connector. When the tabs achieve a certain orientation with respect to each other, for example when the tabs line up, such orientation means that the Luer lock has been sufficiently tightened. Another potential difficulty with Luer locks is that they can come loose, i.e. disconnect, during use, which has the potential of causing a leak. To overcome this potential difficulty, the Luer connectors screw together and are each provided with one or more tabs. As the Luer connectors approach their fully tightened position, the tabs overlap. Further tightening causes the overlapping tabs to pass by each other, which can cause a clicking sound or sensation. When this occurs, the Luer lock is sufficiently tightened. Also, the Luer locks cannot become loose, e.g. unscrew, because the overlapping tabs will inhibit this action. Referring now to FIG. 1, FIG. 1A shows a side view and FIG. 1B shows a bottom view of the inventive container (e.g., column assembly) of one embodiment of the invention. FIG. 1C is another side view of the inventive column assembly, cut along line A-A of FIG. 1B. FIG. 1D is detail B from FIG. 1C, at a scale of 3:1 compared to FIG. 1C. FIG. 1E is a top view of the inventive column assembly, cut along line E-E of FIG. 1A. FIG. 1F is another side view of the inventive column assembly, cut along line C-C of FIG. 1B. FIG. 1G is detail D of FIG. 1F, at a scale of 2:1 compared to FIG. 1F. FIG. 1A has an inlet arm 1 which has an inlet arm female Luer cap 2 at its distal end. The proximal end of the inlet arm 1 attaches to the upper portion of a column 3. There is also an inlet arm support means 4 to support the inlet arm 1. The support means is preferably material which is added to support the inlet arm 1. Preferably, this material is the same material used to construct the column assembly. As shown, the inlet arm support means 4 is a triangular shaped member attached to the inlet arm 1 and the column 3, although the shape of the support is not limited to a triangle. It can be square, a bar passing from the inlet arm 1 to the column 3, or any other suitable shape. The column 3 has a top portion 5 and a bottom portion 6. The top portion 5 comprises a first top portion 7 and a second top portion 8. The first top portion 7 is on top of and has a diameter greater then the second top portion 8, which is on top of and has a greater diameter than the column 3. The bottom portion 6 of the column 3 has a similar configuration. It has a first bottom portion 9 and a second bottom portion 10. The first bottom portion 9 sits below and has a greater diameter than the second bottom portion 10, which sits below and has a greater diameter than the column 3. Also shown is a bottom stopper 11. An outlet arm 12 is attached to the bottom portion of the column 3. The distal end of the outlet arm 12 terminates in an outlet arm female Luer cap 13. There is also an outlet arm support means 14 to support the outlet arm 12. The support means is preferably material which is added to support the outlet arm 12. Preferably, this material is the same material used to construct the column assembly. As shown, the outlet arm support means 14 is a triangular shaped member which attaches to the column and the outlet arm 12, although the shape of the support is not limited to a triangle. It can be a square, a bar passing from the outlet arm 12 to the column 3, or any other suitable shape. FIG. 1C shows a cross section of the inventive column assembly, cut through line A-A of FIG. 1B. As shown, the inlet arm 1, column 3 and outlet arm 12 are hollow. Turning to the hollow interior or lumen of the column 3, it first defines a top stopper receptacle area 15. Below that and in communication with it is a top basket receptacle area 16. As shown in FIG. 1C, the top basket receptacle area 16 contains a top basket or spacer 17. Following that is a packing material containing area 18. Underneath the packing material containing area 18 is a bottom screen 19, followed by a bottom open area 20. Underneath the bottom open area 20 is a bottom stopper receptacle area 21. FIG. 1C shows the bottom stopper 11 inserted into the bottom stopper receptacle area 21 of the column 3. Note that the bottom stopper 11 consumes most of the bottom stopper receptacle area 21. This minimizes the dead volume in the bottom stopper receptacle area 21. Minimization of the dead volume minimizes mixing of fresh, radioactive eluent with non-radioactive or decayed eluent, which could dilute the fresh eluent, thereby maintaining a narrow rubidium-82 bolus profile. The inlet arm 1 and outlet arm 12 are each hollow, the hollow portions being 22 and 23 respectively, and are in communication with the hollow portion of the column 3. As shown in FIG. 1C, the hollow portion 22 of the inlet arm 1 is in communication with the top basket receptacle area 16. The intersection of the column 3 and the outflow arm 12 is shown in more detail in FIG. 1D. As shown therein, no portion of the outflow arm 12 extends into the hollow portion of the column 3, as was the case with certain prior art column assemblies. Also, the hollow portion 23 of the outflow arm 12 intersects the hollow portion of column 3 at the top of the bottom stopper receptacle area 21 or at about the place the bottom stopper receptacle area 21 and the bottom open area 20 intersect. This configuration, not found in prior art column assemblies, prevents the bottom stopper 11 from blocking the outflow arm 12. In a preferred embodiment, an outflow notch 25 is formed where the hollow portion 23 of the outflow arm 12 intersects the hollow interior of the column 3, thus further preventing any blockage of the outflow arm 12 by the bottom stopper 11. This embodiment is shown in more detail in FIG. 4. FIG. 1E is a top view of the inventive column assembly. Visible from this perspective are, for example, the top basket or spacer 17 and the top basket receptacle area 16. Also shown are notches 24a and 24b. The notches 24a and 24b are made in the wall of the top basket receptacle area 16. As shown in FIG. 1E, they are 180 degrees opposed to each other. They are configured to cooperate with a pair of protrusions which appear on a top basket (discussed below with respect to FIGS. 3A-3D) such that the protrusions fit into notches 24a and 24b. This configuration insures proper placement of the top basket into the top basket receptacle area 16 so that the top basket is straight and at the correct depth. In prior art column assemblies, which lacked these notches and protrusions, it was possible to insert the top basket in such a manner that it was not straight and/or at the wrong depth, which adversely affected the function of the column assembly. FIG. 1E shows two notches 24a and 24b 180° opposed to each other. It is understood that the present invention is not limited to this configuration. Rather, there can be 1, 3, 4, 5, 6 or more notches present in the wall of the top basket receptacle area 16 in any configuration, so long as these notches cooperate with protrusions on the top basket to insure its proper fit. FIG. 1F shows a side view of the inventive column assembly, cut along line C-C of FIG. 1B. FIG. 1G is detail D of FIG. 1E, showing an alternative embodiment for the first top portion 7a. As shown in FIG. 1G, this first top portion 7a slopes downwardly from its top, whereas the first top portion 7 of FIG. 1F is squared off, i.e., non-sloping. FIGS. 2A-2D show an alternative embodiment of the inventive column assembly. As shown in FIG. 2D, which is detail B from FIG. 2C at a scale of 3:1, the bottom stopper 11a is configured to fit into substantially all of the space of the bottom stopper receptacle area 21. This insures a better fit between the outer wall of the bottom stopper 11a and the inner wall of the bottom stopper receptacle area 21, thus further insuring against any leaks. In addition, the stopper 11a reduces the dead volume in the bottom stopper receptacle area 21. Minimization of the dead volume minimizes mixing with non-radioactive or decayed eluent, which could dilute the fresh eluent, thereby maintaining a narrow rubidium-82 bolus profile. The bottom stopper 11a further comprises a bottom stopper hollow space 11b. This bottom stopper hollow space 11b helps prevent the bottom stopper 11a from blocking the outflow arm 12. The column assembly is preferably made of polypropylene. Prior art column assemblies were made with H5820 polypropylene. While that product can still be used, in a preferred embodiment the polypropylene random copolymers PP P5M4R-034 or PP 13R9A (Huntsman Polymers (The Woodlands, Tex.)) can be used because they are more resistant to radiation than the prior art H5820 polypropylene. See the Prospector X5 data sheets with ATSM and ISO properties for PP P5M4R-034 and PP 13R9A, which are incorporated herein by reference in their entirety. Of the two Huntsman polypropylenes, PP 13R9A is the more preferred, based upon UV profile, Instron stress testing and appearance after gamma-irradiation. The manufacturing process for the inventive column assembly has also been improved. A new automatic mold has been designed which increases the quality and appearance of the column assembly, and which increases the efficiency of the manufacturing process. Manufacturing is presently done by Duerr Molding (Union, N.J.). For example, pins are used to form the hollow portions of the inlet arm 22 and outflow arm 23. In the prior art molding process, these pins were not fixed, so they floated. As a result, the side wall thickness of the inlet arm 1 and outlet arm 12 varied. In the present process, the pins are fixed. Therefore, the thickness of the side walls is more uniform. Also, as described above, the position of the outflow arm 12 has been moved, the outflow arm no longer protrudes into the hollow interior or lumen of the column 3, and the outflow arm resides in a recess or notch. This prevents the outflow arm from being blocked. Furthermore, support means 4, 14 are provided to strengthen the inlet arm 1 and the outflow arm 12. In addition, notches 24a and 24b are provided for the proper placement of the top basket. In the inventive column assembly shown in, for example, FIG. 1A and FIG. 2A. The inlet arm 1 and the outlet arm 12 are straight. That is because this is the way the column assembly looks at the end of the molding process. In use, the inlet arm 1 and the outlet arm 12 are curved upward, in much the same configuration as the prior art CardioGen® generator is used. Further improvement to the manufacturing process and column assembly are described throughout the instant specification. The packing material area 18 of the column 3 is designed to receive packing material. The type of packing material used depends upon the intended use of the column arrangement. When used as, for example, a rubidium-82 generator, such as CardioGen®, the packing material is one which will adhere strontium-82 but will allow for the elution of rubidium-82. Strontium(II)-82 decays into rubidium(I)-82. Elution of strontium-82 is not desired because it binds to bone and exposes the patient to unnecessary radiation exposure. Presently, stannic oxide is the preferred packing material. The packing material is loaded into the column 3 in a conventional manner. The column 3 is then loaded with strontium-82 in a conventional manner. A liquid containing the strontium-82 is slowly added to the top of the packed column and allowed to flow through it by the force of gravity. If necessary, a small vacuum can be used. Also, the packing material is preferably wetted before the strontium-82 is added. Slow addition of the strontium-82 is preferred because it will result in the strontium-82 being absorbed as close to the top of the column as possible. Filters, preferably fiberglass filters, can also be used in this conventional loading procedure. For example, two fiberglass filters are first placed in the column 3, then a portion of the packing material is added, followed by a single fiberglass filter, then the remainder of the packing material, then two more fiberglass filters. Once filled, the top basket or spacer 17 is inserted into the top basket receptacle area 16. The top basket 17 acts as a retainer to hold the packing material in place. FIGS. 3A-3D show schematics of the spacer or top basket 26 of the inventive column assembly. The spacer or top basket 26 is cylindrical in shape with an open top portion 27 and a screen 28 at the bottom portion 29. Another top basket or spacer 17 of similar configuration is shown in FIGS. 1A-1G, placed in the top basket receptacle area 16. As shown in the embodiment of FIGS. 3B and 3D, the top basket 26 actually has three cylindrical areas, a top cylindrical area 30, a middle cylindrical area 31 and a lower cylindrical area 32. The top 30 and bottom 32 cylindrical areas have diameters about equal to each other, and their diameters are greater than the diameter of the middle cylindrical area 31. The top basket 26 also contains protrusions 33a, 33b which are designed to cooperate with notches 24a, 24b in the top basket receptacle area 16. In operation, the protrusions 33a, 33b fit into the notches 24a, 24b to insure proper alignment of the top basket 26 in the top basket receptacle area 16. When so positioned, the top basket 26 acts as a retainer to hold the packing material in place. As shown in FIGS. 3A and 3C, the two protrusions 33a, 33b are 180° opposed to each other. They are located at the top cylindrical area 30. As was the case with the notches 24a, 24b, the present invention is not limited to this configuration. Rather, there can be 1, 3, 4, 5, 6 or more protrusions, in any orientation, so long as they cooperate with the notches to help insure a proper fit for the top basket 26. The top basket 26 also contains a side opening 34. As shown in FIGS. 3B and 3D, the side opening is in the middle cylindrical area 31 of the top basket 26. The purpose of the side opening is to line up with the inlet arm 1 when the top basket 26 is placed in the top basket receptacle area 16. In this arrangement, when a liquid is introduced into the inlet arm 1, it will pass through the side opening 34 into the top basket 26. The top basket 26 can be made of any suitable material, such as polypropylene. Preferably, the material will be radiation resistant, i.e. resistant to degradation in the presence of a radioactive material. More preferably, the top basket 26 is made of the same material used to construct the column assembly. In a preferred embodiment, that material is PP P5M4-R-034 or PP 13R9A polypropylene (Huntsman Polymers (The Woodlands, Tex.). Even more preferably, the material is the PP 13R9A polypropylene. In a yet further preferred embodiment, the top basket 26 is molded at the same time the rest of the column assembly is molded. As discussed above, FIG. 4 shows a detailed view of the bottom 6 portion of the column 3. FIG. 4 shows the outflow notch 25 where the hollow portion 23 of the outflow arm 12 intersects the hollow interior of the column 3. The outlet notch 25 prevents blockage of the hollow portion 23 of the outflow arm 12 by the bottom stopper 11 (not shown in FIG. 4). FIGS. 5B-5F show various types of crimp seals to use with the present invention. FIG. 5A shows the current, prior art crimp seal. FIGS. 5B-5F show various alternate embodiments of the crimp seal. The function of the crimp seal is to form a tight, crimped seal between the stoppers (described below) and the pharmaceutical container to prevent leakage. Also, a central hole is provided in the crimp seal to allow for the insertion of a needle or similar device. In one preferred embodiment the pharmaceutical container is a column, or column assembly, such as one used in a rubidium generator. The crimp seal can be made of any material, such as plastic or metal. The material should preferably be radiation resistant, and of sufficient strength to withstand pressures of at least 90 psi and preferably up to 160 psi. More preferably, the material should be metal. Preferred metals comprise aluminum, steel and tin, or suitable alloys or mixtures thereof. The metal can be optionally coated. For example, tin coated steel can be used. The diameter of the crimp seal will vary according to use, for example, vary according to the diameter of the pharmaceutical container which is to be crimped. With respect to a column assembly to be used as a rubidium-82 generator, such as CardioGen®, the diameter of the crimp seal is preferably about 20 mm across its top. FIG. 5A shows a conventional prior art crimp seal 35. It is made out of aluminum which is about 0.20 mm thick, has a flat top portion 36 with a diameter of about 20 mm with central hole 37 of about 9.5 mm in diameter and a skirt 38 about 7.5 mm high. There are several potential problems with this prior art crimp seal. First, because aluminum with a thickness of only 0.20 mm is used, the crimp seal might not be strong enough to insure a strong, leakproof seal. Second, the central hole 37 is large, and therefore the stopper might not be properly supported. Also, the larger central hole 37 may allow for ballooning of the stopper. Third, this crimp seal is manually crimped to the column 3. Manual crimping can result in undesirable variability of crimping pressure and, accordingly, can affect how well the crimp seal 35 seals the column 3 to prevent leakage. FIG. 5B shows one type of useful crimp seal 39. This crimp seal 39 comprises two parts, a top crimp member 40 and a bottom washer 41. Both the top crimp member 40 and the bottom washer 41 are made of aluminum (vendor—West). The thickness of the aluminum for each part can vary depending upon the intended use, but the aluminum used for each member is generally about 0.20 mm thick. The top crimp member 40 has a central hole 42 and a skirt 43. The size of each, and the diameter of the crimp seal, can vary depending upon use. As shown in FIG. 5B, the central hole 42 has a diameter of about 6.4 mm and the skirt 43 is about 7.6 mm high. The diameter of the top crimp member 40 is about 20 mm. The top crimp member 40 also has a cover 44, which covers the central hole 42 when not in use but can be pulled or pealed back when in use. Also, while none of FIGS. 5C through 5F or FIGS. 6A and 6B show a cover, it is understood that each of these embodiments can employ a cover if desired. FIG. 5B also employs a bottom washer 41. The bottom washer 41 contains a central hole 45. The bottom washer central hole 45 can have a diameter greater than, the same as or smaller than the diameter of the central hole 42 in the top crimp member 40. As shown in FIG. 5B, both central holes 45, 42 have about the same diameter, i.e. about 6.4 mm. The bottom washer 41 does not have a skirt. The diameter of the bottom washer 41 is about 20 mm. When used, the bottom washer 41 is placed below the top crimp member 40 and both are crimped into place. Crimping is preferably performed via an automatic or semi-automatic crimper, which is discussed in more detail below. In the alternative, other processes which control the crimping pressure applied can be used. FIG. 5C shows another embodiment of the inventive crimp seals. This crimp seal 46 comprises a single member. It is made out of steel (vendor—Microliter). The thickness of the steel can vary according to the intended use, but is generally about 0.20 mm thick. This crimp seal 46 is about 20 mm in diameter, contains a central hole 47 of about 5.0 mm in diameter and has a skirt 48 about 7.2 mm high. The crimp seal 46 is preferably crimped into place using an automatic or semi-automatic crimper, although other processes which control the pressure applied can be used. FIG. 5D shows yet another embodiment of the inventive crimp seals. This crimp seal 49 comprises a single member. It is made out of steel (vendor—Microliter). The thickness of the steel can vary according to the intended use, but is generally about 0.20 mm thick. This crimp seal 49 has a diameter of about 20 mm, contains a central hole 50 of about 8.0 mm in diameter and a skirt 51 about 7.2 mm high. The crimp seal 49 is preferably crimped into place using a semi-automatic crimper, although other processes which control the pressure applied can be used. FIG. 5E is yet still another embodiment of the inventive crimp seals. This embodiment comprises two parts, a top crimp member 52 and a bottom washer 53. Both the top crimp member 52 and the bottom washer 53 are made of aluminum (vendor—Microliter). The thickness of the aluminum can vary depending upon the intended use, but the aluminum used for each member is generally about 0.20 mm thick. The top crimp member 52 has a central hole 54 and a skirt 55. The central hole 54 has a diameter of about 9.6 mm and the skirt 55 is about 7.6 mm high. The top crimp member 52 has a diameter of about 20 mm. The top crimp member 52 also contains an insert 56, which is seated in or under the central hole 54. The insert 56 can be made of any suitable substance, but is preferable made of metal, such as steel, aluminum or tin, or plastic. The insert 56 also contains an insert central hole 57, which has a diameter of about 5 mm. The bottom washer 53 also has a central hole 58, which has a diameter of about 5 mm. The bottom washer 53 is about 20 mm in diameter and it does not have a skirt. When used, the bottom washer 53 is placed below the top crimp member 52 and the insert 56 and then all are crimped into place. Crimping is preferably performed using an automatic or semi-automatic crimper, although other processes which control the pressure applied can be used. FIG. 5F shows yet another embodiment of the inventive crimp seals. Like FIG. 5E, FIG. 5F employs two members, a top crimp member 59 and a bottom washer 60. Both members are made of aluminum (vendor-Microliter). While the thickness of the aluminum can vary with the intended use, generally each member is about 0.20 mm thick. The top crimp member 59 contains a central hole 61 and a skirt 62. The central hole 61 has a diameter of about 9.6 mm and the skirt 62 is about 7.6 mm high. The top crimp member 59 has a diameter of about 20 mm. The bottom washer 60 also has a central hole 63. The bottom washer central hole 63 has a diameter of about 11.4 mm. The diameter of the entire bottom washer 60 is about 20 mm. The bottom washer 60 does not have a skirt. When used, the bottom washer 60 is placed below the top crimp member 59. Both are then crimped into place. Preferably, an automatic crimper is employed, although other processes which control the pressure applied can be used. FIGS. 6A and 6B show an alternate and preferred embodiment of the inventive crimp seals. This crimp seal 64 comprises a single member. It is made out of steel (vendor—Microliter), code #20-000 M. See the Microliter Product Catalog, which is incorporated herein by reference in its entirety. The thickness of the steel is about 0.20 mm. The crimp seal 64 contains a central hole 65 and a skirt 66. The central hole 65 is about 5.00 mm±0.25 mm in diameter and the skirt 66 is about 7.00 mm±0.25 mm high. The entire crimp seal 64 has a diameter of about 20.75 mm±0.25 mm. The crimp seal 64 is preferably crimped into place using an automatic or semi-automatic crimper. FIGS. 7A-7D show an improved stopper 67 to be used with the inventive column assembly. The stopper 67 is preferably made from a material which will form a tight seal with the column assembly. In a preferred embodiment the stopper 67 is made of a material which is also resistant to radiation. Prior art stoppers were made of materials such as Itran-Tompkins PT-29 green neoprene rubber. This material had two potential disadvantages. First, it could degrade when exposed to radiation. Second, it contained latex, which could cause allergic reactions. Various materials were compared to the PT-29 green neoprene used in the prior art. These materials included neoprene, isoprene, bromobutyl, chlorobutyl, nitrile, isoprene/chlorobutyl, EPDM (ethylene propylene diene monomer) and Viton. These materials were coated, uncoated, siliconized and non-siliconized. These materials were made into column assembly stoppers and were irradiated simulating the exposure from a 100 mCi generator over a time period of 45 days (about 145 kGy). Irradiated stoppers were compared to non-irradiated controls by integrity (pressure) testing of the column/stopper assemblies. Assemblies were pressurized to determine load pressure required to cause ballooning of rubber materials or leaks/burst at the seal closure (up to about 200 psi). In addition, for the purpose of determining potential rubber extractables and/or leechables, additional column/stopper assemblies were irradiated in the presence of 0.9% saline solution. The saline solution was then scanned at 250 mm for UV absorbing extractables. Three compositions were identified as suitable to use in stoppers: West Pharmaceutical Services (Lionville, Pa.) 4588/40 isoprene/chlorobutyl; American Stelmi (Princeton, N.J.) 6720 bromobutyl; and Helvoet-Pharma (Pennsauken, N.J.) Helvoet FM 140/0 chlorobutyl. Of these materials, the most preferred product to use is the West 4588/40 isoprene/chlorobutyl. The stopper 67 should be configured so that it forms a tight seal with the column assembly and minimizes the dead volume (mixing), thus maintaining a narrow rubidium-82 bolus profile and maximizing efficiency. One preferred structure for the stopper is shown in FIGS. 7A-7D. Referring to FIG. 7B, the stopper 67 comprises a generally cylindrical top section 68 and a generally cylindrical bottom section 69. The diameter of the stopper bottom section 69 is about the same as or slightly larger than the inside diameter of the first top portion 7 and first bottom portion 9 of the cylinder 3, assuming both of these portions 7, 9 have the same diameter. If these portions have different diameters, then the cylindrical bottom section 69 of the stopper 67 will have about the same or slightly larger inside diameter as the portion 7, 9 it is intended to be inserted into. The reason for this configuration is to insure a tight fit between the stopper 67 and the first top 7 and first bottom 9 portions of the cylinder 3. A tight cylinder 3/stopper 67 interface helps prevent leakage. The stopper top section 68 has a greater diameter than the stopper bottom section 69 to prevent the stopper 67 from being inserted too far into the cylinder 3. In addition, optionally the stopper top section 68 can have a curved upper edge 70. The stopper bottom section 69, in one preferred embodiment, contains a U-shaped groove 71 in its base. See FIG. 7A. The U-shaped groove 71 traverses greater than half the length of the stopper bottom section 69, and it terminates in a semi-circular section 72. Preferably, the center point 73 of the semicircular section 72 should be about at the center point of the stopper bottom section 69. The stopper top section 68 contains a central circular indentation 74 in its top surface. See FIG. 7C. Preferably, the diameter of the central circular indentation 74 has a diameter about equal to the width of the U-shape groove 71. As shown in FIGS. 7B and 7D, the central circular indentation 74 and the U-shaped groove 71 should preferably line up with each other when the stopper is viewed through its cross-section. The central circular indentation 74 and U-shaped groove 71 allow for easy insertion of a needle or similar device into the stopper 67. The surface of the stopper top section 68 also contains three spherical dots 75a, 75b, 75c and an indicia, such as a spherical lug 76. They are spaced equidistant from each other around the central circular indentation 74. Also, the spherical lug 76 is placed so that it is above the U-shaped grove 71. In this configuration, when the stopper 67 is inserted into the first top portion 7 of the column 3, the spherical lug 76 can be lined up with the inlet arm 1. Thus, the open end of the U-shaped groove 71 will face the inlet arm 1, thus preventing its blockage. The same holds true for the first bottom portion 9 of the column 3. When the stopper 67 (stopper 11 shown in FIGS. 1A-1G and stopper 11b in FIGS. 2A-2D can have the same or different configurations from stopper 67) is inserted therein, the spherical lug 76 is lined up with the outlet arm 12. The open end of the U-shaped groove 71 will then face the outlet arm 12 and prevent its blockage. It is understood that the present invention is not limited to a U-shaped groove 71. Any other configuration, such as a notch, can be used so long as any potential blockage is avoided. In fact, if there is no potential for blockage, the U-shaped groove 71 or alternative structure can be eliminated. The stopper 67 is affixed to the column 3 via crimping, using the crimping seals described above in FIGS. 5 and 6. In the prior art, crimping was performed manually. The disadvantage of manual crimping is that it is not always uniform. One problem this can cause is leakage. To overcome this potential problem, the present invention preferably uses automatic or semi-automatic crimping. Any automatic or semi-automatic crimper can be used for the present invention, so long as it can consistently crimp seals at a specified, controlled pressure. One preferred type of automatic crimper is a pneumatic crimper, which is powered by gas. One example of a pneumatic crimper suitable for the present invention as an AP/CP2000 Lightweight Air Crimper/Decapper (Laboratory Precision Limited, UK). See Laboratory Precision Limited brochure copyrighted Apr. 4, 2001, which is incorporated herein by reference in its entirety. In the crimping process, a stopper 67 is inserted into the top portion 5 or bottom portion 6 of the column 3, so that it is seated in the first top portion 7 or first bottom portion 9, respectively. A crimp seal or a crimp seal and washer (see FIGS. 5 and 6) is/are placed over the stopper 67. The crimp seal or crimp seal and washer are then crimped into place, either manually or, preferably, automatically or semi-automatically. While the crimping pressure used is optimized based upon the configuration and material of the crimp seal and stopper, generally about 117±3 psi pressure is used. The resulting crimped crimp seal/stopper configuration can withstand the operative pressures of the system, i.e. at least 90 psi and preferably up to 200 psi. When in operation, connector tubes (not shown) are connected to the column assembly. Referring to FIG. 1A, both the inlet arm 1 and the outlet arm 12 have a female Luer cap 2, 13 at their distal ends. These female Luer caps 2, 13 engage male Luer caps at the proximal ends of the connector tubes. Prior art connector tubes can discolor from clear to brown and harden upon prolonged exposure to radiation. Also, the Luer connector can discolor and become brittle. In addition, the Luer connectors can loosen or become unintentionally disconnected during use. Accordingly, the present invention includes constructing connector tubing out of radiation resistant materials. Preferably, the tubing is made from a flexible radiation resistant polyvinyl chloride (PVC) and the Luer connector is made from a rigid radiation resistant PVC. For example, a preferred material for constructing the tubing is AlphaGary PVC 2232 A/R-78S Clear 030X. See AlphaGary Test Result Certificate, Report Date Aug. 20, 1999; Technical Data, Date of Origin 8/99; and Material Safety Data Sheet printed Apr. 5, 2000; which are incorporated herein by reference in their entirety. A preferred material for constructing the Luer connector is AlphaGary PVC 2212 RHT/1-118 Clear 080X. See AlphaGary Data Sheet, Revision Date 4/02, which is incorporated herein by reference in its entirety. Also, using this AlphaGary rigid PVC for the Luer connector allows the heat bonding of tubing to the Luer connector. In an alternative embodiment of the present invention, the distal end of the connector tube attached to the outlet arm 12 of the column assembly as shown in FIG. 1A has a check valve (not shown) attached to it. In a preferred embodiment, the check valve is included in the patient tube 103, shown in FIG. 9, either before or after the patient sterilization filter 104. The check valve prevents a back flow of fluids from entering the connector tube when connected to or disconnected from a patient. In another alternative embodiment, sometimes the generator is placed so far away from a patient that the patient tube cannot reach all the way to the patient. In this instance, one or more extension tubes can be added, the length of which is sufficient to reach the patient. Preferably, a single extension tube is used and in a preferred embodiment, it is made of the same materials as the connector tubes discussed above to provide for, e.g., flexibility and radiation resistance. The present invention further includes an improved Luer lock. The improvements are described below. An embodiment of this improved Luer lock is set forth in FIGS. 8A-8D. These improved Luer locks can be used with the pharmaceutical containers of the present invention, or in any other indication where it is desirable to have a connection that will not loosen or inadvertently disconnect. In the embodiment of FIGS. 8A-8D, FIG. 8A show a side view of the inventive column assembly with the inlet arm 1 projecting forward. Also shown is the female Luer cap 2 at the distal end of the inlet arm 1. As shown in FIG. 8C, the female Luer cap 2 terminates in a flange 77. The flange 77 can be flat or, as shown, contain a groove 78. Other configurations, known in the art, can also be used. The flange 77 is configured to engage and mate with threads 78 in a male Luer cap 79. When the two caps 2, 79 are screwed together, they form a tight Luer lock which will be leak resistant. This configuration is shown in FIG. 8D. One difficulty with a Luer lock is to know when the male and female caps 79, 2 have been connected sufficiently to form a tight lock. To overcome this problem, one or more tabs are provided on each of the male 79 and female Luer caps 2. As shown for example in FIGS. 8C and 8D, two tabs are provided on each cap 80a, 80b, 81a and 81b, although it is understood that the invention is not limited to this configuration only. For example, each of the Luer caps can also contain 1, 3, 4, 5, 6 or more tabs. In one embodiment, the female Luer cap tabs 80a, 80b and the male Luer cap tabs 81a, 81b are so positioned that when the Luer locks is sufficiently tight, the tabs line up with each other. This way, a user knows when tightening is completed. The present invention, however, is not limited to this one configuration, so long as the tab or tabs on each of the Luer connectors 79, 2 are arranged in a desired configuration to demonstrate that the Luer connectors 79, 2 are sufficiently tightened. In another preferred embodiment, as shown in FIG. 8D, the male Luer cap tabs 81a, 81b overlap with the female Luer cap tabs 80a, 80b. The tabs are so positioned that this overlap occurs when the tightening is complete. At the point of desired tightening, the tabs 80a, 80b, 81a, 81b pass by or click past each other. That way, the Luer locks cannot be over- or under-tightened. Also, loosening or disconnection of the Luer lock during use is prevented by the overlapping of the tabs, preventing the Luer connectors 79, 2 from turning in a loosening direction. Although the inventive Luer locks are shown only as part of the generator as shown in FIGS. 8A and 8B, the inventive Luer locks can be used in place of conventional Luer locks at any place in the inventive generator system. Moreover, the inventive generator system can contain a combination of conventional Luer locks and the inventive Luer locks. Finally, the inventive Luer locks are not solely intended for use with the inventive generator system. Rather, they can be used in place of conventional Luer locks wherever those conventional Luer locks are used. When the inventive column assembly is used as, for example, a rubidium-82 generator, it is pre-packaged with strontium-82 in the factory. That is, the product shipped to the customer is radioactive. Therefore, the radioactive column assembly is shipped in a shielded (e.g. lead) container. Nevertheless, leakage is still a concern upon shipping. Thus, to improve safety when the radioactive column assembly is shipped, an inventive improvement is to ship the product with a liquid absorbent pad. Preferably, the shipping pad is a GP100 absorbent pad (Shell Packaging Corporation, Springfield, N.J.). GP100 is a 100% polypropylene non-woven mat of randomly oriented micro-fibers (2-10 micron diameters). See SPC General Product Specifications for GP100 dated May 26, 2003, which is incorporated herein by reference in its entirety. This type of shipping pad is useful in absorbing any leaks which may occur. Improved Seal The new seal, which is used to crimp the rubber stopper in place in a pharmaceutical container and particularly, which is used to seal a radioisotope generator column/stopper assembly system, such as CardioGen®, is preferably made of a sufficiently strong material to eliminate the problems discussed above. FIGS. 5B through 5F and FIGS. 6A and 6B illustrate various method of reinforcing the top portion of the seal by use of a second layer (washer) or use of a stronger material such as steel/tin in addition to reducing the size of the center hole. The material may include metal or plastic, but is preferably metal. The metal may include heavy gauge aluminum, steel or tin, but is preferably steel or tin. The seal generally has the configuration shown in FIGS. 5B through 5F and FIGS. 6A and 6B and may have a small or large central hole, a shorter or longer skirt and optionally, a cover (e.g., plastic or aluminum over the central hole). The dimensions of the seal will vary, and one skilled in the art will understand that they should be appropriate to the container which is being sealed. Approximate dimensions for seals for a radioisotope generator column are shown in the various examples in FIGS. 5B through 5F and in FIGS. 6A and 6B. These dimensions are approximate and are not intended to be limiting. The central hole of the seals of the invention may vary in size. In a preferred embodiment the seal has a smaller central hole such as, for example, those proportional to the central holes shown in FIG. 5B, FIG. 5C, FIG. 5E and FIGS. 6A and 6B. In one embodiment, seals of FIG. 5B through FIG. 5F and FIGS. 6A and 6B are used to seal a radioisotope generator column. These seals are available from the vendors West Pharmaceutical Services (Lionville, Pa.) and Microliter Analytical Supplies Inc. (Suwannee, Ga.). In a particularly preferred embodiment, the central hole of the seal is reduced in size such as in the seals in FIG. 5B, FIG. 5C, FIG. 5E and FIGS. 6A and 6B. The preferred configuration for this application is a 1-piece steel/tin crimp with a center hole of approximately 4-5 mm diameter and a skirt length of approximately 7.2 to 7.5 mm as shown in FIGS. 6A and 6B. The combination of using a stronger material such as steel/tin or heavier gauge aluminum and reduction of the center hole results in optimum performance in maintaining a secure leakage free seal under high pressure and particularly repeated exposure (pulsing or cycling) to high pressure as occurs with the use of the rubidium-82 generator as the enlarged surface area of the crimp limits excessive expansion of the rubber closure under pressure. The use of a stronger material such as steel/tin or heavy gauge aluminum further improves the performance of the crimp by reducing the likelihood of failure due to relaxation or fatigue of the seal flange which is formed at the point where the crimp skirt is folded under the column or container flange when exposed to high or pulsating pressures. It is understood that the skirt length can be varied to provide a proper fit with the container/rubber seal combination to which it is applied. Automatic Crimper and Improved Crimping Process In a preferred embodiment, an automatic or semi-automatic crimper is used to crimp the seals of the invention. The automatic or semi-automatic crimper is set at an optimized pressure and is able to crimp seals of any material during assembly of a pharmaceutical container such as a radioisotope generator column/stopper assembly system. Suitable automatic crimpers include pressurized and/or compressed air crimpers such as those available from Laboratory Precision Limited under the trade name/model number AP/CP2000. Use of the automatic or semi-automatic crimping procedure of the invention with compressed or pressurized air results in consistent/reproducible crimping pressures, and enables selection of optimized crimping pressures when crimping various seal materials. Use of optimized pressures improves the performance of the seals of the invention and also improves performance of seals of only moderate strength, such as lighter gauge aluminum and some plastics. The automatic or semi-automatic, pneumatically powered crimper used to apply the seal is preferably operated at an optimized pressure of between 60-140 psi. However, although automatic or semi-automatic crimpers are preferred, it should be noted that application of the seal is not limited to automated equipment, and systems ranging from manual to fully automatic may be used, provided their operation can be optimized to produce repeatable and consistent predetermined pressures in applying the seals. Column Design Improvements Manufacturing Process To create the new column design, a new automatic mold has been designed. The mold and the new columns produced therein exhibit improved column quality and appearance. The new mold also increases the efficiency of the manufacturing process. The increased speed of the new automated mold enables one operator to run the process efficiently. Column Design The improved pharmaceutical container also includes improvements to the design which ensure specified flow of eluent through the container and improve its packing and consistency. In one embodiment the improved container comprises a column used in a radioisotope generator. The improved column includes a repositioned outlet arm, and the column outlet resides in a recess or notch in the inside ledge of the column where the outlet arm enters the column lumen, to prevent a stopper from blocking the flow. These improvements further include introducing small reinforcement pieces of resin to the outside of the column between the outlet arm and column body and between the inlet arm and column body to provide additional strength. Additionally, the seam of the inlet and outlet arms has been eliminated by changing the mold runners. This change has improved the consistency of the inlet and outlet arm diameters and made the arms stronger. Furthermore, to address consistency of packing of the containers, two small alignment slots have been cut into the wall of the column to receive the orientation knobs on the baskets that properly align and seat the basket in the column and limit the insertion depth into the column. This improves the consistency of packing density and eliminates potential blockage of the inlet arm. Additionally, in one embodiment, the improved column has stopper flanges and Luer flanges with much smoother surfaces with sharper edges to improve the sealing ability of the crimp. These attributes improve stopper and Luer contact to the column and greatly reduce the chance of leakage. Also, the flashing on the column is reduced greatly to enhance the appearance of the part. Finally, the column assembly is made from a radiation resistant or tolerant material. The most preferred material is Huntsman PP 13R9A polypropylene. Luer Lock and Connector Tube Improvements The Luer locks and connector tubes used with the column have also been improved. First, the connector tubes are made from a radiation resistant or tolerant material. Preferably, this material is AlphaGary PVC 2232 A/R-78S clear 030X. Second, the terminal end of the connector tube which attaches to the column contains a male Luer cap. This male Luer cap is made of a radiation resistant material, preferably AlphaGary PVC 2212RHT/1-118 clear 080X. Third, the male and female Luer caps screw together and each contains tabs, preferably two tabs each. When the tabs line up with each other in one embodiment or overlap with each other in another embodiment, that indicates that the two Luer caps are sufficiently tightened or screwed together to form a tight seal or lock. Also, in a preferred embodiment the overlapping tabs prevent the Luer caps from becoming loose, i.e. unscrewing. FIG. 9 is a diagram of the entire radionucleotide generator system. In this system, a saline supply 83 is connected to a saline supply tube 84. The saline tube 84 passes through a first check valve 85 and a second check valve 86. The check valves 85, 86 are used to insure that the saline solution only flows in the direction of the rubidium generator column 3. Interspersed between the check valves 85, 86 is a syringe pump 87. The syringe pump 87 connects to saline supply tube 84 at a T-junction 88 via a syringe pump luer connection 89. After the second check valve 86, a pressure transducer 90 is connected to the saline supply tube 84 via a pressure transducer luer connection 91. The saline supply tube 84 terminates at a first sterilization filter 92 and is connected to it via a first sterilization filter luer connection 93. The sterilization filter 92 is connected to a column connector tube 94 via a column connection tube luer connector 93. The column connector tube 94 passes through a generator shield 95 and connects to the female luer cap 2 of the inlet arm 1 via a male luer cap as shown in FIG. 8D. The generator shield 95 prevents exposure to radiation from the column 3 which can contain radioactive materials, such as strontium and rubidium-82. The inlet arm 1 is connected to the column 3 which is connected to the outlet arm 12 as shown in, for example, FIGS. 1 and 2. The female luer cap 13 of the outflow arm 12 connects to the male luer cap (not shown) of outlet connecting tube 96. The outflow connecting tube 96 passes through the generator shield 95 and connects via an outflow connecting tube luer connector 97 to a divergence valve tube 98. The divergence valve tube 98 passes through a positron (beta) detector 99, which is used to insure that the liquid to be injected into a patient has the correct level of radioactivity. Recall that at this point the liquid, which is usually a saline solution and starts at the saline supply 83, has now passed through the column 3 and thus, will contain rubidium-82. After the positron (beta) detector 99, the divergence valve tube 98 passes to a divergence valve 100. The divergence valve 100 will divert the liquid to either the diversion outlet tubing 101 or a waste connection tube 102. The diversion outlet tubing 101 connects via the patient tube-luer connection 102 to a patient tube 103, which terminates at a patient sterilization filter 104 which is solvent bonded at the time of manufacture to the patient tube 103. A needle may be attached to the patient sterilization filter 104. The patient tube 103 can pass directly to a patient (via the patient sterilization filter 104). In an alternative embodiment, the patient tube 103 can include a check valve prior to the patient sterilization filter 104. The check valve may be solvent bonded at the time of manufacture of the assembly (not shown). The check valve can be connected to the patient tube 103 by a check valve luer connection (not shown) which may be solvent bonded at the time of manufacture of the patient line. In yet another alternative embodiment, the check valve can be connected after the patient sterilization filter 104, optionally via a luer connection. Also, as described above, if the distance to the patient is too great, one or more additional connector tubes (also called extension tubes) (not shown) can be added to the assembly to bridge the distance to the patient. For example, one or more extension tubes may be connected with a luer fitting between the patient tube luer connection 102 and the patient tubing 103. The waste connector tube 109 passes through a waste sterilization filter 105 to a waste bottle 106, and these can be connected to each other via a waste luer connection 107. The waste bottle 106 is surrounded by a waste shield 108 to prevent exposure to radiation. The system shown in FIG. 9 and discussed above contains a number of luer connections. Some or all of these luer connections can be the inventive luer connections described above. Conversely, some or all of the luer connections can be of the conventional type, or do not even have to be luer connections at all, but rather can be any type of connectors, and can be jointly referred to as “connecting means”. Preferably, some or all of the connecting means are of the inventive type while the remainder are conventional luer connections. In addition, the tubes and connecting means are preferably made of radiation resistant materials. Preferably, they are made of the materials discussed above. This is especially true of those tubes and connecting means which are exposed to radiation. Shipping Improvements The columns can be shipped pre-loaded with, for example, strontium-82. Therefore, the columns are shipped in sealed containers containing GP-100 absorbent material to absorb any leakage. The above description is to be taken as illustrative and not in the limiting sense. Many modifications can be made to the design without deviating from the scope thereof. |
|
description | The present application is a divisional of U.S. application Ser. No. 09/599,027, filed Jun. 22, 2000, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to reactor structural members, namely, materials used for constructing a reactor, resistant to corrosion in a reactor primary system of a nuclear power plant, and a method of suppressing corrosion of reactor structural members. 2. Description of the Related Art In a BWR power plant, reactor water contains oxygen and hydrogen peroxide produced by the radiolysis of water in a radiation field. It is known that oxygen and hydrogen peroxide contained in the reactor water cause intergranular stress-corrosion cracking (IGSCC) in the structural members of stainless steels and nickel-base alloys in an environment of the high-temperature, high-pressure water of nuclear reactors. Initiation of stress-corrosion cracks and propagation of cracks are dependent on corrosion potential. Reduction of oxygen and hydrogen peroxide reduces the corrosion potential of a member. The lower the corrosion potential, the lower is the possibility of crack initiation and propagation of cracks. A hydrogen injection method that injects hydrogen through a feedwater system into a nuclear reactor to reduce oxygen and hydrogen peroxide contained in reactor water is a method that has been practically applied to prevent the stress-corrosion cracking in some domestic and foreign nuclear power plants. However, the hydrogen injection method is attended with an adverse effect caused by the reaction of injected hydrogen with N-16 produced by nuclear reaction to produce volatile ammonia. The volatile ammonia is liable to enter the steam system, which increases the dose rate of the turbine system. When hydrogen is injected through the feedwater system into the reactor water, oxygen must be injected to reduce excess hydrogen in the off-gas. system by recombination and various facilities are necessary therefor. A corrosion potential reducing method recently proposed to reduce the corrosion potential of structural members without causing those problems adds a noble metal, such as platinum, to the reactor water to deposit the noble metal on the surfaces of the structural members and reduces the corrosion potential by injecting a small amount of hydrogen into the reactor water. This corrosion potential reducing method utilizes the property of the noble metal, such as platinum, to selectively arrest the reversible. reaction of hydrogen having a low potential with an intention to reduce the corrosion potential by injecting a small amount of hydrogen into the reactor water. However, when this method is practiced in a nuclear power plant, the noble metal adheres also to a zirconium oxide film contained in the fuel, which promotes the oxidation and hydrogenation of the fuel material. Moreover, the interaction of hydrogen injected into the reactor water and N-16 produced by nuclear reaction is promoted, volatile ammonia enters the steam system and the dose rate of the turbine system increases. Furthermore, since a noble metal chemical containing impurities is used in a high concentration, the quality of the reactor water is deteriorated adversely affecting the soundness of the fuel materials. Thus, the noble metal injection method now in use exerts adverse effects on the maintenance of water quality, the reduction of radioactivity transition and the enhancement of the degree of burn-up of the fuel. To reduce such adverse effects, it is desired to develop a method that injects a small amount of the noble metal and a method that uses a substance other than the noble metal. On the other hand, ions contained in feedwater adheres as loose particles to the surfaces of members disposed within the nuclear reactor when the feedwater has a high iron concentration. If the noble metal adhering to the structural members adheres to those particles, the noble metal adheres to the fuel when the particles separates from the surfaces of the structural members and promotes the oxidation and hydrogenation of the fuel materials. As mentioned above, when hydrogen is injected into the reactor water by the known stress-corrosion cracking preventing method, the hydrogen and N-16 produced by nuclear reaction interact to produce volatile ammonia. The volatile ammonia thus produced is liable to enter the steam system to increase the dose rate of the turbine system. Various facilities are necessary to reduce excess hydrogen in the off-gas system by recombination. When a noble metal is deposited on the surfaces of structural members by the method that adds the noble metal to the reactor water to reduce the corrosion potential by injecting a small amount of hydrogen into the reactor water, the noble metal adheres also to the zirconium oxide films and the oxidation and hydrogenation of the fuel materials are promoted. Further more, since a noble metal chemical containing impurities is used in a high concentration, the quality of the reactor water is deteriorated adversely affecting the soundness of the fuel materials. Ion contained in the feedwater adheres in loose particles to the surfaces of members disposed within the nuclear reactor when the feedwater has a high iron concentration. If the noble metal adhering to the structural members adheres to those particles, the noble metal adheres to the fuel when the particles separate from the surfaces of the structural members. The present invention has been made to solve those problems in the related art and it is an object of the present invention to provide a reactor structural member and a method of reducing corrosion of the reactor structural member capable of reducing the respective amounts of hydrogen and noble metal to be injected into the reactor water to prevent stress-corrosion cracking, of reducing the transfer of radioactivity to the turbine system, of reducing excess hydrogen in the off-gas system, of reducing the amount of a noble metal adhering to the surface of the fuel material to the least necessary extent, and of reducing the corrosion potential of members of the primary system of a nuclear reactor by suppressing the production of loose iron particles on the surface of the fuel without promoting the oxidation and hydrogenation of the fuel material. According to the present invention, a reactor structural member has a surface provided with a corrosion potential reducing substance, such as a photocatalytic substance that produces electromotive force when exposed to light or radioactive rays in a nuclear reactor, a metal or a metal compound that forms such a photocatalytic substance under a condition specified by a temperature and a pressure in the nuclear reactor. Preferably, the corrosion potential reducing substance is formed as a particle having a surface provided with at least one of Pt, Rh, Ru and Pd. The light in the nuclear reactor is a radiation including ultraviolet rays as a principal component, which is known as Cherenkov rays produced by the nuclear fission of a fuel material in a water-cooled nuclear reactor. The radioactive rays in a nuclear reactor are electromagnetic waves and corpuscular beams produced by the nuclear fission of the fuel material, such as α rays, β rays, γ rays and neutron beams. The photocatalytic substance is a substance having a property of an n-type semiconductor, such as any one of compounds including TiO2, ZrO2, PbO, BaTiO3, Bi2O3, ZnO, WO3, SrTiO3, Fe2O3, FeTiO3, KTaO3, MnTiO3, and SnO2. These compounds are stable in a high-temperature, high-pressure, radioactive environment, and do not significantly increase the migration of radioactivity to the turbine system, and do not significantly promote the oxidation and hydrogenation of the fuel material. The corrosion potential of the structural members of the primary system of a nuclear reactor can be controlled by attaching any one of those compounds to the structural members of the nuclear reactor or by forming a film of the compound on the surface of the structural members of the nuclear reactor. Preferably water quality is controlled. The radioactivity of the fuel material and the activated compound is low. Among those compounds, TiO2 and ZrO2 are particularly preferable photocatalytic substances. Although the compounds may be used in the form of oxides as mentioned above which are photocatalytic substances as they are, phtocatalyst forming substances that produce the foregoing compounds under a high-temperature, high-pressure condition in the nuclear reactor, more concretely, in an environment of 285° C. and 70 atm, such as metals and metal hydrates, may be used. More concretely, possible metals and metal hydrates are, for example, metal Ti, metal Zr, Ti hydrate and Zr hydrate. Those photocatalytic substances or photocatalyst forming substances are used instead of the noble metal, such as Pt. Those photocatalytic substances and photocatalyst forming substances may be used as particles having surfaces on which at least one of Pt, Rh, Ru and Pd is partially attached. The photocatalytic substance, the photocatalyst forming substance, the photocatalytic substance combined with a noble metal, such as Pt, or the photocatalyst forming substance combined with a noble metal, such as Pt, is attached to the surface of a structural member of a nuclear reactor. A film of the substance may be formed on the surface of a structural member of a nuclear reactor. A method of securely attaching the corrosion potential reducing substance, i.e., the photocatalytic substance, the photocatalyst forming substance, the photocatalytic substance combined with a noble metal or the photocatalyst forming substance combined with a noble metal, to the surface of an objective structural member to be protected from corrosion supplies the corrosion potential reducing substance into the cooling water while the nuclear reactor is in rated operation, in a start-up stage, in a shut-down stage, while a plant loaded with the fuel is stopped without providing any thermal output or while the plant is not loaded with any fuel, and circulates the cooling water to coat the surface of the structural member of the nuclear reactor with the corrosion potential reducing substance. The corrosion potential reducing substance may be sprayed over the surface of the objective structural member to form a film of the corrosion potential reducing substance in a thickness in the range of 0.1 to 1 μm by using a robot after removing the fuel from the nuclear reactor and decontaminating the nuclear reactor for periodic inspection. The film of the corrosion potential reducing substance having a predetermined thickness can be formed on the surface of the structural member by a method that sprays a liquid containing the corrosion potential reducing substance over the surface of the structural member in a film and drying the film, a thermal spraying method, a physical vapor deposition (PVD) method or a chemical vapor deposition (CVD) method. When the corrosion potential reducing substance is applied to the surface of a structural member of a nuclear reactor after providing the same with a hydrophilic property or when a mixture prepared by mixing the corrosion potential reducing substance and a binder is applied to the surface of a structural member of a nuclear reactor, the initial adhesion of the corrosion potential reducing substance to the surface of the structural member can be enhanced. Desirably, the amount of the corrosion potential reducing substance applied to the structural member or the thickness of a film of the corrosion potential reducing substance formed on the surface of the structural member is designed to make the photocatalytic substance produce a current of a current density not lower than the sum of the limiting current densities of oxygen and hydrogen peroxide contained in the reactor water. When a corrosion oxide film formed on the surface of the structural member is a single layer of a p-type semiconductor, the corrosion potential reducing substance may be applied to the corrosion oxide film, or a film of the corrosion potential reducing substance may be formed on the corrosion oxide film. When a corrosion oxide film consists of an outer layer having the property of an n-type semiconductor other than a photocatalytic substance and an inner layer having the property of a p-type semiconductor, it is desirable to apply the corrosion potential reducing substance to the corrosion oxide film or to form a film of the corrosion potential reducing substance on the corrosion oxide film after making the outer layer of the n-type semiconductor unstable or removing the outer layer of the n-type semiconductor. The outer layer of the n-type semiconductor other than the photocatalytic substance can be made unstable by a method that increases the hydrogen concentration of the reactor water. The outer layer of the n-type semiconductor other than the photocatalytic substance can be removed by a chemical decontaminating method, an electrolytic decontaminating method or a laser decontaminating method. When the outer layer of the n-type semiconductor is decontaminated by submerged laser irradiation, a compressive stress effective in preventing IGSCC can be induced in the structural member of the nuclear reactor by the decontaminating and peening action of a laser beam. According to the present invention, it is desirable to control the iron concentration of the feedwater by placing a condensate purifier in the condensing system of the nuclear reactor to suppress the loose deposition of hematite on the surface of the fuel material. A suitable condensate purifier includes a filter device and a demineralizer device. According to the present invention, to apply a noble metal effectively to the surface of a member of the primary system of a nuclear reactor and to limit the amount of the noble metal adhering to the surface of the fuel material to the least necessary extent, the iron concentration of the feedwater is adjusted to about 0.1 ppb or below to inhibit the formation of loose hematite on the fuel. When the iron concentration of the feedwater is about 0.1 ppb or below, nickel ion concentration is greater than 0.2 ppb. Under this condition, the amount of the noble metal to be injected into the nuclear reactor may be 1/10 of that of the noble metal used by the related art for the same purpose. The iron concentration of the feed water can be reduced to about 0.1 ppb or below by using the condensate purifier including the filter device and the demineralizer device. It is desirable, while the iron concentration of the feed water is thus controlled, that one or some of Pt, Rh, Ru or Pd are made to adhere to the surface of the structural member of a nuclear reactor having a corrosion oxide film in a weight per unit area of 0.1 μg/cm2 or above and water quality is controlled so that the oxygen/hydrogen molar ratio of the reactor water is in the range of 0.4 to 0.5. The potential of the member can be reduced by a method that increases the hydrogen concentration of water. The hydrogen concentration of water can be increased by injecting hydrogen into the nuclear reactor or by injecting methanol that produces hydrogen in the nuclear reactor. To stabilize the catalyst and to maintain the effect of the catalyst, it is desirable to limit the iron concentration of the feedwater to 0.1 ppb or below. The iron concentration of the feedwater can be reduced to 1 ppb or below by using the condensate purifier including the filter device and the demineralizer device. The performance of the filter device is important. A hollow fiber filter satisfies filtering requirements. Generally, the iron concentration of feed water in BWR power plants in Japan is several hundreds parts per trillion (ppt) or above and in BWR power plants in America is 1000 ppt or above. Therefore, the amount of a noble metal per unit area necessary to stably control the potential of the structural members of the primary system of a nuclear reactor is several micrograms per square centimeters or above and hence one BWR needs several kilograms of a noble metal. When any particles of corrosion products do not deposit from the reactor water on the surfaces of the structural members of the primary system, the necessary amount of a noble metal per unit area is on the order of 0.1 μg/cm2 and hence one BWR needs 100 g or below of a noble metal. Therefore, the amount of the noble metal that adheres to the fuel can be reduced to 1/10 of the amount of the noble metal necessary. when particles of corrosion products deposit on the surfaces of the structural members of the primary system and the oxidation and hydrogenation of the fuel material can be prevented. Since the concentration of the noble metal chemical can be reduced to 1/10 of the concentration of the same necessary when particles of corrosion products deposit on the surfaces of the structural members of the primary system, the deterioration of water quality and the transfer of N-16 to the turbine system can be suppressed. The reduction of the iron concentration of the feedwater brings about effects of stabilizing the adhesion of the noble metal to the surface of the structural member and maintaining the effect of the noble metal. Such effects can be exercised similarly when a photocatalyst is used. The effect of the photocatalytic substance employed in the present invention, such as TiO2 or ZrO2, is the same as that of the noble metal in stopping hydrogen injection and reducing the amount of hydrogen to be injected into the nuclear reactor. It is feared that a noble metal, such as Pt, attached to a part of the surface of the photocatalytic substance to enhance the effect of the photocatalytic substance, such as TiO2, adheres to the surface of the fuel material and affects the oxidation and hydrogenation of the fuel material. However, the amount of the noble metal attached to the surface of the photocatalytic substance is 1/10 or below of the amount of the noble metal necessary when the noble metal is attached directly to the ZrO2 film of the fuel material. Therefore, the influence of the noble metal on the fuel material is practically negligible. Weld Ni-base alloy, for which corrosion is a significant problem, lies in the bottom section of a reactor vessel of a BWR plant. The depth of water to the bottom section is 5.3 m at the maximum. Since about 10% of ultraviolet rays included in Cherenkov rays reaches the bottom section, a sufficient amount of photons necessary for exciting the photocatalyst reaches the reactor structural members facing the reactor core. A TiO2 photocatalyst, i.e., an n-type semiconductor, has electrochemical properties similar to those of ZrO2. It is known that the TiO2 catalyst exercises its photocatalytic effect to promote anodic reaction and its corrosion potential drops. It is known that the corrosion potential of a Cr2O3 film and a NiO film, i.e., corrosion oxide films, formed on the surface of the weld Ni-base alloy rises when the same are p-type semiconductors. To lower the potential of the member for IGSCC corrosion suppression, a TiO2 photocatalyst, which is an n-type semiconductor, is applied to the corrosion oxide film formed on the surface of the molten Ni-base alloy to shield the corrosion oxide film, which is a p-type semiconductor, from Cherenkov rays to suppress the rise of potential, and the potential of the Ni-base alloy can be lowered by the effect of reduction of the corrosion potential of the TiO2 photocatalyst. The TiO2 photocatalyst has a high photocatalytic effect on an Fe-base alloy on which an Fe2O3 film, which is an n-type semiconductor film, is formed as an outer layer, such as a stainless steel. Therefore, the corrosion potential can be lowered by selectively using a suitable photocatalytic substance. When an Fe-base alloy on which an inner layer of a p-type semiconductor and an outer layer of an n-type semiconductor are formed, such as a stainless steel, is used, the n-type semiconductor of an oxide forming the outer layer is made unstable to expose the p-type semiconductor of an oxide in a contact interface by increasing the hydrogen concentration of the reactor water, or the n-type semiconductor of an oxide forming the outer layer can be removed by decontamination. The corrosion potential of the member can be further lowered by bringing the p-type semiconductor of an oxide, and an n-type semiconductor, such as TiO2, i.e., a powerful photocatalytic substance, into contact. When the intensity of a laser beam in water is increased to remove the oxide film, a compressive stress effective in preventing IGSCC can be induced in the structural member of the nuclear reactor by the peening effect of the laser beam. When it is necessary to suppress IGSCC by lowering the corrosion potential of Fe-base and Ni-base alloy members by using a photocatalytic substance, such as TiO2, the effect of the photocatalytic substance is further enhanced by increasing the hydrogen concentration of the reactor water, because dissolved oxygen is more active in receiving electrons than hydrogen ions. Therefore, it is effective to reduce dissolved oxygen by increasing the hydrogen concentration of the reactor water. It is desirable to inject a molar amount of oxygen corresponding to ½ of the molar amount of hydrogen to be injected into a system after the steam system to make oxygen and excess hydrogen interact. Under conditions simulating water quality control in a practical plant, it was found that the corrosion potential could be lowered to −230 mV vs SHE effective in suppressing IGSCC by using feedwater having a hydrogen concentration in the range of 0.2 to 0.4 ppm when TiO2 combined with Pt and TiO2 as a phtocatalyst are applied to a part of the surface of a weld Ni-base alloy in a 1 μm thick film. When the hydrogen concentration is in that range the migration of radioactivity to the turbine system does not increase. When applying the photocatalytic substance to a member for which corrosion must be suppressed, it is important to avoid the adhesion of the photocatalytic substance to the fuel material, to control the thickness of the film of the photocatalytic substance, and to suppress thermal influence on the member to the least extent. A photocatalytic substance or a photocatalyst forming substance can be applied to a member for which corrosion must be suppressed by circulating cooling water while the plant loaded with the fuel is stopped without providing any thermal output or while the fuel is taken out and immersed in cooling water. The photocatalytic substance or the photocatalyst forming substance may be sprayed over the surface of an objective structural member by using a robot after removing the fuel from the nuclear reactor and decontaminating the nuclear reactor for periodic inspection. A film of the photocatalytic substance or the photocatalyst forming substance having a predetermined thickness can be formed on the surface of the structural member by a method that sprays a liquid containing the same substance over the surface of the structural member in a film and drying the film, a thermal spraying method, a PVD method or a CVD method. When a photocatalytic substance, such as TiO2, is applied to the surface of a structural member after providing the same with a hydrophilic property or when a mixture prepared by mixing the photocatalytic substance and a binder, such as SiO2 is applied to the surface of a structural member, the initial adhesion of the photocatalytic substance to the surface of the structural member can be enhanced. A TiO2 film of a thickness in the range of a fraction of 0.1 μm to 1 μm is capable of completely absorbing Cherenkov rays and has a sufficient mechanical strength. FIG. 1 is a graph showing the variation with time of the corrosion potential of a heat-affected part of a structural member of a stainless steel (SUS304, JIS) coated with a TiO2 film by thermal spraying when the heat-affected part is exposed to ultraviolet rays. In FIG. 1, both the variation of the corrosion potential of the heat-affected zone coated with the TiO2 film and that of a part not coated with any film are shown. As obvious from FIG. 1, the corrosion potential of the heat-affected zone coated with the TiO2 film decreases when the heat-affected zone is irradiated with ultraviolet rays. The corrosion potential of the zone not coated with any TiO2 film does not decrease when the same part is irradiated with ultraviolet rays. Thus, it is known that the TiO2 film formed by thermal spraying cause the corrosion potential to decrease. FIG. 2 is a typical view of assistance in explaining a reaction that occurs when a metal base 2 of a stainless steel or Inanely coated with an n-type semiconductor film 1 is exposed to light rays, such as ultraviolet rays. As shown in FIG. 2, the n-type semiconductor film 1 has locally unbonded surplus electrons 3. When the n-type semiconductor film 1 is irradiated with effective light rays 4, such as ultraviolet rays, the n-type semiconductor forming the n-type semiconductor film 1 is excited. Consequently, electrons are allowed to move easily, migrate from the n-type semiconductor film 1 to the metal base 2 and are thus dissipated. This reaction makes anode current flow easily, so that the corrosion potential decreases. FIG. 3 is a graph showing the variation with time of the corrosion potential of a structural member of a stainless steel (SUS304, JIS) coated with a TiO2 film when exposed to ultraviolet rays for an amount of hydrogen injected into reactor water. The corrosion potential of the structural member of SUS304 decreases when the structural member is irradiated with ultraviolet rays under a condition where hydrogen is not injected into the reactor water. However, when the structural member is irradiated with ultraviolet rays under a condition where hydrogen is injected into the reactor water, the corrosion potential of the structural member of SUS304 decreases more effectively. FIG. 4 is a diagrammatic view of a BWR nuclear plant including a pressure vessel 5, a feedwater line 6, a purifying system 7 and a recirculation line 8. A recirculation system injection line 9 is connected to the recirculation line 8 and a feedwater system injection line 10 is connected to the feedwater line 6. A corrosion potential measuring apparatus 11 is installed. A semiconductor composition can be injected into the reactor water. The reactor water is supplied through the recirculating line 8 to the corrosion potential measuring apparatus 11. The corrosion potential measuring apparatus 11 measures corrosion potential in the high-temperature reactor water. When applying a p-type semiconductor to a reactor structural member, the injection lines 9 and 10 for injecting a semiconductor composition into the reactor water are actuated to inject the semiconductor composition into the reactor water. The semiconductor composition may be injected into the reactor water while the nuclear reactor is in normal operation, while the nuclear reactor is stopped or while the nuclear reactor is in a start-up stage, provided that an objective part of the reactor structural member to be subjected to corrosion potential reduction is exposed to the reactor water. The relation between injection time, temperature and concentration may be determined beforehand through experiments and the relation may be used for controlling a semiconductor composition injecting operation or the semiconductor composition injecting operation may be controlled by monitoring the process of the semiconductor composition injecting operation by the corrosion potential measuring apparatus and the semiconductor composition may be injected into the reactor water confirming the reduction of corrosion potential. Although the semiconductor composition is injected into the reactor water through the recirculation system in this embodiment, the semiconductor composition may be injected into the reactor water through any part connected to the nuclear reactor, such as a feedwater system, a residual heat removing system, a nuclear reactor cleaning system, a sampling line or the like. The semiconductor composition may be introduced into the nuclear reactor by a method that holds a sintered semiconductor composition compact that discharges a semiconductor composition when irradiated with ultraviolet rays in the reactor water. FIG. 5 is a typical view of assistance in explaining a method of forming a film on the surface of a metal base by a plasma spraying apparatus. A dc arc 14 is produced between a cathode 12 and an anode nozzle 13. An orifice gas 15 supplied from behind the cathode 12 is heated and expanded by the dc arc 14, and a plasma jet 16 is jetted through the anode nozzle 13. Powder 17 of a thermal spray material is carried by a gas into the plasma jet 16. The powder 17 of the thermal spray material is heated, is accelerated by the plasma jet 16 and impinges on a surface of a metal base 2 to form a photocatalyst film 18 on the surface of the metal base 2. FIG. 6 is a graph showing the variation of the corrosion potential of a structural member of a stainless steel (SUS304, JIS) coated with a semiconductor film when the structural member is exposed to ultraviolet rays. An anode current produced by the photoelectrochemical reaction of a semiconductor must be higher than a cathode limiting current density, i.e., the density of a cathode current produced by the oxidizing components, such as oxygen and hydrogen peroxide, of the reactor water to reduce the corrosion potential. The anode current produced by the photoelectrochemical reaction of the semiconductor is dependent on the intensity of light and the mass of deposit per unit area of the semiconductor composition. The cathode current is dependent on the concentration of the oxidizing materials, such as oxygen and hydrogen peroxide, contained in the reactor water. For example, it is estimated that oxygen concentration and hydrogen peroxide concentration in a bottom part of the nuclear reactor are 200 ppb. A limiting current density of the cathode current resulting from the oxidizing substances calculated taking into account the amount of the oxidizing substances and flow conditions in the bottom part of the nuclear reactor is about 18 A/m2. To reduce corrosion potential, the anode current must be higher than about 18 A/m2. When a current of 18 A/m2 or higher is produced by the photoelectrochemical reaction, corrosion potential decreases. FIG. 7 is a graph showing the variation with time of the corrosion potential of a structural member of a stainless steel (SUS304, JIS) coated with an oxide film containing a semiconductor composition when exposed to ultraviolet rays. The oxide film takes in the semiconductor composition as it grows on the surface of the structural member. This method, instead of directly applying a semiconductor composition to a structural member of a nuclear reactor, dissolves or suspends a semiconductor composition in the reactor water and leaves the reactor water as it is for a fixed time to make the semiconductor composition adhere to the surface of the structural member. Test pieces for the measurement of data shown in FIG. 7 were prepared by immersing pieces of a stainless steel (SUS304, JIS) in a high-temperature titanium oxide solution to form a TiO2 film on the surfaces of the pieces. As obvious from FIG. 7, the corrosion potential of the test pieces decreases when the same are irradiated with ultraviolet rays, and the reduction of the corrosion potential increases with immersing time. FIG. 8 is a graph showing the dependence of the corrosion potential of a structural member of a stainless steel (SUS304, JIS) coated with a TiO2 film on the thickness of the TiO2 film. The corrosion potential starts decreasing when the thickness of the TiO2 film increases to 0.1 μm, and the curve indicating the variation of the corrosion potential with the thickness of the TiO2 film levels off after the thickness increases beyond 1 μm. Therefore, the effective thickness of the TiO2 film is in the range of 0.1 to 1 μm. It goes without saying that the TiO2 film of a thickness not smaller than 1 μm reduces the corrosion resistance effectively. FIG. 9 is graph of assistance in explaining the effect of Pt made to adhere in a mass per unit area of 0.1 μg/cm2 to a TiO2 film formed on a surface of a structural member of a stainless steel (SUS304, JIS) on reducing corrosion potential when the structural member is exposed to ultraviolet rays. As obvious from FIG. 9, Pt added to the TiO2 film is effective in increasing the reduction of the corrosion potential. Data shown in FIG. 9 proves that the addition of Pt to the TiO2 film enhances the corrosion potential reducing efficiency of the TiO2 film. FIG. 10 is a graph of assistance in explaining the effect of SiO2 used as a binder in forming a TiO2 film on a structural member on corrosion potential. When any binder is not used, the mass per unit area of the TiO2 film decreases with time and, consequently, the corrosion potential increases with time. When a binder is used, the adhesion of the TiO2 film to the surface of the structural member increases and the corrosion potential is held on a low level. It is known from FIG. 10 that the addition of a binder to the catalyst is effective in maintaining corrosion potential reducing ability. FIG. 11 is a typical view showing the migration of surplus electrons 3 from an n-type semiconductor 1 to a metal base 2 caused by Cherenkov rays. Many charged particles 19 are flying about in a nuclear reactor. It is generally known that light rays 4 called Cherenkov radiation are produced when the charged particles are decelerated. Cherenkov radiation has wavelengths in a wide wavelength range owing to difference in energy between the decelerated charged particles. Cherenkov radiation includes rays of wavelengths in the ultraviolet region. Therefore, it is considered that Cherenkov radiation is able to excite photocatalysts. FIG. 12 shows the variation with time of the corrosion potential of a member of a stainless steel (SUS304, JIS) coated with a Cr2O3 film of a p-type semiconductor film, and having a TiO2 film formed on the Cr2O3 film and that of a member of a stainless steel (SUS304, JIS) coated with a Fe2O3 film of an n-type semiconductor, and having a TiO2 film formed on the Fe2O3 film when irradiated with ultraviolet rays. The respective corrosion potentials of both the members are reduced when irradiated with ultraviolet rays, which verifies the effect of the TiO2 film on the reduction of corrosion potential. The corrosion potential reducing effect of the combination of the TiO2 film and the p-type semiconductor film is greater than that of the combination of the TiO2 film and the n-type semiconductor film. FIG. 13 is a typical view of assistance in explaining the principle of a phenomenon illustrated by FIG. 12. Whereas an n-type semiconductor film 1 locally has surplus electrons 3, a p-type semiconductor film 21 locally has unfilled bonds 20. The surplus electrons 3 of the n-type semiconductor film 1 excited by light rays 4 migrate easily into the unfilled bonds 20 of the p-type semiconductor film 21 contiguous with the n-type semiconductor film 1. The easiness of the migration of the electrons 3 into the p-type semiconductor film 21 is higher than that of electrons into the metal base 2 in which electrons are arranged regularly. Thus, the easiness of flow of anode current is promoted by forming a p-type semiconductor film on an n-type semiconductor film, and the reduction of corrosion potential by the formation of the TiO2 film on the p-type semiconductor film is greater than that of the same by the formation of the TiO2 film directly on the metal base 2. FIGS. 14A and 14B are typical views of assistance in explaining a method of forming an n-type semiconductor film 1, i.e., a photocatalyst film, on a member having a metal base 2, a p-type semiconductor film 21 formed on the metal base 2, and an n-type semiconductor film 22, which is not a photocatalyst film, formed on the p-type semiconductor film after removing the n-type semiconductor film 22. This arrangement of the p-type semiconductor film and the n-type semiconductor film 1, i.e., a catalytic film, enhances the corrosion potential reducing effect. Only the n-type semiconductor film 22, which is not a photocatalyst film, can be removed by a method that makes the n-type semiconductor film 22 unstable by injecting a large quantity of hydrogen into the reactor water 23 to reduce dissolved oxygen. Only the n-type semiconductor film 22, which is not a photocatalyst film, can be removed by a decontamination process. The corrosion potential reducing effect of the n-type semiconductor film 1, i.e., the photocatalyst film, is enhanced when the same is formed on the p-type semiconductor film 21 after removing the n-type semiconductor film 22. FIG. 15 is a typical view of assistance in explaining a reason that it is desirable to remove the n-type semiconductor film 22, which is not a photocatalyst film, formed on the p-type semiconductor film 21 shown in FIGS. 14A and 14B. When the n-type semiconductor film 1, which is a photocatalyst film, on the n-type semiconductor film 22, which is not a photocatalyst film, surplus electrons 3 excited by light rays 4 must be dissipated in the n-type semiconductor film 22 having many surplus electrons 3. The easiness of receiving surplus electrons by the n-type semiconductor film 22 is inferior to that by not only the p-type semiconductor film but also the metal base 2. The effect of the photocatalyst film is reduced greatly when the n-type semiconductor film 22 underlies the catalyst film. FIG. 16 is a typical view of assistance in explaining, when a member has a metal base 2 and an n-type semiconductor film 22, which is not a photocatalyst film, a process of forming a p-type semiconductor film 21 on the metal base 2. When the n-type semiconductor film 22 is removed by chemical or electrolytic decontamination, the p-type semiconductor film 21 grows on the metal base 2 by a chemical reaction. When the n-type semiconductor film 22 is removed by laser decontamination, only Cr can be selectively left unremoved by using laser light 24 of a proper wavelength. Since a chromium oxide forms a p-type semiconductor, only the p-type semiconductor film 21 remains on the surface of the metal base 2. An n-type semiconductor film, i.e., a catalyst film, is formed on the p-type semiconductor film 21. The n-type semiconductor film overlying the p-type semiconductor film 21 exercises a high corrosion potential reducing effect. FIG. 17 is a graph showing the relation between the amount of a noble metal attached to the surface of a structural member of a nuclear reactor, corrosion potential and the iron concentration of reactor water. As obvious from FIG. 17, when the reactor water has a high iron concentration, the effect of the noble metal is low and corrosion potential increases with time. Such a variation of corrosion potential occurs also when a TiO2 film is used. It is known from data shown in FIG. 17 that the reduction of the iron concentration of the reactor water is effective in reducing corrosion potential by the present invention. FIG. 18 shows a nuclear plant with a feedwater purifier by way of example, in which parts like or corresponding to those shown in FIG. 4 are denoted by the same reference characters and the description thereof will be omitted. The iron concentration of the feedwater must be limited to a very small value to suppress the loose deposition of hematite on the surface of the fuel. To reduce the iron concentration of the feed water to a very small value, a purifier 25 needs to have a prefilter device 26 and a demineralizer device 27 in a serial arrangement. FIG. 19 is diagrammatic view of a hydrogen/alcohol injecting system. The hydrogen concentration of the reactor water can be effectively increased by injecting hydrogen through a feedwater system injection line connected to a low-pressure part of a feedwater line into the nuclear reactor. The same effect as that of increased hydrogen concentration can be achieved by injecting a liquid having alcohol groups, such as methanol, into the nuclear reactor. Hydrogen or the liquid can be injected into the nuclear reactor through a feedwater system injection line 10 or a recirculation system injection line 9 connected to a recirculation line 8. FIG. 20 is a diagrammatic view of a BWR nuclear plant including a pressure vessel 5, a feedwater line 6, a purifying system 7, a recirculation line 8 and a corrosion potential measuring apparatus 11. A noble metal composition injecting line 9 is connected to the recirculation line 8 to inject a noble metal composition into the reactor water. The reactor water is supplied to the corrosion potential measuring apparatus 11. The corrosion potential measuring apparatus 11 measures corrosion potential in the high-temperature reactor water. When applying a noble metal to a reactor structural member, the noble metal composition injection lines 9 is actuated to inject the noble metal composition into the reactor water. The noble metal composition may be injected into the reactor water while the nuclear reactor is in normal operation, while the nuclear reactor is stopped or while the nuclear reactor is in a start-up stage, provided that an objective part of the reactor structural member to be subjected to corrosion potential reduction is exposed to the reactor water. The relation between injection time, temperature and concentration may be determined beforehand through experiments and the relation may be used for controlling a noble metal composition injecting operation or the noble metal composition injecting operation may be controlled by monitoring the process of the noble metal composition injecting operation by the corrosion potential measuring apparatus 11 and the noble metal composition may be injected into the reactor water confirming the reduction of corrosion potential. Although the noble metal composition. is injected into the reactor water through the recirculation system in this embodiment, the noble metal composition may be injected into the reactor water through any part connected to the nuclear reactor, such as a feedwater system, a residual heat removing system, a nuclear reactor cleaning system, a sampling line or the like. The noble metal composition may be introduced into the nuclear reactor by a method that holds a sintered noble metal composition compact that discharges a noble metal composition in the nuclear reactor. The BWR nuclear plant has a purifying system for reducing the iron concentration of the feedwater to a sufficiently low level. A small amount of hydrogen is injected into the nuclear reactor to make the noble metal exercise its catalytic effect. As is apparent from the foregoing description, according to the present invention, the corrosion potential of the reactor structural member can be reduced without injecting hydrogen into the reactor water or by injecting a small amount of hydrogen into the reactor water to extend the life of the reactor structural member. It is possible to suppress the increase of the dose rate of the turbine system due to migration of volatile ammonia produced by the reaction of hydrogen injected into the nuclear reactor with N-16 produced by nuclear reaction. Therefore, various facilities to reduce excess hydrogen in the off-gas system by recombination can be reduced. Furthermore, since only a very small amount of the noble metal is necessary, the oxidation and hydrogenation of the fuel material are not promoted substantially. Although the invention has been described in its preferred embodiments with a certain degree of particularity, obviously many changes and variations are possible therein. It is therefore to be understood that the present invention may be practiced otherwise than as specifically described herein without departing from the scope and spirit thereof. |
|
063295636 | abstract | The present invention relates to vitrification of ion exchange resins that have become loaded with hazardous or radioactive wastes, in a way that produces a homogenous and durable waste form and reduces the disposal volume of the resin. The methods of the present invention involve directly adding borosilicate glass formers and an oxidizer to the ion exchange resin and heating the mixture at sufficient temperature to produce homogeneous glass. |
046831096 | abstract | A system for removing debris from a nuclear fuel assembly includes a work platform disposable on the fuel assembly racks in a spent fuel pol. A support stand is mounted on the work platform and has a sliding support plate movable between a support position engageable with the lower end of the fuel assembly, and a retracted position permitting lowering of the fuel assembly through an opening in the work platform into one of the racks. A tool manipulation assembly is disposable on the platform and includes a rotatable turret-type tool mount carrying four different cleaning tools, including a pick, a brush, a water lance and a water-actuated tweezers. The tool manipulating assembly includes drive means for effecting X-Y-Z movement of the tool mount for working on the fuel assembly. Each tool is resiliently mounted in a tool holder which yields if the tool engages the fuel assembly in a given direction with a predetermined force, generating an alarm signal to prevent damage to the tool assembly. The turret mount is indexible among four positions and is yieldably held in each to prevent harmful side loading of the fuel assembly. Counterweighting permits vertical movement of the tool mount with minimal forces. |
050864440 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS A primary radiation diaphragm constructed in accordance with the principles of the present invention is shown in FIG. 1. The diaphragm includes a focus-proximate iris diaphragm 1, a first control disc 2 arranged above the focus-proximate iris diaphragm 1 and functioning as an actuator, a carrier disc 3 for diaphragm plates disposed above the first control disc 2, a second control disc 4 disposed above the carrier disc 3 and functioning as an actuator, and a focus-distal iris diaphragm 5 disposed above the second control disc 4. The arrangement has a central axis 6 which is coincident with the central beam of the useful radiation beam of a radiation source, for example, an x-ray source. The iris diaphragms 1 and 5, which are not shown in greater detail, each consist of eight rotatably seated tantalum or lead lamellae arranged staggered in four planes of two lamellae each. The iris diaphragms 1 and 5 are respectively set to the desired gating diameter by a control disc. The control discs of the iris diaphragms 1 and 5 are matched to each other so that the gating of the focus-proximate iris diaphragm 1 is always slightly larger than the gating of the focus-distal iris diaphragm 5. The control discs of the iris diaphragms 1 and 5 are driven by an electric motor, with signals identifying the size of the respective openings of the diaphragms 1 and 5 being acquired by an optoelectrical position generator. Three bearings are provided for the first and second control discs 2 and 4 and the carrier disc 3. The structure of each bearing is the same, and is shown with respect to the bearing identified with reference number 7. The bearing 7 has a central axis 8 on which cylindrical bearing elements 9, 10 and 11 are arranged at a spacing from each other. The cylindrical bearings 9, 10 and 11 are rotatable around the central axis 8. The cylindrical bearing elements 9, 10 and 11 are identical, and as shown for the cylindrical bearing element 9, have a channel 13 for, for example, accepting the edge region 14 of the second control disc 4. The channel of the cylindrical bearing element 10 accepts the edge region of the carrier disc 3 and the channel for the cylindrical bearing element 11 accepts the edge region of the first control disc 2. The first and second control discs 2 and 4 and the carrier disc 3 are thus held at a distance relative to each other by the three bearings 7, and are rotatable around the central axis 6. An electromotive drive is provided for the first and second control discs 2 and 4 as well as for the carrier disc 3, so that these can be offset by an angular amount relative to each other, and can be adjusted with the same or opposite rotational directions around the axis 6. A position generator, with which the respective position and rotational direction can be acquired, is provided for each of the first and second control discs 2 and 4 and the carrier disc 3. This may ensue, for example, by means of a line marking on each disc and an optoelectronic transmitter/receiver. FIG. 2 shows the first control disc 2 and a position generator 15. Markings 16 are applied at the edge region of the control disc 2 with which the starting point, the amount of movement around the central axis 6, and the rotational direction can be acquired by means of the position generator 15. The control disc 2 has three radial cams 17, 18 and 19, with the radial cam being disposed on the control disc 2 identical to the radial cam 17, but offset by 180.degree.. As shown with respect to the cam 17, the cams 17 and 18 have a first region 20 which corresponds to the region 21 of the radial cam 19, wherein the spacing to the central axis 6 varies. The spacing with respect to the central axis 6 is constant in a region 22 of the radial cams 17 and 18, which corresponds to a region 23 of the radial cam 19. As shown in FIG. 1, control pins 24 and 25 of the diaphragm plates of a fixed diaphragm, arranged at a first side of the carrier disc 3 engage the radial cams 17 and 18. As also shown in FIG. 1, a control pin 26 of a diaphragm plate of a slotted diaphragm arranged at a second side of the carrier disc 3 engages the radial cam 19. The first side of the carrier disc 3 is shown in a plan view in FIG. 3, i.e., as seen by the focus-proximate iris diaphragm 1. Elements which have already been provided with reference numerals have the same reference numerals in FIG. 3. The radial cams 17 and 18 of the first control disc 2 are shown with dashed lines, however, they are not elements of the carrier disc 3. A diaphragm plate 27 is mounted rotatable around an axis 29 at the first side of the carrier disc 3, and a further diaphragm plate 28 of the fixed diaphragm is mounted rotatable around an axis 30 at the first side of the carrier disc 3. The diaphragm plates 27 and 28 are L-shaped, and are offset by 180.degree. relative to each other. In a first position, the respective short legs of the diaphragm plates 27 and 28 lie against the respective long leg of the other diaphragm plate, so that two diaphragm plates 27 and 28 bound a rectangular region 31. In this first position, for example, the x-ray beam can be gated onto a rectangular x-ray receiver, for example onto x-ray film. The control pins 24 and 25 discussed in connection with FIG. 2 are situated at the left in this first position, i.e., at the start of the range 20 of the radial cams 17 and 18. When, given a stationary carrier disc 3, the control disc 2 is rotated counter-clockwise, or given a stationary control disc 2, the carrier disc 3 is rotated clockwise, the control pins 24 and 25 are guided in the region 22 of the radial cams 17 and 18, i.e., in the outward direction. The diaphragm plates 27 and 28 are thereby pivoted around the axes 29 and 30, so that the short legs of the diaphragm plates 27 and 28 are no longer in contact with the long legs of the other diaphragm plate, and the long legs of the diaphragm plates 27 and 28 assume a larger distance from each other. When the control pins 24 and 25 are situated in the region 22 (FIG. 2), no further adjustment of the diaphragm plates 27 and 28 ensues, because the region 22 has a constant spacing relative to central axis 6. The diaphragm plates 27 and 28 thus assume a second position. In this second position, the spacing of the long legs of the diaphragm plates 27 and 28 is selected so that the x-ray beam at least illuminates a nine inch input luminescent screen of an x-ray image intensifier. The gating of the x-ray beam to a seven inch or to a nine inch input luminescent screen can then be undertaken using an iris diaphragm. If, in the first or second position of the diaphragm plates 27 and 28, the carrier disc and the control disc 2 are rotated in common around the central axis, the region 31 is also rotated around the central axis 6. The second side of the carrier disc 3 is shown in FIG. 4, as seen from the focus-distal iris diaphragm 5. The radial cam 19 of the control disc 2 is shown with dashed lines. A further radial cam 32 in the second control disc 4 is shown with dot-dashed lines. In this figure, the second control disc 4 is shown as if it were transparent over the second side of the carrier disc 3. Two diaphragm plates 33 and 34 of the slotted diaphragm are adjustable at the second side of the carrier disc 3. The diaphragm plate 33 is held by two linking arms 35 and 36, and the diaphragm plate 34 is held by two linking arms 37 and 38. One end of each of the linking arms 35, 36, 37 and 38 is connected to carrier disc 3 by a respective one of shafts 39, 40, 41 and 42. The respective opposite ends of each pair of linking arms are connected to the diaphragm plates 33 or 34. A parallelogram arrangement is thus formed with which the diaphragm plates 33 and 34 can be adjusted parallel to each other at the second side of the carrier disc 3. For adjusting the diaphragm plates 33 and 34, a control pin 45 of the diaphragm plate 33 engages through the carrier disc 3 into the radial cam 19 of the first control disc 2, and a control pin 46 for the diaphragm plate 34 engages into the radial cam 32 of the second control disc 4, arranged over the carrier disc 3. As shown in FIG. 2 with reference to the example of the radial cam 19, the radial cams 19 and 32 have a first region 23 wherein the distance from the central axis 6 is constant, and have a second region 21 wherein the distance from the central axis 6 changes. When the first control disc 2 is turned counter-clockwise around the axis 6, the control pin 45 is guided in the radial cam 19 out of the region 23 into the region 21, causing the diaphragm plate 33 to be adjusted toward the left, i.e., in the direction referenced A. Analogously, the diaphragm plate 34 is also adjusted when the second control disc 4 is rotated counter-clockwise around the axis 6, given a stationary carrier disc 3. The diaphragm plate 34, however, is caused to move in the direction referenced B. Dependent on the rotational direction of the first and second control discs 2 and 4, the diaphragm plates 33 and 34 of the slotted diaphragm can be individually adjusted. The region 47 gated by the diaphragm plates 33 and 34 can be rotated around the central axis 6 by rotating the first and second control discs 2 and 4 and the carrier disc 3 around the central axis 6 in the same direction and with the same rotational speed. The position of the diaphragm plate 33 is acquired by a position generator 48 of the carrier disc 3, and the position generator 15 of the first control disc 2, and the position of the diaphragm plate 34 is acquired by a position generator 48 of the carrier disc 3 and a position generator 49 of the second control disc 4. The diaphragm plates 33 and 34 can also be adjusted by rotating the carrier disc 3 around the central axis 6 and maintaining the first and second control discs 2 and 4 stationary. Given the adjustment of the first control disc 2 as an actuator around the central axis 6 in a first range of adjustment corresponding to the region 20, the diaphragm plates 27 and 28 will be adjusted, and given further movement of the first control disc 2 in a second range of adjustment, corresponding to the region 21, the diaphragm plate 33 is adjusted. It is thus possible to adjust two diaphragm plates on the basis of one control disc, so that an extremely compact structure of the primary radiation diaphragm results. The manufacturing costs are reduced because an additional control disc, and the bearings, drive elements and position generators associated therewith, are not needed for adjustment of the diaphragm plate 33. If the control discs 2 and 4 and the carrier disc 3 are composed of a material relatively impermeable to radiation, they will preferably have a central opening which is matched to the desired, largest aperture of the useful radiation beam. Although modifications and changes may be suggested by those skilled in the art, it is the intention of the inventor to embody within the patent warranted hereon all changes and modifications as reasonably and properly come within the scope of his contribution to the art. |
summary | ||
abstract | According to an embodiment, an emergency core cooling system has: three active safety divisions each including only one motor-driven active safety system; one passive safety division including a passive safety system; an emergency power source disposed in each of the active safety divisions to supply electric power to the motor-driven active safety system; and an advanced passive containment cooling system disposed in the passive safety division. Only two active safety divisions each includes a low pressure flooder system that is commonly used with a residual heat removal system as the only one motor-driven active safety system. The other active safety division includes an air-cooled injection system as the only one motor-driven active safety system. |
|
description | The following disclosure relates generally to dehumidifiers and, more particularly, to methods and systems for determining dehumidifier performance. Dehumidifiers are used in many different applications for removing moisture from air. For example, dehumidifiers are used in residential applications to reduce the level of humidity in the air for health reasons. Dehumidifiers are also frequently used in commercial or industrial applications to remove moisture from the air in restoration projects necessitated by flooding or other types of water damage. A conventional dehumidifier typically includes a refrigeration cycle in which a compressor delivers a hot compressed gas refrigerant to a condenser. The condenser condenses the hot gas refrigerant to a hot liquid refrigerant and delivers the hot liquid refrigerant to an expansion device. The expansion device expands the hot liquid refrigerant to reduce the temperature and pressure of the liquid. The expansion device delivers the cooled liquid refrigerant to an evaporator, and the evaporator evaporates the cooled gas refrigerant. The evaporator returns the cooled gas refrigerant to the compressor to complete the refrigeration cycle. A conventional dehumidifier typically directs airflow over some of these components of the refrigeration cycle to remove the moisture from the air. More specifically, a conventional dehumidifier typically includes an air mover that directs the airflow across the evaporator to cool the airflow below the dew point temperature of the air so that water vapor in the air is condensed to liquid and removed from the air. The air mover can also direct the dehumidified airflow across the condenser to warm the air before the airflow exits the dehumidifier. One problem associated with conventional dehumidifiers, however, is that it can be difficult to accurately determine the amount of moisture that a dehumidifier removes from the air, which is also known as the dehumidifier performance. More specifically, determining the performance of a dehumidifier can be extremely inaccurate due to the elevated temperature of the airflow exiting the dehumidifier. In certain applications, an erroneous indication of the performance of a dehumidifier can have a significant financial impact. In water restoration projects, for example, property insurers may withhold payment for the use of a dehumidifier if the performance of the dehumidifier does not meet a predetermined level. The following summary is provided for the benefit of the reader only, and is not intended to limit the disclosure as set forth by the claims in any way. Aspects of the present disclosure are directed generally toward methods, systems, and apparatuses for determining the performance of a dehumidifier. The methods, systems, and apparatuses described herein are directed to determining dehumidifier performance based at least in part on a mass flow balance and/or an energy balance with reference to the dehumidifier of interest, thereby avoiding the measurement of certain properties (e.g., outlet relative humidity) that introduce error into conventional dehumidifier performance calculations. For example, a method for determining dehumidifier performance in accordance with one embodiment of the disclosure includes measuring an inlet temperature and an inlet relative humidity of airflow entering a dehumidifier. The method also includes determining an inlet humidity value (e.g., an inlet humidity ratio) of airflow entering the dehumidifier based on the inlet temperature and the inlet relative humidity. The method further includes measuring an outlet temperature of airflow exiting the dehumidifier, and determining an outlet humidity value (e.g., an outlet humidity ratio) of airflow exiting the dehumidifier that is based at least in part on the outlet temperature and an efficiency or performance factor of the dehumidifier. In certain embodiments, the efficiency or performance factor is based at least in part on a moisture removal rate and energy consumed (e.g., the current drawn) by the dehumidifier. The outlet humidity value can be determined based on an energy balance of the dehumidifier that takes into account the efficiency of performance factor of the dehumidifier. In other embodiments, the outlet humidity value can be determined based on a mass flow balance of the dehumidifier that takes into account the efficiency or performance factor of the dehumidifier. After determining the outlet humidity value, the method further include comparing the inlet humidity value and the outlet humidity value to determine the amount of moisture removed by the dehumidifier from airflow passing through the dehumidifier. As described in greater detail below, based on the energy and mass flow balances, the methods, apparatuses, and systems described herein can determine the dehumidifier performance without requiring the measurement of an outlet relative humidity of airflow exiting the dehumidifier. Several embodiments are described below with reference to a dehumidifier that is configured to remove moisture from an airflow passing through the dehumidifier. Certain details are set forth in the following description and in FIGS. 1-5 to provide a thorough understanding of various embodiments of the disclosure. Other details describing well-known structures and components often associated with dehumidifiers, however, are not set forth below to avoid unnecessarily obscuring the description of the various embodiments of the disclosure. In addition, further embodiments of the disclosure may be practiced without several of the details described below, while still other embodiments of the disclosure may be practiced with additional details and/or features. The present disclosure is directed generally to methods, systems, and/or apparatuses for determining the performance of a dehumidifier. FIG. 1, for example, is a schematic diagram of a system 100 including a dehumidifier 102 that is used to describe several embodiments and features of the disclosure. As shown in FIG. 1, the dehumidifier 102 includes a cabinet or housing 103 with an inlet portion 104 and an outlet portion 106. As will be appreciated by one of ordinary skill in the relevant art, the dehumidifier 102 can include several components associated with conventional dehumidifiers. For example, the dehumidifier 102 can include a refrigeration cycle that moves a refrigerant through at least a compressor, a condenser, an expansion device, and an evaporator so that airflow can pass through at least the evaporator and the condenser to remove moisture from the airflow. As shown in the illustrated embodiment, for example, airflow represented by a first arrow 108 enters the inlet portion 104 of the dehumidifier 102. Airflow represented by a second arrow 110 (shown in broken lines) inside the housing 103 passes through one or more of the moisture removing components (e.g., the evaporator) of the dehumidifier 102 and exits the housing 103 from the outlet portion 106 as indicated by a third arrow 112. Although airflow represented by the second arrow 110 passing through the dehumidifier 102 is shown as passing directly from the inlet portion 104 to the outlet portion 106, one of ordinary skill in the relevant art will appreciate that airflow inside the dehumidifier 102 can include various different paths, including for example, curved, looped, straight, and/or divergent paths passing over the various moisture removing components of the dehumidifier 102. As will also be appreciated by one of ordinary skill in the relevant art, the dehumidifier 102 illustrated in FIG. 1 can include any type of dehumidifier, including, for example, a commercial, industrial, residential, or personal dehumidifier. In addition to the airflow paths, FIG. 1 also illustrates various properties associated with the system 100. More specifically, FIG. 1 illustrates several properties of airflow passing through the dehumidifier 102, including, for example, mass flow values. At the first arrow 108, the mass flow rate {dot over (m)}in into the dehumidifier 102 includes the mass flow rate of the air, as well as the mass flow rate of the moisture carried by the air, into the dehumidifier 102. The mass flow rate out of the dehumidifier 102 is separated into the mass flow rate of dry air {dot over (m)}a exiting the dehumidifier at the third arrow 112, and the mass flow rate of the moisture {dot over (m)}w (e.g., liquid condensate) collected and removed from the air exiting the dehumidifier at a fourth arrow 114. In addition to the mass flow balance, FIG. 1 also illustrates an energy balance associated with the dehumidifier 102. More specifically, airflow energy {dot over (m)}inhin into the dehumidifier 102 is at the first arrow 108, where in is the enthalpy of the airflow entering the dehumidifier 102. Electrical energy {dot over (W)}e is also added to the dehumidifier 102 at a fifth arrow 116 from a power source, for example, via a power cord 117. The energy exiting the dehumidifier 102 is separated into the dry air energy {dot over (m)}aha exiting the dehumidifier 102 at the third arrow 112, and the moisture energy {dot over (m)}whw exiting the dehumidifier 102 at the fourth arrow 114, where hw is the enthalpy of liquid condensate exiting the dehumidifier 102. As is also shown in FIG. 1 at a sixth arrow 118, heat or energy loss {dot over (Q)}out out of the dehumidifier is considered to be negligible. This assumption of negligible heat loss {dot over (Q)}out is made due to the fact that the dry air energy {dot over (m)}aha and the moisture energy {dot over (m)}whw leaving the dehumidifier 102 are much greater than the thermal energy losses {dot over (Q)}out convected away from the dehumidifier 102 by the ambient air surrounding the dehumidifier 102. FIG. 2 is a flow diagram of a process or method 200 configured in accordance with an embodiment of the disclosure for determining the performance of the dehumidifier 102 of FIG. 1. Although the method 200 illustrated in FIG. 2 is at least partially described with reference to the dehumidifier 102 of FIG. 1, the method 200 can be used with any type of dehumidifier. The method 200 includes measuring an inlet temperature of airflow entering a dehumidifier (block 230) and measuring an inlet relative humidity of airflow entering the dehumidifier (block 232). The measurements of these “inlet” properties of airflow are intended to refer to measurements of the airflow before the airflow passes through the moisture removing components (e.g., upstream from the evaporator) of the dehumidifier. Referring to FIG. 1, for example, the inlet temperature and inlet relative humidity of the airflow at the first arrow 108 can be measured at the inlet portion 104 of the dehumidifier 102. The inlet portion 104 can include any location proximate to the housing 103, including for example, at an inlet vent or opening, inside the housing, or other “upstream” locations within the housing. In other embodiments, however, the inlet temperature and inlet relative humidity can be measured at an upstream location external to the housing 103 of the dehumidifier. For example, the inlet temperature and inlet relative humidity can be measured before the airflow enters the housing 103 at the inlet portion 104. Moreover, the inlet temperature and the inlet relative humidity can be measured with any device or component suitable for measuring these properties of airflow, including for example, hygrometers, thermocouples, heat sensors, thermometers, etc. In addition, as explained below with reference to FIG. 5, these measuring or sensing devices can be carried by the dehumidifier 102 or separate portable components that are movable relative to the dehumidifier 102. Referring again to FIG. 2, the method 200 further includes determining an inlet humidity value of airflow entering the dehumidifier based on the inlet temperature and the inlet relative humidity (block 234). In certain embodiments, the inlet humidity value is the humidity ratio of the airflow entering the dehumidifier (e.g., the ratio of the partial pressure of water vapor in a volume of air to the saturated vapor pressure of water vapor in the volume of air at a prescribed temperature). Certain details of the steps for determining the inlet relative humidity are described below, in particular, with reference to equation (7) and the other related equations. The method 200 further includes determining an outlet humidity value of airflow exiting the dehumidifier (block 236). In certain embodiments, the outlet humidity value corresponds to the outlet humidity ratio of the airflow exiting the dehumidifier. Determining the outlet humidity value can include measuring an outlet temperature of the airflow exiting the dehumidifier. The “outlet” properties including the outlet temperature refer to properties of the airflow after the airflow has passed through the moisture removing components of the dehumidifier (e.g., “downstream” from the evaporator). Referring to FIG. 1, for example, the outlet temperature of the airflow at the third arrow 112 can be measured at the outlet portion 106 of the dehumidifier 102, which can include any location proximate to the housing 103. In other embodiments, however, the outlet temperature of the airflow can be measured at a location external to or spaced apart from the housing 103, in a manner generally similar to that described above with reference to the inlet properties. As explained below in greater detail below with reference to FIGS. 3 and 4, one benefit of the method 200 of FIG. 2 is that the method 200 determines the outlet humidity value without measuring an outlet relative humidity of airflow exiting the dehumidifier. Determining the outlet humidity value without a measured outlet relative humidity provides several advantages. For example, the dehumidifier performance determined from the method 200 is expected to be more accurate than a method involving a measurement of an outlet relative humidity, because a measured outlet relative humidity is typically inaccurate. More specifically, measuring an outlet relative humidity often gives inaccurate results due to the elevated outlet temperature of airflow exiting the dehumidifier. As airflow passes through a dehumidifier, a condenser typically heats the airflow before the airflow exits the dehumidifier, and the elevated temperature of airflow downstream from the condenser introduces errors into the measurement of the outlet relative humidity. Moreover, a relatively small error in the measurement of the outlet relative humidity is compounded by the calculation for the outlet humidity ratio that is based on the measured outlet relative humidity and the outlet temperature. The method 200 illustrated in FIG. 2, however, determines the outlet humidity value based at least in part on a performance factor or efficiency of the dehumidifier, and without a measured outlet relative humidity. More specifically, and as explained in greater detail below with reference to FIGS. 3 and 4, the method 200 can determine the outlet humidity value based at least in part on energy consumed by the dehumidifier in terms of the current drawn by the dehumidifier, and/or a moisture removal mass flow rate through the dehumidifier. Determining the outlet humidity value based at least in part on the current drawn by the dehumidifier and/or a moisture removal mass flow rate avoids the problems described above when calculating the outlet humidity ratio based at least in part on the measured outlet relative humidity. After determining the inlet humidity value and the outlet humidity value, the method 200 further includes comparing the inlet and outlet humidity values (block 238). The difference between the inlet and outlet humidity values provides an indication of the amount of moisture that a dehumidifier removes from the airflow passing through the dehumidifier (commonly called the grain depression of the dehumidifier). Accurately determining the performance of a dehumidifier provides several benefits. One benefit, for example, is an accurate indication of the amount of water removed in a water restoration project or other application. Another benefit includes accurately representing the amount of water removal to a party who is paying for the dehumidification (e.g., a property insurer) based on the amount of water removal. FIG. 3 is a schematic diagram of a sub-method 300 configured in accordance with an embodiment of the disclosure for determining the outlet humidity value (e.g., the outlet humidity ratio) in block 236 of FIG. 2. More specifically, the method 300 illustrated in FIG. 3 includes a conservation of energy approach to determining the outlet humidity value of the dehumidifier that takes into account the performance factor or efficiency of the dehumidifier. For example, the method 300 includes determining an energy balance of the dehumidifier (block 340). Referring to FIG. 1, for example, the mass flow balance of the dehumidifier 102 can be expressed by the equation:{dot over (m)}in={dot over (m)}w+{dot over (m)}a (1)where, as noted above, {dot over (m)}in refers to the total mass flow rate of air and moisture carried by the air entering the dehumidifier 102, {dot over (m)}w refers to the mass flow rate of moisture (e.g., condensate) removed from airflow exiting the dehumidifier 102, and {dot over (m)}a refers to the mass flow rate of dry air exiting the dehumidifier 102. Also referring to FIG. 1, an energy balance of the dehumidifier 102 can be expressed by the equation:{dot over (m)}in hin+{dot over (W)}e={dot over (m)}w hw+{dot over (m)}a ha (2)where, as noted above, {dot over (m)}inhin represents the energy of the air and moisture carried by the air entering the dehumidifier, {dot over (W)}e represents the electrical energy supplied to the dehumidifier, {dot over (m)}whw represents the energy of the moisture (e.g., condensate) removed from airflow passing through the dehumidifier, and {dot over (m)}aha represents the energy from the dry air exiting the dehumidifier. As noted above, {dot over (Q)} represents the energy lost from the dehumidifier 102 and is assumed to be negligible and therefore omitted from equation (2). In other embodiments, the energy lost {dot over (Q)} may be not negligible, and in such cases it can be measured or estimated and included as part of the performance calculation. Solving equation (1) for the dry air mass flow rate {dot over (m)}a and substituting the dry air mass flow rate {dot over (m)}a into equation (2) can be expressed by the equation:{dot over (m)}in hin+{dot over (W)}e={dot over (m)}w hw+({dot over (m)}in−{dot over (m)}w)ha (2) Solving equation (3) for the outlet enthalpy ha of the dry air exiting the dehumidifier can be expressed by the equation: h a = ( m . i n h i n + W e . - m . w h w ) ( m . i n - m . w ) ( 4 ) As described below, each of the variables in equation (4) can be determined to provide a value for the outlet enthalpy ha of the dry air, without measuring an outlet relative humidity of the airflow. For example, the total mass flow rate {dot over (m)}in can be expressed by the equation: m . i n = V . i n v i n ( 5 ) where {dot over (V)}in is the inlet volumetric flow rate of the airflow in ft3/min, and vin is the inlet specific volume of the airflow in ft3/lbm. The specific volume vin is a function of the inlet temperature and the inlet humidity ratio as expressed by the equation: v i n = ( T i n + 459.67 ) ( 1 + 1.6078 W i n ) 39.667 ( 6 ) where Tin is the airflow inlet temperature in ° F., 459.67 is a conversion factor from degrees Fahrenheit to Rankin, Win is the inlet humidity ratio, 1.6078 is the mole fraction ratio of dry air to water, and 39.667 is the value of the product of the molecular mass of dry air and the atmospheric pressure in inches Hg. The inlet humidity ratio Win is a function of the partial pressure of water as expressed by the equation: W i n = 0.62198 p w ( 14.696 - p w ) ( 7 ) where pw is the partial pressure of water, 0.62198 is the inverse of the mole fraction ratio of dry air to water, and 14.696 is atmospheric pressure in psi. The partial pressure pw of water is defined as a function of the inlet relative humidity and saturation partial pressure of water as expressed by the equation:pw=φinpws (8)where φin is the relative humidity of the airflow at the inlet, and pws is the saturation partial pressure of water. The saturation partial pressure of water pws is a function of the inlet temperature according to the Hyland-Wexler Correlation (1983) as expressed by the equation: ln p ws = C 1 T i n + C 2 + C 3 T i n + C 4 T i n 2 + C 5 T i n 3 + C 6 ln T i n ( 9 ) where C1=−1.0440397(104), C2=−1.129465(101), C3=−2.7022355(10−2), C4=−1.289036(10−5), C5=−2.478068(10−9), and C6=−6.5459673(100). Alternatively, for temperatures between 64-102° F., a polynomial fit that is accurate to within 1% may be used to determine the saturation partial pressure of water pws, as expressed by the equation:pws=0.000268Tin2−0.02615Tin+0.88258 (10) Based on equations (5)-(10), the value of the inlet mass flow rate {dot over (m)}in of equation (4) can be determined based on known values (e.g., constants, functions, and/or empirical data) and measured inlet temperature. Turning next to the inlet enthalpy hin of equation (4), the enthalpy of a mixture of perfect gases equals the sum of the individual partial enthalpies of the individual gases. Therefore, the specific enthalpy of moist air h can be expressed by the equation:h=hda+Whg (11)where hda is the specific enthalpy for dry air in Btu/lbda, W is the humidity ratio, and hg is the specific enthalpy for saturated water vapor in Btu/lbw at the temperature of the mixture. These enthalpies can be expressed by the following approximations:hda≈0.240t (12)hg=1061+0.44t (13)where t is the dry bulb temperature in ° F. Substituting equations (12) and (13) into equation (11) to solve for the inlet enthalpy hin is expressed by the equation:hin=0.240Tin+Win(1061+0.444Tin) (14)where Win is known from equation (7) above. Turning next to the inlet electrical energy {dot over (W)}e of equation (4), the inlet electrical energy {dot over (W)}e can be expressed by the equation:{dot over (W)}e=AVP.F. (15)where A represents the current drawn by the dehumidifier in amps, V represents the voltage provided to the dehumidifier, and P.F. represents the power factor of the dehumidifier accounting for the phase lag between the voltage and current. Turning next to the mass flow rate {dot over (m)}w of the moisture of equation (4), to solve for the mass flow rate {dot over (m)}w of the moisture, the inventors have derived a correction or performance factor ε for the dehumidifier. The performance factor ε is expressed by the equation: ɛ = m . w A ( 16 ) The performance factor ε is intended to provide an indication of a type of efficiency of the dehumidifier based on the moisture mass flow rate {dot over (m)}w removed by the dehumidifier from the airflow and the current A drawn by the dehumidifier. Accordingly, the performance factor or efficiency ε is consistent with the units of the mass flow rate {dot over (m)}w the current A, and can be expressed in units of mass per charge. This step in the analysis is included at block 342 in the method 300 illustrated in FIG. 3. Rearranging equation (16), the moisture mass flow rate {dot over (m)}w is expressed by the equation:{dot over (m)}w=εA (17) Accordingly, the product of the performance factor or efficiency ε and the current A can be substituted for the moisture mass flow rate {dot over (m)}w into equation (4) such that the outlet enthalpy of the dry air ha is a function of at least the current A drawn by the dehumidifier. Turning next to the condensate enthalpy hw (i.e., the enthalpy of the moisture removed from the airflow in the dehumidifier) in equation (4), the condensate is assumed to be at the dew point temperature of the airflow since the water vapor in the airflow condenses at the dew point temperature as the airflow passes through the moisture removing device (e.g., the evaporator) of the dehumidifier. Based on this assumption, the condensate enthalpy hw is expressed by the equation:hw≈hfin,Td=Td,in−32 (18)where hfin,Td is the condensate enthalpy at the dew point temperature in ° F., Td,in is the dew point temperature in ° F., and 32 is a conversion factor. The dew point temperature Td,in is a function of the saturation partial pressure pw of water and is expressed by the equation:Td,in=100.45+33.193(ln pw)+2.319(ln pw)2+0.17074(ln pw)3+1.2063 pw0.1984 (19) With equations (5)-(19), each of the variables in equation (4) has been defined in terms of measurable properties, thereby providing a method of determining the outlet enthalpy ha of the air exiting the dehumidifier. As explained above with reference to equations (16) and (17), the outlet enthalpy ha of the exiting air is adjusted by the efficiency or performance factor ε, which adjusts the outlet enthalpy ha according to at least the current A drawn by the dehumidifier. After determining the value for each variable in equation (4), including the efficiency ε of the dehumidifier based at least in part on the current A drawn by the dehumidifier and the moisture mass flow rate {dot over (m)}w removed by the dehumidifier, the method 300 further includes determining the outlet humidity ratio Wout based at least in part on the adjusted outlet enthalpy ha (block 344). As described above with reference to equation (14), the outlet enthalpy ha of the dry air can be expressed by the equation:ha=0.240Tout+Wout(1061+0.444Tout) (20) Rearranging equation (20) for the outlet humidity ratio Wout is expressed by the equation: W out = ( h a - 0.240 T out ) ( 1061 + 0.444 T out ) ( 21 ) Accordingly, with equation (21), the outlet humidity ratio Wout determined by the method 300 is a function of the outlet enthalpy hout, which as described above has been determined based at least in part on the efficiency or performance factor ε of the dehumidifier. The outlet humidity ratio Wout can then be compared win the inlet humidity ratio Win to determine the performance of the dehumidifier. As a result, the energy balance described above with reference to FIG. 3 provides a method of determining the dehumidifier performance with measurements of the inlet temperature and inlet relative humidity of airflow, the outlet temperature of airflow, the energy consumed in terms of the current drawn through the dehumidifier, and without measuring the outlet relative humidity. In certain embodiments, other values of the properties discussed above can be determined empirically. FIG. 4 is a schematic diagram of a sub-method 400 configured in accordance with another embodiment of the disclosure for determining the outlet humidity value (e.g., the outlet humidity ratio) in block 236 of FIG. 2. More specifically, the method 400 includes a conservation of mass approach to determining the outlet humidity value of the dehumidifier, rather than a conservation of energy approach. For example, the method 400 includes determining a mass flow balance of the dehumidifier (block 450). Referring again to FIG. 1, for example, the mass flow balance of the dehumidifier 102 can be expressed by the equation (1) above. Separating the mass flow rates of air and moisture, respectively, from equation (1) can be expressed by the equations:{dot over (m)}inda={dot over (m)}outda (22){dot over (m)}inw={dot over (m)}w+{dot over (m)}outw (23)where {dot over (m)}inda is the mass flow rate of dry air entering the dehumidifier, {dot over (m)}outda is the mass flow rate of dry air exiting the dehumidifier, {dot over (m)}inw is the mass flow rate of moisture carried by the airflow into the dehumidifier, {dot over (m)}w is the mass flow rate of condensate out of the dehumidifier, and {dot over (m)}outw is the mass flow rate of moisture carried by the airflow out of the dehumidifier. A humidity ratio W is generally defined as the ratio of the mass flow rate of moisture carried by air {dot over (m)}wa and the mass flow rate of dry air {dot over (m)}da as expressed by the equation: W = m . wa m . da ( 24 ) Solving equation (24) for the mass flow of moisture carried by air {dot over (m)}wa is expressed by the equation:{dot over (m)}wa=W{dot over (m)}da (25) Substituting the mass flow rate of moisture carried by the air {dot over (m)}wa of equation (25) into the mass flow rates including moisture carried by the air into equation (23) is expressed by the equation:Win{dot over (m)}da={dot over (m)}w+Wout{dot over (m)}da (26) Solving equation (26) for Wout is expressed by the equation: W out = W i n - m . w m . inda ( 27 ) The method 400 illustrated in FIG. 4 also includes determining the efficiency or correction factor ε (block 452), which is defined in equation (16). After finding the correction factor or efficiency ε, the method 400 further includes determining the outlet humidity value (e.g., humidity ratio) based at least in part on the efficiency ε of the dehumidifier (block 454). More specifically, equation (27) can be manipulated to substitute in the mass flow rate of dry air {dot over (m)}inda from equation (5), and the mass flow rate of moisture {dot over (m)}w from equation (17) above based on the efficiency or correction factor ε, which can be expressed by the equation: W out = W i n - ɛ Av i n V . i n ( 28 ) where the inlet humidity ratio Win is defined by equation (7) above and the specific volume vin is defined by equation (6) above. Moreover, the term ɛ V . i n is a constant expressed by pints/day/amps/SCFM/1381, where 1381 is a conversion factor for consistent units. The values of the efficiency or correction factor ε and {dot over (V)}in can be determined empirically for different dehumidifiers. In this manner, the outlet humidity ratio Wout is expressed as a function of the current A drawn by the system. As a result, the mass flow balance described above with reference to FIG. 4 provides a method of determining the dehumidifier performance with measurements of the inlet temperature and inlet relative humidity of airflow, the outlet temperature of airflow, the current drawn through the dehumidifier, and without measuring the outlet relative humidity. Any of the methods described above with reference to FIGS. 1-4 can be incorporated into any apparatus or system for determining the performance of a dehumidifier. FIG. 5, for example, is a schematic diagram of an apparatus or system 500 configured in accordance with an embodiment of the disclosure for determining the performance of a dehumidifier. The system 500 can include a processor 502, a memory 504, input/output devices 506, one or more sensors 508 (individually identified as a first sensor, 508a, a second sensor 508b . . . and an nth sensor 508n), and/or other subsystems or components 510 (displays, speakers, communication modules, etc.). The sensors 508 are configured to measure or detect properties of the dehumidifier and the air flowing through the dehumidifier. For example, the sensors 508 can measure the inlet temperature, inlet relative humidity, outlet temperature, etc. of airflow passing through the dehumidifier, the current drawn by the dehumidifier, and/or any other properties associated with the dehumidifier and/or airflow. The memory 504 can include computer readable media including instructions thereon to perform the methods for determining dehumidifier performance as described herein. Moreover, the processor 502 is configured for performing the instructions, calculations, and any other parameters associated with the methods described herein. In certain embodiments, the system 500 can be incorporated into a portable apparatus, such as a handheld device, for determining the performance of a dehumidifier. For example, a user can position the system 500 at different positions relative to a dehumidifier to measure the corresponding properties to determine the dehumidifier performance, such as the inlet temperature, inlet relative humidity, outlet temperature, current drawn, or any other property or characteristic associated with the dehumidifier. The system 500 is also configured to determine the performance of the dehumidifier based on these measured properties, any of equations (1)-(28) above, and/or any other empirical data associated with the dehumidifier. In other embodiments, however, the system 500 can be onboard with a dehumidifier or otherwise carried by a dehumidifier. For example, referring to the dehumidifier 102 in FIG. 1, one or more sensors can be positioned at the inlet portion 104, the outlet portion 106, or any other suitable location for measuring the corresponding properties (e.g., upstream or downstream from the moisture removing components of the dehumidifier). In this manner, the dehumidifier can determine its own performance and display and indication of its performance. From the foregoing, it will be appreciated that specific embodiments have been described herein for purposes of illustration, but that various modifications may be made without deviating from the disclosure. For example, one or more systems or apparatuses described herein can be configured to communicate wirelessly with one another or separate dehumidifiers. More specifically, a dehumidifier including one or more sensors can wirelessly transmit the relevant measured properties to a handheld device for determining the dehumidifier performance. Moreover, aspects described in the context of particular embodiments may be combined or eliminated in other embodiments. Further, although advantages associated with certain embodiments have been described in the context of those embodiments, other embodiments may also exhibit such advantages, and not all embodiments need necessarily exhibit such advantages to fall within the scope of the disclosure. Accordingly, the disclosure is not limited except as by the appended claims. |
|
description | This application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/880,404, filed on Sep. 20, 2013, the disclosure of which is incorporated herein in its entirety by reference. The present general inventive concept relates to proton therapy for cancer treatment, and more particularly to a system to modify characteristics of a proton beam emitted from a proton treatment system. Proton Therapy (PT) is a cancer treatment technology that uses high energy protons to penetrate a patient's body and deposit energy into treatment areas such as cancerous tumors. PT leverages the Bragg peak property of charged particles, such as protons, to deposit the majority of the particle's energy in the last few millimeters of travel, as opposed to conventional radiation therapy where the majority of energy is deposited in the first few millimeters of travel—which often causes significant damage to healthy tissue. PT systems commonly implement a rotating gantry wheel to direct a beam of protons into the patient through a proton delivery nozzle from various positions around the patient during the course of treatment. The beam of protons directed into the patient is targeted into the three-dimensional shape of the desired treatment volume to deliver the therapeutic radiation precisely to the targeted location, while sparing the surrounding healthy tissue. A proton beam with a smaller cross sectional area provides greater precision in targeting, but requires more time and rotation of the gantry wheel than using a beam with a larger cross sectional area and clinicians must replace the snout of the PT system when changing between cross sectional areas. The characteristics of the beam can include shape, size, cross-section, intensity, energy, etc. Thus, the characteristics of the proton beam include the cross-sectional shape and size of the proton beam, as defined by the aperture in the proton delivery nozzle. Traditional apertures are supported in place by a shielded snout that directs the flow of charged particles through the aperture. To shape or trim the beam of protons, the snout may include collimators to define and limit the spread of the proton beam, degraders to limit the intensity of the beam of protons, and compensators to affect the distance from the proton delivery nozzle that the charged particles deliver the majority of their energy. To change the aperture size or the trimming and focal properties of the proton beam in traditional proton nozzles, the entire snout assembly typically needs to be replaced, in addition to the clamp assembly, which can add time to the treatments using PT due to equipment change-outs. Further, because the snout contains radiation shielding, the snout is heavy and cannot be changed out without mechanical assistance. Example embodiments of the present general inventive concept can be achieved by providing systems and methods that allow for the rapid modification of the nozzle aperture for various beam modifying effects without requiring a snout change. Example embodiments include a system to control characteristics of a proton beam emitted from a nozzle of a proton treatment system, including one or more beam modifying members to selectively define a characteristic of an emitted proton beam, and a clamping member mounted to the nozzle, the clamping member having one or more receiving portions to respectively receive the one or more beam modifying members therein. Example embodiments of the present general inventive concept can also be achieved by providing a system to control characteristics of a proton beam emitted from a nozzle of a proton treatment system, including one or more beam modifying members to define a characteristic of an emitted proton beam, and a clamping member mounted to the nozzle, the clamping member having one or more receiving portions to receive the one or more beam modifying members therein. The beam modifying members can be configured as a first set of plates, and the receiving portions can include a plurality of slots spaced apart from one another on opposing surfaces of the clamping member to receive opposing ends of each first plate. The clamping members can include one or more detector units to detect the presence of a beam modifying member within the clamping member. At least one of the beam modifying members can include a second clamping member having at least one receiving portion smaller than the receiving portions of the first clamping member to respectively receive one or more other beam modifying members therein. One or more other beam modifying members can be configured as a second set of plates smaller than the first set plates, and the receiving portions of the second clamping member can include a plurality of slots spaced apart from one another on opposing surfaces of the second clamping member to receive opposing ends of each second plate. The second clamping member can include one or more detector units to detect the presence of at least one beam modifying member within the second clamping member. The clamping member can be disposed adjacent to a proton delivery nozzle aperture of the proton treatment system and downstream from the nozzle aperture. The one or more and other beam modifying members can include one or more of a place-holder plate, aperture plate, collimator plate, compensator plate, degrader plate, or combinations thereof. The place-holder plate may not significantly modify the proton beam. The aperture plate may define a cross sectional area of the proton beam. The collimator plate may align the proton beam. The compensator plate may affect the Bragg peak distance of the proton beam. The degrader plate may reduce an intensity of the proton beam. A plurality of beam modifying members can be stacked together side by side within the clamping member. The one or more beam modifying members can include annular shielding. The system may further include a compensator integrated with at least one beam modifying member. The system may further include an output unit in communication with the one or more detector units to output presence information of a beam modifying member within the clamping member and/or second clamping member. The one or more beam modifying members can include a handle portion to facilitate gripping of the beam modifying members by an operator of the proton treatment system. The proton treatment system can include a snout, and the one or more beam modifying units can be interchanged in the clamping members without removing the snout from the proton treatment system. Example embodiments of the present general inventive concept can also be achieved by providing a method of controlling characteristics of a proton beam emitted from a nozzle of a proton treatment system, including mounting a clamping member to a nozzle of a proton treatment system, the clamping member having one or more receiving portions provided therein, and interchangeably sliding one or more beam modifying members into a respective receiving portion, the one or more beam modifying members being selected to define a characteristic of a proton beam emitted from the nozzle, wherein the beam modifying members are configured as a first set of plates having a first size, and the receiving portions include a plurality of slots spaced apart from one another on opposing surfaces of the clamping member to receive opposing ends of each first plate, and wherein at least one of the beam modifying members includes a second clamping member having at least one receiving portion smaller than the receiving portions of the first clamping member to respectively receive one or more other beam modifying members therein. The method may further include detecting the presence of at least one beam modifying member within the clamping members, and outputting presence information of the least one beam modifying unit to an output unit of the proton treatment system. Additional features and embodiments of the present general inventive concept will be set forth in part in the following description and, in part, will be obvious from the description, or may be learned by practice of the present general inventive concept. Reference will now be made to the following example embodiments of the present general inventive concept, examples of which are illustrated in the accompanying drawings. The example embodiments are described herein in order to explain the present general inventive concept by referring to the figures. Example embodiments of the present general inventive concept enable a proton beam operator to quickly change a proton beam shape and size, for example, by selectively sliding multiple interchangeable beam modifying members, or plates, into a clamp mechanism for purposes of collimation, beam shaping, degrading, etc., without a time consuming snout change. Patients can receive large doses of radiation to the larger volumes of targeted locations and more precise doses of radiation to smaller volumes, particularly treatment from a direction having critical anatomic structures nearby the proton beam, of targeted locations, which can improve the efficacy and speed of treatment. It is noted that the term ‘plate’ may be used herein to refer to various components, but it is understood that the components are not limited to a plate-shape, or any particular shape. A variety of other shapes in addition to plate-shapes could be chosen with sound engineering judgment to configure the various components. The beam modifying members can be selectively installed by an operator of the proton therapy system, or they can be selected and installed by an automated system, such as a robot, based on a particular treatment plan. FIG. 1 is a frontal view illustrating loading of an example embodiment beam modifying member such as aperture plate 120 into a clamp mechanism or clamping member 110 of the present general inventive concept. The aperture plate 120 defines an aperture 121 through which a beam of charged particles, such as a proton beam, is transmitted, with a shielded portion 122, which attenuates and/or reflects a portion of the beam that is not directed through the aperture 121. The aperture plate 120 can be slidably inserted into respective receiving portions of the clamp 110. In the illustrated example embodiment, the clamping member 110 is generally C-shaped with slots disposed on opposing surfaces of the clamp to receive one or more beam modifying members therein through one side. The beam modifying member, such as aperture plate 120, is in some embodiments shaped in the form of a plate to be received in a corresponding slot. In some embodiments, the clamping member 110 includes a locking element 111 to secure the aperture plate 120 to the clamping member 110 relative to the nozzle aperture 201. The locking member can take the shape of a protruding member 111a extending into the receiving slots of the clamping member 110 to secure and locate the beam modifying member via a mating receiving portion 111b, e.g., cutout, which receives the protruding member 111a. The protruding member can be spring loaded and/or lever driven such that the protruding member extends into the receiving portion to locate and/or drive the beam modifying member 120 into position as the beam modifying member is installed in the clamping member. The locking member can take a variety of configurations, such as a lever actuated sliding lock, spring loaded detent, etc., without departing from the broader spirit and scope of the present general inventive concept. Those skilled in the art will appreciate that although the embodiment of FIG. 1 illustrates a generally C-shaped clamp mechanism design, various clamp designs and shapes could be used, such as a slide-through design, a square-like shape, or other shape design, without departing from the scope and spirit of the present general inventive concept. FIG. 2 is an isometric view of a beam projector fitted with a clamp 110 and aperture plate 120, detailing example plate holders 112 of the clamp. Referring to FIG. 2, the clamping member 110 includes plate holder 112, such as plate-slots 112a, 112b, 112c in which an aperture plate 120 or other plate types can be secured. The clamping member 110 illustrated in FIG. 2 is in some embodiments attached to a proton delivery nozzle 200 secured over a nozzle aperture 201. The lock 111 secures one or more aperture plates within plate-slots 112a-c. Plates secured in the plate-slots 112a-c can affect the beam or merely be place-holders for plates to occupy a plate-slot 112 without affecting the beam. Although the example embodiment of FIG. 2 illustrates three (3) plate-slots, more or less slots could be provided without departing from the broader scope and spirit of the present general inventive concept. As described in further detail below, various types of plates can include, but are not limited to, aperture plates to trim the cross sectional area of the beam, beam collimator plates to collimate the particles in the beam, compensator plates to affect the Bragg peak effect's distance of majority energy delivery for particles in the beam, clamp plates to enable additional plates to affect the beam, and various combinations thereof. In particular, some example embodiments of the present general inventive concept include incorporating a precollimator as part of the apparatus holding a smaller sized aperture plate, providing for full radiation shielding of the patent with respect to the proton delivery nozzle. FIGS. 3A and 3B illustrate an example embodiment of an adaptive aperture clamp 100 adapted for a large field projection. The illustrated embodiment uses two aperture plates 120a, 120b and a combination aperture/compensator plate 140a in its plate-slots 112. The aperture plates 120a, 120b define apertures 121a, 121b smaller in cross sectional area than the aperture 201 of the proton delivery nozzle 200, which trims the field size of the projected beam of charged particles. The two aperture plates 120a, 120b are seated in the clamp's plate-slots 112b, 112c proximal to the proton delivery nozzle 200 and a compensator plate 140a is placed in the distal plate-slot 112a. In this arrangement, the compensator plate 140a is downstream from the two aperture plates 120a, 120b and affects the Brag peak distance for charged particles in the beam. FIGS. 4A and 4B illustrate an example embodiment of an adaptive aperture clamp 100 adapted for a small field projection. The illustrated embodiment uses two aperture plates 120b, 120a, a clamp plate 150a, two collimator plates 130b, 130a, and a compensator plate 140b in its plate stack—arranged from upstream to downstream in this example. The illustrated embodiment builds on the embodiment of FIGS. 3A and 3B by adding a clamp plate 150a in place of the compensator plate 140a, enabling additional plates to be added to the plate stack to further define and affect the beam of charged particles by providing additional plate-slots 152. The clamp plate 150a has an aperture 153a substantially equal or larger in cross sectional area than the apertures 121a, 121b (FIG. 3A) of the upstream aperture plates 120a, 120b and has a lock 151a to secure plates into its clamp plate plate-slots 152a, 152b, 152c. In FIG. 4B, the precollimator plates 120a, 120b provide both a mechanism for mounting the patient collimators 130a, 130b and the additional, annular shielding required for full patient protection. Referring to FIGS. 3A and 4A, the clamping member 110 can include one or more detection units 1111, such as switches or detectors, for detecting the presence of the aperture plates in the larger field size. The detection units can be actuated when the aperture plate(s) are installed in the clamping member 110. The detection units can be mechanical devices, electronic devices, optical devices, or other types of known or later developed detection units. As illustrated in FIG. 4A, detector units 1111 can be installed in another clamping member 1110 of the precollimator plates 120a, 120b to detect the presence of aperture plates 130a, 130b in the smaller field size. Alternatively, the precollimator plates could carry detector mechanisms that are triggered directly by patient collimators 130a, 130b. The detector units 1111 can be connected to an output unit 1000 to output presence and position information of the beam modifying members to monitor presence of patient apertures or other beam modifying members. The connection can be a wired or wireless electrical or optical connection, or other known or later developed connection method. The detector units can be used to confirm presence of an aperture or compensator plate within one or more clamping members. An array of detector units could be used to read a machined, binary code on the aperture plates and/or compensator plates. For example, four switches encoding four bits of digital information, or eight switches encoding eight bits, could be implemented, but the present general inventive concept is not limited thereto. An option for integrating function is to integrate the aperture with compensator. The compensator material is a thermoplastic, typically acrylic or polyethylene. The aperture blank could be insert molded to the compensator blank. The the resulting composite blank would have the aperture and compensator sections machined in coordinated operations, then there would be one less device to be handled. The apertures plates are typically quite heavy and can be difficult to handle. Handling can be improved by the addition of handling features. The figures show an aperture plate with a handle 123 integral to the material of the aperture, machined at the time a blank is made or machined at the time the final aperture is made. The handle portion can facilitate gripping of the aperture plates by an operator of the proton therapy system to enable the operator to quickly remove and/or install the plates into a respective clamping member, to change characteristics of the proton beam according to a particular treatment plan. Another option for adding a handle include adding additional holes during machining the aperture or blank with female-threaded holes to receive screw retaining a re-usable handle. The handle can be attached during use, removed and re-used on another aperture plate, keeping its cost relatively low. A handle which wraps all the way around the aperture can be used as an aperture carrier, i.e., another re-usable device which adds the ability to define locating features which may not be suited to the machined aperture itself. While embodiments are described herein, it is not the intention of the applicant to restrict or in any way limit the scope of the appended claims to such detail. Additional modifications will readily appear to those skilled in the art. The general inventive concept in its broader aspects is therefore not limited to the specific details, representative apparatus and methods, and illustrative examples shown and described. Accordingly, departures may be made from such details without departing from the spirit or scope of applicant's general inventive concept. |
|
abstract | A control rod drive mechanism (CRDM) for use in a nuclear reactor, the CRDM comprising: a connecting rod connected with at least one control rod; a lead screw; a drive mechanism configured to linearly translate the lead screw; an electromagnet coil assembly; and a latching assembly that latches the connecting rod to the lead screw responsive to energizing the electromagnet coil assembly and unlatches the connecting rod from the lead screw responsive to deenergizing the electromagnet coil assembly. The latching assembly is secured with and linearly translates with the lead screw, while the electromagnet coil assembly does not move with the lead screw. The electromagnet coil assembly is at least coextensive with a linear translation stroke over which the drive mechanism is configured to linearly translate the lead screw. |
|
summary | ||
summary | ||
039830500 | abstract | Metal canisters for long-term storage of calcined highlevel radioactive wastes can be made self-sealing against a breach in the canister wall by the addition of powdered cement to the canister with the calcine before it is sealed for storage. Any breach in the canister wall will permit entry of water which will mix with the cement and harden to form a concrete patch, thus sealing the opening in the wall of the canister and preventing the release of radioactive material to the cooling water or atmosphere. |
claims | 1. A thin specimen producing method comprising the steps of:acquiring a work amount of a specimen in a one-line scan by a charged particle beam of a charged particle beam apparatus;measuring a remaining work width of the specimen by a microscopic length-measuring function of the charged particle beam apparatus;determining a required number of scan lines to reach a predetermined width by calculation; andexecuting a work to obtain a set thickness. 2. A thin specimen producing method according to claim 1, wherein the work amount of a specimen in one-line scan is obtained by working the specimen by scanning plural lines of the specimen, measuring a remaining work width of the specimen by a microscopic length-measuring function of the charged particle beam apparatus, and calculating an average work amount per one-line scan. 3. A thin specimen producing method according to claim 1, wherein the microscopic length measurement executes, utilizing a microscope image by the charged particle beam or a monitoring microscope image, a pattern matching based on a drift correcting mark provided on a specimen surface, thereby measuring a specimen thickness of a finished surface in a designated part of the thin specimen. 4. A thin specimen producing method according to claim 1, wherein a specimen thickness of a finished surface of the thin specimen is confirmed by a signal of electrons reflected or transmitted by a thin film under an electron beam irradiation, or of electron-induced X-rays. 5. A thin specimen producing apparatus comprising:means for memorizing a thinning amount of a specimen in a one-line scan by a charged particle beam apparatus;means for acquiring a microscope image of an upper surface of the specimen thereby automatically measuring a remaining width of the specimen in a thinning process;means for calculating a required number of scan lines to reach a predetermined width based on the thinning amount per line and the remaining width of the specimen; andmeans for executing the required number of scans by the charged particle beam apparatus. 6. A thin specimen producing apparatus according to claim 5, further comprising an electron microscope apparatus functioning asynchronously with the charged particle beam apparatus, wherein a SEM image or a reflected electron image is sued as the microscope image. 7. A thin specimen producing apparatus according to claim 5, further comprising electron beam irradiating means and means for detecting a signal of reflected or transmitted electrons or of electron-induced X-rays, the working thickness being confirmed by the detection signal. |
|
abstract | X-ray monochromators and electron probe micro-analysis (EPMA) systems using such monochromators are disclosed. A turretless x-ray monochromator may have a cassette of reflectors instead of a turret. The cassette stores a plurality of reflectors that can be inserted into a conventional Rowland circle monochromator geometry. A transfer mechanism selectively moves reflectors from the cassette to a reflector positioner. The use of the cassette allows each reflector to be placed closer to a source of x-rays, thereby allowing a larger solid angle for x-ray collection. An alternative x-ray monochromator uses a non-focusing reflector that can be fixed, scanned axially or scanned radially to provide large solid angle detection of x-rays at various energies with a single reflector. |
|
056132387 | summary | TECHNICAL FIELD The present invention relates to methods of decontaminating soil, and more specifically, to the decontamination of nuclear waste-containing soils, soils contaminated with ions of hazardous nonradioactive metals or metalloids and soils contaminated with mixed wastes by methods which also permit the reclamation of residual soil products. BACKGROUND OF THE INVENTION As a result of military testing programs involving the detonation of nuclear devices, both in the United States and abroad, the environment, and particularly vast areas of soil in testing zones have become contaminated with nuclear waste materials. In some instances, for example, detonation of a nuclear device failed to achieve the needed critical mass of the radioactive components, resulting in substantial quantities of enriched uranium and plutonium being scattered over wide areas of desert testing grounds. In addition to nuclear testing programs, contamination of soil with radioactive materials has occurred at nuclear weapon manufacturing sites, such as at Hanford, Wash.; Rocky Flats, Colo.; Savannah River, Ga.; Oak Ridge, Tenn., and elsewhere through spills or releases into the environment. Efforts to successfully decontaminate these sites have proven difficult and extremely costly due to massive amounts of soil requiring treatment and/or storage. Cleanup has usually meant a slow and costly process where the contaminated soil is excavated and transferred to a different location for storage. Abandoned salt mines and mountain repositories have been proposed as storage facilities for nuclear wastes, but too often rejected later on for technical and/or political reasons. Because of a finite amount of space available for storage of nuclear waste materials progress in the reclamation of contaminated sites has been slow. In an effort to mitigate the nuclear waste storage crisis systems for reducing bulk quantities of contaminated soil requiring storage have been proposed wherein the radioactive components are concentrated in a soil fraction. One system, for example, employs an aqueous washing process requiring the use of soil scrubbing chemicals, multiple separation steps, water treatment, and so on. Although quite effective in concentrating radioactive components in silt and clay fractions of soil, capital and operating costs per ton of soil treated are viewed as economically unattractive. Consequently, most methods proposed for concentrating nuclear waste have not received wide acceptance. Like nuclear wastes, environmental contamination by metal ions, especially when present in soil or groundwater, poses serious threats to human, animal and plant life. Metals such as lead, chromium, cadmium and arsenic have been released into the environment in quantities which make large-scale remediation projects necessary in order to protect the health of the general public. Such metals represent some of the more difficult environmental contaminants to treat because they form salts or oxides, which in turn dissociate into ionized species facilitating their introduction into the food and biological chain. Accordingly, there is need for an innovative, cost-effective process for decontaminating soils containing nuclear waste materials, such as those generated at sites of nuclear weapon plants, nuclear testing sites, and wherever treatment calls for managing substantial volumes of soil contaminated with radioactive materials. The process should enable reduction of the space otherwise required for storage of untreated soils by concentrating in a small fraction of the soil while also permitting reclamation of these sites. Likewise, a cost effective process is needed for decontaminating soils containing ions of hazardous nonradioactive metals and metalloids, such as mercury, arsenic, selenium, chromium, lead, etc., and mixed wastes-containing such hazardous ions together with nuclear wastes like radionuclides of the actinide series, and/or organic compounds like PCBs. SUMMARY OF THE INVENTION It is therefore a principal object of the invention to provide improved, more economic methods for separating radioactive and non-radioactive components from contaminated soil wherein the treated soil is made sufficiently free of the potentially toxic components, i.e., metals and metalloids as to permit reclamation of the soil. The expression "sufficiently free" is intended to mean soil treated according to the present invention so it (I) is practically devoid of all unwanted radioisotopes (radionuclides), or (ii) contains residual amounts of low-level radioisotopes allowing treated soil to be reclaimed as is, or (iii) contains amounts of low-level radioisotopes which can be diluted sufficiently with an inert material to reduce its activity to an acceptable level. Expressions, such as "nuclear waste" and "radioactive waste" as recited in the specification and claims are intended to refer to soils contaminated with isotopic forms of elements having unstable nuclei which disintegrate and emit energy most commonly as alpha particles, beta particles and gamma rays. They include mainly products or by-products of nuclear fission or unreacted products of a nuclear device. Representative examples include such radionuclides as Cs.sup.137 ; Co.sup.60 ; K.sup.40 ; Pu.sup.236 ; U.sup.235 ; U.sup.238 ; Ru.sup.103 ; Te; Sr.sup.90 ; Rb; Y; Re; Rh; Pd; Tc; Np and Am. Methods of the invention provide for the recovery of nuclear waste materials in soil fractions, particularly in small, high surface area particles, such as soil fines and silt fractions of clay for subsequent storage or further treatment. By concentrating nuclear waste materials in soil fines and clay silt, for example, storage space requirements per ton of soil treated are significantly reduced, perhaps by as much as 90 percent over storage space requirements otherwise required for untreated soils. Methods of the invention comprise the steps of: (a) mixing a liquid ammonia or ammoniacal liquid with a soil contaminated with nuclear waste in a closed vessel to form an ammonia-nuclear waste containing soil dispersion or slurry; PA1 (b) allowing soil particles to selectively precipitate from the slurry or dispersion of step (a) to form a lower solid phase of soil particulates while forming an upper liquid-solid phase comprising soil fines dispersed in the liquid ammonia; PA1 (c) separating the upper liquid-solid phase from the lower solid phase of soil particulates, the fines of the upper liquid-solid phase having the majority of the radionuclide contaminant(s), or in other words, the lower solid phase is sufficiently free of the nuclear waste materials for reclamation of the soil particulates, and PA1 (d) separating the ammoniacal liquid from the soil fines containing the nuclear waste material for disposal or further treatment of the fines. PA1 (a) mixing a liquid ammonia or ammoniacal liquid with soil contaminated with nuclear waste in a closed vessel to form an ammonia-nuclear waste-containing soil dispersion or slurry; PA1 (b) treating the dispersion or slurry of step (a) with solvated electrons by contacting with a reactive metal; PA1 (c) allowing soil particles to selectively precipitate from the dispersion or slurry of step (b) to form a lower phase of soil particulates while forming an upper liquid-solid phase comprising soil fines suspended in the liquid ammonia; PA1 (d) separating the upper liquid-solid phase from the lower phase of soil particulates, the lower phase of soil particulates being sufficiently free of nuclear waste, and PA1 (e) separating the ammonia from the soil fines for disposal or further treatment of the fines. PA1 (a) mixing in a closed vessel an ammoniacal liquid with a soil contaminated with at least one ion of a hazardous metal or metalloid to form a dispersion or slurry; PA1 (b) separating an ammoniacal liquid-containing product from the dispersion or slurry of step (a) to yield a soil residue sufficiently free of ions of said hazardous metal or metalloid to permit reclamation, and PA1 (c) separating the ammoniacal liquid from the ammoniacal liquid-containing product of step (b) to yield a hazardous metal or metalloid-containing residue for disposal or further treatment. PA1 (a) mixing in a closed vessel an ammoniacal liquid with a soil contaminated with at least one ion of a hazardous metal or metalloid to form a dispersion or slurry; PA1 (b) allowing soil particles to selectively precipitate from the dispersion or slurry of step (a) to provide a lower phase comprising a precipitate of soil particulates while forming an upper liquid-solid phase comprising soil fines dispersed in said ammoniacal liquid; PA1 (c) separating the upper liquid-solid phase from the lower phase, the precipitate of soil particulates of the lower phase being sufficiently free of ions of the hazardous metal or metalloid to permit reclamation of said soil particulates, and PA1 (d) separating the ammoniacal liquid of the upper liquid-solid phase to yield a residue comprising the hazardous metal or metalloid for disposal or further treatment. PA1 (a) mixing in a closed vessel an ammoniacal liquid with a soil contaminated with at least one ion of a hazardous metal or metalloid to form a dispersion or slurry; PA1 (b) treating the dispersion or slurry of step (a) with solvated electrons by contacting with a reactive metal selected from the group consisting of an alkali metal, alkaline earth metal and aluminum; PA1 (c) separating an ammoniacal liquid-containing product from the dispersion or slurry of step (b) to yield a soil residue sufficiently free of ions of the hazardous metal or metalloid to permit reclamation of the soil, and PA1 (d) separating the ammoniacal liquid from the ammoniacal liquid-containing product of step (c) to yield a hazardous metal or metalloid-containing residue for disposal or further treatment. The term "disposal" is intended to include storage of the nuclear waste-containing soil fines. The expression "further treatment" is intended to include any procedure which will modify the potentially toxic properties of the radionuclide material to substances of reduced toxicity and impact on the environment, or to materials which can be recovered as useful by-products. It will be understood, methods of storage and further treatment of the concentrated nuclear waste material do not constitute part of this invention. Such methods are known by persons skilled in the art. Mazur et al in U.S. Pat. No. 5,110,364 disclose ammonia as a pretreatment in desorbing organic compounds, and particularly halogenated organic compounds like PCBs from soil, followed by chemical destruction of the compound by dehalogenation through a chemical reduction mechanism with solvated electrons. Mazur et al, however, fail to teach or suggest utilizing ammonia as a means of separating soil into fractions wherein the larger, lower surface area particulates are allowed to separate out from the less dense liquid ammonia-solid phase containing the smaller, higher surface area soil fines. In contradistinction, the methods of Mazur et al provide for treating "whole" soil in the reduction of the halogenated carbon compound contaminants without isolating soil particles or soil fractions from ammonia/soil slurries by allowing phase separation to occur and performing various separation steps. Serendipitously, it was found that radionuclides appear to have a preferential affinity for the smaller, higher surface area fines and silts of soils, clays and sand. Hence, by isolating the fines and silt particulates, especially the smaller particles having higher surface areas relative to the particles precipitating out of ammonia-soil dispersions one, in effect, is selectively concentrating the nuclear waste material in the smallest volume of natural solid carrier material to effectively lessen the tonnage volume of material requiring storage or further treatment. Accordingly, it is a primary objective of the invention to provide an improved more economic method for concentrating a substantial portion of the nuclear waste material in a reduced soil fraction for more efficient management of soil cleanup projects involving large volumes of soil, so as to permit reclamation of major volumes of previously contaminated soil. It is still a further object of the invention to optionally include the step of recovering and recycling for reuse in the foregoing process ammonia from step (d), the recovery and recycling being performed by methods already known in the art. For purposes of this invention, the expressions "liquid ammonia" and "ammoniacal liquid" as used herein are generally intended to include nitrogen-containing solvents, such as liquid ammonia. This would include anhydrous liquid ammonia and solutions of ammonia comprising small amounts of water. However, when used in dissolving metal reactions in forming solvated electrons, as will be discussed in greater detail below, the ammoniacal liquid is preferably non-aqueous. In addition to liquid ammonia, other nitrogen-containing solvents and co-solvents can be employed which are inert in the presence primary amines, secondary amines, tertiary amines, and of solvated electrons. Representative classes include mixtures of such amines. Examples of such amines include alkyl amines, like methyl amine, ethyl amine, dimethyl amine, triethyl amine, n-propyl amine, isopropyl amine, PYRROLIDINE and other nitrogen-containing solvents and co-solvents which are suitably inert in the presence of electrons. It is still a further object to provide an additional embodiment of the invention for decontaminating soil containing nuclear waste by the steps of: While it has been observed that ammonia has a unique ability to form very fine slurries when mixed with soils, it was observed that dispersions of soil appear to be further altered by some mechanism not fully understood, when in the presence of solvated electrons formed in dissolving metal reactions with ammonia. That is, by contacting the ammoniated soil dispersion with either an alkali or alkaline earth metal, solvated electrons are formed in the mixture, in-situ. The solvated electrons appear in some instances to optimize separation of smaller soil fines. In some instances where particle size cross-section is larger than desired, electrons solvated in liquid ammonia appear to provide more optimal demarcation and separation of the smaller fines containing nuclear waste materials from other particles of the slurry. As in the first embodiment of the invention, the foregoing second embodiment of the invention contemplates the step of recovering and recycling the ammonia from step (e) for reuse. Similarly, the precipitated residual solid soil particles of step (d) are "sufficiently free" of radioisotopes to permit reclamation of large bulk volumes of soil. In accordance with the invention, it was also discovered the foregoing process with ammoniacal solutions, etc., is also useful in decontaminating soils containing hazardous, but nonradioactive metals by the steps of: While not wishing to be held to any specific mechanism of action involved in separating ions of hazardous metals and metalloids from soils it has been observed the target material is frequently soluble in the ammoniacal liquid. In this regard, co-ordination compounds may form in the soil washing process with ammonia, and possibly form metal-ammonia ligand complexes. Representative metals of such coordination compounds and complexes may include those from the group of arsenic, antimony, selenium, cadmium, cobalt, mercury, chromium, lead and mixtures thereof. Co-ordination compounds can also be prepared by introducing other ligand complexing agents into the ammonia-soil slurry-containing hazardous metals. Such metals can be removed by forming, for example, ammonia soluble metal cyanide ligand complexes by adding a source of cyanide ions, e.g., sodium cyanide, ammonia cyanide, etc., to the slurry. Removal of the ammoniacal liquid results in the elimination of the hazardous metal from the soil fraction. As a further embodiment of the invention soils containing hazardous nonradioactive metals may be decontaminated by the steps of: It is yet a further aspect of the invention to provide a method of treating soils contaminated with mixed wastes, wherein the waste may be comprised of an ion of a hazardous non-radioactive metal or metalloid and a nuclear waste, for example. Typically, the nuclear waste is comprised of a radionuclide or radioactive isotopic metal. They are generally intended to include metals of the actinide series, such as uranium, plutonium, thorium and mixtures of the same. As a further embodiment of the invention, soil contaminated with hazardous nonradioactive metals may be decontaminated with ammoniacal liquids and solvated electrons by the steps of: The method of step (b) may be performed by circulating at least portion of the ammoniacal liquid through a by-pass containing the reactive metal. The solution of solvated electrons is recirculated back to the closed vessel for treating the contaminated soil. This aspect of the invention also contemplates treatment of soils contaminated with mixed wastes, i.e., an ion of a hazardous nonradioactive metal or metalloid and a nuclear waste, for example. Typically, the nuclear waste comprises a radionuclide or a radioactive isotopic metal of the actinide series, such as uranium, plutonium, thorium and mixtures of the same. The invention is also intended to include mixed wastes comprising an ion of a hazardous non-radioactive metal or metalloid and an organic compound, and more particularly, a halogenated organic compound, such as PCBs, dioxins and pesticides. |
claims | 1. A method of manufacturing an integrated circuit device by optimizing a target mask used for a partial coherent system having a plurality of spatial filters, the method comprising:obtaining a trainer mask that is an optimized sample mask by performing a mask optimization on a sample mask;generating a mask optimization estimation model by performing a pixel-based learning using, as a feature vector of each of pixels of the trainer mask, partial signals of each of the pixels of the trainer mask respectively determined based on the plurality of spatial filters and using, as a target value, a degree of overlap between each of the pixels and a mask polygon of the trainer mask;performing a mask optimization on the target mask using the mask optimization estimation model to obtain an optimized target mask; andforming the integrated circuit device with a semiconductor wafer using the optimized target mask. 2. The method according to claim 1, wherein generating the mask optimization estimation model includes:obtaining a grey scale value of each of the pixels of the trainer mask representing the degree of overlap relative to each of the pixels of the trainer mask by performing a grey scale rasterization on the trainer mask;obtaining the feature vector of each of the pixels of the trainer mask including the partial signals of each of the pixels of the trainer mask by respectively calculating the partial signals of each of the pixels of the trainer mask based on the spatial filters; andperforming the pixel-based learning using the feature vector of each of the pixels of the trainer mask and using the grey scale value of each of the pixels of the trainer mask as the target value of each of the pixels of the trainer mask. 3. The method according to claim 2, wherein the grey scale value is 0 when the mask polygon of the trainer mask is not overlapped with pixels of the trainer mask,wherein the grey scale value is 1 when the mask polygon of the trainer mask is entirely overlapped with the pixels of the trainer mask, andwherein the grey scale value is proportional to a size of an overlapped portion of the pixels when the mask polygon of the trainer mask is partially overlapped with each of the pixels of the trainer mask. 4. The method according to claim 2, wherein calculating each of the partial signals includes:performing a convolution operation of a mask function of the sample mask and a corresponding one of the plurality of spatial filters. 5. The method according to claim 4, wherein the mask function of the sample mask has a value 1 for a first position in which a mask polygon of the sample mask is present and a value 0 for a second position in which the mask polygon of the sample mask is not present, as a mathematical model representing an optical characteristic of the sample mask in a space domain. 6. The method according to claim 4, wherein the plurality of spatial filters are obtained by performing a Fourier transform of pupil functions respectively representing optical characteristics of an aperture included in the partial coherent system by a plurality of point light sources included in the partial coherent system in a space domain. 7. The method according to claim 2, wherein performing the pixel-based learning includes:learning the mask optimization estimation model to minimize a difference between the grey scale value of each of the pixels of the trainer mask and an estimated grey scale value outputted from the mask optimization estimation model when the feature vector of each of the pixels of the trainer mask is inputted to the mask optimization estimation model. 8. The method according to claim 1, further comprising obtaining a binary value of each of the pixels of the trainer mask by performing a binary rasterization on the trainer mask. 9. The method according to claim 8, wherein the binary value is 0 when the mask polygon of the trainer mask is not overlapped with a central point of a respective pixel of the pixels, and wherein the binary value is 1 when the mask polygon of the trainer mask is overlapped with the central point of the respective pixel of the pixels. 10. The method according to claim 8, further comprising:determining a mask threshold value based on the binary value of each of the pixels of the trainer mask and an estimated grey scale value outputted from the mask optimization estimation model when the feature vector of each of the pixels of the trainer mask is inputted to the mask optimization estimation model. 11. The method according to claim 10, wherein the mask threshold value is determined to minimize a sum of a number of the pixels each of which has the estimated grey scale value that is smaller than the mask threshold value when the binary value is 1 and the number of the pixels each of which has the estimated grey scale value that is greater than the mask threshold value when the binary value is 0. 12. The method according to claim 10, wherein performing the mask optimization on the target mask includes:obtaining the feature vector of each of the pixels of the target mask;obtaining an estimated grey scale value of each of the pixels of the target mask by inputting the feature vector of each of the pixels of the target mask to the mask optimization estimation model; anddetermining presence or absence of the mask polygon at each of pixels of the optimized target mask by comparing the estimated grey scale value with the mask threshold value. 13. The method according to claim 1, wherein the mask optimization performed on the sample mask is performed using optical proximity correction, an assist feature method or an inverse lithography technique. 14. The method according to claim 1, wherein the sample mask is some of a plurality of masks used for fabricating at least one electronic circuit in the partial coherent system, and the target mask is others of the plurality of masks. 15. A method of manufacturing an integrated circuit device by optimizing a target mask used for a partial coherent system having a plurality of spatial filters, the method comprising:obtaining a trainer mask that is an optimized sample mask by performing a mask optimization on a sample mask;obtaining a grey scale value of each of pixels of the trainer mask representing a degree of overlap between a mask polygon of the trainer mask and each of the pixels of the trainer mask by performing a grey scale rasterization on the trainer mask;obtaining a feature vector of each of the pixels of the trainer mask by calculating partial signals of each of the pixels of the trainer mask based on the plurality of spatial filters;generating a mask optimization estimation model by performing a pixel-based learning using the feature vector of each of the pixels of the trainer mask and using the grey scale value of each of the pixels of the trainer mask as a target value of each of the pixels of the trainer mask;performing a mask optimization on the target mask using the mask optimization estimation model to obtain an optimized target mask; andforming the integrated circuit device with a semiconductor wafer using the optimized target mask. 16. A method of manufacturing an integrated circuit device by optimizing a target mask used for a partial coherent system having a plurality of spatial filters, the method comprising:obtaining a trainer mask by performing a mask optimization on a sample mask;obtaining a grey scale value for each pixel of the trainer mask, wherein the grey scale value represents a degree of overlap between a mask polygon of the trainer mask and the pixel of the trainer mask;obtaining, for each pixel of the trainer mask, a feature vector of the pixel by calculating a partial signal of the pixel based on a corresponding one of the plurality of spatial filters;generating a mask optimization estimation model by performing, for each pixel of the trainer mask, a pixel-based learning using the partial signal of the pixel as a feature vector and the grey scale value of the pixel as a target value;performing a mask optimization on the target mask using the mask optimization estimation model to obtain an optimized target mask; andforming the integrated circuit device with a semiconductor wafer using the optimized target mask. 17. The method according to claim 16, wherein the grey scale value is 0 when the mask polygon of the trainer mask is not overlapped with the pixel,wherein the grey scale value is 1 when the mask polygon of the trainer mask is entirely overlapped with the pixel, andwherein the grey scale value is proportional to a size of an overlapped portion of the pixel when the mask polygon of the trainer mask is partially overlapped with the pixel. 18. The method according to claim 16, wherein calculating the partial signal includes:performing a convolution operation of a mask function of the sample mask and the corresponding one of the plurality of spatial filters,wherein the mask function is a mathematical model representing an optical characteristic of the sample mask in a space domain. 19. The method according to claim 18, wherein a value of the mask function of the sample mask is 1 when a mask polygon of the sample mask is present, andwherein the value of the mask function of the sample mask is 1 when the mask polygon of the sample mask is not present. 20. The method according to claim 18, wherein the plurality of spatial filters are obtained by performing a Fourier transform of pupil functions respectively representing optical characteristics of an aperture included in the partial coherent system by a plurality of point light sources included in the partial coherent system in the space domain. |
|
abstract | A method of accelerating charged particles using a laser pulse fired through a plasma channel contained in a capillary, wherein the plasma waveguide has deviations along its length that cause deviations in the plasma density contained therein, the deviations in plasma density acting to promote charged particle injection into a wake of a passing laser pulse. A radiation source based on a laser-driven plasma accelerator in a plasma waveguide in which the plasma waveguide and/or laser injection process is/are controlled so as to produce an undulating path for the laser pulse through the waveguide, the undulation exerting a periodic transverse force on charged particles being accelerated in the wake of the laser pulse, the resulting charged particle motion causing controlled emission of high frequency radiation pulses. |
|
abstract | Rapid, voltage controlled phase modulation of continuous wave THz radiation is demonstrated. By transmitting an infrared laser beam through a phase modulator, the phase of the THz radiation which is generated by the photomixing of two infrared beams can be directly modulated through a 2π phase shift. The 100 kHz modulation rate that is demonstrated is approximately three orders of magnitude faster than what can be achieved by mechanical scanning. |
|
abstract | A novel MEMS assembly and testing system that utilizes a scanning electron microscope (SEM) having 5 axes of freedom as the imaging instrument. Microgrippers or other tools mounted at the end of a linear motion feed through device having a motion resolution of about 10 nanometers are used as the manipulator. All of the assembly features are located inside of a vacuum chamber to permit operation of the SEM imaging system. A variety of other auxiliary devices that support the MEMS assembly and testing system are also included to enhance the capabilities thereof. |
|
062367013 | claims | 1. A fuel assembly for a boiling water reactor which is adapted, during operation of the reactor, to allow coolant to flow upwards through the fuel assembly, and wherein the fuel assembly comprises a plurality of fuel rods, each of which having an upper end and a lower end, a steam conducting channel through which steam flows through the fuel assembly, and a fuel channel (7) surrounding the fuel rods, characterized in that the fuel assembly comprises a rotary cell (13a-13d) in which the fuel rods (4, 20) are arranged in a number of concentric rings (15, 16) with a substantially circular shape, and said steam conducting channel (17a-17d) is arranged in the centre of the concentric rings, and that at least certain of the fuel rods in the rings are arranged such that their upper ends are displaced in relation to their lower ends in a tangential direction such that the flowing coolant is brought to rotate around the steam conducting channel. 2. A fuel assembly according to claim 1, characterized in that all the fuel rods in the rotary cell are inclined in the same direction and this direction is either clockwise or anticlockwise around the steam conducting channel. 3. A fuel assembly according to claim 1, characterized in that the fuel assembly comprises a plurality of rotary cells (13a-13d) and that each one of the rotary cells is at least partially surrounded by a flow-guiding structure (8a, 9a, 7b). 4. A fuel assembly according to claim 3, characterized in that at least part of the flow-guiding structure consists of a tubular member (8a) arranged in the central part of the fuel assembly with its longitudinal direction substantially parallel to the longitudinal direction of the fuel assembly. 5. A fuel assembly according to claim 3, characterized in that the flow-guiding structures comprise guide members (10) to mechanically strengthen and contribute to the rotation of coolant around the steam conducting channels. 6. A fuel assembly according to claim 3, characterized in that at least part of the flow-guiding structure consists of an elongated member (9a), the longitudinal direction of which is substantially parallel to the longitudinal direction of the fuel assembly and which is arranged at the fuel channel (7). 7. A fuel assembly according to claim 6, characterized in that said elongated member (9a) and the fuel channel (7) together form a channel (9b) which allows the passage of coolant. 8. A fuel assembly according to claim 1, characterized in that the fuel assembly comprises a plurality of fuel units (3a-3e) stacked on top of each other, each one comprising a top tie plate (5), a bottom tie plate (6), a plurality of fuel rods (4, 20) extending between the top tie plate and the bottom tie plate, and that at least certain of the fuel units (3b-3e) comprise an empty volume which constitutes part of said steam conducting channel (17a-17d, 25a, 25d). 9. A fuel assembly according to claim 8, characterized in that it comprises a fuel unit (3d) in which at least certain of the fuel rods are arranged such that the first end is displaced in a radial direction outwards from the centre of the ring in relation to the lower end. 10. A fuel assembly according to claim 8, characterized in that at least some fuel unit (3a-3e) has reduced corner portions (7b, 7c) and that the corner portions are provided with corner bars (12). 11. A fuel assembly according to claim 8, characterized in that it comprises a lower fuel unit (3a) with a first lattice and an upper fuel unit (3c, 3e) with a second lattice which differs from the first lattice in that at least some of the positions in the lattice have been displaced outwards in a radial direction. 12. A fuel assembly according to claim 11, characterized in that the majority of the fuel rods (20) in the upper fuel unit (3c, 3e) have a diameter (d.sub.1) which is smaller than the diameter (d.sub.2) of the majority of the fuel rods (4) in the lower fuel unit (3a). |
description | The present invention relates to a device for axially holding an element of elongate shape and for setting it in rotation around its axis. The elongate shape may comprise, for example, a cladding filled with nuclear fuel and a top plug, and the device is associated with a weld chamber to weld the top plug on to the cladding, thus forming a nuclear fuel crayon. Welding of the top plug on to the cladding is accomplished by means of an electrode positioned at right angles to the axis of the cladding in the mating surface between the plug and the cladding. Great accuracy is therefore required when positioning the cladding fitted with the top plug to be certain of accomplishing the weld. In addition, since the welding electrode is immobile, it is the cladding and top plug assembly which is made to rotate around the axis of the cladding to produce a weld across the entire periphery of the top plug. A device to produce the weld between a nuclear fuel cladding and a plug consequently comprises a device to hold the cladding and top plug assembly in a given axial position of the cladding and top plug assembly, and to rotate the assembly around the axis of the cladding, whilst holding the given axial position. Document FR 2 683 935 describes a welding device for fuel rod end plugs. The device comprises a gripper installed at the end of a hollow shaft, where the gripper is divided radially, and an element to close the gripper installed around the hollow shaft and the gripper which is able to move in the axial direction of the shaft. The rod fitted with the plug is introduced into the hollow shaft and into the gripper, the closure element is moved axially using a piston, the effect of which is to tighten the gripper on the rod, holding it axially. Means to rotate the hollow shaft are provided. This device also comprises a rack to position the gripper axially, a piston moved by a fluid to move the rack, and a pressing mechanism to press the end of the rod fitted with the plug against a stop in the weld chamber. This device is very complex, comprising many parts which move relative to one another, and installing the rod before the weld comprises many steps. Document FR 2 245 055 describes a device to weld a plug on to a fuel rod comprising a tightening bushing installed in a bushing-holder to tighten the rod. This bushing is tightened on the cladding by means of several hydraulic or pneumatic jacks which move the bushing-holder, forcing the bushing to close on the rod. The jacks are positioned near the entrance through which the cladding is introduced into the device. This device requires several jacks, making it bulky. In addition, sealing problems can be posed in proportion to the number of jacks used. One aim of the present invention is consequently to provide a device to hold an element of elongate shape, and set it in rotation around its axis, which is of simple and robust manufacture. The aim of the present invention is attained by means of a device to hold an element of elongate shape and set it in rotation around its axis comprising a recess of lengthways axis to receive the elongate element, means to tighten said elongate element, said tightening means comprising a gripper which tends to close on the elongate element by application of an axial effort, said effort being provided by a linear actuator, and transmitted to the gripper via a pusher member. Said pusher member is, for its part, moved by the linear actuator by means of a yoke connected and able to rotate around an axis perpendicular to the axis of the recess, and connected to the linear actuator opposite the hinge axis relative to the lengthways axis. When the linear actuator is activated, the yoke thus pivots around the hinge axis, causing the pusher to move along the lengthways axis, which causes the gripper to tighten on the elongate element. The gripper is installed such that it can rotate freely around the lengthways axis, in order to be able to set the elongate element in rotation, while holding it clamped. Thus, since the device comprises only a single linear actuator the clamping means are simplified. The sealing problems are reduced. Furthermore, control is simplified since it relates only to a single linear actuator. The subject-matter of the present invention is then a device for axially holding an elongate element of lengthways axis and setting it in rotation around its axis, said device of lengthways axis comprising: a gripper formed by means able to exert radial tightening forces on the periphery of said elongate element aligned towards the lengthways axis, by bringing said means close to said lengthways axis, a device for tightening the gripper formed by means to cause said gripper to come closer, said tightening device comprising a pusher to apply an axial force on said gripper, a counter thrust bearing to force said gripper to come closer to the lengthways axis under the effect of the axial force, a linear actuator of axis parallel to the lengthways axis of the device, a yoke translationally secured to said pusher, and able to rotate around a first axis orthogonal to the lengthways axis, and not secant with it, said first orthogonal axis being fixed, said yoke being hinged to the linear actuator around a second orthogonal axis parallel to the first orthogonal axis located opposite the first orthogonal axis relative to the lengthways axis, such that the linear actuator causes the yoke to rotate around the first orthogonal axis, and means to control the linear actuator in accordance with the position of the yoke, said pusher being able to rotate freely in said yoke, means to cause the gripper and said pusher to rotate. The device for axial holding and setting in rotation may comprise: a hollow shaft of lengthways axis in which said pusher is installed such that it can slide freely, said pusher beings rotationally secured to said shaft bearings in which the shaft is mounted, the means to cause rotation of the means able to exert radial tightening forces on the periphery of said elongate element and said pusher, directly rotating said shaft. For example, the means to cause rotation comprise an electric motor, a first gear engaged with the electric motor, a chain surrounding said first gear, and a second gear which is rotationally secured to said shaft and coaxial with said shaft. The means of control of the actuator may comprise at least one sensor to detect the position of the yoke in the clamped position. For example, the control means comprise a metal part rigidly connected to the yoke, and in which said sensor is an inductive sensor, where the detection of the presence of said metal part by said sensor corresponds to a clamped position. The device for axial holding and setting in rotation can advantageously comprise a second sensor to detect the unclamped position. According to an additional characteristic the linear actuator may be a pneumatic jack, said device also comprising an axial force transmission shaft connecting the jack and the yoke, a hinge between said axial force transmission shaft and said yoke comprising a universal joint which is hinged to the yoke around the second orthogonal axis, said universal joint being traversed by said axial force transmission shaft, and said shaft being connected to said universal joint. The device for axial holding and setting in rotation can advantageously comprise elastic means installed compressed between said universal joint and a free end of said shaft. The device for axial holding and setting in rotation may comprise means for adjusting the load of said elastic means. The device for axial holding and setting in rotation may also comprise mechanical stop means to limit the angular movement of the yoke. The mechanical stop means advantageously comprise at least one stop installed on the free end of the axial force transmission shaft and an immobile counter thrust bearing, where said axial force transmission shaft traverses the immobile counter thrust bearing, and said stop is positioned on the other side of the counter thrust bearing relative to the hinge between the yoke and the axial force transmission shaft. In addition, the device for axial holding and setting in rotation may comprise a second stop on the axial force transmission shaft between the hinge and the counter thrust bearing. The position along the axis of one and/or other stop is then preferably adjustable. According to another additional characteristic, the yoke comprises a bore made between the first and second orthogonal axes, a hub installed such that it can pivot in said bore around an axis parallel to the first and second orthogonal axes, and a rolling bearing installed in said hub, the pusher being installed in said rolling bearing. Another subject-matter of the present invention is a welding installation for welding the top plugs on the nuclear fuel rods, comprising a device for axial holding and setting in rotation according to the invention, and a weld chamber, where the rods form elongate elements, said device comprising a through recess of lengthways axis in which the rod is intended to be held axially by tightening, and to pivot around its axis, said weld chamber being positioned at one end of the through passage opposite the end where the rod is introduced, the end of the rod fitted with the plug emerging from said passage in a welding area of said weld chamber, and said weld chamber comprising means of welding the top plug on the rod. The weld chamber advantageously comprises a stop for the top plug of the rod aligned with the lengthways axis of the device. The weld chamber may comprise means to create a vacuum and to inject an inert gas, and to analyse the atmosphere of said chamber, and a fluid channel connecting the means to create a vacuum and to inject in the welding area. The stop is preferably pierced with a lengthways channel, the fluid channel being connected to the welding area through the lengthways channel of the stop. The fluid channel is, for example, formed by a tube rotationally secured to the stop, where said tube is installed in bearings, and the stop is rotated by the rod. The welding installation may comprise means to display the position of the welding means relative to a mating surface between the top plug and the cladding of the rod. The welding installation may also comprise checking means to give or refuse authorisation to weld in accordance with the speed of rotation of the rod and/or the quality of the atmosphere and/or the level of tightening and/or the position of the welding means relative to the mating surface. Another subject-matter of the present invention is a welding method implementing the installation according to the invention, comprising the following steps: insertion of the rod fitted with the top plug into the passage of the device, until the top plug comes to rest against the stop of the weld chamber, operation of the rod tightening means, setting the rod in rotation, generation of a vacuum in the welding area, injection of inert gas, welding. Prior to welding, the speed of rotation of the rod and/or the quality of the atmosphere and/or the tightening level and/or the position of the welding means relative to the mating surface are preferably checked. The device for axial holding and setting in rotation will be described in connection with an installation for welding a top plug on a nuclear fuel cladding in the field of manufacture of nuclear fuel rods. However, the device for axial holding and setting in rotation may be implemented in all fields in which an element of elongate shape must be held by clamping and simultaneously set in rotation around its axis. The element of elongate shape in the example which will be described is a nuclear fuel rod; it comprises a cladding formed by a tube sealed at one end and open at the other, in which nuclear fuel is placed, for example in the form of stacked pellets; the rod comprises a plug sealing the open end of the cladding, called the top plug. The seal between the top plug and the cladding is achieved by welding in the area of the circular-shaped joint between the cladding and the top plug. Welding is the operation undertaken in the installation according to the present invention. The top plug comprises a through passage which is sealed at a later stage, after pressurising the interior of the rod; this operation is called seal welding. In the following description, the terms “upstream” and “downstream” must be considered in relation to the direction of introduction of the rod into the installation; in the illustrations this is the left-to-right direction. In FIGS. 1, 2 and 3, an example of a welding installation according to the present invention can be seen. This comprises a device D for axial holding of the rod and for setting it in rotation around its axis, and a weld chamber C. Device D for axial holding of the rod and for setting it in rotation around its axis will henceforth be designated the “holding device”, for the sake of simplicity. Holding device D and weld chamber C are positioned on a table 2 fitted with a plate 2.1 installed on stands 2.2. Holding device D and weld chamber C are aligned along a lengthways axis X and form a passage for a fuel rod with a view to welding it, where the end of the rod fitted with the top plug traverses holding device D and emerges in weld chamber C. In FIG. 10 a rod 6 intended to be welded by the installation according to the present invention can be seen. Rod 6 of lengthways axis X1 comprises a cladding 8 open at one lengthways end, and a top plug 10. Cladding 8 is filled with fuel before the plug is put in position. Connection 11 between cladding 8 and plug 10, called the mating surface, is circular in shape and centred on axis X1. The other end of cladding 8 is sealed by a bottom plug 12 which has been welded on the cladding before being filled with the nuclear fuel. In FIG. 4 a lengthways section view of holding device D can be seen; this comprises a frame 13 attached on to plate 2.1 of table 2. In the represented example, frame 13 comprises two parallel brackets 13.1, 13.2 which are perpendicular to the plane of table 2 and to lengthways axis X, and are connected by a cross-member 13.3 attached to plate 2.1. For example, cross-member 13.3 is attached to the plate by screws 13.4 (visible in FIG. 2). Both brackets 13.1, 13.2 have a circular through passage 13.11, 13.21 of lengthways axis X; these passages 13.11, 13.21 are intended to form, with rolling bearings, stages for a shaft which will be described in due course. The device comprises a through passage 14 of lengthways axis X to receive rod 6. Passage 14 comprises a first lengthways end 16 which is open to allow rod 6 to be introduced into housing 14, and a second lengthways end 18 emerging in weld chamber C. This p14 is particularly visible in FIG. 8, where a rod 6 is positioned in it. Holding device D comprises means of clamping rod 6, and more specifically cladding 8. When installed in holding device D, rod 6 is housed in the clamping means. In addition, holding device D is intended to allow rod 6 to rotate around lengthways axis X; to this end the clamping means are installed such that they can pivot around lengthways axis X, causing the rod to rotate with them around lengthways axis X. To this end, holding device D comprises a shaft 15 installed such that it can pivot in passages 13.11, 13.21 of brackets 13.1, 13.2. The shaft comprises a through passage 15.1 of lengthways axis X to receive a lengthways portion of the clamping means. Shaft 15 is installed in rolling bearings 17.1, 17.2 installed respectively in passages 13.11, 13.21 of brackets 13.1, 13.2. In the represented example, rolling bearings 17.1, 17.2 are roller bearings installed in X. In the represented example, bearing 17.1 is held in bracket 13.1 between two clamping flanges 19.1 and 23.1, attached to the brackets by screws. Bearing 17.2 is installed immobile in bracket 13.2 between a shoulder 13.22 in opening 13.21 and a clamping flange 19.2. The flange 19.2 is, for example, held by screws in the bracket. Other installations are conceivable. Shaft 15 is able to pivot in sealed fashion; to this end, sealing means 21.1, 21.2, for example of the lip seal type, are installed around the shaft upstream and downstream from rolling bearings 17.1, 17.2 in the direction in which the rod is introduced into passage 14. Upstream seals 21.1 are housed in flanges 23.1, 23.2 attached to brackets 13.1, 13.2 opposite clamping flanges 19.1, 19.2 of the bearings. Downstream seals 21.2 are housed in clamping flanges 19.1, 19.2. The seals prevent any leakage of greasy substances, of the oil or lubricant type, contained in the bearings, in the direction of the rod. The shaft is preferably formed from several coaxial parts attached to one another. The clamping means are rotationally secured to shaft 15. The rod clamping means extend from entrance end 16 of holding device D to exit end 18. They comprise a bushing 22 emerging in the weld chamber which can be seen in FIG. 4. Bushing 22 is divided along a portion of its length forming lobes 24 connected to a ring 25, where lobes 24 are intended to be brought radially close to lengthways axis X in order to grip cladding 8. The outer surface of bushing 22 comprises a first portion 22.1 of smaller diameter aligned opposite to weld chamber C, and a second portion of larger diameter 22.2 aligned on the side of weld chamber C, where both portions are connected by a conical shoulder 22.3. The upstream end of the bushing is also fitted with a conical shoulder 22.4. The clamping means also comprise a gripper tightening device 26 formed from a tube of internal diameter greater than the external diameter of bushing 22, and in which bushing 22 is housed. The internal diameter of the tube of gripper tightening device 26 is roughly constant, except at one lengthways end 28 which is aligned towards weld chamber C, which is fitted with an internal chamfer 30 forming a conical bearing area aligned such that lobes 24 of bushing 22 tighten towards the lengthways axis, when bushing 22 is pressed against internal chamfer 30. In FIG. 4, the clamping means allow welds known as “near clamp” welds; the tightening bushing is for example located 3 mm from the mating surface to be welded. With the variant represented in FIG. 11 welds known as “far clamp” welds can be produced; the bushing is for example located approximately 60 mm from the mating surface to be welded. In FIG. 11 an exploded perspective view of bushing assembly 22, gripper tightening device 26 and pusher 32 can be seen. Clamping means 20 also comprise means to press bushing 22 against internal chamfer 30 of gripper tightening device 26. These means are formed by a pusher 32 extending between the bushing and the entrance end. In the represented example, pusher 32 comprises a tubular shaft 34 of sufficient internal diameter to allow rod 6 to pass through and an end-piece 36 installed at one lengthways end 38 of shaft 34, resting on bushing 22. End-piece 36 is hollow to allow rod 6 to pass through. End-piece 36 is for example screwed by one lengthways end on to lengthways end 38 of shaft 34 and comprises at the other lengthways end two internal seatings 40 surrounding the external periphery of the portion of smaller diameter 22.1 of bushing 22 and resting against conical shoulders 22.3, 22.4. Thus, when pusher 32 is moved axially in direction of weld chamber C, pusher end-piece 36 presses against both conical shoulders 22.3, 22.4 of the bushing which are present inside end-piece 36, which are themselves pressing against internal chamfer 30 of gripper tightening device 26, then causing the lobes to move together in the direction of lengthways axis X, and clamping of cladding 8. Holding device D also comprises motive means causing motion of pusher 32 in the lengthways axis. Lengthways motive means 42 comprise a linear actuator 44 of axis X2 parallel to axis X, which can be seen in FIG. 2, which is able to exert a force parallel to the lengthways axis, and where a yoke 46 surrounds an upstream end 32.1 of pusher 32. Yoke 46 is installed by a first lateral end 46.1 which is pivoting relative to frame 13 around an axis Y contained in a plane orthogonal to lengthways axis X, where axis Y is not secant with axis X. Yoke 46 is also connected in pivoting fashion to linear actuator 44 around an axis Y1 parallel to axis Y by a second lateral end 46.2, such that a movement of the linear actuator causes the yoke to pivot around axis Y. Yoke 46 is translationally secured to the upstream end of pusher 32. In FIGS. 5 to 7 an example of means 48 accomplishing this rigid connection can be seen. Means 48 comprise a rolling bearing 50 surrounding the upstream end of pusher 32, a hub 52 in which rolling bearing 50 is installed immobile, where a ring 53 surrounds hub 52; hub 42 is connected and able to pivot in ring 53 around an axis Y2 parallel to axis Y. For example, the hinge is accomplished by means of screw 54 traversing ring 53. Ring 53 is itself installed immobile in a bore 46.3 of yoke 46 by means of two screws 56. In the represented example, both screws 56 are diametrically opposite one another, in an axis perpendicular to axis Y2. This embodiment is particularly advantageous from a maintenance standpoint, since hub assembly 52, ring 53 and screw 54 is a commercially available spare part and can therefore easily be replaced. However, an embodiment in which hub 52 is installed directly in yoke 46 does not go beyond the scope of the present invention. In the represented example, rolling bearing 50 is a two-row ball bearing. A nut 57, of the locknut type, is installed on the pusher upstream from bearing 50 to immobilise bearing 50 axially on pusher 32. When linear actuator 44 causes yoke 46 to pivot around axis Y, pusher 32 is thus moved along axis X, but can also pivot around axis X. A handwheel nut 58 is attached to the portion of upstream end 32.1 of pusher 32 protruding from rolling bearing 50. Handwheel nut 58 is screwed on to this portion and covers means 48. Head brushes 60 are installed under handwheel nut 58, in contact with its periphery. There are two such head brushes 60 arranged in a V-shape and attached on to the frame. Handwheel nut 58 and head brushes 60 conduct from the current source to the rod to accomplish the welding. We shall now describe in detail the assembly of yoke 46. Yoke 46 is connected and able to pivot around axis Y on a mounting plate 62 fixed on the upstream face of bracket 13.1; mounting plate 62 is pierced with an aperture 64 such that it can be installed around shaft 15. A shaft 66 rotationally secures mounting plate 66 and lateral end 46.1 of the yoke. Linear actuator 44 is installed on table 2 along weld chamber C. The linear actuator is, for example, an electric jack. It is preferably a pneumatic jack which provides better control of the clamping force and the elimination of any risk of excessive clamping, which may cause damage to the rod, or to device D. Jack 44 is connected to the yoke via a transmission shaft 68 and a hinge 70. Hinge 70 comprises a universal joint 72 which is hinged to yoke 46 around axis Y1 and a bore 74 for the passage of shaft 68. Advantageously, shaft 68 is not connected directly to the yoke by the universal joint, but through a helical spring 76 installed compressed between universal joint 72 and a stop 78 installed on shaft 68. Stop 78 can preferably be moved along shaft 68 to adjust the load of spring 76. Stop 78 is, for example, formed of a knurl and a nut. Use of a spring enables a constant clamping force to be maintained. Indeed, the load of the spring is calibrated such that beyond a certain displacement the spring is compressed, and the yoke ceases to pivot. Means to prevent shaft 68 rotating in universal joint 72 are provided; in the represented example this is a key. In addition, and very advantageously, mechanical stop means 80 are provided to limit the axial displacement of shaft 68 and, consequently, the pivoting of yoke 48 and the axial displacement of pusher 32. In the represented example, mechanical stop means 80 comprise a plate 82 with a notch 84, attached to the plate upstream from yoke 48, where the upstream end of shaft 68 is received in notch 84 and a stop 86 is installed on the shaft upstream from plate 82, such that the axial displacement of the shaft in the direction of weld chamber C is limited. The position of stop 86 can also advantageously be adjusted along shaft 68, and the stop is advantageously formed by a nut and a thumble wheel. Means to detect the state of device D are advantageously provided, i.e. whether the clamping means are in the tightened or untightened state. In the represented example these detection means 88 comprise an element 90 secured to yoke 46, called a flag, made of a metal, and two inductive sensors 92, 94 position laterally relative to flag 90. The position of one of sensors 92 corresponds to the position which the flag must have when the clamping means are in a tightened state, and the position of other sensor 94 corresponds to the position which the flag must have when the clamping means are in an open state. Flag 90a is positioned at a distance from sensors 92 and 94 such that it is the only one of the metal parts of the device which is detected. Flag 90 is preferably attached to shaft 68; since the movement of the latter is purely axial, comparatively to yoke 46. First sensor 92 is in a downstream position relative to second sensor 94. It is clearly understood that the type of sensor is in no way restrictive; they could be optical or other sensors. The flag could be attached to another part representative of the position of the yoke, for example the yoke itself. As a variant a pressure sensor could be installed in mechanical stop means 80. Depending on the measured pressure it might or might not be considered that the rod is clamped. In another variant, there might be only one sensor detecting the tightened state of the clamping means, and where the untightened state might, for example, be detected by a contact sensor in the mechanical stop on plate 82. Sensors 92, 94 are connected to the control of jack 44, and detection of the flag causes jack 44 to stop. Very advantageously, means of adjusting the axial position of sensors 92, 94 along the shaft are comprised, so as to provide very great positioning accuracy of the sensors, and consequently very great accuracy in controlling the actuator. These means can allow micrometric adjustment of the axial positions of the sensors. For example, a graduated rule (not represented) is attached to the table along the sensors' brackets, the position of which along this rule can be modified. The device also comprises means 96 to rotate the rod around axis X. Means 96 are positioned laterally along the shaft and the jack; they have an axis X3 parallel to lengthways axis X. In the represented example means 96 comprise a motor 98, a gear 100 engaged with the shaft of motor 98, a gear 102 on shaft 15 and a chain 101 connecting gears 100 and 102. In the represented example gear 102 forms a portion of the periphery of shaft 15, located between brackets 13.1, 13.2. Pusher 32 is rotationally secured to shaft 15. In the represented example the rigid connection is accomplished by means of a pin 104 which can be seen in FIG. 6, borne by pusher 32, and penetrating in a groove (not visible) made in the wall of the passage of shaft 15. Other means to rotationally secure the shaft 15 and pusher 12 from rotating could be provided. The dimensions of the key and of the groove are chosen to enable the pusher to have a sufficient axial displacement to cause bushing 22 to close. Pulleys and a belt could be provided to transmit the rotation of the motor to the rod. A protective cover (not represented) preferably covers both gears and the chain 101. We shall now describe weld chamber C, which is particularly visible in FIGS. 1, 3 and 8. Weld chamber C is positioned downstream from holding device D. Chamber C is formed of a casing 105 delimiting a confined space 107 at slightly higher pressure than the external environment, a chamber in which the weld is accomplished in a controlled atmosphere. Weld chamber C comprises welding means 106 formed by an electrode 108 installed through casing 105, and positioned at right angles to the lengthways axis. In FIG. 9, tip 110 of the electrode can be seen, facing axially the mating surface 11 between top plug 10 and cladding 8, and transversely as close as possible to cladding 8. Casing 105 comprises sealed lateral windows 111 made in both side walls of the weld chamber (FIG. 1) in the area of the electrode, to enable the position of mating surface 11 relative to electrode 108 to be viewed. In FIG. 2 a light source 113 can be seen, to illuminate the mating surface; a camera (not represented) is also provided in front of opposite window 111. Weld chamber C also comprises means to position mating surface 11 of the rod to face the electrode 108. In the represented example, these means are formed by a stop 112 for top plug 10, the axial position of which can be adjusted according to the dimensions of the plug, and in particular its length. In addition, stop 112 can be replaced, depending on the size of top plug 10. Stop 112 is adjusted such that mating surface 11 is directly positioned faced the electrode 108 when rod 6 comes to rest against stop 112. The transverse position of electrode 108 is adjusted by causing electrode 108 to penetrate to a greater or lesser extent in weld chamber C. Weld chamber C comprises means to control the atmosphere in the chamber and the rod. These means comprise suction means to create a vacuum in the chamber and in the rod, in order to eliminate traces of oxygen, means to inject an inert gas, such as helium, and means to analyse the atmosphere and check for the presence of oxygen. In the represented example, stop 112 is hollow and suction and inerting are accomplished through stop 112 and a channel 114 connected to the suction and inerting means. Channel 114 is made in a tube 116 of lengthways axis extending from the stop towards a downstream area of weld chamber C. In the represented example stop 112 comprises a hollow rod 112.1 and a hollow head 112.2 through which a gas can flow. Hollow head 112.2 is such that it forms a recess for a free end of top plug 10. Hollow rod 112.1, for its part, has a thread 112.3 to enable it to be screwed into the channel; it is then easy to adjust the position of stop 112 axially by screwing rod 112.1 further or less far into tube 116. Stop 112 is preferably rotated by rod 6 around axis X, preventing the plug being marked by a relative movement between the plug and the stop. Tube 116, for its part, is also rotated; for example, it is supported by a pair of rolling bearings 118. As a variant, tube 116 and stop 112 could be driven independently; means to synchronise drive means 96 and those of tube 116 and of stop 112 would then be provided. In the example represented in FIG. 8 casing 105 is formed by a first portion 105.1 bearing welding means 106 and a second portion 105.2 in which fluid tube 116 extends, where second portion 105.1 is for example screwed on to the first portion in sealed fashion. Very advantageously, weld chamber C can be moved axially relative to holding device D so as to make accessible the downstream end of device D through which top plug 10 of rod 6 exits, for maintenance of device D and of weld chamber C. In the represented example casing 105 comprises a baseplate 120 with two through grooves 122 parallel to lengthways axis X, traversed by screws 124 screwed into plate 2.1 of table 2. When screws 124 are untightened baseplate 120 can slide along lengthways axis X; when screws 124 are tightened, baseplate 120 is immobilised axially. A handle 126 is advantageously provided to move weld chamber C. We shall now explain the operation of the installation according to the present invention. It should be noted that in the application for welding nuclear fuel rods the installation is positioned in a glove box, since the rod containing nuclear fuel is, at the start of the operation, unsealed. In their initial position, the clamping means are untightened, pusher 32 is therefore in its back position, and flag 90 is facing second sensor 94. A rod 6 fitted with its top plug 10 is introduced into passage 14 through entrance 16, with top plug 10 first. Rod 6 is moved along lengthways axis X until top plug 10 emerges from the clamping means in weld chamber C and comes to rest against stop 112. Insertion and removal of rod 6 are preferably accomplished automatically. The clamping means are then activated. To accomplish this, jack 44 is turned on, causing a movement of transmission shaft 68 of jack 44 downstream; yoke 46 then pivots around axis Y in an anticlockwise direction, causing pusher 32 to slide in shaft 15, and in gripper tightening device 26, which pushes bushing 22 against internal chamfer 30, the effect of which is to tighten lobes 24 towards lengthways axis X against cladding 8 of rod 6. The tightening is a three-point tightening, at both ends of the bushing, and in shoulder 22.3. Jack 44 is stopped when flag 90 is in front of first sensor 92. The contraction incurred by the rod is preferably checked. To accomplish this, measurements are made of the length of the rod before and after welding. If the length difference is outside a given interval there is a problem with the clamping. Rod 6 is then immobilised axially in device D and weld chamber C. The relative position of mating surface 11 and of electrode 108 is preferably checked by the camera. If this relative position is not satisfactory rod 6 is moved. When mating surface 11 is correctly positioned relative to electrode 108 electric motor 98 is activated to rotate shaft 15 around lengthways axis X, also driving the clamping means in which rod 6 is immobilised; the rod then rotates around lengthways axis X. A vacuum is simultaneously created in weld chamber C and in the rod through stop 112. It is recalled that the top plug comprises a through hole; thus, even with top plug 10 present the interior of the rod is fluidically accessible. This enables the oxygen remaining in weld chamber C and in mating surface 11, which could be unfavourable for the weld, to be extracted. An inert gas is then made to flow in weld chamber C, and in rod 6. The rod is analysed to ensure that the oxygen concentration is below a given threshold. When the oxygen concentration is sufficiently low, and when the speed of the rod is compliant, the welding means are activated. A weld is then formed in mating surface 11 between top plug 10 and cladding 8. When the weld has been made along the entire periphery of rod 6 the welding means are stopped and the rotation of rod 6 is stopped. Jack 44 is operated such that transmission shaft 68 moves in an upstream direction, causing yoke 46 to rotate in a clockwise direction. Jack 44 is stopped when flag 90 is opposite second sensor 94. Pusher 32 is then moved back, releasing the lobes of bushing 22, which move away from rod 6; the latter is then released. The rod is then unloaded from the installation. It is then taken to a seal welding station. The installation according to the present invention, and in particular holding device D, is of simple and robust manufacture, and simple to handle. In addition, it provides great operational safety both in relation to the rod, by preventing the rod from being marked, and in relation to the installation, since risks of accidental damage of the installation are prevented. The installation can also easily be adapted to different sizes of rod, and more specifically to different sizes of rod top plugs. The holding device, and therefore the installation, also have a small axial encumbrance, since the jack is positioned laterally relative to the weld chamber, and not at the end of the holding device. Lastly, because a single jack is used transverse encumbrance is limited. |
|
040000397 | description | EXAMPLE 1 Manufacturing of the Fuel Elements For the fabrication of the cylindrical fuel inserts (11 mm diameter, 40 mm high) overcoated particles are utilized. For this coated particles are used which contain 5.1% of Uranium and 47.4 weight percent of Thorium as mixed oxide and are coated with a duplex layer of pyrolytic carbon. The overcoated particles contain 59 weight percent of coated particles and 41% of graphite matrix powder layed on by a dragee type process. The graphite matrix powder contains 96% natural graphite and 4% phenolic resin binder. For the fabrication of one fuel insert of the dimensions described above 11.5 g overcoated particles are filled in a steel die and pressed at 150.degree. C (pressure 80 kg/cm.sup.2) After pressing the green inserts are filled in the bores of the structural graphite and then heat treated to 800.degree. C for coking the phenolic resin binder. After heat treatment the inserts have such dimensions fitting in the boreholes of the structural graphite block. The binder coke content relative to the matrix is 1.2 weight percent. The matrix density is about 1.2 g/cm.sup.3, the particle volume is about 40% of the insert volume. Measurements of the binding strength of such fuel inserts show values of about 10 kg/cm.sup.2. The binding strength of the structural graphite however is 250 kg/cm.sup.2. EXAMPLE 2 Reutilization of the Structural Graphite after Irradiation First there was unscrewed the screw cap consisting of structural graphite of the fuel element block coming from the reactor (or the tight fitting stopper consisting of matrix graphite was bored out with a crown borer). Then the fuel zone can be disintegrated with the same crown borer or with a spiral borer or an end-milling cutter. This operation takes place from below so that the disintegrated fuel particles and matrix graphite crumbs fall freely from the bore-holes of the graphite block. In using a spiral borer it is advantageous to pneumatically assist the disintegrated particles from the block by a channel in the inside of the spiral borer in order to avoid a blocking by poor conveying of material. It is also possible to use pneumatic conveying of the fuel in working with crown borers. In both of these cases using spiral and crown borers with pneumatic conveying it is also possible to bore from above downwardly. According to the present invention, there is saved in a second insertion of the structural graphite block filled with new fuel and breeder material, produced like described in example 1, into the reactor both the cost of the machined graphite block and also the burning or storage of this block. This means in two insertions of a structural graphite block a reduction in cost of about 15%, in a three time insertion of about 20 to 25%. Finally, it is established that an especial advantage of the process of the invention is that by reducing the burning of graphite in half or to one-third, brings nearer the solution of a significant problem in regard to protecting the environment. |
061887463 | abstract | A low cost small-scale tunable X-ray source, comprising a spherical-electron injected inertial electrostatic confinement (IEC) device. Within a spherical containment vessel (402) recirculatory focusing electrons are accelerated by a spherical grid (401) within the vessel, and cause electron--electron collisions in a dense, central plasma core region (404) of the sphere. The IEC synchrotron source (IEC-SS) in a mechanism for generating tunable X-ray radiation is essentially equivalent to that for conventional synchrotron sources. The IEC-SS operates at a much lower electron energy (<100 kev compared with >200 Mev in a synchrotron), but still gives the same X-ray energy due to the small-scale bending radius associated with the electron--electron interactions. The X-rays can be filtered for particular purposes using diffraction gratings, prisms or the like. |
summary | ||
055457940 | summary | FIELD OF THE INVENTION The present invention relates generally to a method for removing radioactive contaminants from metal surfaces by applying steam containing an inorganic acid and cerium IV. BACKGROUND OF THE INVENTION The advantages and use of cerium IV in an aqueous solution for the decontamination and removal of radioactive contaminants from metal surfaces are well known in the art. U.S. Pat. No. 4,162,229 discloses the use of cerium IV salts in aqueous solutions for decontaminating the metal surfaces of nuclear reactors. U.S. Pat. No. 4,880,559 discloses ceric acid in solution with an inorganic acid. In each of these disclosures, a cerium-containing solution is applied to metal parts which have been contaminated through the formation of radioactive elements at or near the surface. The reaction of cerium with the radioactive deposits renders the deposits soluble, allowing them to be removed from the contaminated metal. The cerium is maintained in a liquid phase, or in solution, either through the application of pressure or by maintaining the solution's temperature below its boiling point. While many methods utilizing cerium-containing solutions for removing radioactive elements from metals have been found, there remains a need for improved methods of decontamination. New methods are sought which perform cleanup more quickly and allow the decontamination of certain contaminated surfaces which, due to their orientation in space, are difficult to reach with decontamination materials, or which generate less waste volume. SUMMARY OF THE INVENTION In the present invention, cerium IV is applied to contaminated metal surfaces by introducing cerium IV in solution into a steam spray directed at the contaminated metal surfaces. The cerium IV solution is converted to an essentially atomized or vapor phase by the steam. It is also carried along with the steam such that the cerium IV may be directed at a contaminated metal surface which is to be decontaminated. Upon contact with a contaminated metal surface, the cerium IV condenses or clings to the contaminated metal surface, and then reacts with radioactive contaminants on the surface to render the contaminants soluble in water. The present invention thus makes use of the discovery of the surprising result that despite the combination of air within the steam spray, the projection of the steam through air, the elevated temperatures of the steam, and the partial or complete vaporization or atomization of the cerium IV solution, the cerium will remain in a plus four oxidation state for a sufficient period of time to solubilize radioactive contaminants on the contaminated metal surfaces. In other words, the solubilization of radioactive contaminants occurs before the cerium IV is reduced to cerium III. In practice, the solubilization of the radioactive contaminants is essentially instantaneous upon contact with the cerium IV. This permits effective decontamination of contaminated metal surfaces with the application of a much smaller quantity of cerium IV and solute than is required by the methods presently known and used in the art. The introduction of cerium IV to the steam spray and subsequent conversion of the cerium IV to an essentially atomized or vapor phase allows another advantageous feature of the invention. In an essentially atomized or vapor phase, the cerium IV may be directed towards contaminated metal surfaces which, due to their orientation in space, are not readily or conveniently treated with solutions presently used in the art. For example, it may be difficult or dangerous to apply very hot cerium IV-containing solutions to contaminated metal surfaces which are vertical or past vertical because gravity will tend to pull the solution away from the contaminated metal surface. The present invention allows application of the cerium IV to a contaminated metal surface regardless of the orientation of the contaminated metal surface in space because the cerium IV is transported to the contaminated metal surface in an essentially atomized or vapor phase. By providing cerium III in a steam spray, the required volume for effective decontamination is small enough to permit the required volume of cerium IV to cling to a contaminated metal surface which is vertical or past vertical. Thus, the present invention significantly reduces the quantity of spent decontamination solution, allows effective decontamination of surfaces regardless of their orientation in space, and reduces the attendant cleanup or regeneration costs. The subject matter of the present invention is particularly pointed out and distinctly claimed in the concluding portion of this specification. However, both the organization and method of operation, together with further advantages and objects thereof, may best be understood by reference to the following description. |
046684670 | abstract | The invention concerns a safety cooling installation for the water reactor of a nuclear power station, the installation notably incorporating a reservoir for storing a cooling liquid, the said reservoir being located outside the containment which encloses the reactor circuit, the installation being provided with aspiration and discharging means arranged to aspirate the liquid from the reservoir and to discharge it into the circuit, there being provided a passive, maintenance free means to recover and conduct the liquid and containment water, the said means being arranged to recover the liquid and the water from the reactor in the lower part of the containment by non gravitational flow in the event of a break in the reactor's circuit. |
abstract | The invention provides a system for achieving detection and measurement of film thickness reduction of a resist pattern with high throughput which can be applied to part of in-line process management. By taking into consideration the fact that film thickness reduction of the resist pattern leads to some surface roughness of the upper surface of the resist, a film thickness reduction index value is calculated by quantifying the degree of roughness of the part corresponding to the upper surface of the resist on an electron microscope image of the resist pattern which has been used in the conventional line width measurement. The amount of film thickness reduction of the resist pattern is estimated by applying the calculated index value to a database previously made for relating a film thickness reduction index value to an amount of film thickness reduction of the resist pattern. |
|
048062782 | summary | BACKGROUND OF THE INVENTION The invention relates to a method of and an apparatus for the quick and continuous segregation of radioactive iodine isotopes present in different fluid samples and especially in those taken from the primary coolant of the water-water-type nuclear power plants base on pressurized water reactors, primarily for analytical purposes. The radioactive concentration of iodine isotopes--being of high importance from technological and/or operational safety aspects--can directly be measured by means of the method proposed by the present invention and using a simple measuring method applied to liquid samples treated in the equipment realizing said method. The radioactive iodine isotopes in the primary water circuit of the water-water-type nuclear power plants are fission products of the fuel. Their quantity (radioactive concentration), further the relative amounts of the various iodine isotopes with respect to each other, reliably indicate inhermeticity of the cladding of fuel elements, and sensibly follow the service condition of the reactor in its steady-state and transient periods of operation. Consequently, the qualitative and quantitative analysis of iodine isotopes constitute an important item of power-plane radio-analytics. The analysis--considering the above tasks--should be performed within shortest time possible, in continuous service and with good selectivity and sensitivity. A few theoretical and practical methods for the analysis of this kind are known for the isotopes .sup.131 I, .sup.132 I, .sup.133 I, .sup.134 I and .sup.135 I occurring in water-water-type nuclear power plants. Soviet authors, V. V. Aksionov et al. have proposed in 1982 a method and equipment offering a partial solution of the above task. (Radiatsionnaya bezopasnost'i zashchita AES, Vol. 7. Energoisdat, Moscow, 1982; referred to in the INIS IAEA--in the International Nuclear Information System of the International Atomic Energy Agency, Vienna--under the number 15:014268). Their method is suitable for continuous detection of the radioactive concentrations of the .sup.132 I and .sup.134 I isotopes. By means of a special device, the intensity of gamma photons are measured, applying in the primary-circuit piping section two NaI(T1) scintillation detectors operating in the given energy inverval in gated mode, making use of the emission of cascade gamma photons by isotopes .sup.132 I and .sup.134 I. Correspondingly, the detectors are connected in coincidence connection to a suitably selected electronic signal processing unit. The measuring point is arranged between the cation and anion exchange resin columns of the water-cleaning equipment having its circuit partially arranged in the primary circuit, in order to reduce radioactivity resulting from the cations. The interference effects of some isotopes of short half-period (such as .sup.16 N, .sup.17 N) is eliminated by imposing a delay on the investigated samples, inserting a 10-minute by-pass section. By means of this method, the activity of .sup.132 I and .sup.134 I isotopes can be selectively measured, and a few per cent of overall activity is claimed as sensitivity limit. T. Bereznai et al. (Energia es Atomtechnika, Budapest, 30, 1977, p. 38.) published method of and an apparatus equipment for solving the assumed radio-analytical tasks occurring in the PAKS Nuclear Power Plant of Paks (Hungary). The aim of the method is to provide continuous analyzis of the nuclides present in the primary-circuit coolant of the ractor, the determination of iodine isotopes being only a part of this overall task. The equipment incorporates detector systems permitting continuous measurements at two points, one at the inlet of the by-pass section serving for the specific purpose of the investigation, and the other at the absorbent placed into the flow of gases separated from the sample and drained. In the course of processing the sample, the iodine isotopes are bound by a "iodine filter" which has not been specified. Aksionov and this co-workers claim their method to be suitable only for analyzing the two iodine isotopes mentioned above, whereas in the course of measurements aimed at checking reactor operation and safety, quantitative analysis of as many of the entire set of iodine isotopes as possible, preferably all of them, would be required. A further deficiency of the method lies in the unsatisfactory degree of obtainable selectivity and sensitivity. From among the detectors performing continuous measurements, incorporated in the equipment realizing the method developed by Bereznai and his co-workers, the detector directly measuring gamma-radiation of unprocessed samples is only suitable for the determination of the so-called matrix activity of isotopes representing the overwhelming part of radioactive concentration in the sample, and also its sensitivity to detect iodine isotopes is very poor. Any continuous measurement of the iodine content of the iodine filter would only ppermit the plotting of cumulated (integrated) radio-activity, and also the sensitivity of continuous measurements would be effected by the presence of effluent passing across the iodine filter and still containing a considerable part of matrix form activity. In the course of developing the present invention, the aim has been to determine, in shortest time possible and continuously, the activity of iodine isotopes or some quantity proportional to their activity, in steady-state and transient operating conditions (i.e. during shut-down or start-up periods) of the reactor, said iodine isotopes being of outstanding importance from among all radioactive isotopes present in the primary coolant of the pressurized water nuclear reactors. SUMMARY OF THE INVENTION The idea of the invention is, in essence, the recognition that from liquid samples, containing beside iodine isotopes disturbing components: radio-active isotopes, components in gaseous phase, cations, fluoride anions and colloidal corrosion products present in the solution the iodine isotopes can be segregated quickly and continuously and held in the sample by means of gass rinsing and by letting the liquid medium pass through a column filled with amorphous zirconium phosphate or other high specific surface active solid medium, so that the rate and quantity of each iodine isotope can be determined selectively. The method proposed by the present invention and serving for the segregation of radioactive iodine isotopes (especially from the primary-circuit coolants of pressurized water reactors of nuclear power plants) in the course of which the given gases (among others radioactive noble gases) are expelled from the sample taken continuously under suitable conditions and, in the given case pre-treated, by means of passing bubbles of some inert gas through the sample, and adjusting the pH-value of the sample to neutral or some suitable alkaline level, all that in some known way--is based on passing the sample at a suitably chosen flow rate--preferably resulting in a contant duration of about 5 to 15 minutes--through a column filled with amorphous zirconium phosphate or other active solid material for binding the cations, fluoride anions and colloidal-state contaminations, e.g. unsolved corrosion products; the effluent leaving the column and containing in considerable proportion iodine isotopes only--after a complete segregation period of about 20 minutes permitting the decay of radioactivity of the generally anionic isotopes having half-periods up to a few minutes only--is led through a gamma detector expediently of the flow-cell type, for continuous recording of the signals generated in the detector by the radioactive iodine isotopes, either in lumped form by means of a calibrated counter adjusted corresponding to the different gamma energies of the various iodine isotopes and operated in gated mode, or selectively, according to the gamma energy of each individual iodine isotope (expediently by means of at least one ratemeter coupled to the recorder). The novel apparatus intended for accomplishing the method proposed by the invention comprises a sampling unit of continuous operation, providing samples of suitable pressure and temperature, a degassing vessel connected in series to the former through a piping, and provided with a nitrogen inlet producing gas bubbles in the just treated part of the sample, performing thereby its required mixing and also permitting level control, a liquid transfer pump also connected in series with the former, a reagent storage container arranged for being connectible to the continuously operated system through a piping and a cock and to be drained by means of a pump, a bubble removing cell connected in series to the liquid transfer pump through a piping, a separator column filled with amorph circonium phosphate connected in series to the former through a piping, a continuously operating, flow-type energy-selective detector system for measuring gamma radiation, connected in series with the former through a piping and, finally, connected to the detector, a signal-processing and recording unit. |
description | This application claims the benefit of Japanese Patent Application No. 2009-067200 filed Mar. 19, 2009, which is hereby incorporated by reference in its entirety. The embodiments described herein relate to a collimator unit for removing scattered radiation in a radiation detecting device, as well as a radiation detecting device and a radiodiagnostic system. An X-ray CT apparatus, which is one example of a radiodiagnostic system, is provided with collimator plates for removing scattered X-rays. Generally, the collimator plates are installed between a pair of holding members. For example, the collimator plates are inserted into grooves formed in mutually opposed surfaces of the pair of holding members and are fixed by bonding (see, for example, Japanese Unexamined Patent Publication No. 2001-174566 and Japanese Unexamined Patent Publication No. 2003-207575). Collimator plates are used for removing, out of X-rays emitted from an X-ray tube, X-rays which have been scattered within a subject so that only X-rays having traveled straight ahead through the interior of the subject are detected by X-ray detecting elements. Therefore, the collimator plates are installed so that their plate surfaces face toward an X-ray focal point. Accordingly, the foregoing grooves are formed so that the collimator plates are installed in such a direction. Plural collimator plates are provided in a channel direction (a direction orthogonal to the body axis direction of a subject) at a pitch corresponding to the width of each scintillator as an X-ray detecting element. Therefore, the foregoing grooves are formed so that the collimator plates are disposed at such a pitch. However, since there are variations in thickness of collimator plates and in width of grooves, a gap may be formed between a collimator plate inserted into each of the grooves and a side wall of the groove. If the collimator plate is bonded in the presence of such a gap, the mounting accuracy is deteriorated. If the mounting accuracy is poor, the scattered X-ray removing accuracy is deteriorated; besides, there occur deteriorations of various characteristics such as variations in detectivity of the X-ray detecting device concerned, variations in energy characteristics, and variations in directivity of an X-ray beam. As a result, the quality of an acquired image is deteriorated. In view of the above-mentioned circumstances the present invention provides a collimator unit, a radiation detecting device, and a radiodiagnostic system, wherein collimator plates can be installed with a high accuracy irrespective of variations in thickness of collimator plates and in width of grooves. The present invention, in a first aspect thereof, provides a collimator unit in a radiation detecting system, comprising a pair of holding members having a plurality of grooves formed in respective mutually opposed surfaces, collimator plates inserted respectively into the plural grooves formed in the holding members, and an urging member disposed between the pair of holding members, and having engaging portions each adapted to engage a plate surface of each of the inserted collimator plates, the engaging portions each urging the plate surface toward a side wall of the corresponding groove formed in the holding members. The present invention, in a second aspect thereof, provides the collimator unit of the above first aspect wherein a plurality of notched grooves as the engaging portions are formed in the urging member in substantially the same positional relation as the positional relation of the grooves of the holding members, and each of the collimator plates is held grippingly between a side wall of the corresponding grooves formed in the holding members and a side wall of the corresponding notched groove in the urging member. The “substantially the same positional relation” means that the grooves of the holding member and the notched grooves of the urging member are positionally close to each other to the extent of the collimator plates being capable of insertion respectively into overlapped grooves when the grooves of the holding member and the notched grooves of the urging member are disposed so as to overlap each other. The present invention, in a third aspect thereof, provides the collimator unit of the above second aspect wherein the urging member is disposed in abutment against or in proximity to the grooves-formed surface of each of the holding members. The present invention, in a fourth aspect thereof, provides the collimator unit of the above second or third aspect wherein the urging member has a first plate-like member and a second plate-like member fixed to the first plate-like member, and the plural notched grooves are formed in the second plate-like member. The present invention, in a fifth aspect thereof, provides the collimator unit of the above fourth aspect, wherein the first plate-like member has rigidity and the second plate-like member has elasticity. The present invention, in a sixth aspect thereof, provides the collimator unit of the above fifth aspect, wherein the first plate-like member contains as a principal component any of steel, stainless steel, and aluminum alloy, and has a predetermined thickness of not smaller than 0.5 millimeter and not larger than 3 millimeters. The present invention, in a seventh aspect thereof, provides the collimator unit of the above fifth or sixth aspect wherein the second plate-like member contains as a principal component any of steel, stainless steel, phosphor bronze, copper alloy, and plastic, and has a predetermined thickness of not smaller than 0.1 millimeter and not larger than 0.5 millimeter. The present invention, in an eighth aspect thereof, provides the collimator unit of any of the above first to seventh aspects wherein the side walls of the grooves in the holding members to which the collimator plates are urged are formed in the direction in which the collimator plates are to be installed. The present invention, in a ninth aspect thereof, provides the collimator unit of any of the above first to eighth aspects wherein the side walls of the grooves in the holding members to which the collimator plates are urged are formed at a pitch at which the collimator plates are to be installed. The present invention, in a tenth aspect thereof, provides the collimator unit of any of the above first to ninth aspects wherein in each of the holding members a plurality of grooves are formed in a notched manner along one edge of a plate-like member. The present invention, in an eleventh aspect thereof, provides the collimator unit of any of the first to ninth aspects wherein in each of the holding members a plurality of grooves are formed on a plate surface of a plate-like member. The present invention, in a twelfth aspect thereof, provides the collimator unit of any of the above first to eleventh aspects wherein the urging member engages the holding members through a rotating member having an eccentric structure. The present invention, in a thirteenth aspect thereof, provides the collimator unit of any of the above first to eleventh aspects wherein the urging member engages the holding members through a rotating member having a cam structure. The present invention, in a fourteenth aspect thereof, provides the collimator unit of any of the above first to thirteenth aspects wherein the collimator plates contain molybdenum or tungsten as a principal component. The present invention, in a fifteenth aspect thereof, provides the collimator unit of any of the above first to fourteenth aspects wherein at least one of the urging members is disposed for each of the holding members. The present invention, in a sixteenth aspect thereof, provides a radiation detecting device having the collimator unit of any of the above first to fifteenth aspects. The present invention, in a seventeenth aspect thereof, provides a radiodiagnostic system having the radiation detecting device of the above sixteenth aspect. According to embodiments of the present invention, since each collimator plate inserted into the corresponding groove formed in the holding member is urged toward a side wall of the groove of the holding member, it is possible to diminish the gap between the collimator plate and the side wall of the groove of the holding member and hence each collimator plate can be installed with a high accuracy irrespective of variations in thickness of the collimator plate and in width of each groove of the holding member. Embodiments of the present invention will be described below. FIG. 1 is a diagram showing an appearance of an X-ray CT apparatus according to a first embodiment. As shown in FIG. 1, an X-ray CT apparatus 1 includes a scan gantry 2 adapted to scan an object to be scanned and collect projection data, a scan table 3 adapted to move in and out of an opening of the scan gantry as a scanning space while carrying the to-be-scanned object thereon, and an operating console 4 adapted to accept operation of this system and reconstruct an image on the basis of the collected projection data. The scan gantry 2 includes an X-ray tube and an X-ray detecting device for scanning the object to be scanned. The X-ray CT apparatus is an example of the “radiodiagnostic system” defined in the present invention. The X-ray detecting device is an example of the “radiation detecting device” defined in the present invention. For the convenience of explanation it is here assumed that, as shown in FIG. 1, the movement directions of the object to be scanned by the scan table 3 are z direction, a vertical direction is y direction, and a horizontal direction orthogonal to both y and z directions is x direction. FIG. 2 is a perspective view of an X-ray tube and an X-ray detecting device according to the first embodiment. An X-ray detecting device 5 and an X-ray tube 6 are installed so as to confront each other with the opening of the scan gantry 2 therebetween. An X-ray beam 7 radiated from an X-ray focal point 6f of the X-ray tube 6 is detected by the X-ray detecting device 5. The X-ray detecting device 5 includes a collimator unit 8 and a plurality of detector units 9 which are disposed on the side opposite to the installed side of the X-ray tube 6 with respect to the collimator unit 8. The detector units 9 are each provided with a scintillator (not shown) adapted to emit light upon receipt of X-ray and a photodiode (not shown) adapted to receive the light emitted from the scintillator and generate a signal current. The structure of the collimator unit 8 will now be described in detail. FIG. 3 is an entire perspective view of the collimator unit according to the first embodiment as seen from the disposed side of the detector units. FIG. 4 is a diagram showing schematically an entire construction of the collimator unit according to the first embodiment, FIG. 5 is a partially enlarged construction diagram of the collimator unit according to the first embodiment, and FIG. 6 is a partially enlarged plan view of the collimator unit according to the first embodiment. As shown in FIGS. 3 and 4, the collimator unit 8 includes a pair of arcuate rails 30 and 31, support struts 32 for fixing the rails 30 and 31 in parallel, holding members 10 and 11 which are arcuate plate-like members formed with plural grooves and which are fixed onto mutually opposed surfaces of the rails 30 and 31 respectively, collimator plates 12 inserted respectively into the plural grooves formed in the holding members 10 and 11, and urging members 13 disposed in abutment against or in proximity to the grooves-formed surfaces of holding members 10 and 11 respectively. The urging members 13 are adapted to urge the individual collimator plates 12 inserted into the grooves of the holding members 10 and 11 toward side walls of the grooves when sliding in the arranged direction of the grooves. As shown in FIG. 5, the holding members 10 and 11 are plate-like members and a plurality of grooves 14 are formed along one edge of each of the holding members in a notched manner at a pitch, p. That is, the holding members 10 and 11 have a comb-like shape. The width of each groove 14 may be, for example, 0.1 to 1.5 [mm] though depending on the thickness of each collimator plate 12. Preferably, it is about 1.5 to 3 times the thickness of each collimator plate 12. In this example the width of each groove 14 is about twice the thickness of each collimator plate 12. The holding members 10 and 11 have rigidity and contain, for example, steel, stainless steel, or aluminum alloy, as a principal component. They each have a thickness of 0.5 to 3.0 [mm] In this example, the thickness of each of the holding members 10 and 11 is about 1.0 [mm]. As shown in FIGS. 5 and 6, the holding members 10 and 11 are fixed onto mutually confronting surfaces of the rails 30 and 31 respectively. The holding members 10 and 11 are disposed in parallel so that their surfaces formed with the grooves 14 are opposed to each other in a slice direction (the body axis direction of a subject). In this example, as shown in FIG. 4, a plurality of holding members 10 are fixed to the rail 30 adjacently in the arcuate longitudinal direction of the rail 30 and likewise a plurality of holding members 11 are fixed to the rail 31 adjacently in the arcuate longitudinal direction of the rail 31. The holding members 10 and 11 are fixed to the rails 30 and 31 respectively with use of screws 17. The rails 10 and 11 may each be a single plate having a length almost equal to that of the rail 30 (31). The holding members 10 and 11 may be fixed using a combination of both screws 17 and an adhesive or an adhesive alone. As shown in FIGS. 5 and 6, each of the collimator plates 12 is inserted for each pair of mutually opposed grooves 14 in each pair of holding plates 10 and 11. Thus, plural collimator plates 12 are disposed in the channel direction. The collimator plates 12 are each constructed of an X-ray absorbing material. For example, it contains molybdenum or tungsten as a principal component. The thickness of each collimator plate 12 is in many cases 0.1 to 0.5 [mm] though depending on the size of each detector unit 9 (detecting element). In this example the thickness of each collimator plate 12 is about 0.2 [mm]. As shown in FIG. 4, the urging members 13 are disposed for the holding members 10 and 11 respectively. As shown in FIGS. 5 and 6, the urging members 13 each include an abutting plate portion 13a constituted by an arcuate plate-like member and a spring plate portion 13b constituted by an arcuate plate-like member fixed overlappedly to the abutting plate portion 13a. The abutting plate portion 13a is an example of the “first plate-like member” in the present invention and the spring plate portion 13b is an example of the “second plate-like member” in the present invention. The abutting plate portion 13a and the spring plate portion 13b are fixed together for example by spot welding or with use of an adhesive. As shown in FIG. 5, a plurality of notched grooves 15 are formed along one edge of the spring plate portion 13b at a predetermined same pitch, p, as that in the holding members 10 and 11. In this example, the spring plate portion 13b is substantially the same shape as the holding members 10 and 11 except the shape in its thickness direction. The width of each notched groove 15 is almost equal to that of each groove 14 in the holding members 10 and 11. The notched groove 15 is also an example of the “engaging portion” in the present invention. The abutting plate portion 13a has rigidity. The abutting plate portion 13a contains, for example, steel, stainless steel, or aluminum alloy, as a principal component and the thickness thereof is 0.5 to 3.0 [mm]. In this example, the thickness of the abutting plate portion 13a is about 1.0 [mm]. The spring plate portion 13b has elasticity. The spring plate portion 13b contains as a principal portion, for example, steel (for spring), stainless steel (for spring), phosphor bronze (for spring), copper alloy, or plastic, and the thickness thereof is 0.1 to 0.5 [mm]. In this example, the spring plate portion 13b is in the shape of sheet to enhance flexibility and the thickness thereof is about 0.2 [mm]. As shown in FIG. 6, the urging members 13 are disposed so that the plate surfaces of the spring plate portions 13b are abutted against mutually opposed surfaces of the holding members 10 and 11 and so that they can slide in the grooves-arranged direction (channel direction) with respect to the holding members 10 and 11. Each urging member 13 is constructed so as to be slidable from a slide position at which each notched groove 15 in the spring plate portion 13b and each groove 14 of the holding member 10 (11) substantially overlap each other up to a slide position corresponding to a displacement of half or more length of the width of the groove 14. The urging member 13 is constructed so that it can hold its slide position. In this example the length of the urging member 13 in the channel direction is almost equal to the length of the holding member 10 (11) and each of the urging members 13 is disposed for each of the holding members 10 and 11. The urging members 13 may each be made shorter than each of the holding members 10 and 11, and two or more urging members may be disposed for each of the holding members 10 and 11. A description will now be given about a slide mechanism of each urging member 13. Since the urging members 13 disposed on the holding member 10 side and the urging members 13 disposed on the holding member 11 side are of a symmetric construction with respect to the xy plane, a description will here be given only about the slide mechanism of each of the urging members 13 disposed on the holding member 11 side. FIG. 7 is a diagram showing a positional relation between the holding member and the urging member before urging the collimator plates in the first embodiment. FIG. 8 is a diagram showing a positional relation between the holding member and the urging member after urging the collimator plates in the first embodiment. In FIGS. 7 and 8, the middle stage is a diagram wherein the holding member 11 and the urging member 13 are seen in z direction (slice direction), the upper stage is a sectional view between A and A′ of the holding member 11 and the urging member 13 shown in the middle stage, and the lower stage is a sectional diagram between B and B′ of the holding member 11 and the urging member 13 shown in the middle stage. In this example, as shown in FIGS. 7 and 8, tapped holes 11h are formed in plural positions longitudinally of the holding member 11 and laterally long holes 13h, which are laterally longer than the tapped holes 11h, are formed in the urging member 13 at nearly the same positions as the tapped hole positions in the holding member 11. A screw 17 is engaged in each tapped hole 11h of the holding member 11 through a washer 18 and the associated hole 13h of the urging member 13. When the screws 17 are loosened, the urging member 13 becomes slidable, while upon tightening of the screws 17 the urging member 13 is held at that slide position. In this embodiment, as shown in FIGS. 7 and 8, the urging member 13 and the holding member 11 are constructed so as to engage each other through a rotating member 19 which has an eccentric structure. A columnar recess 11v is formed in a predetermined position of the holding member 11 and a columnar opening 13v is formed in the urging member at a substantially same position as that of the recess 11v. In the rotating member 19, a columnar body 20 fitted in the recess 11v and a columnar body 21 fitted in the opening 13v are fixed in a state in which an axis 20c of the columnar member 20 and an axis 21c of the columnar member 21 are offset from each other by approximately the length equal to the width of the groove 14. The columnar body 21 is formed with a recess 21v for fitting a hexagonal wrench therein. By fitting the rotating member 19 in both recess 11v and opening 13v and then rotating the rotating member 19 with a hexagonal wrench, the urging member 13 can slide in the grooves-arranged direction, i.e., in the channel direction, with respect to the holding member 11. The slide mechanism of the urging member is not limited to the above example. FIG. 9 is a diagram showing another example of a slide mechanism of the urging member, illustrating a state after urging the collimator plates. In this another example, as shown in FIG. 9, the urging member 13 and the holding member 11 are constructed so as to engage each other through a rotating member 22 having a cam structure. A columnar recess 11v′ is formed in a predetermined position of the holding member 11 and a columnar opening 13v′ is formed in the urging member 13 at substantially the same position as the position of the recess 11v′. In the rotating member 22, a columnar body 23 fitted in the recess 11v′ and a cam 24 fitted in the opening 13v′ are fixed in a state in which a center axis 23c of the columnar body 23 and a rotational axis 24c of the cam 24 are aligned with each other. A longest diameter of the cam 24 is longer than the diameter of the columnar member 23 by a length approximately equal to the width of the groove 14. The cam 24 is formed with a recess 24v for fitting a hexagonal wrench therein. By fitting the rotating member 22 in both recess 11v′ and opening 13v′ and then rotating the rotating member 22 with a hexagonal wrench, the urging member 13 can slide in the channel direction with respect to the holding member 11. The rotating members 19 and 22 are not limited to those rotated with a hexagonal wrench. For example, they may be of the type rotated using a plus (+) or minus (−) driver. Alternatively, without using such rotating members, the urging member 13 may be slid in the channel direction by operator's bare hand or by using a suitable tool. Reference is here made again back to FIGS. 5 and 6. As noted previously, the urging member 13 has the spring plate portion 13b having elasticity. As the urging members 13 are slid in the grooves-arranged direction along the surfaces formed by grooves 14 of the holding members 10 and 11, variations in thickness of the collimator plates 12 and variations in width of the grooves 14 are absorbed by the elasticity of the spring plate portions 13b of the urging members 13, whereby almost all of the collimator plates 12 can be urged against side walls of the grooves 14 in a closely contacted state. In this example, as shown in FIG. 6, each collimator plate 12 is urged into close contact with one side wall 14a out of mutually opposed side walls 14a and 14b of each groove 14. That is, the plate surfaces of the collimator plates 12 are urged in a state in which the side walls of the notched grooves 15 of the spring plate portion 13b are inserted into the grooves 14 of the holding members 10 and 11, causing the collimator plate surfaces to come into close contact with one side walls of the grooves 14. In this example, the urging member 13 has the abutting plate portion 13a and the spring plate portion 13b, and the spring plate portion 13b is formed in substantially the same shape as the holding members 10 and 11 with use of a material having elasticity such as, for example, stainless steel for spring, provided no limitation is made thereto in the present invention. For example, the spring plate portion 13b may take any shape insofar as it can urge the collimator plates 12 inserted in the grooves 14 against side walls of the same grooves upon sliding of the urging member in the grooves 14-arranged direction. Moreover, the urging member 13 may be a single integral combination of both abutting plate portion 13a and spring plate portion 13b. In this case, the urging member 13 may be constructed of metal such as, for example, stainless steel for spring or non-metal by plastic molding for example. It is preferable that the portion corresponding to the abutting plate portion 13a be made thick to enhance rigidity and the portion corresponding to the spring plate portion 13b be made thin to enhance elasticity. Further, the urging member 13 may be low in elasticity and high in rigidity. In this case, there may occur a case where the collimator plates 12 cannot be completely brought into close contact with side walls of the grooves 14. However, at least variations in width of the grooves 14 can be absorbed and the gap between each collimator plate 12 and a side wall of a corresponding groove 14 can be kept to a size approximately equal to variations in thickness of the collimator plate 12. Besides, since the grooves 14 of the holding members 10 and 11 can each be designed wide, the machining accuracy for the holding members 10, 11 and the urging member 13 is improved, with the result that the gap between each collimator plate 12 and a side wall of a corresponding groove 14 can be made smaller than in the prior art. A direction, d, of side wall 14a on one side of each groove 14 extends in a direction which faces the X-ray focal point 6f of the X-ray tube 6, whereby the collimator plate 12 mounted in close contact with the side wall 14a faces in the to-be-installed direction, i.e., in a direction in which the plate surface of the collimator plate 12 faces toward the X-ray focal point 6f of the X-ray tube 6. Each groove 14 is formed radially and each collimator plate 12 is installed radially in each of the holding members 10 and 11. The pitch, p, of the grooves 14 is set to a pitch corresponding to the width in the scintillator channel direction. In this example, of the opposed side walls 14a and 14b of each groove 14, the side wall for close contact with the collimator plate 12 is the side wall 14a. Thus, the collimator plates 12 are brought into close contact with the side walls located on the same side. Therefore, the pitch, p, of the grooves 14 is set so that the pitch of the collimator plates 12 installed in close contact with the side walls 14a becomes equal to the pitch corresponding to the width in the scintillator channel direction. Now, a description will be given below about a method for fabricating the collimator unit 8 described above. First, a pair of rails 30 and 31 are laid in parallel and both end portions in the longitudinal direction of the rails 30 and 31 are fixed with support struts 32. Then, the holding plates 10 and 11 are fixed onto mutually opposed surfaces of the rails 30 and 31. At this time, the fixing of the holding members 10 and 11 is performed in a state in which the grooves 14 formed respectively in the opposed surfaces of the holding members 10 and 11 are aligned with each other. Then, in a state in which the notched grooves 15 of the urging members 13 are aligned so as to substantially overlap the grooves 14 of the holding members 10 and 11, the urging members 13 are loosely fixed temporarily to the holding members 10 and 11 with use of screws 17. Next, the collimator plates 12 are inserted into the grooves 14 in the opposed surfaces substantially overlapped with the notched grooves 15. At this time, the collimator plates 12 can be inserted easily because the width of each of the grooves 14 and notched grooves 15 is twice the thickness of each collimator plate 12. Then, the rotating member 19 is fitted in both recess 11v of the holding member 11 and opening 13v of the urging member 13 and is rotated with use of a hexagon wrench, causing the urging members 13 to slide in the grooves-arranged direction. When all the collimator plates 12 have been urged into close contact with the side walls 14a of the grooves 14, the sliding motion of the urging members 13 is stopped and the screws 17 are tightened strongly to hold that slide position. The same operation is performed also for the other holding members 11 and 10. Next, the collimator plates 12, the holding members 10, 11 and the urging members 13 are bonded and fixed together with an adhesive or the like, whereby the mounting of the collimator plates 12 is completed. According to the collimator unit of this example explained above, the individual collimator plates 12 inserted respectively into the grooves formed in the holding members 10 and 11 are urged toward the side walls 14a of the grooves 14 when the urging members 13 slide in the grooves-arranged direction, so that it is possible to diminish the gap formed between each collimator plate 12 and the side wall 14a of the corresponding groove 14 and hence possible to install the collimator plates 12 with a high accuracy irrespective of variations in thickness of the collimator plates 12 and in width of the grooves. Moreover, since the side walls 14a are formed in the direction facing toward the X-ray focal point 6f of the X-ray tube 6, the collimator plates thus installed in close contact with the side walls 14a can be brought into a state facing in the to-be-installed direction. Besides, since the pitch, p, of the groove side walls 14a is set so that the collimator plates 12 are arranged at a pitch corresponding to the scintillator width, the pitch of the collimator pitch 12 thus installed in close contact with the side walls 14a can be set to a to-be-arranged pitch. Since the collimator plates 12 are brought into close contact with the side walls 14a by the urging member 13 in the grooves 14, it is not necessary to match the width of each groove 14 with the thickness of each collimator plate 12 and it is possible to make the width of each groove 14 large in comparison with the thickness of each collimator plate 12. Consequently, not only the machinability for the grooves 14 of the holding members 10 and 11 can be improved over the prior art, but also the insertion of the collimator plates 12 into the grooves 14 can be done easily. Since the holding members 10, 11 and the spring plate portion 13b of the urging member 13 are plate-like, forming of the grooves by for example wire discharge is easy and machining of plural sheets can be done at a time. Thus, the working efficiency is high. It may be possible to adopt a method which, in order to make the gap as small as possible formed between the collimator plate 12 inserted into each groove 14 and the side wall 14a, involves providing a large number of collimator plates 12 and holding members 10, 11, measuring the width of each collimator plate 12 and the width of each of the grooves 14 formed in the holding members 10, 11, and determining such a collimator plate 12—groove 14 combination as affords a minimum gap. However, such a method requires a complicated work of measuring and recording the thickness of each collimator plate 12 and the width of each groove 14 and looking for an optimum combination. Besides, in order to obtain as optimum a combination as possible it is necessary to have a large stock of collimator plates 12 and holding members 10, 11, which leads to an increase of cost. Moreover, collimator plates 12 and holding members 10, 11 not affording an optimum combination are discarded finally. Further, even if an optimum combination is obtained, it does always lead to a complete elimination of the gap. On the other hand, according to the construction of this embodiment, it is not necessary to look for an optimum combination because the collimator plate 12 is urged to the side wall 14a of each groove by means of the urging member 13. Consequently, it is neither required to perform a complicated work nor required to have a large stock of the collimator plates 12 and holding members 10, 11. Besides, since there do not occur such collimator plates 12 and holding members 10, 11 as should be discarded in case of an optimum combination being not found out, it is possible to reduce the cost. Further, the gap between the collimator plate 12 and the side wall 14a of each groove can be eliminated almost completely in almost all combinations. Next, a second embodiment of the present invention will be described below. FIG. 10 is a partially enlarged construction diagram of a collimator unit of a second embodiment. FIG. 11 is a partially enlarged plan view of the collimator unit of the second embodiment. In the collimator unit of the second embodiment, grooves 14 are formed not along one edge of an arcuate plate-like member but on a plate surface. In this example, a holding member 10 (11) has a base portion 10a (11a) which is an arcuate plate-like member and a grooves-forming portion 10b (11b) including a plurality of grooves 14 formed on a plate surface of an arcuate plate-like member whose thickness in z direction is approximately equal to that of the base portion. The base portion 10a (11a) and the grooves-forming portion 10b (11b) are fixed in close contact with each other in y direction. The base portion 10a (11a) functions as a stopper in y direction for each of collimator plates 12 inserted into the grooves 14 of the grooves-forming portion 10b (11b). An urging member 13 is constructed so as to engage the base portion 10a (11a) with screws or the like, slide in the grooves-arranged direction and be able to maintain that slide position. The base portion 10a (11a) and the grooves-forming portion 10b (11b) are constructed of, for example, carbon composite, engineering plastic, or aluminum alloy. Other constructional points are the same as in the first embodiment. Also by the collimator unit of this embodiment described above there can be obtained the same effect as in the first embodiment. Although the present invention has been described by way of the above embodiments, it goes without saying that the present invention is not limited to those embodiments, but that various modifications may be made within the scope not altering the gist of the invention. For example, the rail and the holding member may be made integral with each other. Moreover, for example, a collimator unit may be constructed by connecting plural collimator modules each comprising a pair of holding members, urging members each disposed for each holding member, and collimator plates. In these cases, each constituent member can be made small in size and becomes easy to handle. Besides, it becomes easier to determine and maintain a slide position of each urging member. For example, the material, shape and size of holding members and urging members are not limited to those referred to above. Further, the present invention is applicable also to other radiodiagnostic systems than the X-ray CT apparatus, such as, for example, PET and SPECT systems. |
|
description | The field of invention relates generally to the field of semiconductor integrated circuit manufacturing and, more specifically but not exclusively, relates to methods and processing systems for using a gas cluster ion beam to offset systematic non-uniformities in workpieces processed using a process tool. Gas-cluster ion beams (GCIB's) are used for etching, cleaning, smoothing, and forming thin films. For purposes of this discussion, gas clusters are nano-sized aggregates of materials that are gaseous under conditions of standard temperature and pressure. Such gas clusters may consist of aggregates including a few to several thousand molecules, or more, that are loosely bound together. The gas clusters can be ionized by electron bombardment, which permits the gas clusters to be formed into directed beams of controllable energy. Such cluster ions each typically carry positive charges given by the product of the magnitude of the electronic charge and an integer greater than or equal to one that represents the charge state of the cluster ion. The larger sized cluster ions are often the most useful because of their ability to carry substantial energy per cluster ion, while yet having only modest energy per individual molecule. The ion clusters disintegrate on impact with the workpiece. Each individual molecule in a particular disintegrated ion cluster carries only a small fraction of the total cluster energy. Consequently, the impact effects of large ion clusters are substantial, but are limited to a very shallow surface region. This makes gas cluster ions effective for a variety of surface modification processes, but without the tendency to produce deeper subsurface damage that is characteristic of conventional ion beam processing. Conventional cluster ion sources produce cluster ions having a wide size distribution scaling with the number of molecules in each cluster that may reach several thousand molecules). Clusters of atoms can be formed by the condensation of individual gas atoms (or molecules) during the adiabatic expansion of high-pressure gas from a nozzle into a vacuum. A skimmer with a small aperture strips divergent streams from the core of this expanding gas flow to produce a collimated beam of clusters. Neutral clusters of various sizes are produced and held together by weak interatomic forces known as Van der Waals forces. This method has been used to produce beams of clusters from a variety of gases, such as helium, neon, argon, krypton, xenon, nitrogen, oxygen, carbon dioxide, sulfur hexafluoride, nitric oxide, nitrous oxide, and mixtures of these gases. Several emerging applications for GCIB processing of workpieces on an industrial scale are in the semiconductor field. Although GCIB processing of workpieces is performed using a wide variety of gas-cluster source gases, many of which are inert gases, many semiconductor processing applications use reactive source gases, sometimes in combination or mixture with inert or noble gases, to form the GCIB. Although GCIB processing may be used to correct for variations in an upper layer of a workpiece by etching, cleaning, smoothing, or deposition, conventional GCIB processing apparatus and methods do not alleviate non-uniformities in an upper layer of the workpiece caused by process anomalies intrinsic to a particular process tool. There is a general need for offsetting systematic non-uniformities inherent to many process tools, such as a single or a combination of process tools used in a semiconductor fabrication facility. One way to offset systematic non-uniformities is to use a gas cluster ion beam (GCIB) upstream from a process tool to either selectively remove material from a workpiece or selectively add material to a workpiece. By using a GCIB to offset systematic non-uniformities, a more repeatable and constant process may be produced resulting in improved output parameters, such as device or circuit characteristics. The systematic non-uniformities are manifested in an upper layer of a substrate or workpiece that may comprise a layer or film of a material carried on the substrate or workpiece, surface roughness, or surface contamination. The GCIB may etch, clean, or smooth the upper layer or may deposit material on the upper layer for purposes of offsetting the systematic non-uniformities in the upper layer. With reference to FIG. 1, a GCIB processing apparatus 200 includes a vacuum vessel 102 divided into communicating chambers that include a source chamber 104, an ionization/acceleration chamber 106, and a processing chamber 108 separated from the source chamber 104 by the ionization/acceleration chamber 106. The chambers 104, 106, 108 are evacuated to suitable operating pressures by vacuum pumping systems 146a, 146b, and 146c, respectively. A condensable source gas 112 (for example, argon (Ar), carbon dioxide (CO2), oxygen (O2), or nitrogen (N2)) stored in a source gas cylinder 111 is admitted under pressure through a gas metering valve 113 and a gas feed tube 114 into a stagnation chamber 116. The source gas is subsequently ejected from the stagnation chamber 116 into the substantially lower pressure vacuum inside the source chamber 104 through a properly shaped nozzle 110. A gas jet 118 results inside the source chamber 104. Cooling, which results from the rapid expansion of the jet 118, causes a portion of the gas jet 118 to condense into clusters, each consisting of from several to several thousand weakly bound atoms or molecules. A gas skimmer aperture 120 situated between the source chamber 104 and ionization/acceleration chamber 106 partially separates any gas molecules that have not condensed into clusters from those that have condensed and become part of the gas jet 118. The removal of the un-condensed gas molecules minimizes pressure increases in the downstream regions where such higher pressures would be detrimental, such as in the ionization/acceleration chamber 106 near ionizer 122 and high voltage electrodes 126 and in the process chamber 108. After the gas jet 118 has been formed in the source chamber 104, the constituent gas clusters in gas jet 118 are ionized by ionizer 122. The ionizer 122 is typically an electron impact ionizer that produces electrons from one or more filaments 124 and accelerates and directs the electrons causing them to collide with the gas clusters in the gas jet 118 inside the ionization/acceleration chamber 106. The electron impact ejects electrons from molecules in the gas clusters to generate ionized molecules and thereby endows the gas clusters with a net positive charge to define cluster ions. A filament power supply 136 provides voltage VF to heat the ionizer filament 124. A set of suitably biased high voltage electrodes 126 in the ionization/acceleration chamber 106 extracts the cluster ions from the ionizer 122. The high voltage electrodes 126 then accelerate the extracted cluster ions to a desired energy and focus them to define the GCIB 128. The kinetic energy of the cluster ions in GCIB 128 typically ranges from 1 thousand electron volts (keV) to several tens of keV. Anode power supply 134 provides voltage VA to at least one of the high voltage electrodes 126 for accelerating electrons emitted from filament 124 and causing the electrons to bombard the gas clusters in gas jet 118, which produces cluster ions. Extraction power supply 138 provides voltage VE to bias at least one of the high voltage electrodes 126 to extract ions from the ionizing region of ionizer 122 and to form the GCIB 128. Accelerator power supply 140 provides voltage VAcc to bias one of the high voltage electrodes 126 with respect to the ionizer 122 so as to result in a total GCIB acceleration energy equal to VAcc electron volts (eV). Lens power supplies 142, 144 may be provided to bias some of the high voltage electrodes 126 with potentials (e.g., VL1 and VL2) to focus the GCIB 128. A beam filter 256 in the ionization/acceleration chamber 106 eliminates monomers or monomers and light cluster ions from the GCIB 128 to define a GCIB 202 that enters the processing chamber 108. A beam gate 222 is disposed in the path of GCIB 128 in the ionization/acceleration chamber 106. Beam gate 222 has an open state in which the GCIB 128 is permitted to pass from the ionization/acceleration chamber 106 to the processing chamber 108 to define GCIB 202 and a closed state in which the GCIB 128 is blocked from entering the processing chamber 108. A control cable 224 conducts control signals from dosimetry processor 214 to beam gate 222. The control signals controllably switch beam gate 222 to between the open or closed states. A workpiece 210, which may be a semiconductor wafer or other substrate to be processed by GCIB processing, is disposed in the path of the GCIB 202 in the processing chamber 108. Because most applications contemplate the processing of large workpieces 210 with spatially uniform results, a scanning system may be desirable to uniformly scan the GCIB 202 across large areas to produce spatially homogeneous results. The GCIB 202 directed at the workpiece 210 may be substantially stationary (i.e., un-scanned). Workpiece 210 is held in the processing chamber 108 on a X-Y positioning table 204 operable to move the workpiece 210 in two axes, effectively scanning the workpiece 210 relative to the GCIB 202. The GCIB 202 impacts the workpiece 210 at a projected impact region 244 on a surface of the workpiece 210. By X-Y motion, the X-Y positioning table 204 can position each portion of a surface of the workpiece 210 in the path of GCIB 202 so that every region of the surface may be made to coincide with the projected impact region 244 for processing by the GCIB 202. An X-Y controller 216 provides electrical signals to the X-Y positioning table 204 through an electrical cable 218 for controlling the position and velocity in each of X-axis and Y-axis directions. The X-Y controller 216 receives control signals from, and is operable by, system controller 228 through an electrical cable 226. X-Y positioning table 204 moves by continuous motion or by stepwise motion according to conventional X-Y table positioning technology to position different regions of the workpiece 210 within the projected impact region 244. In one embodiment, X-Y positioning table 204 is programmably operable by the system controller 228 to scan, with programmable velocity, any portion of the workpiece 210 through the projected impact region 244 for GCIB processing by the GCIB 202. Alternatively, orthogonally oriented electrostatic scan plates 130, 132 can be utilized to produce a raster or other scanning pattern of the GCIB 202 across the desired processing area on workpiece 210, instead of or in addition to using positioning table 204. When beam scanning is performed, a scan generator 131 provides X-axis and Y-axis scanning signal voltages to the scan plates 130, 132. The scanning signal voltages are commonly triangular waves of different frequencies that cause the GCIB 202 to scan the entire surface of workpiece 210. The workpiece holding surface 260 of positioning table 204 is electrically conductive and is connected to a dosimetry processor 214 by an electrical lead 212. An electrically insulating layer 258 of positioning table 204 isolates the workpiece 210 and workpiece holding surface 260 from the other portions of the positioning table 204. Electrical charge induced in the workpiece 210 by the impinging GCIB 202 is conducted through workpiece 210, workpiece holding surface 260, and electrical lead 212 to the dosimetry processor 214 for measurement. Dosimetry processor 214 has integrating means for integrating the GCIB current to determine a GCIB processing dose. Under certain circumstances, a target-neutralizing source (not shown) of electrons, sometimes referred to as electron flood, may be used to neutralize the GCIB 202. In such case, a Faraday cup (not shown) may be used to assure accurate dosimetry despite the added source of electrical charge. The processing chamber 108 includes optical windows 230 and 232. An optical transmitting transducer 234, which may also have additional transmitting optics 236, and an optical receiving transducer 238, which may also have additional receiving optics 240, form a conventional optical instrumentation system. The transmitting transducer 234 receives, and is responsive to, controlling electrical signals from the system controller 228 communicated through an electrical cable 246. The transmitting transducer 234 directs an optical beam through the optical window 230 toward the workpiece 210. The receiving transducer 238 detects the optical beam through optical window 232. The receiving transducer 238 sends measurement signals to the system controller 228 through an electrical cable 242. The optical instrumentation system may comprise any of a variety of different instruments for tracking the progress of the GCIB processing. For example, the optical instrumentation system may constitute a spectroscopic ellipsometry system for measuring or mapping the thickness of the upper film layer on the workpiece 210. As another example, the optical instrumentation system may comprise a scatterometer for measuring or mapping the thickness of the layer on the workpiece surface. By operating under control of the system controller 228 and in conjunction with the X-Y positioning table 204, the optical instrumentation can map one or more characteristics of the workpiece 210. In addition to gas cylinder 112, the GCIB processing apparatus 200 has a second gas cylinder 250 for containing a reactive gas 252, that may be, for example, oxygen, nitrogen, carbon dioxide, nitric oxide, nitrous oxide, another oxygen-containing condensable gas, or sulfur hexafluoride. Shut-off valves 246 and 248 are operable by signals transmitted through electrical cable 254 by system controller 228 to select either source gas 112 or source gas 252 for GCIB processing. The dosimetry processor 214 may be one of many conventional dose control circuits that are known in the art and may include, as a part of its control system, all or part of a programmable computer system. The X-Y controller 216 may include as part of its logic all, or part of, a programmable computer system. The dosimetry processor 214 may include as part of its logic all, or part of, a programmable computer system. Some or all of the logic of the X-Y controller 216 and dosimetry processor 214 may be performed by a small general purpose computer that also controls other portions of the GCIB processing apparatus, including the system controller 228. In operation, the dosimetry processor 214 signals the opening of the beam gate 222 to irradiate the workpiece 210 with the GCIB 202. The dosimetry processor 214 measures the GCIB current collected by the workpiece 210 to compute the accumulated dose received by the workpiece 210. When the dose received by the workpiece 210 reaches a predetermined required dose, the dosimetry processor 214 closes the beam gate 222 and processing of the workpiece 210 is complete. The dosimetry processor 214 is electrically coupled with the system controller 228 by an electrical cable 220. During processing of the workpiece 210, the dose rate is communicated by the dosimetry processor 214 to the system controller 228 by electrical signals transmitted over electrical cable 220. The system controller 228 analyzes the electrical signals to, for example, confirming that the GCIB beam flux is substantially constant or to detect variations in the GCIB beam flux. The X-Y controller 216 is responsive to electrical signals from the system controller 228 that are transmitted over an electrical cable 226. The X-Y controller 216 can scan the X-Y positioning table to position every part of the workpiece 210 for processing according to predetermined velocities that result appropriate beam dwell times to etch material or to deposit material to the desired local thicknesses effective to provide a film of substantially uniform thickness. As an alternative method, the GCIB beam 202 may be scanned at a constant velocity in a fixed pattern across the surface of the workpiece 210, but the GCIB intensity is modulated (often referred to as Z-axis modulation) to deliver an intentionally non-uniform dose to the sample. The GCIB intensity may be modulated by any of a variety of methods, including varying the gas flow from a GCIB source supply; modulating the ionizer by either varying a filament voltage VF or varying an anode voltage VA; modulating the lens focus by varying lens voltages VL1 and/or VL2; or mechanically blocking a portion of the beam with a variable beam block, adjustable shutter, or variable aperture. The modulating variations may be continuous analog variations or may be time modulated switching or gating. With reference to FIG. 2 and in accordance with a representative embodiment, workpiece 210 is transferred in a path from an upstream process tool 270 to a downstream process tool 274. The workpiece 210 (FIG. 1) is processed by the upstream process tool 270, which may comprise a chemical vapor deposition tool, a sputtering tool, an atomic layer deposition tool, an electroplating tool, a diffusion tool, an ion implantation tool, an epitaxial tool, a lithography track tool, an etch tool, an ashing tool, a chemical mechanical polishing tool, a wafer clean tool, a rapid thermal processing tool, a metrology tool, or another workpiece processing tool. The processing in the upstream processing tool 270 modifies an upper layer of the workpiece 210. After processing in the upstream processing tool 270, the workpiece 210 is processed using the GCIB apparatus 200 for extremely shallow implantation, infusion, etching, decontamination, thin film or layer formation, or other process. The workpiece 210 may be optionally analyzed using a post-GCIB metrology tool 272 to collect parametric data. For instance, if parametric data from the workpiece 210 before processing in the downstream process tool 274 is required to identify a differential in a property of the upper layer of the workpiece 210 before and after processing in the downstream process tool 274, then the post-GCIB metrology tool 272 is used to collect parametric data before downstream processing. In one embodiment, the post-GCIB metrology tool 272 is a film thickness metrology tool, such as a spectroscopic ellipsometer, scatterometer, interferometer, X-ray fluorescence tool, or a four-point probe. In another embodiment, the post-GCIB metrology tool 272 is a dosimetry measurement tool, such as a Thermawave THERMA-PROBE®, a four-point probe, or an optical densitometry tool. In a further embodiment, the post-GCIB metrology tool 272 is a surface contamination measurement tool such as an X-ray fluorescence, an X-ray diffraction, an X-ray reflectometry, or a time-of-flight mass spectrometry tool. In still another embodiment, the post-GCIB metrology tool 272 is a surface roughness measurement tool such as a profilometer, an atomic force microscope, an interferometer, or a scanning probe microscope. The workpiece 210 is processed by a downstream process tool 274, such as a chemical vapor deposition tool, a sputtering tool, an atomic layer deposition tool, an electroplating tool, a diffusion tool, an ion implantation tool, an epitaxial tool, a lithography track tool, an etch tool, an ashing tool, a chemical mechanical polishing tool, a wafer clean tool, a rapid thermal processing tool, a metrology tool, or another workpiece processing tool before measurement by a post-process metrology tool 276. In one embodiment, the post-GCIB metrology tool 272 and the post-process metrology tool 276 are the same metrology tool. In another embodiment, the post-GCIB metrology tool 272 and the post-process metrology tool 276 are different tools or equipment. With reference to FIG. 3, the gas cluster ion beam apparatus 200, the post-GCIB metrology tool 272, and the post-process metrology tool 274 are configured to communicate with each other and with a host server 300. In the embodiment shown in FIG. 3, the post-GCIB metrology and post-process metrology tools 272, 274 are located ex-situ of the GCIB processing apparatus 200, which means that the metrology tools 272, 274 are separate from the GCIB processing apparatus 200. In another embodiment, the post-GCIB metrology tool 272 is located in-situ and, to that end, may be contained within the vacuum vessel 102 to allow for in-vacuum measurements on the GCIB processing apparatus 200. In yet another embodiment, the post-GCIB metrology tool 272 and post-process metrology tool 274 may be located in-situ outside the vacuum vessel 102 but still considered part of the GCIB processing apparatus 200. The post-GCIB metrology and post-process metrology tools 272, 274 may be separate equipment, if well matched, or they may be the same metrology equipment. The post-GCIB metrology tool 272 and the post process metrology tool 274 may communicate with the GCIB processing apparatus 200 by electrical signals communicated through a wired interface, such as a SEMI Equipment Communications Standard/Generic Equipment Model (SECS/GEM) wired interface. A SECS/GEM communication is a wired protocol between a host server 300 and the GCIB processing apparatus 200, post-GCIB metrology tool 272, and post-process metrology tool 274, as well as with other semiconductor manufacturing tools or equipment (not shown). SECS is a layer 6 protocol that describes the content of the messages while GEM is a higher layer application protocol that defines the messages themselves. Alternatively, the wired interface over which the electrical signals are communicated between the host server 300, GCIB processing apparatus 200, post-GCIB metrology tool 272, and post-process metrology tool 274 may be a registered jack (RJ) standardized physical interface such an eight-pin Ethernet (8P8C) or two-pin (RJ-11) connector, or a universal serial bus (USB) interface, or an RS-232 serial binary data connection. In one embodiment, a SECS/GEM communication is transferred between the gas cluster ion beam apparatus 200 and the post-GCIB metrology tool 272 over wired communication paths 310 and 320 via server 300. In another embodiment, the SECS/GEM communication is transferred between the GCIB processing apparatus 200 and the post-process metrology tool 274 through wired communication paths 320 and 340 via server 300. In a further embodiment, post-GCIB metrology tool 272 communicates with post-process metrology tool 274 through wired communication path 310 and 340 via server 300. Alternatively, the GCIB processing apparatus 200 and post-GCIB metrology tool 272, and the GCIB processing apparatus 200 and post-process metrology tool 274 may be coupled in communication using short-range wireless technology connections 330, 350, respectively, characterized by respective transceiver interfaces. In one embodiment, the wireless connections 330, 350 may comprise a short-range wireless technology connection to limit interference with other processing equipment, although the invention is not so limited as a long-range wireless connection may be used in an alternative embodiment. Short-range wireless technologies, such as Bluetooth wireless technology, may communicate data signals over a distance of up to 10 meters in a frequency range between 2.402 gigahertz (GHz) and 2.480 GHz. Bluetooth protocols are described in “Specification of the Bluetooth System: Core, Version 1.1,” published Feb. 22, 2001 by the Bluetooth Special Interest Group, Inc. Associated, as well as previous or subsequent, versions of the Bluetooth standard may also be supported by the wireless connections 330, 350. Alternatively, the short-range wireless technology, such as ultra-wideband (UWB), may communicate digital data over a wide spectrum of frequency bands ranging in a frequency range between 3.1 GHz and 10.6 GHz. Other examples of a short-range wireless technology includes certified wireless universal serial bus (USB), and communications defined by the Institute of Electrical Institute of Electrical and Electronic Engineers (IEEE) 802.11, Wireless Fidelity (Wi-Fi) and IEEE 802.16 Worldwide Interoperability for Microwave Access (WiMAX) suites of standards. IEEE 802.11b corresponds to IEEE Std. 802.11b-1999 entitled “Local and Metropolitan Area Networks, Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications: Higher-Speed Physical Layer Extension in the 2.4 GHz Band,” approved Sep. 16, 1999 as well as related documents. IEEE 802.11g corresponds to IEEE Std. 802.11g-2003 entitled “Local and Metropolitan Area Networks, Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications, Amendment 4: Further Higher Rate Extension in the 2.4 GHz Band,” approved Jun. 27, 2003 as well as related documents. In one embodiment, parametric data is transferred between the post-GCIB metrology tool 272 and the GCIB processing apparatus 200 to offset one or more downstream systematic non-uniformities in film thickness across the surface of a workpiece 210. According to this embodiment, film thickness map information is transferred to the GCIB processing apparatus 200 as a parametric data file. Using a previously measured beam removal function and a previously measured relationship between etch rate and dose for a particular set of GCIB parameters (including GCIB energy and cluster species), a mathematical algorithm is employed which takes the non-uniformity data, inverts a beam spot etching pattern to fit the non-uniformity profile, and creates a beam-dose contour as a systematic error offset to selectively remove surface material from workpiece 210 to offset systematic non-uniformities of a downstream process tool 274 (FIG. 2). Many different approaches to the selection of mathematical algorithm may be successfully employed in this embodiment. In another embodiment, the beam-dose contour may selectively deposit additional material as a systematic error response on the surface of the upper layer of workpiece 210 to offset systematic non-uniformities of a downstream process tool 274. The amount of material removed or added by GCIB processing is dose and energy dependent and with higher doses or energies, as much as several microns may be removed from a particular film surface, if required for smoothing or for adjusting the thickness of the film. To a first approximation, a beam profile will be a Gaussian function for any cross-section slice of the beam 202 (FIG. 1) in cylindrical coordinates with the beam propagation axis as the Z-axis of the coordinate system. For the case of profiling by variations in the beam dwell time, the mathematical inversion and deconvolution that must be performed are simplified because the response function of the sample is linear with changes in dose. Hence, the beam removal function has essentially the same mathematical functional shape as the beam intensity profile. The beam dwell-time map, which directly determines the beam-scan pattern, must be implemented for each systematically varying film batch if angstrom-scale uniformity is desired. Once processed to GCIB specifications, the uniformity of the workpiece(s) may be examined either in-situ or ex-situ and the process finished or refined as appropriate. The initial film-thickness non-uniformity of the upper layer on the workpiece 210 may be characterized in the post-GCIB metrology tool 272 (FIG. 2) ex-situ of the GCIB apparatus 200 (FIGS. 1-3) by spectroscopic ellipsometry or other suitable conventional techniques. Such techniques can produce a point-by-point film thickness map that may be reduced to thickness contours or another type of graphical or non-graphical presentation, as shown in FIG. 4. Similarly, an in-situ uniformity-mapping instrument in the post-GCIB metrology tool 272 (FIG. 2) using spectroscopic ellipsometry or other suitable conventional film thickness mapping techniques may be incorporated within the GCIB apparatus 200 for guiding a profiling process. In either case, the non-uniformity measurements may be stored as a series of thickness points with precise wafer positions by a standard computer. A film measurement method such as spectroscopic ellipsometry is used to map the thickness of only the top film layer, independent of variations in workpiece thickness, thickness of underlying films, or surface flatness. FIG. 4 illustrates a film-thickness map of a wafer with an upper layer comprising a thin film or layer as measured by spectroscopic ellipsometry using a commercially available model UV-1280SE thin film measurement instrument manufactured by KLA-Tencor Corporation. As apparent from FIG. 4, the thickness of a thin film on a substrate or workpiece may be mapped as a function of position. Such measurements can be performed to within an accuracy and precision of a few angstroms. The upper layer on workpiece 210 (FIG. 1) has a thickness contour with a mean 400 and areas with decreased thickness 410 and increased thickness 420. The areas with decreased thickness 410 and increased thickness 420 may be systematic non-uniformities inherent to the process tool used. For example, systematic non-uniformities may arise from an architecture of a chemical vapor deposition process chamber in which incoming process gas is delivered to the center of the workpiece 210 and by-products are exhausted from the edge of the workpiece 210 near the area of decreased thickness 410. With reference to FIG. 5 and in accordance with an embodiment, systematic non-uniformities introduced into an upper layer on one or more workpieces 210 (FIG. 1) by the downstream process tool 274 (FIGS. 2, 3) can be offset using a gas cluster ion beam, such as a GCIB 202 (FIG. 1) generated by the GCIB apparatus 200 (FIGS. 1-3). The offsetting is accomplished in a predictive manner so as to reduce the non-uniformities of the downstream process tool 274 (FIG. 2) that is downstream in the process line from the GCIB apparatus 200. In block 500, parametric data from a plurality of workpieces 210 is collected. In one embodiment, the plurality of workpieces 210 may be a cassette of wafers with a thin film applied on a top surface of each wafer using a deposition processing tool. The parametric data may be data collected from a film thickness measurement tool using technologies such as spectroscopic ellipsometry, scatterometry, interferometry, X-ray fluorescence, or four point resistivity. In another embodiment, the parametric data may be data collected from a dosimetry measurement tool, such as a Thermawave Therma-Probe®, a four-point probe, or an optical densitometry tool. In a further embodiment, the parametric data may be data collected from a surface contamination measurement tool, such as an X-ray fluorescence tool, an X-ray diffraction tool, an X-ray reflectometry tool, or a time-of-flight (TOF) mass spectrometry tool. In still another embodiment, the parametric data may be data collected from a surface roughness measurement tool such as a profilometer, an atomic force microscope, an interferometer, or a scanning probe microscope. In block 510, systematic non-uniformities are identified in the parametric data. In block 520, a systematic error offset is formulated for an upper layer of one or more subsequent workpieces 210. The systematic error offset may be generated using a previously measured beam removal function and a previously measured relationship between etch rate and dose for a particular set of GCIB parameters (including GCIB energy and cluster species), which employs a mathematical algorithm that takes the non-uniformity data, inverts a beam spot etching pattern to fit the non-uniformity profile, and creates a beam-dose contour to selectively remove surface material to offset systematic non-uniformities of a downstream process tool 274 (FIGS. 2, 3). A similar process may be employed to selectively deposit material using location specific processing. In block 530, the gas cluster ion beam is directed by GCIB apparatus 200 toward a surface of another subsequent workpiece 210. In block 530, an applied dose from the GCIB 202 (FIG. 1) is modulated to offset downstream systematic non-uniformities resulting from the processing of the workpieces 210 in the downstream process tool 274. With reference to FIG. 6 and in accordance with another embodiment, another method is provided for offsetting systematic non-uniformities using a gas cluster ion beam such as GCIB 202 (FIG. 1). In block 600, parametric data is collected from a plurality of workpieces processed on the downstream tool 274 (FIGS. 2, 3). The parametric data is collected from each of a plurality of workpieces 210 (FIG. 1), using one or more metrology tools, from before and after the plurality of workpieces 210 are processed on the downstream tool. In block 610, systematic non-uniformities are identified in the parametric data. In block 620, the GCIB 202 is scanned across an upper layer of another subsequent workpiece 210. In block 630, an applied dose delivered by the beam is modulated to offset downstream systematic non-uniformities in the upper layer of the subsequent workpiece 210. Modulation represents an un-even application of dose to an upper layer of the workpiece 210 (FIG. 1) either through a change in scan speed of the X-Y positioning table 204 (FIG. 1) as the beam traverses the upper layer or through a change in intensity of the gas cluster ion beam. Either method may be used individually or in combination to modulate the applied dose. To change the scan speed, the X-Y controller 216 (FIG. 1) maneuvers the X-Y positioning table 204 to position every part of the workpiece 210 for processing according to predetermined velocities that result appropriate beam dwell times to etch away or to deposit material to the desired thicknesses to correct for systematic non-uniformities and to provide a film of uniform thickness. This is one embodiment for modulating an applied dose of the GCIB 202 (FIG. 1). Alternatively, a beam is scanned at constant velocity in a fixed pattern across the surface, but the GCIB intensity is modulated (often referred to as Z-axis modulation) to deliver an intentionally non-uniform dose to the sample. This is another embodiment for modulating an applied dose of the GCIB 202. The GCIB intensity may be modulated by any or a variety of methods, including for example but not limited to: by varying a gas flow from the GCIB source supply; by modulating the ionizer of the GCIB processing apparatus 200 either by varying a filament voltage VF, or by varying an anode voltage VA; by modulating the lens focus by varying lens voltages VL1 and/or VL2 in the GCIB processing apparatus 200; or by mechanically blocking a portion of the beam by means of a variable beam block, adjustable shutter, or variable aperture. The modulating variations may be continuous analog variations or time modulated switching or gating. A plurality of embodiments for offsetting systematic non-uniformities using a gas cluster ion beam has been described. The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. This description and the claims following include terms, such as left, right, top, bottom, over, under, upper, lower, first, second, etc. that are used for descriptive purposes only and are not to be construed as limiting. For example, terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or upper layer is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.” The term “on” as used herein (including in the claims) does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer. The embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations. In the description and claims, the terms “coupled” and “connected,” along with their derivatives, are used. It should be understood that these terms are not intended as synonyms for each other. Rather, in particular embodiments, “connected” may be used to indicate that two or more elements are in direct physical or electrical contact with each other while “coupled” may further mean that two or more elements are not in direct contact with each other, but yet still co-operate or interact with each other. Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments. Various operations will be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the invention. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment. Various additional operations may be performed and/or described operations may be omitted in additional embodiments. Persons skilled in the relevant art can appreciate that many modifications and variations are possible in light of the above teaching. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the Figures. It is therefore intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto. |
|
abstract | A positioning technique for aligning an X-ray lens (28) is described. A positioning apparatus (16) comprises a lens mounting component (44) and a positioning component (42). The positioning component (42) includes at least one goniometer stage (64, 66) having a center of rotation that substantially coincides with the X-ray emitting portion (36) (“hot spot”) of the X-ray source (12). The provision of one or more goniometer stages (64, 66) and, if required, one or more additional translation stages (60, 62) facilitates the adjustment of the X-ray lens (28) and makes the adjustment more intuitive. |
|
description | The present invention relates to a boiling water type nuclear power plant and, in particular, to a technique for cooling a nuclear reactor at the time of a severe accident and securing the soundness of a nuclear reactor containment vessel. In a boiling water type nuclear power plant, various measures are taken to prevent an excessive increase in fuel canning pipe temperature at the time of a loss-of-coolant accident due to breakage of piping or the like connecting to a reactor pressure vessel. For example, at the time of emergency, a cooling material is injected into the core by an emergency core cooling system (hereinafter referred to as the “ECCS”), whereby the core is submerged. Through this operation of the ECCS, fuel failure is prevented, and core decay heat is removed. In conformity with the concept of safety equipment design, the ECCS is designed so as to be capable of securing the requisite safety even if there is single failure of the active component of each section or external power loss. In the case of a total power loss accident, the system is designed such that, within a specific period, the core cooling is maintained by a reactor core isolation cooling system using a portion of the nuclear reactor vapor for turbine drive. On the other hand, in the boiling water type nuclear plant, a countermeasure (hereinafter referred to as the “accident management”) is prepared for the purpose of further enhancing safety even when there occurs, through at a very low probability of occurrence, a severe accident substantially exceeding in scale the accident level to be imagined at the stage of design. For example, as accident management equipment utilizing existing systems, there is provided an alternate water injection system configured to supply cooling water to the reactor pressure vessel or the reactor containment vessel from a condensate storage tank by using a general service water supply pump, or from a fire-fighting water tank by using a fire pump. PTL 1 discloses a technique according to which a back-up building surrounded by a hazard wall is provided at a place at a fixed distance from the nuclear reactor building, and this back-up building is equipped with an independent power source and an operating panel, thereby securing further safety. PTL 1: JP-A-2014-89134 The installation of a water injection pump in the above-mentioned back-up building is planned; however, to actually supply cooling water from the back-up building to the reactor pressure vessel and the reactor containment vessel in the nuclear reactor building, it is necessary to perform valve operation such as the switching of the water supply route. Further, if there is some abnormality in the water supply piping from the back-up building to the nuclear reactor building, it is impossible to supply cooling water from the pump in the back-up building to the reactor pressure vessel and the reactor containment vessel. It is an object of the invention to make it possible to more reliably supply cooling water to the reactor pressure vessel and the reactor containment vessel by using the back-up building. A boiling water type nuclear power plant of the invention includes a nuclear reactor building including a reactor containment vessel, and an external building installed independently outside the nuclear reactor building and exhibiting an anti-hazard property. The external building includes a power source and an operating panel independent of the nuclear reactor building. The boiling water type nuclear power plant of the invention includes a pump installed inside the external building, alternative water injection pipes configured to perform water injection from the pump at least on the reactor pressure vessel or the reactor containment vessel in the nuclear reactor building, and valves connected to the alternative water injection pipes. In accordance with the invention, it is possible to perform water injection on the reactor containment vessel, etc. from the pump installed inside the external building exhibiting an anti-hazard property by using the alternative water injection pipes. Thus, according to the invention, if a severe accident which may occur with a very low probability but substantially exceeds in scale the accident level to be imagined at the stage of design occurs, the water injection route for the cooling water can be secured, making it possible to further enhance the safety of the boiling water type nuclear power plant. <1. First Embodiment> In the following, the first embodiment of the invention will be described with reference to FIGS. 1 through 4. [1-1. Construction of the Boiling Water Type Nuclear Power Plant] FIG. 1 is a diagram illustrating the overall construction of a boiling water type nuclear power plant of the first embodiment of the invention. The boiling water type nuclear power plant is equipped with a nuclear reactor building 100. Inside the nuclear reactor building 100, there are arranged a reactor containment vessel 101, a reactor pressure vessel 102, a spent fuel pool 103, a reactor well 104, a pressure suppression pool 105, and a dry well 106. A spray pipe 160 is arranged in the reactor containment vessel 101, and sprinkling is effected in the reactor containment vessel 101 via a pipe 161 in the nuclear reactor building 100. A valve 162 is connected to the pipe 161. A water injection pipe 170 is arranged in the reactor pressure vessel 102, and water injection is performed in the reactor pressure vessel 102 by using the water injection pipe 170. Connected to the water injection pipe 170 are check valves 171 and 172 and a gate valve 173. FIG. 1 shows, as the piping in the nuclear reactor building 100, only the piping necessary in illustrating the present embodiment. Although not shown in particular in FIG. 1, the nuclear reactor building 100 is provided with equipment allowing sufficient water injection in the nuclear reactor building 100 even if various accidents to be imagined at the design stage occur. And, the boiling water type nuclear power plant of the present embodiment is equipped with a back-up building 200 as an external building at a place spaced away from the nuclear reactor building 100. The back-up building 200 is of a structure exhibiting an anti-hazard property, and has an equipment operating panel 201 and a power source equipment 202 independent of the nuclear reactor building. Here, the structure having an anti-hazard property is a structure surrounded by a hazard wall (not shown), and is a structure capable of securing the interior soundness, withstanding earthquake, flood, snow, etc. This back-up building 200 is provided at a place spaced away by some distance (e.g., several hundred m) from the nuclear reactor building 100. At the time of emergency, the equipment operating panel 201 operates the equipment of the nuclear reactor building 100 in addition to the equipment of the back-up building 200. The power source equipment 202 is provided with a generator generating electricity necessary for operating the apparatus accommodated in the nuclear reactor building 100 and the back-up building 200, and a battery supplying operating power to the generator. Further, the back-up building 200 is equipped with a garage 203 capable of accommodating a movable pumper vehicle 500. Apart from the movable pumper vehicle 500, this garage 203 may accommodate a movable power source car 600 (FIG. 4) in which an emergency power source equipment is mounted. In the vicinity of the back-up building 200, there is installed a back-up building water supply source 300. And, a pipe 301 is arranged to extend from the back-up building water supply source 300 to the back-up building 200; water obtained from the pipe 301 is supplied to five alternative water injection pipes 110, 120, 130, 140, and 150 via water injection pumps 211 and 212. The two water injection pumps 211 and 212 are operated by the power obtained from the power source equipment 202 in the back-up building 200. The output side pipes of the two water injection pumps 211 and 212 are connected via a valve 213. Thus, even if one of the water injection pumps 211 and 212 is out of order, the back-up building 200 can perform alternative water injection by all the alternative water injection pipes 110 through 150. In the alternative water injection pipes 110 through 150 in the back-up building 200, there are respectively arranged valves 221, 222, 223, 224, and 225, and check valves 231, 232, 233, 234, and 235. The alternative water injection pipes 110 through 150 drawn out of the back-up building 200 are arranged to extend into the interior of the nuclear reactor building 100. The water injection through the alternative water injection pipe 110 is performed on the spent fuel pool 103 in the nuclear reactor building 100. A check valve 111 and a gate valve 112 are arranged in the alternative water injection pipe 110 in the nuclear reactor building 100. The water injection through the alternative water injection pipe 120 is performed on the reactor well 104 in the nuclear reactor building 100. A check valve 121 and a gate valve 122 are arranged in the alternative water injection pipe 120 in the nuclear reactor building 100. The water injection through the alternative water injection pipe 130 is performed on the reactor containment vessel 101 in the nuclear reactor building 100. The alternative water injection pipe 130 is connected to the water injection pipe 161 performing water injection on the reactor containment vessel 101. Check valves 131 and 132 and a gate valve 133 are arranged in the alternative water injection pipe 130 in the nuclear reactor building 100. The water injection through the alternative water injection pipe 140 is performed on the reactor pressure vessel 102 in the nuclear reactor building 100. The water injection through the alternative water injection pipe 140 may be performed on the reactor containment vessel 101 in the nuclear reactor building 100. The alternative water injection pipe 140 is connected to a water injection pipe 180 in the nuclear reactor building 100 via an up-grade water injection pipe 148. The water injection pipe 180 is connected to still another water injection pipe 170 to perform water injection in the reactor pressure vessel 102. Arranged in the water injection pipe 170 are the check valves 171 and 172 and the gate valve 173. Arranged in the water injection pipe 180 are a gate valve 181 and a check valve 182. The up-grade alternative water injection pipe 148 is connected between the gate valve 181 and the check valve 182. A gate valve 141 and check valves 142 and 143 are arranged in the alternative water injection pipe 148 in the nuclear reactor building 100. The connection of the alternative water injection pipe 140 to the water injection pipe 180 will be described in detail below (FIG. 2). The water injection through the alternative water injection pipe 150 is performed on the lower dry well 106 in the nuclear reactor building 100. Check valves 151 and 152 and a gate valve 153 are arranged in the alternative water injection pipe 150 in the nuclear reactor building 100. The gate valves 112, 122, 133, 141, and 153 of the alternative water injection pipes 110 to 150 arranged in the nuclear reactor building 100 are all valves kept open during operation of the nuclear power plant; they are valves that are closed only during maintenance. The check valves 111, 121, 131, 132, 142, 143, 151, and 152 are all valves allowing water injection from the back-up building 200 side to the nuclear reactor building 100 side and preventing backward flow. Further, an emergency water source 400 is arranged on the ground in the power plant between the nuclear reactor building 100 and the back-up building 200. This emergency water source 400 is a water source independent of the water source 300 for the back-up building. An example in which the emergency water source 400 is used will be described below. [1-2. Construction of the Connection Portions of the Alternative Water Injection Pipes] FIG. 2 is a diagram illustrating in detail the portion where the alternative water injection pipe 140 is connected to the water injection pipe 180. The alternative water injection pipe 140 is connected to the water injection pipe 180 via the up-grade water injection pipe 148. That is, as shown in FIG. 2, the alternative water injection pipe 140 starting from the back-up building 200 is connected to the water injection pipe 148 inside the nuclear reactor building 100, and is connected to the water injection pipe 180 via the water injection pipe 148 designed as an up-grade pipe. In this case, the gate valve 141 and the check valves 142 and 143 are arranged at midpoints in the up-grade water injection pipe 148. At the portion where the up-grade water injection pipe 148 is connected to the water injection pipe 140, which is the lowest, there are arranged a drain pipe 144 and a flow rate restrictor 145, and on the lower side of the flow rate restrictor 145, there is arranged a drain 147 via a gate valve 146. As shown in FIG. 1, the flow rate restrictor 145 and the drain 147 are installed inside the nuclear reactor building 100. Due to the provision of the flow rate restrictor 145 and the drain 147, it is possible to prevent reactor water and reactor vapor from leaking through the check valves 142, 143, and 182 having the containment vessel partition function, and it is possible to prevent the reactor water and the reactor vapor from being discharged to the exterior of the nuclear reactor building 100 and into the back-up building 200 through the alternative water injection pipes 140. Thus, it is possible to prevent diffusion of the radioactive substance contained in the reactor water and the reactor vapor to the exterior of the nuclear reactor building 100. The water discharge mechanism such as the drain 147 may detect leakage of the reactor water and the reactor vapor containing radioactive substance by measuring the generation amount of the discharge water. The leakage of the reactor water and the reactor vapor is detected, for example, by the equipment operating panel 201 in the back-up building 200. [1-3. Condition of the Water Injection from the Back-Up Building] In the boiling water type nuclear power plant of the example shown in FIG. 1, at the time of emergency where it is impossible to perform water injection through the water injection pipe 170 normally used in the nuclear reactor building 100, it is possible to perform water injection by using the alternative water injection pipes 110 through 150 extending from the back-up building 200. For example, when there occurs a severe accident such as earthquake, flood, and snow, and it is impossible to perform water injection by using the normal piping, it is possible to perform water injection through the alternative water injection pipes 110 through 150 by starting the pumps 211 and 212 in the back-up building 200. The pumps 211 and 212 in the back-up building 200 are supplied with power from the power source equipment 202 in the back-up building 200, so that, even when there is some abnormality in the nuclear reactor building 100 side power source equipment, it is possible to perform water injection reliably. In this case, the valves with which the alternative water injection pipes 110 through 150 in the nuclear reactor building 100 are equipped are all check valves and gate valves that are normally kept open. Thus, when performing water injection from the back-up building 200 by using the alternative water injection pipes 110 through 150, there is no need at all to perform valve opening/closing operation inside the nuclear reactor building 100; water injection can be performed solely through the operation on the back-up building 200 side. Thus, at the time of occurrence of a severe accident, it is possible to perform alternative water injection solely through operation by the operator inside the back-up building 200, making it possible to quickly start the alternative water injection and to perform continuous water injection for a long period of time. Further, since there is no need to perform operation inside the nuclear reactor building 100, there is no need for the operator to approach the nuclear reactor building 100 when starting the alternative water injection, which helps to secure safety for the operator. [1-4. Example in which Bypass Pipes Are Provided in the Alternative Water Injection Pipe] FIG. 3 shows a modification example in which the alternative water injection pipe 140 shown in FIG. 1 is provided with bypass pipes 10 and 20 from other alternative water injection pipes 130 and 150. That is, in the example of FIG. 3, the bypass pipe 10 is connected between the alternative water injection pipe 130 and the alternative water injection pipe 140, and a valve 11 and a check valve 12 are arranged at midpoints in the bypass pipe 10. Further, the bypass pipe 20 is connected between the alternative water injection pipe 150 and the alternative water injection pipe 140, and a check valve 21 and a valve 22 are arranged at midpoints in the bypass pipe 20. The check valves 12 and 21 prevent water flow from the alternative water injection pipe 140 to the alternative water injection pipes 130 and 150. Due to the provision of the bypass pipes 10 and 20 as shown in FIG. 3, even if there is some abnormality in the water injection route via the alternative water injection pipe 140, it is possible to perform water injection on the reactor pressure vessel 102 by using the other alternative water injection pipe 130 or 150. Thus, by providing the bypass pipes 10 and 20, it is possible to more reliably secure the water injection route to the reactor pressure vessel 102 at the time of occurrence of a severe accident. In the example of FIG. 3, there are provided the bypass pipes 10 and 20 to the alternative water injection pipe 140 from the alternative water injection pipe 130 and the alternative water injection pipe 150. Apart from this, it is also possible to provide bypass pipes to the alternative water injection pipe 140 from the other alternative water injection pipes 110 and 120. [1-5. Example in Which the Pumper Vehicle is Used] FIG. 4 shows an example in which, when it is impossible to perform water injection using the back-up building 200, the movable pumper vehicle 500 is connected to the alternative water injection pipes 110 through 150 to perform water injection. As described above, a hose connection portion 243 is arranged in the back-up building 200, and a hose connection portion 253 is arranged outside the back-up building 200. Thus, in the case of the condition where it is impossible to perform water injection using the pumps 211 and 212 in the back-up building 200, it is possible to perform water injection by using the movable pumper vehicle 500 inside the garage 203. For example, the operator moves the movable pumper vehicle 500 to a position in the vicinity of the emergency water source 400. And, the operator connects a suction side hose 501 of the movable pumper vehicle 500 to the emergency water source 400, and connects a discharge side hose 502 to the hose connection portion 253 on the outer side of the back-up building 200, performing the operation of opening a valve 252 of the hose connection portion 253. The power for operating the movable pumper vehicle 500 is obtained from the power source car 600. Alternatively, the movable pumper vehicle 500 may obtain the power from the power source equipment 202 of the back-up building 200 or some other power source equipment. In this way, the operator connects the hose 502 of the movable pumper vehicle 500 to the hose connection portion 253 and operates the movable pumper vehicle 500, whereby it is possible to perform water injection into the nuclear reactor building 100 using the alternative water injection pipes 110 through 150 from the emergency water source 400. Further, as indicated by dashed lines in FIG. 4, the operator may connect a hose 502a of the movable pumper vehicle 500 to the hose connection portion 243 in the back-up building 200 to perform water injection from the emergency water source 400. Alternatively, the operator may connect a hose 502b of the movable pumper vehicle 500 to a hose connection portion 192 arranged outside the nuclear reactor building 100 to perform water injection from the emergency water source 400. In the case of either connection, the operator can perform water injection into the nuclear reactor building 100 using the alternative water injection pipes 110 through 150 from the emergency water source 400. The operator (operation worker) performing these operations is on standby in the back-up building 200, and moves to the movable pumper vehicle 500 when needed to perform operation. Thus, the operator can perform the operation in the movable pumper vehicle 500, with his safety secured. In case, at the time of occurrence of a severe accident, it is impossible for the movable pumper vehicle 500 and the power source car 600 to approach the periphery of the nuclear reactor building 100 due to debris or the like, the nuclear power plant may prepare an operation car for removing debris. This operation car may, for example, be stored in the garage 203 of the back-up building 200. By preparing such an operation car, even at the time of occurrence of a severe accident exceeding in scale the accident level to be imagined, it is possible for the operator to quickly install the movable pumper vehicle 500 or the like, making it possible to perform water injection into the nuclear reactor building 100. <2. Second Embodiment> Next, the second embodiment of the invention will be described with reference to FIG. 5. In FIG. 5, the portions that are the same as those of the first embodiment described with reference to FIGS. 1 through 4 are indicated by the same reference numerals, and a detailed description thereof will be left out. FIG. 5 is an overall schematic view of a boiling water type nuclear power plant according to the second embodiment of the invention. Also the boiling water type nuclear power plant of the present embodiment is provided with the back-up building 200 independent of the nuclear reactor building 100 and exhibiting an anti-hazard property. This back-up building 200 is equipped with the equipment operating panel 201 and the power source equipment 202. Between the back-up building 200 and the nuclear reactor building 100, there are arranged the alternative water injection pipes 110 through 150 performing water injection into the nuclear reactor building 100. And, as in the first embodiment, through the operation of the pumps 211 and 212, the back-up building 200 allows alternative water injection on each portion in the nuclear reactor building 100. And, as shown in FIG. 5, in the present embodiment, there is provided a garage 700 at a position different from the back-up building 200, and the movable pumper vehicle 500 is disposed in this garage 700. It is also desirable for the garage 700 to be of a structure exhibiting an anti-hazard property. That is, it is desirable for the garage 700 to be of a structure withstanding earthquake, flood, snow, etc. to secure the soundness of the interior. If possible, it is desirable for the garage 700 to be installed at a place surrounded by hazard walls. In the case of the example of FIG. 5, no garage is provided inside the back-up building 200. Apart from the movable pumper vehicle 500, the garage 700 may accommodate the power source car 600 shown in FIG. 4 and the operation car for removing debris. By adopting the construction shown in FIG. 5, it is possible for each of the back-up building 200 and the garage 700 to be of a relatively small building on an appropriate scale, making it possible to improve the anti-hazard property of each building. Further, even if the size of the ground for providing the back-up building 200 is not sufficient, it is possible to install the back-up building 200. <3. Other Modifications> In the example of FIG. 2, there is shown the construction of the portion where the alternative water injection pipe 140 is connected to the water injection pipe 180. In this regard, when the other alternative water injection pipes 110, 120, 130, and 150 are to be connected to the other water injection pipes inside the nuclear reactor building 100, it is possible to adopt the same connection construction as that of the example of FIG. 2. That is, also regarding the alternative water injection pipes 110, 120, 130, and 150, it is possible to arrange a flow rate restrictor and water discharge equipment such as a drain at the portions where they are connected to the other pipes. Further, in the example of FIG. 1, the connection to the alternative water injection pipe 140 is effected by means of the hose connection portion 192 arranged in the vicinity of the nuclear reactor building 100. In this regard, the nuclear reactor building 100 may be provided with a hose connection portion by means of which the connection to the other alternative water injection pipes 110, 120, 130, and 150 is effected. Further, in the example of FIG. 1, each of the reactor containment vessel 101, the reactor pressure vessel 102, the spent fuel pool 103, the reactor well 104, and the dry well 106 in the nuclear reactor building 100 undergoes alternative water injection through the individual alternative water injection pipes 110 through 150. Instead, it is also possible to arrange solely the alternative water injection pipe 140 and the alternative water injection pipe 148 which perform alternative water injection solely on the reactor pressure vessel 102 in the nuclear reactor building 100, maintaining the soundness of at least the reactor pressure vessel 102. 10, 20 . . . bypass pipe, 11 . . . valve, 12 . . . check valve, 21 . . . check valve, 22 . . . valve, 100 . . . nuclear reactor building, 101 . . . reactor containment vessel, 102 . . . reactor pressure vessel, 103 . . . spent fuel pool, 104 . . . reactor well, 105 . . . pressure suppression pool, 106 . . . dry well, 110 . . . alternative water injection pipe, 111 . . . check valve, 112 . . . gate valve, 120 . . . alternative water injection pipe, 121 . . . check valve, 122 . . . gate valve, 130 . . . alternative water injection pipe, 131, 132 . . . check valve, 133 . . . gate valve, 140 . . . alternative water injection pipe, 141 . . . gate valve, 142, 143 . . . check valve, 144 . . . drain pipe, 145 . . . flow rate restrictor, 146 . . . gate valve, 147 . . . drain, 148 . . . alternative water injection pipe, 150 . . . alternative water injection pipe, 151, 152 . . . check valve, 153 . . . gate valve, 160 . . . spray pipe, 161 . . . pipe, 162 . . . valve, 170 . . . pipe, 171, 172 . . . check valve, 173 . . . gate valve, 180 . . . pipe, 181 . . . valve, 182 . . . check valve, 190 . . . branching-off pipe, 191 . . . valve, 192 . . . hose connection portion, 200 . . . back-up building, 201 . . . equipment operating panel, 202 . . .power source equipment, 203 . . . garage, 211, 212 . . . water injection pump, 213, 221, 222, 223, 224, 225 . . . valve, 231, 232, 333, 234, 235 . . . check valve, 241 . . . branching-off pipe, 242. . .valve, 243 . . . hose connection portion, 251 . . . branching-off pipe, 252 . . .valve, 253 . . . hose connection portion, 300 . . . back-up building water source, 301 . . . pipe, 400 . . . emergency water source, 500 . . . movable pumper vehicle, 501, 502, 502a, 502b . . . hose, 600 . . . power source car |
|
description | This invention relates to a system and method for calibrating operational parameters of a charged particle beam device. There are known in the art charged particle beam devices. For example, scanning electron microscopes are disclosed in U.S. Pat. No. 5,659,172 of Wagner which describes a method for reliable defect detection using multiple perspective Scanning Electron Microscope (SEM) images. A SEM usually includes an electron gun for generating an electron beam, a SEM lens system for focusing and converging the electron beam, a deflection coil for deflecting the electron beam, a detector for detecting electrons, such as secondary emitted electrons or reflected electrons that are emitted/reflected from an object and a processor that is operative to construct SEM images in response to detection signals provided from the detector. Usually, the electron gun, the SEM lens system and the deflection coil are located within a column, which is commonly referred to as SEM column. The resolution of a SEM and its power consumption are inversely proportional to the distance between the SEM column and the object. This distance is also referred to as a working distance. The detector can also be placed within the SEM column. Another type of known Charged particle beam device is the Focused ion beam (FIB) device. FIB systems are generally utilized to perform die milling and cross sectioning. The milled or cross sectioned die is usually analyzed by an inspection device, such as a SEM, to detect defects. FIB systems can also be utilized to generate FIB images. FIB systems include an ion source for generating an ion beam, a FIB lens system for focusing the ion beam to provide a focused ion beam and an ion beam deflector for deflecting the focused ion beam. A FIB system that is operative to generate a FIB image also has a detector and a processor. Usually, the ion source, the FIB lens system and the ion beam deflector are located within a column, which is commonly referred to as FIB column. The detector can also be placed within the FIB column. SEM images are generated by irradiating an object with an electron beam, collecting signals resulting from an interaction of the electron beam with at least one portion of the object and processing the collected signals. FIB images are generated in a similar analogues manner, except that the object is irradiated with a focused ion beam. Systems that include both FIB and SEM systems are known in the art and are referred to as FIB/SEM systems. SEM system allows to inspect a surface of an inspected object, such as a surface of a multi layered die. FIB systems allow for milling the surface and exposing inner layers to inspection. Usually, after the FIB mills the die, the SEM system is utilized to inspect the revealed layers and to further analyze the milled die for detecting defects. There are known in the art other types of Charged particle beam devices such as Transmission Electron Microscope (TEM), and Scanning Transmission Electron Microscope (STEM). Calibrating column parameters of a charged particle beam device is essential in order to obtain an optimal spot on the target surface (wafer). The characteristics of optimal spot are, for instance, spot size and how round and symmetric is the spot and it means whether the spot has astigmatism deviations for the x and y axes. When using high current beam, say ≧1 nA, the spot is milled into the substrate, or in other words the process is destructive. In the case that the so milled spot is not optimal, it would be desired to adjust the operational parameters for generating a better spot. However, since the generation of the spots is “destructive” hampers, subsequent attempts to generate spots using possibly other parameters. The reason is that, when a high current beam is used, the resulting image is, in many cases, not clear, thereby hindering to inspect and determine the characteristics of the resulting spot. Accordingly, the process of calibrating the operational parameters of high beam current charged particle beam device (such as FIB) is, in many cases, manual and time-consuming. It also strongly depends on the skills of the technician who performs the task, and therefore the quality of the resulting calibration process may vary from one individual to the other. There is, thus a need in the art to provide for a new system and method facilitating calibration of column parameters of Charged particle beam devices, in particular those operating in high current. WO03005396A2: Method and Apparatus for Scanned Instrument Calibration Methods and apparatus for calibration of a scanned beam system are provided by sampling a calibration specimen containing an array of targets with a spacing between samples that is greater than the spacing between targets in the array and forming an image from the samples to reduce calibration specimen degradation and to magnify calibration errors to enable very fine calibration of the scanned beam system. A method for calibration of a scanned beam system, comprising the steps of sampling a specimen comprising an array of targets with a spacing between samples that is greater than a spacing between targets in the array; and obtaining information from the samples concerning calibration of the system WO0111656A1: Calibration of a Scanning Electron Microscope A scanning electron microscope (SEM) is calibrated for the effects of local charging on a measured critical dimension (CD) of a wafer by first calibrating the microscope with respect to a calibration wafer with a known CD. Local charging on a wafer may be measured as a local landing energy (LLE) so that a scale factor based on a ratio of LLEs for the measurement wafer and a calibration wafer is used to correct a measured CD for the measurement wafer. U.S. Pat. No. 4,512,659: Apparatus for Calibrating a Surface Scanner A test device for calibrating an optical scanner wherein microscopic patterns of light scattering elements simulate the scattering of light from particles or flaws of different sizes. Simulation of different particles sizes is achieved by means of clusters or arrays of these light scattering elements having different area wise densities. Patterns of such clusters or arrays are disposed on a surface with intervening spaces where a random assortment of foreign particles may be expected. In this manner, the foreign particles may be directly compared to a test pattern. The test surface may be a semiconductor wafer having a thin, inert coating with openings therein forming the light scattering elements. The openings may be made by photolithographic techniques, i.e., masking and etching, so that various patterns on a surface may be all created simultaneously by the same process. U.S. Pat. No. 5,078,492: Test Wafer for an Optical Scanner A patterned wafer for testing an optical scanner. The wafer has standard size light scattering features, such as pits, distributed in aligned groups arranged in annular bands about a concentric center. Empty annular bands separate the feature containing annular bands. The empty bands simulate wafer edges for various size wafers. In this manner, wafer edges may be excluded in a particle count for a predetermined size wafer. Apparent size variations in multiple scans indicate misalignments relative to the scan center. U.S. Pat. No. 5,198,869: Reference Wafer for Haze Calibration A standard for calibrating a wafer surface inspection optical scanner, particularly a system for measuring haze. The reference wafer contains sections divided into subsections, each subsection having a quasi-random pattern of light scattering features on an otherwise polished surface of the wafer. The quasi-random pattern of features is formed by creating a random pattern of pits within tiny areas of the subsection and repeating that pattern. The random pattern of pits covers an area less than the area of the spot of a scanning beam used by the wafer surface inspection system. By randomizing the pattern of pits within the scanning beam, the scattered light does not produce interference patterns and thus the scattered light is more isotropic. A direct measurement of the amount of hazel on the reference wafer can be obtained from measuring the amount of scattered light caused by the pits. Since this level of scattering is known beforehand to correspond to a certain level of haze, the wafer surface inspection system can then be calibrated to accurately measure haze on surfaces of non-reference wafers. U.S. Pat. No. 6,670,610: System and Method for Directing a Miller A system and method for directing the object, such as a semiconductor die. The system includes a first imager such as a scanning electron microscope, a stage for moving the object and a second imager and miller such as a focused ion beam generator. The object is for images to locate a desired location in which the object is to be milled and a landmark that is utilized for directing the miller. The system can include additional steps of milling, analyzing and movement of the object. The present invention provides a method for calibrating operational parameters of a charged particle beam device, comprising: (a) generating a plurality of distinct spots on a specimen, each spot being generated in response to adjusted operational parameters of the charged particle beam device; (b) registering said respective operational parameters. The present invention further provides a system for calibrating operational parameters of a charged particle beam device, comprising: (a) a unit for generating a plurality of distinct spots on a specimen, each spot being generated in response to adjusted operational parameters of the charged particle beam device; (b) a unit for registering said respective operational parameters. Further provided by the present invention, is a computer program product comprising a computer useable medium having computer readable program code embodied therein for calibrating operational parameters of a charged particle beam device, the computer program product comprising: (a) computer readable program code for causing the computer to facilitate generating a plurality of distinct spots on a specimen, each spot being generated in response to adjusted operational parameters of the charged particle beam device; (b) computer readable program code for causing the computer to register said respective operational parameters. Note that, for convenience of explanation only, the invention will be mainly described with reference to Focused Ion Beam (FIB) system, however, those versed in the art will readily appreciate that the invention is, likewise, applicable to any charged particle beam device. Typical, yet not exclusive, examples being a Scanning Electron Microscope (SEM), Transmission Electron Microscope (TEM) and Scanning Transmission electron microscope (STEM). Note also that the invention is described with reference to a wafer specimen, however, it is applicable to other target objects. For convenience, reference is made to milling of spots on a specimen. Other destructive effects are also applicable. For convenience, the description refers to photo resist. This is only a non limiting example of a specimen and the invention is by no means bound by this example. The structure and operation of the FIB are generally known per se and therefore will only be briefly described herein, in particular with reference to the Column components that are pertinent to the calibration of operational parameters of the FIB. Bearing this in mind, attention is first drawn to FIG. 1, illustrating a generalized block diagram of a Focused Ion Beam (FIB) system (10). The LMIS source (11) generates a beam of Ions that is directed to a condenser (12) for converging the Ion beam. The Ion beam is then directed to Aperture Position Control (APC-13) having a plurality of apertures through which the beam passes. The APC has a set of apertures ranging from, say 10–800 microns. The larger the aperture through which the Ion beam passes, the higher the resulting beam current. The selected aperture is moved in the X-Y plane by means of two axes (X/Y) high accuracy motors. The Ion beam that passes through the selected aperture, is routed to Beam directing Unit (14) being operative to control various parameters of the beam. The Beam blank (BB) control (15) serves as beam enable/disable, which in the “enable” state, allows the beam to pass through towards the specimen (100), and in the “disable” state, neutralizes the beam by diverging it away from the target specimen. The Astigmatism correction (16) controls the astigmatism value of the beam and the Beam shift (17) allows controlled deviation of the beam for milling at different locations on the specimen's surface 100. The dual octupole deflector (18) (Beam direction unit) allow to control beam Astigmatism deviation, beam shift and beam scan on wafer surface. The objective electrodes (19) allow to control the focus of the beam 101 that impinges on the surface of wafer 100, giving rise to milling of the spot thereon. Detector 102 and at least one processor (not shown) coupled to the detector being operative to generate a succession of images. An image is generated by processing received electrons reflected from the specimen 100 in response to the incident Ion beam 101. Attention is drawn to FIG. 2, illustrating schematically a column (20) in a Focused ion beam (FIB) system. Note that in the context of the invention the terms device and system are used interchangeably. Reverting to FIG. 2, the LMIS (21) and condenser (22) were described with reference to FIG. 1. As further described in FIG. 1, the application of voltage to the BB (Beam Blank) electrodes (23) allows for enable or disable the beam operation. The input voltage to X/Y motors (shown, schematically, as 24 and 25) directs a selected aperture (26) through which the Ion beam (27) passes. The Double deflection Dual Octupole assembly (28) allows to provide potential difference to the electrodes 28 to affect the astigmatism value of the resulting spot (in either or both of the X and Y axes) and by means of DC voltage level applied to electrodes 29 the deflection extent of the beam is set which, in turn, determines the incident beam location on specimen 200 that is located on stage 201. The DC voltage applied to electrostatic lens 202 (constituting the objective) will determine the focus extent of the beam. Wobble alignment is used to align optical element (such as emitter and aperture) to the effective lens symmetry. By doing this alignment, optical aberration is reduced and accordingly optimal column conditions are achieved for small and symmetric beam spot. In accordance with certain embodiments, wobble alignment parameters are one or more of the following: lens voltage for electrostatic lens, alternating lens current for magnetic lens and column alternating energy voltage. Adjusting any of these parameters would affect APC and/or emitter X Y location. For example, in order to align aperture position by APC unit, the alternating lens voltage (for electrostatic lens) is adjusted and in parallel the position of column live image is analyzed in order to determine whether the image is wobbling in the screen. If in the affirmative, there is a need to change the aperture position by using APC unit until the live image is not moving or wobbling in the screen (and only the image focus is possibly affected). Accordingly, in the context of the specific example illustrated in FIGS. 1 and 2, the operational parameters that can affect the characteristics of the resulting spot are (i) the input voltage applied to the X/Y motors of the APC, which will determine the aperture size through which the Ion beam passes and, in its turn, the current intensity of the Ion beam, such that the higher the current the more intense is the milling of the spot onto the specimen surface; (ii) the voltage difference applied to the (Dual Octupole Unit) electrodes, thereby affecting the astigmatism value of the resulting spot (in either or both of the X-Y axes); (iii) the duration of the milling controlled by the enable/disable command applied to the BB electrodes, such that the longer the duration, the more intense is the milling, and (iv) the DC voltage applied to the electrostatic lens, affecting the focus of the beam, and thereby the size of the resulting spot (v) Wobble parameters, as described with reference to certain embodiments above. Note also that the DC voltage applied to the electrodes 29 will determine the deflection angle of the beam and thereby the location of the resulting spot on the surface of the specimen. Note that the invention is not bound by the specific structure of FIB described with reference to FIGS. 1 and 2. In certain embodiments of the invention, one or more of the specified parameters may be adjusted in the calibration process of the operational parameters, all as described in more detail with reference of FIG. 3 below. Note also that, in accordance with certain embodiments, one or more other parameters may be used in addition or in lieu of one or more of the specified parameters, all depending upon the particular application. Before turning to describe a sequence of operation in accordance with certain embodiments of the invention, attention is drawn to FIGS. 6A–B showing two reference examples of samples (by this example matrices) with spots milled thereon. The reference samples will serve for better understanding the underlying concept of the invention. By the term “reference” sample, it is meant that the operational parameters of the column of the FIB which will give rise to an optimal spot are a priori known. Thus, based on these parameters, an optimal spot is generated and then one or more parameters are modified (e.g. the astigmatism value is changed each time in a pre-defined delta, in either or both of the X and Y axes) giving rise to the generation of the respective other spots. The reference sample will illustrate that the spots generated by using operational parameters other than the “optimal” parameters, will give rise to degraded spots (e.g. larger and subject to astigmatism). In contrast to the specified reference specimen (which are provided for clarity of explanation only), in real-life operation, a sample (other than a reference) is generated, obviously, without any a priori knowledge of the parameters that would lead to generation of the optimal spot. In accordance with certain embodiments, after having generated the spots, the resulting spots are inspected manually, or in full (or semi) automatic fashion in order to determine the optimal spot, and thereby extract the optimal parameters, allowing to calibrate the column parameters accordingly. Bearing this in mind, attention is drawn to FIG. 6A illustrating a reference sample. As shown, specimen 60 accommodates 49 spots, each having (x,y) offset ranging from (0,0) (spot 61) to (6,6) spot (62). Spot (63) at offset (3,3) was generated after having calibrated manually the operational parameters of the column to a priori known optimal values. A given parameter (in this particular case astigmatism value for the x and/or y axes) is tested (i.e. dynamically changed) and other parameters are retained invariable. Thus, by this particular example, the beam current is set to 1000 pA and other parameters such as focus size are set to a fixed value. The astigmatism correction step is set to 1V, meaning that for any neighboring spots the difference in the voltage levels applied to the electrodes, (say 28 in FIG. 2) is 1V (hereinafter correction step). Note, that in certain embodiments, an increment of the X offset by “1” would lead to increment by a correction step (e.g. +1V) of the voltage applied to the electrode that controls the X axis astigmatism (e.g. from the spot at offset [3,4] (65) to the spot at the offset [4,4] 67). Similarly, an increment of the Y offset by “1” would lead to increment by a correction step (e.g. +1V) of the voltage applied to the electrode that controls the Y axis astigmatism (e.g. from the spot at offset [3,3] (63) to the spot at the offset [3,4] (65)). Also, in accordance with the specified embodiments, an increment in both the X and Y offsets by “1” would lead to increment by the correction step (e.g. 1V) of the voltage applied to the electrodes that control both the X and Y axes astigmatism (e.g. from the spot at offset [3,3] (63) to the spot at the offset [4,4] (67)). By this example, the distance between neighboring spots in each raw is 3 μm and this is achieved by providing appropriate DC voltage to input 29 (see FIG. 2) which affects the beam shift angle and in response determines the incident beam location onto specimen 60. As shown in FIG. 6A, the larger the distance (in the specimen) from the optimal spot (63) the lesser the quality of the spot. Thus, the optimal spot 63 is small in size and has a round shape. The near neighboring spots appear almost the same (say, spot 64 at offset (4,3) or spot 65 at offset (3,4)) whereas more distant neighbors (such as 62 or 66) appear in larger size and less round form (or, in other words, subject to more astigmatism). Note that if the voltage applied to the electrodes that control the astigmatism value of the optimal milled spot (63) is X volts, then the voltage applied to the electrodes that control the astigmatism value of the near neighboring spots 64 and 65 are Y volts where |Y−X|=1V The minor difference of only |1V| gave rise to very small effect on the resulting form of spots (64 and 65) compared to the optimal spot (63). In contrast, the relatively large change (compared to X volts of the optimal spot) in the input voltage applied to the electrodes that control the astigmatism value when milling distant spots (62) and (66) clearly gave rise to degraded form of the latter. Thus, it is readily evident from FIG. 6A that (compared to the optimal spot 63), spots (66) and (62) are larger in size and have an evident non-round form with a manifested astigmatism. FIG. 6B illustrates a similar scenario to that described with reference to FIG. 6A, (where spot 63′ is optimal) except for the fact that the correction step is set to a larger value of 2 Volts (Vs. 1V used in the example of FIG. 6A). Due to the larger correction step, the effect on the astigmatism is more evident and as readily shown spots 62′ and 66′ are considerably larger in size and manifest more astigmatism compared to spots 62 and 66 having respective corresponding offsets in the specimen of FIG. 6A. Obviously, the invention is not bound by using a matrix form specimen and a fortiori not of 7 by 7 size. Accordingly the size of the sample (i.e. how many spots and the arrangement thereof) as well as the distance between the spots may be determined according to the particular application. Bearing this in mind, attention is drawn to FIG. 3 illustrating a flow diagram of a calibration sequence of operation, in accordance with certain embodiments of the invention. Thus, at the onset a known per se manual column alignment step is performed (31), including best focus, wobble and astigmatism on clear pattern. In accordance with certain embodiment, this is achieved by applying, at the onset, manual coarse alignment for high beam current by using focus, astigmatism and wobble X and Y axis alignment options to get the best image by human vision observation on wafer pattern. Note that, as a rule, this preliminary manual operation would not give rise to an optimal generated spot, and accordingly the quality of the generated spot may vary according to the skills of the individual technician who carries out this manual calibration procedure. Thereafter, the beam is directed to a bare area (32) constituting the specimen of interest. To this end, the beam blank and the beam shift controls are activated, in a known per se manner. Next, selected parameter or parameters of interest are chosen for calibration (33). In accordance with certain embodiments, the operational parameters being at least one of: astigmatism value, focus value, wobbles value, beam current value and spot milling time. Note that in the example illustrated above with reference to FIG. 6A, only the astigmatism value parameter was used. Note also that at this stage, in addition to the selected parameters, also correction step is selected as well as the specimen characteristics, such as matrix size (including number of spots), as well as the beam shift angle prescribing the distance between neighboring spots. In accordance with certain embodiments, the characteristics of interest may be fed as an input in a form of a file, say, high beam current calibration parameters input parameters. The file includes specification of the parameters of interest such as (Focus increment, Astigmatism increment, Wobble increment, Milling time, displacement space). In the latter example, the Focus, Astigmatism and wobble are tested and their corresponding entries in the file would indicate the correction step that is applied (incremented) for each spot. This will be further exemplified with respect of a non-limiting example of FIG. 6. The file further specifies the milling time per each spot and the distance between neighboring spots. The invention is, of course, not bound by the specified form of input (namely file/s), and obviously not by the specific content described above. Thus, in accordance with certain embodiments, other parameters (e.g. beam shift energy) can be used in addition or in lieu of the specified parameters. In accordance with certain embodiments, the correction step in respect of at least one of the parameters may be variable, each modified in a different values in two or more iterations. In accordance with certain other embodiments, the correction step for a given parameter is identical at each iteration. (This option is exemplified in FIG. 6, below) Based on the set parameters, the milling action is activated by triggering on the beam (34) which, inter alia, will disable the Beam Blank allowing the beam to impinge on the surface of the sample at the designated location (according to the set beam angle) and mill the spot onto the surface. When the pre-defined milling time has been elapsed, the BB is turned on, thereby disabling the beam and terminating the milling action. Next, a new destination of the next spot is selected (35) (by altering the beam angle in a predetermined correction step) and the parameters are set to a new value according to the correction step (36). The beam blank is turned off to commence the milling of the new spot on the specimen (37), until disabling the beam (by activating Beam Blank) after the designated milling duration has been elapsed (38). The procedure is repeated (39) until the entire matrix is completed (40). In the specific example illustrated with reference to FIGS. 6A and 6B, the procedure is repeated 49 times so as to generate respective 49 spots. In accordance with certain embodiments, the entire milling process would be about 2 sec per spot. In certain embodiments, the same correction step is applied for each iteration. In certain embodiments, a different correction step is applied in one or more iterations. In accordance with certain embodiments, the different correction step is applied in respect of the same parameter (for instance, for one or more iterations, instead of applying a correction step of 1V [for the astigmatism value], a correction step of 2V is applied). In accordance with certain embodiments, at least one different parameter is adjusted in one or more iterations. For instance, in one or more iterations, in addition to the astigmatism value, also the beam intensity value is calibrated. Other variants of employing the parameters, number of spots, distance there between, and or correction steps may be employed. The remaining section of FIG. 3, concerns inspection of the so milled spots, as will be described in greater detail below. In accordance with certain embodiments, the application of the correction step in respect of the distinct spots is applied automatically. Thus, for example, with reference to the example of FIG. 6A, where the calibrated parameter is an astigmatism value, the new offset location of the spot on the matrix specimen and the corresponding correction spot, comply with the following equation:XNEW=Xp+(Xn−Xc)·Correction stepYNEW=Yp+(Yn−Yc)·Correction step Where: XNEW, YNEW represent the new value of the parameter Xp, Yp represent a reference value of the parameter (say, Xp stands for a “starting” voltage for the X axis astigmatism and Yp stands for a “starting” voltage for the Y axis astigmatism) Xn, Yn signify new a beam location Correction step: signifies the correction step (say 1V in FIG. 6A and 2V in FIG. 6B) Xc, Yc represent the matrix center. For a better understanding, attention is drawn again to FIG. 6A, where Xc, Yc stand for the spot (63) milled at offset (3,3), i.e. the matrix center. Assume also that Xp, Yp are the reference voltage values applied to the electrodes that control the X astigmatism value, and Y astigmatism value for spot 63. The new astigmatism values XNEW, YNEW for the near neighbor spot at offset (3,4) (i.e. spot (65)) are as follows: since Xn=Xc (both having the value 3), then XNEW=Xp. YNEW=Yp+(4−3)·1V. Namely, the astigmatism value applied for the Y electrode for milling spot (65) would be in 1V higher compared to the voltage (Yp) applied to the electrode when milling the optimal spot (63). Applying the equations to spot (67) at offset (4,4) would lead to XNEW=Xp+1V and YNEW=Yp+1V In accordance with another example applying the equations to spot (62) at offset (6,6) would lead to new astigmatism values XNEW=Xp+3V and YNEW=Yp+3V. The deviation in 3V in both the X and Y axes would lead to more evident astigmatism as readily shown in FIG. 6A. The invention is, of course, not bound by the specified example of automatic application of correction step in accordance with the spot location. It should be generally noted that, in accordance with certain embodiments, the operational ranges that may be employed for the various operational parameters of the FIB, are: Focus values: 0–22,000V; Astigmatism value: 0–100V (in the X axis and Y axis); Wobble: (for x,y: DC motor with an accurate optical encoders). For aperture range, the entire move along the X axis is 30 mm and for the Y axis is 10 mm. The accuracy step is of ˜1 um for selecting one of the following 10 um, 20 um, 50 um, 100 um, 150 um, 200 um, and 400 um. Having generated a specimen accommodating a plurality of spots, it would be desired, in accordance with certain embodiments, to select a spot of interest. In accordance with certain embodiments, the selected spot is an optimal spot in terms of small symmetry and roundness. Once a spot is selected, its corresponding parameters are extracted and the column can be calibrated accordingly. To this end, during the milling process described above, the parameter values that correspond to each generated spot are registered. In this connection, attention is drawn to FIG. 4, illustrating schematically a data structure for storing registered operational parameters, in accordance with an embodiment of the invention. By this particular example, the data structure, say a file that stores records, each record stores parameter values that correspond to a given spot. In the example of FIG. 4, a sample record 40 illustrates schematically, and it stores the data that pertains to a given spot, such as Ip (Probe current), matrix size (for all the spots), space between neighboring spots (applicable for the entire matrix). In addition, the record provides the specific parameter values for this given spot, including the astigmatism correction (for this specific case only by using the equation Xnew and Ynew). In the example of FIG. 4, F, A and W values, stand for Focus, Astigmatism and Wobble), and the time stands for the milling duration time. The invention is, of course, not bound by any specific data structure and/or the content thereof and accordingly the record structure and content as illustrated in FIG. 4, are provided as an example only. Bearing this in mind, there follows a description of an inspection phase for selecting an optimal spot in accordance with certain embodiments of the invention. Note that the inspection phase can be manual (i.e. based solely on the inspection skills of the operator), or in accordance with other embodiments semi-automatic or fully automatic. For a better understanding of the foregoing, attention is drawn again to FIG. 3. As may be recalled steps 31–38 gave rise to the generation of the specimen. After having generated the specimen, an inspection phase commences. The inspection can be performed using the FIB detector and associated processor, or if desired other inspection means such as the SEM. A consecutive milling and inspection operations using FIB and SEM, respectively, are generally known per se and described, e.g. in U.S. Pat. No. 6,670,610 “system and method for directing a miller”, whose contents is incorporated herein by reference. Thus, using the inspection tool, a proper FOV (field of view depending upon column scanner) is selected 39 (taking into account the matrix size and the distance between neighboring spots) and a burst image is triggered to generate an image (301) of the inspected matrix, all as known per se. Thereafter, a manual, semi-automatic or fully automatic process is used to identify the optimal spot (302), and once the optimal spot is identified, its corresponding parameters are extracted (303), using, say the data structure described with reference to FIG. 4. Note that in the case that semi-automatic or automatic procedure is used in step 302, this would involve in accordance with certain embodiments applying known per se image processing techniques, used to determine how round is the spot, etc. Thus, in accordance with certain embodiments, such an automatic technique includes applying image threshold and thereafter find morphologic close image or use Blob function background and foreground state. Then, calculate the area of close shape, search for small one having ratio of, say near to 1 for maximum diameter/minimum diameter. The invention is, of course, not bound by this specific atomization technique. Having identified the optimal spot and extracted its corresponding parameter values, the column can be calibrated according to the so extracted parameter values (303) and the FIB can be used in a normal mode of operation using the specified calibrated operational parameters. It is expected that the FIB will now generate optimal spots in its normal course of operation. A similar procedure is illustrated in FIG. 5, where after having generated an image of the matrix (51), the optimal spot is identified (52) (say at offset 1,1), and the location data is fed to the data structure to extract the corresponding parameter values (53). The so extracted values are fed and stored as column parameters for the subsequent operational stages (54). The description with reference to FIGS. 1–6 above, referred to calibrating parameters of a FIB. As specified above, the invention is not bound to FIB and accordingly, it is applicable to other charged particle beam devices. FIG. 7 illustrates a generalized system architecture, in accordance with another embodiment of the invention. FIG. 7 illustrates schematically a column of a SEM 70 and the appropriate parameters that can affect the characteristic of the resulting spot are marked as an input to the SEM column. Similar to the FIB, here also one or more of the specified parameters may be tested. Note that the invention is not bound by the specified list of parameters. A typical, yet not exclusive operational range of the parameters is as follows: SEMGun alignmentx.y 0 ÷ 200 mAAperture alignmentx.y 0 ÷ 200 mAAstigmatismx.y 0 ÷ 200 mAFocus855 mA, 0 ÷ 2 AmpsBeam energy1 Kv, OV − 15 KvCup voltageOV, OV − 5 KvWafer BiasOV, OV − 2 KvColumn voltage8 Kv, 0 − 10 Kv Note, incidentally, that when a SEM is used in accordance with the teachings of the present invention, it is assumed that its beam current is high enough to generate a milling effect on the sample (such as Electron Beam Photo Resist). Turning now to FIG. 8, it illustrates a generalized system architecture, in accordance with another embodiment of the invention. By this example, the charged particle beam device that is used is a TEM. FIG. 8 illustrates schematically a column of a TEM 80 and the appropriate parameters that can affect the characteristic of the resulting spot are marked as an input to the TEM column. Similar to the FIB, here also one or more of the specified parameters may be tested. Note that the invention is not bound by the specified list of parameters. A typical, yet not exclusive operational range of the parameters is as follows: TEMBeam energy Acc100 Kv–200 KvFilament current2.5 Amps–5 AmpsExtractor voltage5.5 Kv–11 KvSuppressor voltage500 V–1000 V The present invention has been described with a certain degree of particularity, but those versed in the art will readily appreciate that various alterations and modifications may be carried out, without departing from the scope of the following Claims: |
|
description | This application claims the benefit of Korean Patent Application No. 10-2017-0098522, filed on Aug. 3, 2017, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein in its entirety by reference. One or more embodiments relate to a modular lower moving system for nuclear fuel handling and a method of refueling nuclear fuel using the same, and more particularly, to a modular lower moving system for nuclear fuel handling which is capable of detaching a lower reactor vessel assembly, in which nuclear fuel is loaded, from a lower portion of a reactor vessel and moving nuclear fuel from the lower portion of the reactor vessel using a lifting device and a transfer cart, and a method of refueling nuclear fuel using the same. In existing pressurized water reactor-type nuclear power plants, to replace spent fuel, a reactor operation should be stopped, a reactor head area structure assembly should be removed along with a reactor vessel closure head, and an upper guide structure inside the reactor should be removed. However, these processes require complicated procedures and operations as a main process for removing related components. First, a spent fuel replacement process is performed by stopping a reactor operation, detaching a control rod drive device from an extension shaft thereof, and separating related cables. A reactor vessel closure head stud is removed and then a guide pin is installed in a vessel stud hole, a space between a reactor vessel seal ledge and an embedment ring in the refueling pool floor is sealed by a reactor cavity pool seal assembly, sealing media and all in-core instruments inserted into a reactor core are taken out. Next, a refueling pool is filled with refueling water, an upper guide structure lifting device is installed in an upper guide structure and fastened therewith, and then the resulting structure is removed from a reactor vessel and stored on an upper guide structure laydown area, thereby forming an environment allowing nuclear fuel to be unloaded from the reactor. Subsequently, the spent fuel is grappled with a refueling machine in the reactor, which is then moved a refueling canal, and the spent fuel is transferred to a fuel building via a nuclear fuel transfer system located at the refueling canal. A spent fuel handling machine located in the fuel building withdrawns the spent fuel carried via a fuel transfer system and transfers the spent fuel to a spent fuel storage rack in the spent fuel pool. Nuclear fuel reloading process is then reversed in opposite order to spent fuel offloading procedures. When refueling is completed, the upper guide structure is inserted again into the reactor vessel, control rod assemblies and control rod assembly extension shafts also return to their original positions, the in-core instruments are inserted again into the core, and the cables are connected to each other, thereby completing the refueling of nuclear fuel. As described above, the reactor vessel closure head shall be removed from a reactor head area structure assembly to replace spent fuel and an upper guide structure inside a reactor vessel should be removed. However, a plurality of complicated structures are located at an upper portion of a reactor vessel, and complicated procedures and operations for removing these structures are required and various equipment therefore is required. One or more embodiments include a modular lower moving system for nuclear fuel handling which is capable of detaching a lower reactor vessel assembly, in which nuclear fuel is loaded, from a lower portion of a reactor vessel and moving nuclear fuel from the lower portion of the reactor vessel using a lifting device and a transfer cart, and a method of refueling nuclear fuel using the same. Additional aspects will be set forth in part in the description which follows and, in part, will be apparent from the description, or may be learned by practice of the presented embodiments. According to one or more embodiments, a modular lower moving system for nuclear fuel handling includes a lower reactor vessel assembly installed below a reactor vessel located in the reactor area, detachable from the reactor vessel, and including nuclear fuel loaded therein; a carrier having a space allowing the lower reactor vessel assembly detached from the reactor vessel to be accommodated therein; a rail installed at a bottom of the transfer canal and extended from the reactor area to the fuel handling area; a transfer cart horizontally movable along the rail; a lifting device installed at the transfer cart, horizontally movable along the rail along with the transfer cart, and movable upward or downward with respect to the transfer cart; and a drive device configured to supply power to the transfer cart and the lifting device, wherein the carrier is assembled on the lifting device to be lifted or lowered via the lifting device, the lower reactor vessel assembly detached from the reactor vessel is accommodated in the carrier, and the carrier is horizontally movable along the rail via the transfer cart. The drive device may include a drive unit installed in the fuel handling area and configured to provide power; a first extension stem connected to the drive unit and extending downward of the drive unit; a first gear shaft connected to the first extension stem and connected to the transfer cart; a second extension stem connected to the drive unit and extending downward of the drive unit; and a second gear shaft connected to the second extension stem and connected to the lifting device, wherein power of the drive unit may be transmitted to the transfer cart via the first extension stem and the first gear shaft, and may be transmitted to the lifting device via the second extension stem and the second gear shaft. The first gear shaft may be connected to the transfer cart via a gear to convert rotational movement of the first gear shaft into horizontal movement of the transfer cart, and the second gear shaft may be connected to the lifting device via a gear to convert rotational movement of the second gear shaft into vertical movement of the lifting device. The carrier may be provided, at an inner wall thereof, with insertion keys protruding inward of the carrier, the lower reactor vessel assembly may be provided, at an outer wall thereof, with keyways protruding outside the lower reactor vessel assembly and each having a groove allowing the insertion key to be inserted thereinto, the insertion keys may be installed along the inner wall of the carrier with constant intervals of 90° therebetween, and the keyways may be installed along the outer wall of the lower reactor vessel assembly with constant intervals of 90° there between. A fixed guide pin may be installed at a side surface of the reactor area and another side surface of the fuel handing area, the fixed guide pin extending upward from a bottom surface and having a rod shape, and a rotary guide pin may be arranged, the rotary guide pin extending upward from the bottom surface, spaced apart from the fixed guide pin by a constant distance, and having a rod shape, wherein the rotary guide pin may be rotatable about a first virtual axis extending in a direction parallel to a direction in which the rotary guide pin extends upward. The drive device may include a drive unit installed in the fuel handling area and configured to provide power; a first extension stem connected to the drive unit and extending downward of the drive unit; a first gear shaft connected to the first extension stem and connected to the transfer cart; and a rotary gear connected to a side surface of the first gear shaft, wherein a center of the rotary gear may be positioned on the first virtual axis, and the rotary guide pin may be eccentrically installed at the rotary gear, allowing the rotary guide pin to be rotatable via the rotary gear. The modular lower moving system may further include a hole plug installed at least one of the carrier, the lifting device, and the transfer cart, and having a groove shape allowing the fixed guide pin or the rotary guide pin to be inserted thereinto. According to one or more embodiments, a method of refueling nuclear fuel using a modular lower moving system for nuclear fuel handling, including: a lower reactor vessel assembly installed below a reactor vessel located in a reactor area, detachable from the reactor vessel, and including nuclear fuel loaded therein; a carrier having a space allowing the lower reactor vessel assembly detached from the reactor vessel to be accommodated therein; a rail installed at a bottom of a transfer canal and extending from the reactor area to a fuel handling area; a transfer cart horizontally movable along the rail; a lifting device installed at the transfer cart, horizontally movable along the rail along with the transfer cart, and movable upward or downward with respect to the transfer cart; and a drive device configured to supply power to the transfer cart and the lifting device, wherein the carrier is assembled on the lifting device to be lifted or lowered via the lifting device, the lower reactor vessel assembly detached from the reactor vessel is accommodated in the carrier, and the carrier is horizontally movable along the rail via the transfer cart, includes: lifting the carrier in the reactor area via the lifting device; detaching the lower reactor vessel assembly installed below the reactor vessel; accommodating the detached lower reactor vessel assembly in the carrier; lowering the carrier via the lifting device; horizontally moving the transfer cart from the reactor area to the fuel handling area; and offloading nuclear fuel loaded in the lower reactor vessel assembly by lifting the carrier via the lifting device. The offloading may include grappling the nuclear fuel to a handling tool installed at a spent fuel handling machine by lifting the lifting device of the transfer cart transferred to the fuel handling area; and transporting the nuclear fuel to a spent fuel storage tank via the spent fuel handling machine. The method may further include refueling nuclear fuel loaded in the lower reactor vessel assembly; lowering the carrier via the lifting device; horizontally moving the transfer cart from the fuel handling area to the reactor area; lifting the carrier via the lifting device; and coupling the lower reactor vessel assembly to a lower portion of the reactor vessel. Reference will now be made in detail to embodiments, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. In this regard, the present embodiments may have different forms and should not be construed as being limited to the descriptions set forth herein. Accordingly, the embodiments are merely described below, by referring to the figures, to explain aspects of the present description. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list. One or more embodiments relate to a modular lower moving system for nuclear fuel handling which is capable of detaching a lower reactor vessel assembly, in which nuclear fuel is loaded, from a lower portion of a reactor vessel and moving nuclear fuel from the lower portion of the reactor vessel using a lifting device and a transfer cart, and a method of refueling nuclear fuel using the same. Hereinafter, exemplary embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. The modular lower moving system for nuclear fuel handling is configured to transfer nuclear fuel via a transfer canal 30 installed between a reactor area 10 in which a reactor vessel is installed and a fuel handling area 20, and includes a lower reactor vessel assembly 111, a carrier 120, a rail 130, a transfer cart 140, a lifting device 150, and a drive device 160. Referring to FIG. 1, the lower reactor vessel assembly 111 is installed below a reactor vessel 110 located in the reactor area 10, and has nuclear fuel 112 loaded below the reactor vessel 110. The lower reactor vessel assembly 111 is detachably coupled to the reactor vessel 110. In particular, the lower reactor vessel assembly 111 is detachably coupled to the reactor vessel 110 via a flange 113, bolts 114, and nuts 115. The flange 113 includes an upper flange 113a installed at the reactor vessel 110 and a lower flange 113b installed at the lower reactor vessel assembly 111. Through-holes are formed in the upper and lower flanges 113a and 113b, the bolts 114 are inserted into the respective through-holes, and the nut 115 is fastened with each bolt 114 so that the lower reactor vessel assembly 111 is coupled to the reactor vessel 110. A sealing media may be inserted between the upper flange 113a and the lower flange 113b to maintain an intrinsic sealing function of a reactor, and the sealing media may be inserted into a groove formed at an upper surface of the lower flange 113b to seal the reactor. The nuts 115 are installed at a bottom surface of the lower flange 113b, and thus enable application of tensile force required when the upper flange 113a is bolt-fastened with the lower flange 113b, and may enhance workability in a narrow space. To offload or reload the nuclear fuel 112, first, the lower reactor vessel assembly 111 is detached from the reactor vessel 110. At this time, the bolts 114 may be remotely removed considering operating conditions of the reactor area 10, thereby detaching the lower reactor vessel assembly 111 from the reactor vessel 110. Referring to FIG. 2, the carrier 120 has a space capable of accommodating the lower reactor vessel assembly 111 detached from the reactor vessel 110. The carrier 120 acts as a support for accommodating the lower reactor vessel assembly 111, and the space of the carrier 120 has a shape corresponding to an external shape of the lower reactor vessel assembly 111. The carrier 120 may have various shapes as long as the carrier 120 can accommodate and carry the lower reactor vessel assembly 111. Referring to FIG. 3, when the lower reactor vessel assembly 111 is accommodated in the carrier 120, keyways 116 may be installed at an outer wall of the lower reactor vessel assembly 111 to facilitate alignment of the lower reactor vessel assembly 111, and insertion keys 121 may be installed at an inner wall of the carrier 120. In particular, the keyways 116 protrude from the outer wall of the lower reactor vessel assembly 111, and each keyway 116 has a groove 117 allowing the insertion key 121 to be inserted thereinto. The insertion keys 121 protrude from the inner wall of the carrier 120 into the carrier 120 and may be inserted into the respective grooves 117. As the insertion keys 121 are inserted into the grooves 117 of the keyways 116, the lower reactor vessel assembly 111 may be accommodated in the carrier 120 without being shaken, and the lower reactor vessel assembly 111 may be easily aligned in its insertion direction when the lower reactor vessel assembly 111 is reinstalled at the reactor vessel 110. The insertion keys 121 may be installed along the inner wall of the carrier 120 with constant intervals of 90° therebetween, and the keyways 116 may be installed along the outer wall of the lower reactor vessel assembly 111 with constant intervals of 90° therebetween. However, the present disclosure is not limited to the above examples, and, according to need, the insertion keys 121 and the keyways 116 may be formed at various positions. Referring to FIG. 2, The rail 130 is installed at the bottom of the transfer canal 30 and extends from the reactor area 10 to the fuel handling area 20. A plurality of rails 130 may be installed, and the transfer cart 140, which will be described below, may be transferred via the rail 130. The transfer cart 140 may be horizontally moved via the rail 130 and may be moved from the reactor area 10 to the fuel handling area 20 or from the fuel handling area 20 to the reactor area 10 via the rail 130. The lifting device 150 is installed at the transfer cart 140. The lifting device 150 may be installed at an upper portion of the transfer cart 140, and may be horizontally moved along the rail 130 together with the transfer cart 140. That is, the lifting device 150 is moved along with the transfer cart 140. The lifting device 150 is able to move upward or downward with respect to the transfer cart 140. In particular, the lifting device 150 may include a support portion 151 and a cross stand 152. The cross stand 152 moves upward or downward while folding or unfolding, and the support portion 151 may support the carrier 120. The carrier 120, in which the lower reactor vessel assembly 111 detached from the reactor vessel 110 is accommodated, may be connected to the lifting device 150 to be moved upward or downward. In particular, the carrier 120 is connected to an upper portion of the support portion 151 by moving upward the cross stand 152 of the lifting device 150, and then the cross stand 152 is moved downward. Subsequently, when the transfer cart 140 is transferred along the rail 130, the lifting device 150 installed at the upper portion of the transfer cart 140, and the carrier 120 connected to the lifting device 150 may be horizontally moved together with the transfer cart 140. Referring to FIG. 2, the drive device 160 may apply power to the transfer cart 140 and the lifting device 150. In particular, the drive device 160 may include a drive unit 161, a first extension stem 162, a second extension stem 163, a first gear shaft 164, and a second gear shaft 165. The drive unit 161 is configured to provide power and may be installed at an upper portion of the fuel handling area 20. A water level is formed in the fuel handling area 20, and thus the drive unit 161 may be installed at an upper portion of the fuel handling area 20, where the water level is not reached. The first extension stem 162, the second extension stem 163, the first gear shaft 164, and the second gear shaft 165 are configured to transmit power of the drive unit 161. In particular, the first extension stem 162 and the second extension stem 163 are connected to the drive unit 161, and extend downward of the drive unit 161. The first extension stem 162 and the second extension stem 163 may be connected to the drive unit 161 via a gear, and are rotatable by the drive unit 161. Power enabling the transfer cart 140 to be moved along the rail 130 may be transmitted from the drive unit 161 via the first extension stem 162 and the first gear shaft 164. Referring to FIGS. 2 and 4, the power of the drive unit 161 is transmitted to the first extension stem 162 to rotate the first extension stem 162. The rotated first extension stem 162 rotates the first gear shaft 164 horizontally extending from a lower portion of the first extension stem 162. The first gear shaft 164 is connected to the transfer cart 140 via a gear to convert rotational movement of the first gear shaft 164 to horizontal movement of the transfer cart 140. In particular, the first gear shaft 164 is connected to the transfer cart 140 via rack and pinion gears 171 so that rotational movement of the first gear shaft 164 is converted into the horizontal movement of the transfer cart 140. Power enabling the lifting device 150 to be moved upward or downward with respect to the transfer cart 140 may be transmitted from the drive unit 161 via the second extension stem 163 and the second gear shaft 165. Referring to FIGS. 2 and 4, the power of the drive unit 161 is transmitted to the second extension stem 163 to rotate the second extension stem 163. The rotated second extension stem 163 rotates the second gear shaft 165 horizontally extending from the lower portion of the second extension stem 163. The second gear shaft 165 is connected to the lifting device 150 via a gear to convert rotational movement of the second gear shaft 165 into vertical movement of the lifting device 150. In particular, the second gear shaft 165 is connected to a first lifting gear 173 installed at the lifting device 150 via a plurality of intermediate gears 172. The first lifting gear 173 is a gear having a shaft in a direction parallel to a direction in which the lifting device 150 is moved upward or downward. The first lifting gear 173 is connected to a second lifting gear 174 consisting of rack and pinion gears, and the second lifting gear 174 is connected to the cross stand 152 so that the cross stand 152 is foldable. The second gear shaft 165 is connected to the cross stand 152 via gears to fold or unfold the cross stand 152 so that the lifting device 150 can be moved upward or downward. The modular lower moving system for nuclear fuel handling may further include fixed guide pins 181 and rotary guide pins 182. The carrier 120 in which the lower reactor vessel assembly 111 is accommodated using the lifting device 150 should be moved upward or downward while maintaining a horizontal state without being shaken leftward or rightward, and, accordingly, the nuclear fuel 112 may be safely refueled. The fixed guide pins 181 and the rotary guide pins 182 are configured to guide the lifting device 150 to be moved upward or downward while maintaining a horizontal state without being shaken leftward or rightward. The fixed guide pins 181 are installed at a side surface of the reactor area 10 and another side surface of the fuel handling area 20, and extend upward from a bottom surface 31. In particular, referring to FIG. 2, the side surface of the reactor area 10 is a wall side of the reactor area 10, and the other side surface of the fuel handling area 20 is a wall side of the fuel handling area 20 (i.e., the side surface of the reactor area 10 is a side opposite to the transfer canal 30, and the other side surface of the fuel handling area 20 is a side opposite to the transfer canal 30). The fixed guide pins 181 have a rod shape and extend upward from the bottom surface 31 to a portion in which the lower reactor vessel assembly 111 is coupled to the reactor vessel 110. The rotary guide pins 182 extend upward from the bottom surface 31 and are installed separate from the fixed guide pins 181 with a constant interval therebetween. The rotary guide pins 182 are respectively installed at the reactor area 10 and the fuel handling area 20 and consist of the rotary guide pin 182 spaced apart from the side surface of the reactor area 10 with a constant interval therebetween and the rotary guide pin 182 spaced apart from the other side surface of the fuel handling area 20 with a constant interval therebetween. In this regard, a direction separate from the fixed guide pins 181 at a constant interval is a direction separate towards the transfer canal 30 (i.e., when the fixed guide pin 181 is positioned at the reactor area 10, the rotary guide pin 182 is positioned separate from the reactor area 10 in a direction towards the transfer canal 30 and, when the fixed guide pin 181 is positioned at the fuel handling area 20, the rotary guide pin 182 is positioned separate from the fuel handling area 20 in a direction towards the transfer canal 30). Groove-shaped hole plugs 122 enabling the fixed guide pins 181 or the rotary guide pins 182 to be inserted thereinto may be provided at least one of the carrier 120, the transfer cart 140, and the lifting device 150. The fixed guide pins 181 or the rotary guide pins 182 are inserted into the hole plugs 122 so that horizontal movements of the carrier 120, the transfer cart 140, and the lifting device 150 can be restricted thereby, and, accordingly, the lifting device 150 may be moved upward while maintaining a horizontal state without being shaken leftward or rightward. In particular, referring to FIG. 4, two fixed guide pins 181 and two rotary guide pins 182 may be installed. In the case of the reactor area 10, the two fixed guide pins 181 may be installed at the side surface of the reactor area 10 (i.e., the wall side of the reactor area 10), and the two rotary guide pins 182 spaced apart from the fixed guide pins 181 with a constant interval therebetween may be installed. In the case of the fuel handling area 20, the two fixed guide pins 181 may be installed at the other side surface of the fuel handling area 10 (i.e., the wall side of the fuel handling area 20), and the two rotary guide pins 182 spaced apart from the fixed guide pins 181 with a constant interval therebetween may be installed. As described above, since the carrier 120, the transfer cart 140, and the lifting device 150 are integrally moved horizontally, the hole plugs 122 may be installed at least one of the carrier 120, the transfer cart 140, and the lifting device 150. However, to effectively prevent the carrier 120 from being shaken, the hole plugs 122 may be installed only at the carrier 120 and the transfer cart 140. Since the fixed guide pins 181 and the rotary guide pins 182 restrict the horizontal movements of the carrier 120, the transfer cart 140, and the lifting device 150, there are concerns that the movement of the transfer cart 140 is restricted by the rotary guide pins 182. Thus, the rotary guide pins 182 are rotatable about a first virtual axis 183 extending in a direction parallel to a direction in which the rotary guide pins 182 extend upward. In particular, referring to FIG. 5, the rotary guide pins 182 are inserted into the hole plugs 122 when the carrier 120 is moved upward or downward by the lifting device 150, thereby restricting the horizontal movements of the carrier 120, the transfer cart 140, and the lifting device 150. However, when the transfer cart 140 has to horizontally move along the rail 130, the rotary guide pins 182 escape from the hole plugs 122 while being rotated about the first virtual axis 183. In this regard, the rotary guide pins 182 are rotated to be positioned at a portion outside a horizontal movement path of the transfer cart 140. When the rotary guide pins 182 are positioned at the portion outside the horizontal movement path of the transfer cart 140 by escaping from the hole plugs 122, the rotary guide pins 182 do not restrict the horizontal movements of the carrier 120, the transfer cart 140, and the lifting device 150 any longer so that the transfer cart 140 can be horizontally moved. A driving force for rotating the rotary guide pins 182 may be provided by a separate driving force device, but may be provided by the above-described drive device 160. In particular, the driving force may be transmitted by the first extension stem 162 and the first gear shaft 164 connected to the first extension stem 162. Referring to FIG. 5, a rotary gear 170 may be installed at a side surface of the first gear shaft 164, and the rotary guide pin 182 is eccentrically installed at the rotary gear 170. The rotary gear 170 is connected to the first gear shaft 164 and may be rotated by the first gear shaft 164. The center of the rotary gear 170 may be positioned on the first virtual axis 183. When the rotary gear 170 is rotated, the rotary guide pin 182 eccentrically installed at the rotary gear 170 is rotated with a radius eccentric from the center of the rotary gear 170. As the rotary guide pin 182 is rotated with the eccentric radius, the rotary guide pin 182 is positioned outside the horizontal movement path of the transfer cart 140 while escaping from the hole plug 122. A method of refueling nuclear fuel using the above-described modular lower moving system for nuclear fuel handling will be described as follows. The modular lower moving system for nuclear fuel handling used in the method of refueling nuclear fuel is the same as that described above, and thus a detailed description thereof will be omitted. The method of refueling nuclear fuel using the above-described modular lower moving system for nuclear fuel handling is a process of transferring the nuclear fuel 112 from the reactor area 10 to the fuel handling area 20, but the present disclosure is not limited thereto, and may also include a process of transferring the nuclear fuel 112 from the fuel handling area 20 to the reactor area 10 (in particular, a nuclear fuel loading process (operation S700). Referring to FIG. 6, the method of refueling nuclear fuel using the above-described modular lower moving system for nuclear fuel handling includes a carrier lifting process (operation S100), a lower reactor vessel assembly detachment process (operation S200), a process of accommodating a lower reactor vessel assembly in a carrier (operation S300), a carrier lowering process (operation S400), a transfer cart horizontal movement process (operation S500), and a nuclear fuel offloading process (operation S600). The carrier lifting process (operation S100) is a process of lifting the carrier 120 from the reactor area 10 via the lifting device 150. Referring to FIG. 2, the lifting device 150 is moved upward to a position allowing the carrier 120 connected to the lifting device 150 to support the lower reactor vessel assembly 111. That is, the carrier 120 is moved upward so that the lower reactor vessel assembly 111 is accommodated in the carrier 120 to closely contact the carrier 120. Referring to FIG. 3, when the lower reactor vessel assembly 111 is accommodated in the carrier 120, to facilitate alignment of the lower reactor vessel assembly 111, the keyways 116 may be installed at the outer wall of the lower reactor vessel assembly 111 and the insertion keys 121 may be installed at the inner wall of the carrier 120. In particular, the keyways 116 protrude from the outer wall of the lower reactor vessel assembly 111 and have the grooves 117 allowing the insertion keys 121 to be inserted thereinto. The insertion keys 121 protrude from the inner wall of the carrier 120 into the carrier 120 and may be inserted into the respective grooves 117. As the insertion keys 121 are inserted into the grooves 117 of the keyways 116, the lower reactor vessel assembly 111 may be accommodated in the carrier 120 without being shaken, and the lower reactor vessel assembly 111 is accommodated in the carrier 120 in a designated direction. The insertion keys 121 may be installed along the inner wall of the carrier 120 with constant intervals of 90° therebetween, and the keyways 116 may be installed along the outer wall of the lower reactor vessel assembly 111 with constant intervals of 90° therebetween. However, the present disclosure is not limited to the above examples, and, according to need, the insertion keys 121 and the keyways 116 may be formed at various positions. The lifting device 150 may be lifted via the fixed guide pins 181 and the rotary guide pins 182. The fixed guide pins 181 and the rotary guide pins 182 are configured to guide the lifting device 150 to be lifted so that the lifting device 150 is horizontally restricted via the fixed guide pins 181 and the rotary guide pins 182, and thus is lifted without being shaken leftward or rightward. The rotary guide pins 182 are rotatable about the first virtual axis 183, and are rotated when the lifting device 150 is lifted, to be inserted into the hole plugs 122. In particular, the rotary guide pin 182 is eccentrically installed at the rotary gear 170 installed at the side surface of the first gear shaft 164 (the rotary gear 170 may receive power from the first gear shaft 164). When the rotary gear 170 is rotated, the rotary guide pin 182 eccentrically installed at the rotary gear 170 may be rotated with a radius eccentric from the center of the rotary gear 170. In the carrier lifting process (operation S100), the rotary guide pins 182 are rotated to be inserted into the hole plugs 122 so that the carrier 120 can be horizontally restricted thereby. The lower reactor vessel assembly detachment process (operation S200) is a process of detaching the lower reactor vessel assembly 111 installed below the reactor vessel 110. The lower reactor vessel assembly 111 is supported by the carrier 120 being lifted by the lifting device 150. Thus, the lower reactor vessel assembly 111 may be detached without being dropped, by the carrier 120. The reactor vessel 110 is separated from the lower reactor vessel assembly 111 by separating the bolts 114 passing through the upper and lower flanges 113a and 113b from the nuts 115 fastened with the bolts 114. At this time, separation between the bolts 114 and the nuts 115 may be remotely performed due to a radiation environment of the reactor area 10. The process of accommodating a lower reactor vessel assembly in a carrier (operation S300) is a process of accommodating the lower reactor vessel assembly 111 detached from the reactor vessel 110 in the carrier 120. Since the carrier 120 is lifted to a position capable of supporting the lower reactor vessel assembly 111, when the bolts 114 are separated from the nuts 115, the lower reactor vessel assembly 111 is accommodated in the carrier 120. The carrier lowering process (operation S400) is a process of lowering the carrier 120 via the lifting device 150. The carrier 120 is moved downward while the lifting device 150 is lowered, and, accordingly, the lower reactor vessel assembly 111 accommodated in the carrier 120 is moved downward therewith. The transfer cart horizontal movement process (operation S500) is a process of horizontally moving the transfer cart 140 from the reactor area 10 to the fuel handling area 20, and the transfer cart 140 is transferred to the fuel handling area 20 from the reactor area 10 via the transfer canal 30. The transfer cart 140 is moved along the rail 130, and, before the transfer cart 140 is moved along the rail 130, the rotary guide pins 182 escape from the hole plugs 122 by rotation. When the rotary guide pins 182 are positioned at the hole plugs 122, the transfer cart 140 is horizontally restricted and thus cannot perform horizontal movement. Accordingly, the rotary guide pins 182 escape from the hole plugs 122 by rotation, and the rotary guide pins 182 are rotated until the rotary guide pins 182 deviate from the horizontal movement path of the transfer cart 140. The transfer cart 140 is transferred to the fuel handling area 20 to positions of the fixed guide pins 181 installed at the other side surface of the fuel handling area 20. That is, the transfer cart 140 is transferred until the fixed guide pins 181 are inserted into the hole plugs 122 installed at least one of the carrier 120, the lifting device 150, and the transfer cart 140 (At this time, the rotary guide pins 182 installed at the fuel handling area 20 are also in a rotated state, and thus are outside the horizontal movement path of the transfer cart 140). The nuclear fuel offloading process (operation S600) is a process of offloading the nuclear fuel 112 installed in the lower reactor vessel assembly 111 by lifting the carrier 120 via the lifting device 150. In particular, the nuclear fuel offloading process (operation S600) includes connecting the nuclear fuel 112 to a spent fuel handling machine 190 by lifting the lifting device 150 of the transfer cart 140 transferred to the fuel handling area 20 and transporting the nuclear fuel 112 to a spent fuel storage tank 40 via the spent fuel handling machine 190. When the transfer cart 140 is transferred to the fuel handling area 20, the rotary guide pins 182 are inserted into the hole plugs 122 by rotation so that horizontal movement of the transfer cart 140 is restricted. When the horizontal movement of the transfer cart 140 is restricted, the lifting device 150 is moved upward by being guided by the fixed guide pins 181 and the rotary guide pins 182 and the carrier 120 is lifted at the same time. At this time, a lifted position of the carrier 120 is a height allowing the nuclear fuel 112 of the lower reactor vessel assembly 111 to be fastened with the spent fuel handling machine 190 installed at the fuel handling area 20. Referring to FIG. 2, the nuclear fuel 112 is fastened to a handling tool 192 of the spent fuel handling machine 190 and then transferred to the spent fuel storage tank 40. In particular, the nuclear fuel 112 is transferred, via the spent fuel handling machine 190, to the spent fuel storage tank 40 that is connected to the fuel handling area 20 via a water gate 41 and includes a spent fuel storage rack 42 installed therein. The method of refueling nuclear fuel using the modular lower moving system for nuclear fuel handling may further include a nuclear fuel loading process (operation S700). The nuclear fuel loading process (operation S700) may proceed in an opposite order to the carrier lifting process (operation S100), the lower reactor vessel assembly detachment process (operation S200), the process of accommodating a lower reactor vessel assembly in a carrier (operation S300), the carrier lowering process (operation S400), the transfer cart horizontal movement process (operation S500), and the nuclear fuel offloading process (operation S600). In particular, the nuclear fuel 112 is offloaded from the lower reactor vessel assembly 111 via the spent fuel handling machine 190, and then new nuclear fuel 112 is loaded in the lower reactor vessel assembly 111 via the spent fuel handling machine 190. Subsequently, the carrier 120 is lowered via the lifting device 150, and the transfer cart 140 is horizontally transferred from the fuel handling area 20 to the reactor area 10. Thereafter, the carrier 120 is lifted via the lifting device 150, and the lower reactor vessel assembly 111 is coupled to a lower portion of the reactor vessel 110, thereby completing refueling of the nuclear fuel 112. In the method of refueling nuclear fuel using the modular lower moving system for nuclear fuel handling, power for driving the transfer cart 140 and the lifting device 150 is provided via the drive device 160. In particular, the drive device 160 may include the drive unit 161, the first extension stem 162, the second extension stem 163, the first gear shaft 164, and the second gear shaft 165. The drive unit 161 is configured to provide power, and may be installed at an upper portion of the fuel handling area 20. Since a water level is formed in the fuel handling area 20, the drive unit 161 may be installed at an upper portion of the fuel handling area 20, where the water level is not formed. The first extension stem 162, the second extension stem 163, the first gear shaft 164, and the second gear shaft 165 are configured to transmit power of the drive unit 161. In particular, the first extension stem 162 and the second extension stem 163 are connected to the drive unit 161, and extend downward of the drive unit 161. The first extension stem 162 and the second extension stem 163 may be connected to the drive unit 161 via a gear, and are rotatable by the drive unit 161. Power enabling the transfer cart 140 to be moved along the rail 130 may be transmitted from the drive unit 161 via the first extension stem 162 and the first gear shaft 164. Referring to FIGS. 2 and 4, the power of the drive unit 161 is transmitted to the first extension stem 162 to rotate the first extension stem 162. The rotated first extension stem 162 rotates the first gear shaft 164 horizontally extending from a lower portion of the first extension stem 162. The first gear shaft 164 is connected to the transfer cart 140 via a gear to convert rotational movement of the first gear shaft 164 to horizontal movement of the transfer cart 140. In particular, the first gear shaft 164 is connected to the transfer cart 140 via rack and pinion gears 171 so that the rotational movement of the first gear shaft 164 is converted into the horizontal movement of the transfer cart 140. Power enabling the lifting device 150 to be moved upward or downward with respect to the transfer cart 140 may be transmitted from the drive unit 161 via the second extension stem 163 and the second gear shaft 165. Referring to FIGS. 2 and 4, the power of the drive unit 161 is transmitted to the second extension stem 163 to rotate the second extension stem 163. The rotated second extension stem 163 rotates the second gear shaft 165 horizontally extending from the lower portion of the second extension stem 163. The second gear shaft 165 is connected to the lifting device 150 via a gear to convert rotational movement of the second gear shaft 165 into vertical movement of the lifting device 150. In particular, the second gear shaft 165 is connected to the first lifting gear 173 installed at the lifting device 150 via the intermediate gears 172. The first lifting gear 173 is a gear having a shaft in a direction parallel to a direction in which the lifting device 150 is moved upward or downward. The first lifting gear 173 is connected to the second lifting gear 174 consisting of rack and pinion gears, and the second lifting gear 174 is connected to the cross stand 152 so that the cross stand 152 is foldable. The second gear shaft 165 is connected to the cross stand 152 via gears to fold or unfold the cross stand 152 so that the lifting device 150 can be moved upward or downward. A driving force for rotating the rotary guide pins 182 may be provided by a separate driving force device, but may be provided by the above-described drive device 160. In particular, the driving force may be transmitted by the first extension stem 162 and the first gear shaft 164 connected to the first extension stem 162. Referring to FIG. 5, the rotary gear 170 may be installed at a side surface of the first gear shaft 164, and the rotary guide pin 182 is eccentrically installed at the rotary gear 170. The rotary gear 170 is connected to the first gear shaft 164 and may be rotated by the first gear shaft 164. The center of the rotary gear 170 may be positioned on the first virtual axis 183. FIG. 7 illustrates another embodiment of a method of refueling nuclear fuel using the modular lower moving system for nuclear fuel handling. The embodiment of FIG. 7 is the same as the above-described embodiment in that the lower reactor vessel assembly 111 is transferred to the fuel handling area 20 from the reactor area 10 via the transfer cart 140 and differs therefrom in that a crane 191 may be used when offloading the nuclear fuel 112 from the fuel handling area 20. To use the crane 191, the fuel handling area 20 consists of a building having a height difference. In particular, the fuel handling area 20 includes a first zone 21 at a lower height and a second zone 22 located at a height higher than the first zone 21 and including a temporary storage stand 23 allowing the carrier 120 to be mounted thereon. Referring to FIG. 7, the carrier 120 transferred to the first zone 21 of the fuel handling area 20 is connected to the crane 191 to be transported to the temporary storage stand 23 of the second zone 22. The carrier 120 transported to the storage temporary stand 23 is transferred to the spent fuel storage tank 40 via the spent fuel handling machine 190 using the same method as that described above. As such, when the process of transferring the carrier 120 to the second zone 22 from the first zone 21 using the crane 191 is used, the carrier 120 does not need to be lifted. In addition, since the carrier 120 does not need to be lifted, the transferring process is enabled without a limitation in lifting height of the lifting device 150, and the crane 191 may be used even if a malfunction occurs in the lifting device 150. The above-described modular lower moving system for nuclear fuel handling and the method of refueling nuclear fuel using the same have the following effects. In the preset disclosure, the lower reactor vessel assembly 111, in which the nuclear fuel 112 is loaded, is detached from the lower portion of the reactor vessel 110, and the nuclear fuel 112 is transferred from the lower portion of the reactor vessel 110 using the lifting device 150 and the transfer cart 140, and, accordingly, a nuclear fuel refueling operation may be independently performed without being affected by removal of upper subsidiary equipment. In addition, when needed, an upper related subsidiary equipment removal process and a fuel handling process may be performed in parallel, thus effectively reducing working time. In addition, separate equipment for removing the upper subsidiary equipment is not needed, and thus equipment may be simplified, thus achieving economic effects and safety of nuclear fuel handling. In terms of application of a modularization method, it is considerably important to safely handle the lower reactor vessel assembly 111, which is a heavy lift, at a low speed. In the present disclosure, to address problems in terms of hydraulic maintenance and repair, power is transmitted using gears, and the lower reactor vessel assembly 111 is transferred using gears, thus enhancing equipment reliability. In addition, the keyways 116 are installed at the outer wall of the lower reactor vessel assembly 111 with constant intervals of 90° therebetween, and the insertion keys 121 are installed at the inner wall of the carrier 120, and thus, when the lower reactor vessel assembly 111 is installed again in the water, alignment thereof may be easy and workability thereof in a narrow space may be enhanced. In addition, horizontal movements of the carrier 120, the transfer cart 140, and the lifting device 150 may be restricted in the reactor area 10 or the fuel handling area 20 via the fixed guide pins 181 and the rotary guide pins 182, thereby lifting or lowering the carrier 120 without being shaken. In existing pressurized water reactor-type nuclear power plants, to reload nuclear fuel, a reactor head area structure assembly, a reactor vessel closure head, and an upper guide structure should be all removed, and thus complicated procedures and operations for removing related components are required. In addition, recently, a variety of recent technologies, such as top-mounted in-core instrumentation (TM-ICI), and the like, have been applied to an upper portion of a reactor, and a large number of equipment has been located at an upper portion of nuclear fuel in a reactor such as a small modular reactor (SMR), thus increasing design complexity, and, accordingly, a large amount of handling time is required to reload nuclear fuel and there are risks for safety-related accidents. Therefore, there is a need to develop a novel method of handling nuclear fuel. The present disclosure provides a nuclear fuel handling method different from existing methods, in which a modular type detachable from an upper end of nuclear fuel loaded below a reactor, i.e., a lower end portion of a reactor vessel, is applied, and a lower reactor vessel assembly including the nuclear fuel loaded below the reactor is transferred by a modular lower moving system, and, accordingly, intrinsic functions of devices are maintained and design characteristics to enhance fabrication and economic efficiency are achieved. In particular, since the modular type detachable from the lower end portion of the reactor vessel (the upper end of the nuclear fuel) is applied, a nuclear fuel refueling operation may be independently performed without being affected by removal of upper reactor subsidiary equipment, and, when needed, an upper related subsidiary equipment removal process and a fuel handling process may be performed in parallel, thus effectively reducing working time. In addition, in the present disclosure, gears that significantly enhance safety of nuclear fuel may be used as power in the underwater, thus accurately transmitting power, since existing wire rope-type or hydraulic power units suitable for lightweight and short-distance fuel transfer cannot guarantee the safety of nuclear fuel in transporting a heavy lift of a carrier and transfer thereof between areas. In particular, in a modular lower moving system for nuclear fuel handling which uses gears, a plurality of safety devices (e.g., keyways, insertion keys, fixed guide pins, rotary guide pins, and the like) are mechanically operated and nuclear fuel should be handled below a reactor, thus reducing radiation exposure and reducing the possibility of potential accident risks, and dual operation at upper and lower sides is easy, which results in increased utilization efficiency, and thus the nuclear fuel handling method is facilitated. As is apparent from the foregoing description, a lower reactor vessel assembly, in which nuclear fuel is loaded, is detached from a lower portion of a reactor vessel, and the nuclear fuel is transferred from the lower portion of the reactor vessel using a lifting device and a transfer cart, and, accordingly, a nuclear fuel refueling operation may be independently carried out without being affected by removal of upper subsidiary equipment. In addition, separate equipment for removing the upper subsidiary equipment is not needed, and thus equipment may be simplified, thus achieving economic effects and safety of nuclear fuel handling. It should be understood that embodiments described herein should be considered in a descriptive sense only and not for purposes of limitation. Descriptions of features or aspects within each embodiment should typically be considered as available for other similar features or aspects in other embodiments. While one or more embodiments have been described with reference to the figures, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the disclosure as defined by the following claims. |
|
044850689 | claims | 1. An installation for the storage of nuclear fuel assemblies, wherein it comprises a storage enclosure having side walls and adapted to contain nuclear fuel assemblies therebetween, at least one station for loading and unloading said nuclear fuel assemblies from above said side walls by lowering and raising said nuclear fuel assemblies, and a plurality of storage station, each station for loading and unloading and each of said storage stations being located in said enclosure, modules each of which receives nuclear fuel assemblies, each of these modules being located in one of the stations, the number of modules being less than that of the stations, lifting means for creating a fluid cushion below at least some of the modules in order to raise the latter within the enclosure and means for moving the modules between the different stations when they are raised by the lifting means, lateral rollers located on each module to cooperate with the adjacent modules. 2. An installation according to claim 1, wherein the loading and storage stations are aligned in rows in two different directions, the lifting means act independently in each of the rows and the means for displacing the modules act simultaneously on all the modules of the same row. 3. An installation according to claim 2, wherein the loading and storage stations are aligned in rows in two orthogonal directions, the storage enclosure then being rectangular and the modules square. 4. An installation according to claim 2, wherein means are provided for guiding the modules during their displacements between the different stations. 5. An installation according to claim 4, wherein the module guidance means comprises guide rails positioned on the periphery of the storage enclosure and between certain of the said rows, except the ends of the rows, and lateral rollers located on each module to cooperate with the guide rails. 6. An installation according to claim 5, wherein each module is equipped with four rollers positioned at the four corners of the square which it defines in cross-section, two of the rollers adjacent to the same side of the square being located in a horizontal plane displaced with respect to the two other rollers. 7. An installation according to claim 1, wherein the means for displacing the modules are constituted by jacks positioned outside of the enclosure at least at one end of at least one part of the said rows. 8. An installation according to claim 1, wherein the lifting means comprise parallel pipes positioned level with the floor or base of the enclosure and comprising uniformly distributed lifting nozzles, the pipes being supplied with a pressurized fluid. 9. An installation according to claim 5, wherein it also comprises a cooled fluid circuit. 10. An installation according to claim 9, wherein each module comprises an upper plate, means for supporting the fuel assemblies, a lower plate whose lower face is flat so as to permit the raising of the module by lifting means and an intermediate ferrule surrounding the fuel assemblies and defining the vicinity of each of the plates a passage enabling the cooled fluid to circulate in the ferrule. 11. An installation according to claim 10, wherein the rollers are carried by the lower plate. 12. An installation according to claims 10 or 11, wherein the means for supporting the fuel assemblies comprise a drop or fall absorber system for each of the assemblies. 13. An installation according to claim 10, wherein the upper plate is centrally provided with gripping means permitting the manipulation of the module via a trapdoor located in the top or ceiling of the enclosure at the loading and unloading station. 14. An installation according to claim 10, wherein the intermediate ferrule carries interconnected bars preventing swinging or rocking of the fuel assemblies. 15. An installation according to claim 10, wherein the lower plate has edges defining a reservoir on its upper face. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.