repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_mux_bit_v12_0/hdl/c_mux_bit_v12_0_viv.vhd
2
93,452
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ESKuHN24kUxAjdWseWOkIS4sAaXe32tG2RE1iYzNg/TF7+Btv7OhWYhQ7hIhiOwm9PutBiGoKGCO ooakU6hl2w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pSttJTNBZ1Ylk1cNxUBO8IGSw7INDmNWVO5W57PukY5Cy72e69PztbEGaGjI3DQPINDmXfBjJukm h8w7XmTJnLiFs2j2HBh5vbN1dKnCf1B0cRSyOExHl73RmSbrdJtdUOE9biZUTxTCZS6mH3v1n/CL +A865pN94SMH9JlSHtY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vogKw5z8DgM89/vMl5ltBJNSa+1Ql3lpg8gRFAkvx/qtTotWlXKvsBTvQv4lpsqLHRt+9sRgUHn8 GKwl9lzeZLTksQzaphqmYAQBWh7yPDgCBmTkmsIjR3oxcX3XytnrBSbGXHQhQ+NedCG4fXTfaY+7 XTh0HtC0QHLwXOku4mYQUX8WNFuC1tCSyKgDGCT36bexX2r+bG9MG+LJOMRafrTjz09ADahjSqOd w5aaLOj7BQ+pE69ADv5x6wJW/+PvieCZp6ojNCkl7XApFxgA7SQ9fdpGSfoJN0pkpyi+ivAnjzmU S5kYJOqQrt2FenA8+ExZKMhaOwu8krUvGNl5kQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vVr3yKMA71Lrc8bqf+qTIeEcE0buJwm8kUGS9zJnAggut3EGAFUttf6u+O7QTpDpzVmjyvy1x1QB YSycQ09mdZAyLJYQjC7ZpJLTM1PV8O7chZOAE7obj3vaU+iDyeb5FvydQyXk87iTEpt7EehfexF0 uGDL6wM3HukvoNwJibk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MnESLCaFcYfeCaOlT89iNrGqvNYa6BSoPQKXgrcMkok01fe7XGJPMvl5vLA5D7AxXzdjDd8tn5ab vO/NNIjyGnX/eeyzH2fs3SEqiXwy5pHCMOnBb6mQoE7dWMwAbAcuib8BEmnTLF3/5JdOcGSmZC2G Lx/XqDFsiB79NN1jZdsGsIFPPfyjmlNAYyrYily/BOMRuGD83UimctDEsamNfM5EB8wAT9e0IgCx fmVsvBObaM8jgrHNtyzUtdKCxkbcTILahpHlJSOH8zm5J7wrx4l4aA/JwVh1my1OvOVED5/NMflJ 9b8dXW/hzV1N1rgqvpT9D11EGPW8YC+0PgGNCA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67440) `protect data_block mAOziy0G1Y6bgtWlDg5KIyCbDSOiu/5A702EMyYYJAcU1Bi6Chu5fBNzqaNvywtSY2QYGcGGiWxR hXvcQPxUgKhXnaSzHyKPJkJWgxnGhUs6k5/hAVK/oS0bABIl6DVlqCZ4TTyctuwb1P1zSnAEnHC1 QTwVhjfJDIyTrFLzWxRyKBusj7LASXC/RdK6B5OHWPR8FGskr8L7zj0HwNNk6O0S1+73dGvYgx8X KI+dyQoBLV+h3Ua8guyKvRpMZ2NqSk5ay1ExJx960U5wjTQtOMhYtNN3eYejrWCkL2lhfKFKChO4 CL52DoeZurwFXkhU7qTGvwp5wiw7KkhTWEyYhYVvXndmEzBMkX9COM3pUgJ1Rtpd5iN0+JDDyg+I X63dGkNyEThO8ORAOsqJSf4kjOQoed0QYGkaHoK41GCWTbLQx7q3pYHXCBDTLmcp0wfeeIsu/pvh GIHifV0zLsgiXdqsPx9rRXnhoXm8FXL7gF+H5chd4+JdvWZrkbxG62yOn2+saJ2Ua840hBx79Yun jBZ9VyPzl12kHmqmXNkYK8glq92EaKLnPAYr8r/RAGMAeXr40DDLF04E40/iiY5oUCe8XBzJd85E mB1HM2Aax/1UnpqS3KYsy6B9hBBwuzWbsn7G24JfHrtgoG/WxPJ16V3/u8pIqhMYA5T5YkF641rs 0w7ERUCsU3ntgBuhO+p0YKJd5F9BehOpxOY+KV1TV3h3i6Ykk+htTvNqBH51MTGJ4e6OD6g+zfT1 QHg9UPWC1y1ZnTh28Ekzvs5UqxSGIbfGENjmAgZanZqrvjT7HrV9PWv6G4A2Xg8JHtHLxD88kwhz SAeLzHb4fUjo1cdGo8WrFduSdb4/FVDfxDVUVrc0ClSEiOIdZTCIOH4QRlaFS0M4px0164PmlLqN rLvsvFztVOfk4dYbMxZizDyokJk/aJQ34OxPVufy896xe7uPY+rtMKTVt2Hjf2iarfU2ZhiHgR5u IYI4bAUE1EnL5yrBsWKaMO5KqhJhbhslbrUI9gNrrH9Kpjroi/UiVNJRk4Kfa+278WNfXX63gFm0 wu2xzFJzKfC05V5jEx3+gUJLUxTLV7uIluK87q/5yxwozZnJKWSqr8Ft/nGLkSb2JkgY5h5NCXD9 oiXl0nTH1hb6de38fOzbihRrVWQFizW1WX3Jr/ez31yOn4tpmP8QHxRT3cQXYS44JQ36yjtcDHFo U7aGrRDRxYvineZ4VKJ5e8hEGXT4ha3G22yXeUcliCoe3Xkm2IjazkeQVzwqzxJch8/gSIUkqCUp 2eAXdvjW2n/KKexYbAN/Gff2bN2x3vVUNk87gpJC++lhq3fCXQI48MZUXkKxAik5apdbVd+PTCRh FKr42rObNabPUqr/QRZvgdlr51Pc2EXWTEXeqLiA2zrsdtrNmbivyhjwWlXF8M+qQTCASu70qtL1 fRciqohTkdi80XEbWxZYUinN9Zy4ajH6y73fb17HP7zByR5VptrrEF00MYuCPSxji2mx+YfNdQkQ ImnzSXsyUk95V3oNYC3t1osvmMVWsBxvEU6HWxmPkW7FvGCskrB0cTX9vSm0xNTXzPyhdKnAYnRI 6V5IWwBFgmrvDiu+EEx8QtYiUQG4kIC0Gv/wWp0CghrbiuSPmx8noV/c3vALL1lLAofj98TdFqqk JSVIfQFbwX3Luh1OKkSiPx1VL/4ZHLv2m8V7pIAm0FreVI51g2tULdlnC/Gy454c8ctCZfjkWEYK LFDIpcnTurLpny0vOuQ3X2d+935N9JWrmlY837CmGCGWdPG505D8Q+lzlt7RlVELnzzLjuWuZDoW NI5hVxTr33SJwp+OCJcndp9cQHn5Fy6l3cchVjz0zLPuxjQPY7POPRRFdZsIfjuME23Vs36JZmnb Uyz/vr+43ZZo0DAbfSwAbqAf/UP6r3jYY5yaxw2M2Kj5ZkdzDokTPYa/1/O0uekgNh+KNEdCn6IE lTNVFwq5kerEZVnaHSRHHKOk+gw4aVn9kQ7qeRHRpy/nIaxUY0IiFqCtpNAXWQqMi697PqttM2sO Lim8loiKQXBLriX782MmjoDnYiX4Jxm0Uu4zMF/43Q10drp+AtfPzdveJKEEALTmz9Di1jzn79YW jwRBXdbENCddoQb/+IVkfJcD4+u1OlBizcSjvf6NCoKl+VtwzYqCtf0n3HyG29H9+N/68Z9+/yL0 q/Qt2x9TdjjLcJtcuAdM1oI5Yfa8WXB/6s9KgpHU3KdxnLvt/fA+uIYtqUIjXBjRJVt6ETai5a7r h8B3RaUOQaD7cGLyj03nYWG6Lo6pb3DOBddkFgZWT7DERjpzef0IxSqeMJKtQ/3evyOup9TDTQUL 49zbxNwlz2w5KwjtTtVF8xNE2DYNSllcCpln2yP2Z/mMimW0vknyp9vbsgm15ZgKEeJT+FzpoeJB U9y+gE9exPv6rXpopH8GTCQm6AfTomfgTRObCB2TFYyWiG0sdRLASWoFXe1IZ9I2MTex0Bm8sHYV i1fVZK1dZvvf54Sap7xxMHtQFvucctDJHAQq5o3uvOZJtYl0VaE2B3DBbLwAcpFQ3iNiMpCVU3eV vFVPUOebjkBxR3U0YOTBYarOfx9tLGjFuvaOUcoi7T9Zfnkg7dd9/mr8FaVH7RbGrBjxNw29b/C6 a5+K+ZqNAYaJq6xdUJ/g0bxeE95QISXYweINynpojlMI8bCsUIMvMdTiDCBDk4n40qlf0kEdpMVJ pnvpi9u8C5E+Q2LvxlMRG5dWhJ5FGwxROkwu8+gH50AsemzYhttI6DcwZLQCYA2xMi55qNzgmrG5 +wX3/gf2rlIr4dICGhHKLFS6jZXvArMdqxihnXw/C3c9z5G14goyETw4aIznkJHHGjTPbhZFUJqY fCldrPt17W4O5LT8+PHPFXAy8l+53gYoN4K63oPJiZDQmS+SB+7toBcKjEGARJ5qzsqhXlpBwXKu Tv4o+xR7Lk5S/Rm6sfX8Eujv0cO+FqZVA4e+DTWng70m1iUzzzWY5V22ai2MXqaY+fm8mxZaKyef XpBDlYLCFuWHtUhSIdakMZopoK5lefz+3YbbLQjlZiTf1ZqW5xRXkDu0T5ad2Ng77+luHJz1Bo3J XSxH9Dl5Vj2GwGivbwNic3yVmXQG3rZL5PeB4SREpKbAVg+BF87Xz+9PNtA83YC8ylC5mWZybquc +O1XBYPGzk9fQn5329WHflzpOlpsK6iXZ89IoLdeL8RY9ZFgPmpCh+Jb2jgj4TMYWrT7oyaZdukL qpd0/Rjwb+Ch2RIjM7Pwfn1kCQ8Y1f5EY9f+0h8sGbXPWus6OMrHKzNkLxRjP1Dgl36kRFCt2ddt hbEk1gAz0MLY0D/ryn8WqW/A8kwKTRd9+LHa8WWwiY3j4EMS/OoCo44EsWPVWzTTYLf//vp8kytv /JJlBbHegN9sZzxwAsaD0iuLI3lF7JHku4hWKs/LfSsiFsekkuWN4MY+6FS60TN1vCQhiaD9hL9a 6wQB2iyhQq1mkDWLxl2jbHLYQ1sOY0UJZKS/ze/h889XTmm+3Y4P5Li3HuRC0Jk2obz11oJpxipd y5tm6NJjmho6PGRUwIlxpFXGRHhNRhHF9BhZ3bIB706X10UuKPxdmJUASn7pO9/jJYPEysOw7j3k LGWrv+xpBK29r6ceh4a8/j8XHasqngiAVnrHjGtryiJL6BBqT4JOA69bUwOuy82oiCfw1HfDi/PS 7tuZHczmjqnfnfAsX5otoSt5ZtF9xPxVVV4gwuEmiMiigR5JUPbh4GkL0onI0urKlip5FXcDosLb xbzSVVDEQZdP2BW+jdNNNkwQUca4jDbNHo/UAYS6k+DBJiGYFQde545FPr7d6ROmDR5TulASEkZ9 nHco+A3EFzu7ssk5+nUf9/i1g0Kh7FFa4hYtZkvg5hLHrhLFMnOJow6a/au9TGcYKHNNCeSu2720 RzJzHoQe7qa7/1N6j3nbpxnYRjxwjRu/Im7b1zN8rf2EQ0Zai6m44SQoe2MM4nPqsHutrCL83bi0 A0BKsgZTdFEADj6xlz+Ni9a1Z3nxdt6A/WCX7w6sKdEoDtHDUqBmpVwoIuvOZOQYiAjb32MIK3KO 9lQ8CpDYOb97xdsElMprGBTEdVrVgFDnRTR+WmZW+pRLThSAO97MRQFNoohAKlWB0ItdsWMjaXKd oJjNx0tkct1dzUx6AGNgaA09oQStkt5zFo3xiq0QSxI4kBGc28sdRFK+lRMj7cINtsXwweJM77/l 8Nz/MwzhwuoVwKvo8OXaZZigMz/ODm1LNpMft+1qoUBM2G1XVWEMB8oKpEKrcOarYltTuPE+d2C+ g4PxCanl+xlTZaYr4yFMdvOU4zQSEgphOu/q/epqnVKuOiOfNHfIeOvg7QQjak5bKEEZ3cX4sWrq O4VaITK2bgZV5i9ux4PPCabBy/7HdNohSWNeOtoLYztoQQIte6zx6J9I+e410RQsXdU/AMLxWM14 fxAx2ZAlZzn8jQlgI5sHsvjx4jictCnSP/Nn5pJ4CypPEL9ltm9nrt9t2b1j8kKSb4CJ0M9/sjOX k3nvUs79ch/BW62qeZrNBh+1hHy0/d5IbyulrA+NJMDl2ABghH0fE62/SsV4hgFwiVfHLru1Do2R CRRtYzc1In27zjtcFHKEHEZiNbKWXMw0eNANwunizi9FGe+DTYRN+HWuWD3y8GNjWVxmnIapaIS2 iBtcaVsQA8j7S4TAcDjXmLQM/dLSdFGbe7mCGSl2nPHerstXEKeZZGTcYeEkQVBzX0E7hCfxcWbg KbHr9HHhEUo0RLVnw0puppnWqKYfHjKWwAcEc8N+lnhdTNnCDpZAIWv4hw7IiCoaI6Ce3LHSVanM /MQ2ZBVqi/KlhOiym3YJ/v9lMfeRx3pLlrBWK45rDVqy34z66G1de7XJCbFcYHyKMs6OybcR019r 82lK53ogR75gbMSAejn/ZVurOdTjgxuiDV66rxnXJj/H31TBWvNfAviU1BwW06GcvS8LgyLf0Qxn BSgwpMXXmioZw83ru/01zZmTJJwIob88cmpf44f+5RNL4XVlExBxp0oE71OwiEizZXoTRLVqsFLn wma40O3YPlSKyuZM/tAPhFor+OjVJDJe+74PDxYjrQu7gmV0q3V2sYaMGX2Y1QgQ9REpRWkh1LzC pi9+73FwYauspbxOl/pVWGO/HjsNUeRs4IySDNvhLigKFw+dwh+QWkBI6iCGtiZAXIYeZo5pO/dH lsBrmGYEyRILDCcnCMIykrJA9PgWfZoYOhoerkGrpg806lmCEiQkOsB3VL1rXLD0/GIXdWYlMP5Y QnjcNEfUkK95zGzblj/euiYZjpQW/wMNWLAlkPyQumrMXkcSa7pTQxtCXLxLhUGsvf4up/Iuf+no 8DqytELHeGYOYBCoArXUUkbgr8bjxJtjpQTZmOIEJ6QlH5A8UHV5yjJ2GGPIYQuj0KE67kwqERxt uaIQyP/2QHOPIUuDtw/PhDJTmy64pKamEthMAMQ/n8wWR9Rgv6vb2ONQDmUoM9PTNtRRTnWWzBJD tvzQMY8mhuk8kfFBr4LWOXGUKB1dGKI0ApGSpT9mIkb980VrGPQalTuPQ2uaVe2/nIMfL3pUxeWd fmft29K4Nz9Dxz6ih/IuFpHVZspE86S37wCJMBzLNdjt7hNHDrKPoCjsicdGLGr8fBpLq7067i3v tHG0Eo5jqVPrg4pjzaDPZvRheF5J/rGABErsubSj41GHCu4sKfRwd/YBS0m2x6qKZSGUgrHrYhmD KWqHu5iyg+WCre+QbUjwXIPoRHJSyWhzlfY8MmdNVfCoO/8OcPU5bVgF0jQnlMa9vT3COvJzEuL0 6wpDx5/qelvOdRMtWt5Uh1xgULrVA8z+JCbdxOH0p4NpQIam8HIaVz6CSlUUD/XQuyPQcxBMCUwH 35KQ75bhb58jzFeO6Ay7T/O/2v8ja7TbeBu7kTI7Rj5+j0xZPDZ96nnZuyJjXBIpVqo9OB/W4hNw gElb/K86NeqFkfqwdyzBokwn+CCNcPsh6UyhGeK9m7U9o38W7aErRaziSWiwOlYyfeWP0mh686/4 64Pj3CGXurJA0N7xAhCIXZlKt7wSfSPndpxBH7dpGGb9nIgcrCQYE4j/aCzCDSgpp0u16TEWITIi rtFm8wiFglxV1W4Ri/fqK5k//jD2Hqm2d9uGT0Dfv/LfzHxiI1e1/O30Gt8uLqyAJJ52+KA2rTTm 6XFEkegw5lwL0L+Js+H9dCTdIg1SWMStuDYMYyjnNCBgFvQtEHB3jex+aZTCWuuOogNC1/INDc+z MU77l2axGQJhdj16i6TVbwWucm1CND0h9V8t1dcV0uvNPO2hfT3CWZoG8nnzcAbZdZ7E4jk6F8c9 6ZSHj9RtBg6TW12Ig8HE+sNJmRHJzev8ZpoUDrx1YvypQ9Ws7H8WgQNlT6jyxhfmDll+U2EuAjyM ONZ4vXZDJs8VB4pjobR+xZtH26eoI2wJBhIscY5ULH/Z2OJpoNWsgjJF8RkoYxjTHvv1hTdBO3ro +iYqDlphCwRr8FKotNAzC9Ra4az2xEaCWE/ZUgRTgOwid4kRf/qINtmd8QR/8XkdsOgzKiX7EFna oCq/i830R9ArLb8EbzaQ13TYKc4HJ8tUe2AAHKvB+dno3ysxKTBskL/aiQ+BMk/fwZ6GtPvF+cpw 9X6eEN2d2NAa9GS8RKUt+mu7jhaeVt5EPMke5rUhSTRW4yRzJqed12PQe7FIR2jR1bs7bV8Tnpeq Vq16AODknxMZV/2eFjcA6MKl22qZj0kVrOuERqsyxpfzUZoH9odDmXOlEY1IFHdjH7S1sCKZzukq y4yQkRYkr1ZJoKeHGBU4N9vXVsC+gzv7+qhXx7evQyG17fVG0Eg+/EPlboX9TYMtJwI8sdzL3j4b GPBhQZjMXhE3vAHzpQ42bCpzAoQEaMvFw94s4K1YXHJFDDvloVn76k9fP6lYCqgbwt6Dy+2PUIiS U3iGXvyA5sYRb+y0PElZUQ1fozbxvZBFwFDeSEdvpR77LhkldG0A9wtiAykzWwniaZF633RC4dCO oFylnzXfVFZdVMXzZ8pbX+tXP42xtOgWKc4I60BmiABQo0MCXRckXe6yEWurIB0UDJH7YqK6YARG H5NeTQJJfp5qwdUbks0jJNiBK0sJLrO29IlyUCYt2vsiPjrYloO7SmuVP4rYXWkYXhoEhI5pX97R GpBx25TQ0QvhWLnyr0YTsCHMKShSH/23zjtH7v/KbrGyNjQCwj1wlhRwU8JJFcuHcZqJlSsgEVTI hHtuu1UniwUTQ3zmjwPvHvk1Q8Eb6MZaj6Xe2faWdlOZ8fM11EuobEFaaHREbmHQ+fUTXDHO3g2K fPnaQp7FX/STghUy7DzvymHa6EuCXd45Kr0DfrifJXX1zbHLVRSNHtwwJYX6ZUAdyb6Qq1UUYbJa 1V+OLzIh41vU7rXYYDlWLDdacFo1mOWdS3idG9wAUXqYPPc7diJKMHZkHMiQq3LraNmyo/rCqFdx Reb1sLPxPs3EEeRqyhk769ucJLBdfqAu04adumKyAPjS2QRBb5uEhPoYbBvCsoDgk1lKNoMaTz1j tT6qYCcSg1uG0J0oJjaabJaGUBot34LjjBqc4fhEnPOnCnv/klZ+AKsDoilZ5fFbIQB6rB6yPHdE 14DmbfGWDeIpq0BAZxoSuS15RvHwnszb+JNhlPws1BvejIIY/jliqHxlqlRZuGptso2TsawjI4m+ CAewnXhULZppJBhULMQuALyoHG0XJkC21bZLzLWZOWMTxQpgyaQkz4STm1g5HlESbGpKEpdgXwjj gUY3mtq/0Ht0++lj4nB0XnUsgbtdwodgvaUOVAv/p2kkneGAQvYoY0EbR+FBg2eJs+YtuZ3DUvif vaO7ga93JQiZo+6ZRIe9nBIeRG4PikEXM9awh3oglRHB+2Vy97vQfdUszZmaqXDcBMUdtTma2s7P kXOAAJBqUsrenAlJWOp06deYwPJgOoBCZb37HAfgIq7iIEBPCiUeo6DlR190eTsWem1+oTrt0143 ioygZGeguKVzv1uO3NKltGQHnGacuMkR38EKl4hP4nE3wrBFwrwyw64+SE1u9ihg3AcHNLXe7m72 +r3fdDRBSdb7puCmF3YkZuaDOJJl0YKNSOjUY1yz0SeuuxrIhJiTfbsz358HwsjTT9cHfeeeFXhr Qpa8ToqjWZJSdB8w7kIWAb9qxqs21lJdf8txZSwgaggZqoLn6VwyPrgsAShgRDXh8W0d0kHmqTuv OW5CB5OJshdj9aCKlsw1QumFX2UpdUJeKufx2vpxfHr/WmAREI4Wyf3nY73UJMidzS/J5j9ZLZsi fveKLDF64HffM2M4+mdIJfFKm3hI+GRFq2wPsqXWHbYUu0epzW9NnYoYvFRj9K4k0WKgT4W2M231 x9anfZGgsPxjcoYK5KY18uQBTV6SzmczUlAmkIqN8K5fVKHs03yaBOB1Op0FYSKudE5PKpwdXbS5 IMR0+0NwlzIeMtEVoYug8ZqHzko0etkk+Ms/1eFz+cDUcivcdHTzz+gLs1NyiKVoriaAZ32DdE8T RJu0BxPc9DsjNA5k2sTjGCXV1f1BIIyf9aECBaGrKwvi83bjTCWQGRVcq0ZGi9m1Sm3kqB6oC5CS iZIVpXZpFb5a5H8ZhtuJ92aIUtmRhrXlEhN4wGsndT797nlsJbdwTcep3fxyI08gUREfuFGl63Fb anTOb0NKGL+nvptyX2JuodeNAtopef1WUJboQtFGlvW216hwInfpXqUGT6ZZBTUQqp8LeOM0fEMO 1MLqNdqnHkQKZgOWbAm2f7DG9Ye9CZ0E/Hvre4Tc4f48XOp3ioKqlf67zwz71BhG1dCxqRQce3iS hLN3XUCODn+VD/OIU1nMWfTOWvQQhbVZbK8yBF6Ljq1KYiEucXxAEdaU3vCf3HoH+O9JUoqRPh0t cjJmFjY09Rn/OZtZ+cmXFP3ElDWHVd8iaCUpKR2Mc/f/poEu6AIuCOWah/FyXfhuG5Cu8kgj3T7U rwSZeRZSPz8AcjzaGUSGvVpYlfTUP1sun6mKpbD/3WXacZnfPqNqKLR1dSmZGgmAzM2mvUEESybk TEgiRY32ur42OL+Rzlkh83GYSjCf/uKJDkDAvxarzKERZJ3q1HOz1QbTLiCW1Y4a+V9bsMNgO0pz H2lA73XcRj4DvJX28uI4hgs8yNWNLacwuL4FxUn2o0UBGAwHUInTez6xxflf01qZij6vf2k5kV4q KAhQnDGQsHIOzLyBR8BLJamsF7WkOCdZkmeFHwSixCISvGpEXlmeN/+qVRLrAiPbHsptcJ70W9QG tXxsvv/K/j9vyuAGSNbZyxWIJLRqEnuBDmUDV90RgYudoQom76pbeawLtt/TX01hWXNm+w9Sp2Jj HBjwWrX/mvECL55gngckb7LI8rpAKYGYFr+Kmc1f0Yr2RsOe9RU55EooCGzbImfXCeCPhUfcw+hg tt21zl0ZduRXMETCAnqMlQo9cXU5KjX0OS2X61ozWY1a4DG7JTtDWIFkYoJxlnWrYOkWR+IsWi8h 2cu7Pf+cMOEP34dCfdVU9MhXsmR6PGzx1b7R1hjA1eI/jIQZ0g3HYlxot8+ZKml3M4/FHN/qL1Jn BP4G0rsB9yuAWgRYZ2TAbktm9lIoajJoqgqzirk7331ozKVymNhvoUjELdrPjjTePXfQBMYMMgB+ omeuH1ItPcJfOsQIBgv4anIWETkZX1cx/AFUEcIbulqS7u/vqkJucK5lid5XnvkNak9rRvUvA+lH yiMeEy84YqqzW8gufm47K1oL9yiPTt0ygR+n693NQG+1xMLe+bs2s1m0qYEdXqhedr+qbMoAA9UK DnkItSIFcebSyPoS9oHRdvRxjqTOy/4NZtGeOj07Ys2RqoAUOMwYFXy4YGevzHpbpalBoX83SJnw y0fLPdpPZtypTT+nS9BzmuqkBwWipYIy/XeGNU6BGPJJ7Og76WPlIj1DJcUqDXCnvzW+QrSLec1u w6BfcIXDCzmhE5BQWsP4rucyqthRxvD4VBwaX+WIS1oujCnZeZfkqaQPOtqCLElU65FZ+zegqkLI +RuD3bdI3f80tE+ahtQiFc3V8Jw7cmOyYx2VcWjkrcyUvlN82fqWvDI5HmFPDvYTGQ2yceyltc9X JSNhqoEU5u1epwR9N4bL2pMNuRx0aRHAqSr1UyyyiBRq7uCnX27SJ5qKPIpI4dn2vXeRp8Tx7JAf eRh8F7BMkGpqx543XUotRPxsngHUAsHeXtVXMtOO9UAbevisy4YfzkIaaaAqYTBTJtVf8Kl0+5/Y hPFJpeoNRWNjHLpkfNv4Tqte6yBXBtLFO0bszDQu3CnEH1Irq+u8ekojqrgPS32AcNwkMRGHQw/n VgUYoEIE7Kus27cy9FhQL73gHYtuljEzY2Ozt+ZioOaSNzbYTo+SpUXkLKCxyRx6oaWvq6VLgImc iaks/MzmIFO2TQbfexfnCfcltTAzUMWC7BPwrolriCndtt/M1G+f6Mpbb0G3VqXu/SJ1zETGcLec mz5FUin55jCEjk9KbF+8CYpX8LIHrHEdowK/TNeF33j+nIvugQ9EpCg11DgWne8jZ30eny1DX4pS NvOPAkHiCPsIYBM7QOlh7clRWtScw+aTfag8dRNCGRoZlKSUuYeuNX1R52I1mRHDuPnSJ/4ei6sI Ac+5YxV1hDtU9ksPsMtz2MlG2e11uWmiq5rLHLDcNE30ik+UttzOixl14ovoBo+xybFMjLkdRHdy IrC1DvpyD2AXN3DGmhMCq72z1OR1LnVr0fV9et2mTJdGX7Q3XbTjnRzt0Bc1VmD2FuNU+TY0MrmE ruE8i3lzON3cocjdE4lFe4ISPMX2cRk0pYvU5W0YnDeo/fwKOq8iKbuoJQv6orAkwbtF2vzpfyE1 lIcr5i0VTN4FMhGTCWX6HF5AIaUtmUOYR0e+qZHxWndmt3pljAQJCKhJAuvol5H8HVOo80rPsa0K XJJP9wKlppoa5sPGQsUiSjGCwe0mTTZOFXnlhJ9T0t3ysURQoDJUw2C0mxAKHhpgUqWG+CexIPR0 UusxbWNNzt4LWH56+xaRtKt75TpptCqZVsKVo/gl51Vl+RUygEZM+v6wbrxjIbxWCDskQiSl3r2b rwUXnVic+h+v4+T2gfyNMzDWzQIt9l1DD09JPDexe/9t9qyW0ObpmJAlyivCUOnQg1jvPYCA7SVw N0IJAjUwEGQo2fIEGvtTJsy79tt5eYg5UdyjDFw4pbFx/6qg8QTU8DL00AgFxI8nIteWPtx8Fa+J A89yg1iWN4aKD3KthBZ+D2brK6KwODZFJezzwKYLPeBBRy+2KC0p4/XNJ6VIOeWDDbMdNHk2G8K1 dk/3yhQX8spUmGMatBNMCVNnaspojd1qxC5epE62AexsurTvIMgj6JCXJJ4pbv8Mw95asoJr+vIz N1C9RYnELTrmwerz5GWdz2FxsjqK91fMaPywI0jrcGw0g2ZKe4TENvVwXprDhmnxbgajd6Nq2Z5C bBB1Q3WQ26WzGtBDAuIQMSff0q9DjPtKoz299/wye0lbPGz90hSWLWiuy5JGj8p7fwc89nyqzQyV P+9J8LZoazOrYWVBi0yeYIRADTTMfdA3NoUBRWyol4jzDezZ2YYMibC2fNh1bqbJampDlxSKy+xv ika73EJqiH3JkXP2Ldzu0K6gJbnWZVE/R5zZecvezyU9GEIP29ZhNEEFt+c8NUQ++rgW/yOiINJS u45S7T7vsTNx1zHsW3TIGXQYV0iOauYX31gqyFDwdcp09L1cI0ExKhg223udzlpLJAFOpR42mo03 UOJgZzgh+58/dkIl8j+EPAsEuTA3goj7202+Dq1cYl4uwWmvz4BmZuAXInlhZ7Gd1OVITuSGtONZ p9sy4tkZgxruKvoqOCmcvTfX1ADuUG4O3hvHdaj7JxSlS5Xgr4t6q7cK6c5CyBLVcIttzxfM14EP /5QRdDVXLzBIt2ANgnkiiMDvcGRuhgjidcXiIhe7X+4Q9jDjQKLoiiPysi9xPbLyhGh8RyBDdFZA XsJJWJqJiqgqA+aDgKN9JSzpDOTw7qefr4Ltx6mwLzkrdB/TVWzLN28Y0oSeCgllqWnJgzis07fu uhEy+dn5nuKnil1VRjB+GBHJEQU964tM5SzkNICX3C5JZrh2tKTNPyohaFa1qIdRrxWnJaC4ft6v S2KfqGvhkyVq2KX3Qf+u3ExdxucwNc6KNW7j667ND09sABiVd2W0QFZDpro1Yd/5DmKayKCioHUl em+g337JbVIuTp+SWGYn8U9AvUHTm7s3TytH6vNLMo2bAnen6nvlOhcoXx43r078rHJ/D5bSe4/F YKPZy7hmU+e+//ufQQ/kRjegqkyW6GoWn5QM+tvAp3jt/o1kutPnojy4Wj7+6sKTnnr/itw8r6ka 0KvLKMdnfzQMtgBORAvyfaYd0COcHTgLxyfiIRDy0ZwSc4GQjmTNzeiHD4zGNixvOv51tsCPUPA8 0DihTOA4qwaF/gk97AJI2mDZeFQx8geCETHcaeQ1XC5GJdzq0ZBu2wGJBgi100iDaazL4bet92gq FFjuDzAa7A/GqdvG83svnZ+2jOacYloh3J9PfptJfRbbid5bJbxesnO/WtNGEsZ/d8oxO63F8f8v 5VKj5l35FCZwoR/yDbi6ZTB9LulpHjpf4WYEFcU2bAVMa7KnwzsubPEe8HQK+FTahrJtk0uPc40r rjJvfqMOf8rFWInUtYCgwiHKjTM+JfdunCJPu3/FEkCjhgB+qcP6sAO5ZMHx2EiedtOAAU8peTpE nEDRGkwJ1yoX+yj2U19spvbydESQit3N7Lh5RPSFvLnGw2hOjyvEht7NGaoqlClZdu7DMAu00Ooj 0SYmYvP9F8Kt+i3MCe/W5sayBJbOkbuQiae/Ztl9kEdWsNstWCUL8YUZczjJiL6YuBZPyUUhDmlA ZPsx67pmq0bgOAw04lAt2ARZgoPe7rLIMSvEXmJislPSBk9ZwhpLxWEUJ22/tTMCchQVvUYK418j HwlRHu2fNMfX2PeTFmHUIyrSzTEUwDLoqnkMA3In7ZKhsLrd8eLG6o+ntnVzGR1iE3Urc8ZZIPwg 8OzCOHYrHyp4HBiMRYtNMOwPY51AXVltEmK5dTi7RLbPtVOOWwUU/DxegUvV8A2H06q315Ob2CwV kLETdSGUfQh6iZSFeSXSzTrHMxqWN+iPpZZOqU9X4WCqVmS0GTHW2bsASmJVSrOe3lUwGOrty9IY sDxbVv5M/IUws8KORe1PhFy28+75wpXaTCa496DqliArayC/GZnwrfUQyq6FkIMcas3RppfUqkLY pKW91eNoxtKcMsp0OFLndxSgG3FC4NfJXQZiVOJt+vsosAEgeVZo1sWiKz+FenJYhxSxe84LCB8d 3mWyNX9IZnum4O2B+QbZz9HAgLnZyZjm1y3EAmMlYhy6vIunEdoGR0OlO9Fz4gAeDfIKKdBHoG7E HST/qg971Ss7l18Nc1ZYWBJ1fnJyreVi+sFpGF/sol6V7jU8qiz0+538iLzjfYaAkXoZbvDZYCX3 UjdierBUp4nU+q6KMg4W4qzoTMPuzUWe54/n846ZGn1ZiNKkXLCy2oSeNGWaYI7k0ogUOy8jX+/S ToWKaMQlvfet/9RrorIj9drL08sDBFIMY2YdO6tQ4Yo7FJBi19o5Bpr1hUt7sDuFXJnZvROpl4vH RlSEV4yyk+gV+tMe76eOqyXE91r58SFdUrjevEiJwGHZ3I6KOSRh8fbjPntuutZehVynrgX3839F VXkv8b7uPjUWjtQU6xDP29LkEKmHy+P7MMck4jHeYaCXmlCiQgQljQsg9R30VJ3ZesK0KhGQA8B/ lHUAqQ+L2OOEFetls+kz1sy6iZTDST/HGmyN0/U4ZXlhBEa/8nKuPzWubvvmwHCB/ad6ym2wyNUL Adw/lGda1ynjyetp0XZzebXvbpl9ZABZPYlfjML2YYCH+gmJa9lYHRAV/J34loHgdXlcJvHk7UXr He8cBWaQXRn7Cus8T/vsUZW/Kpyx8+sZHNP8M4LiwUW5I0ZreOToc0EcK+vSgQ7yEdTZK8YKezso YqtwZwwHD17+q3dJca+cK0DxzSsTvJ8jlH2qqIbiMus5z9slKWsBee1jZWg/D087GCwb3AGW7HY+ GbT/ci0u6gl8fjY6aqky4dVRJNGo9N1aROnsMqHH0NhGrkWVBftEhtOGICc64hhqNkNMB3aarWfa imLodA+1hqPABk6fYu8cRQrRO3cqR6ZS4Zxm+qi4UCGUAZG8d689zeeW22jamrf1oHn997Ed05bi aCHPyA+Um/7rHeLrUWUgBOLxHlUHxa+pEYMMEgxeYQ1YHQJJ74lh7vfQiJHldKFwYhMLZ7h5y2TU ZPWiZT2ylxE/VEOZCKzif+TrmnNfs/EtOZ5Eszm067W/KK82XtTnxYIP1jCLCtnHKY8oCO7NsBJc v6qbdLUP76Osw4/v05wv6gB3jp/pbdVaRNTBUqUYdptn4FmfgB02KgVo81IbYT79DcI2OHwjtFd1 e3voZ+sUdOCvpnyN/8NOqN9oOEE2cmfHb8ykjNXz/xbZn/T4UKbUp/5QqUkn7PZPOWndCPVH9tr0 C5oGGKjTJJNnAi/NhtGn0coTLmiYYYXARgcpGezV82gldsVV8AUZnChgdf3J3Vk6YFBa5VCrMaEZ OP3vuhIBlGl1Z+EUKi0O/nQNDmYeQsiubFTAoldP38l3eqkC4UpcCtp8/T711+Dc9sOxYf750V1u GAvJTY9ZbBpZ9T6ySSDci/vuMNsKnQUzIYCn+qxQ9ktZojPYxa4XxPv7lYCD/N9FxfV+ymk/FcxP +Ew4xRUd0+5qCKDmNlJnGtUdq6CNcuPONorUH98PeHqiaCoio/OY75ye9ligiR5kWjR58HPCI4vf 8ycSDCQNHAZnH3igBvBlRoQkhVWAmKYwTlGnxzfF5Avcho/rZ4VweS2Ui58vPOTdTgDZuhltb0AH UsE057yfVphypz14b3oE329/khNnuFvQbpTEr5cLpLMzkmPC/1GX5FNrd1XAvBirEmdsC+dAKWFM 6qCJa/6MSYDIDXL/tvY4sRrWT+n1g/gZEolYg2zHw3wK1ar5EALuNuSqHtfvF2A7RYm1QvNp/a06 igFPs8JHwAi2YdpTqBcSvvjRzctwQZ7yIbpmMs0NjE0iR/Sx3kW1U174LKjRbQdRCCiavw9r6zBp 2N7jQLqT1Rt7LnEkkYQ5BeXJmTDRzVxIlPGuHnDpxpAeNkGPV0IBLd2t/JchH8gDoGVndYp/Fi4k 6em98qEcIPa7WtR2YXMmrX5tNYwq39REa8lAH+215o1yCL+gCkextrxylYBy6BJuLDcE3LFLTMS5 ViVYYbnf4zNlLb5cDqn3betc6X8P3JXTQrmvxchMPENEhRG3toXb+1L0sf0ENkUEU8My6uEKqcNM BZFjrw9a8HWGRH9bQbZXqiNhm8NQ8vngQZy55UQdrPtkhMZFVyyAj1FudZPjkuQPGMrIsfKVMxFo 1tPVNJ12nzfI3L/Xjz8m+A2ZxsTwGQP74f6/rvkyyYKHaqC5TLwVW9DTudV8TwtqYnAK+vchq1qZ G17RarGcbl6mNsMF1rX27MfgjdOeQtzY4SpuScUh8S/HPBt+8x7NrGYEv+MWbbcKTYmYRc+TGDQ1 5rFqor4s+Exkpm+ZZTrUPWfo4s0GTwe0Rs7zM46jzVe70onCc8FxW0aecZ3ERVkgoMAxvfuPZPjW 0QwYXcADMo6hwycf8P93NGNZhZ5+eWDECX8oDXATHVpfkkitp6hUgkMBkZXR8FnXwf78K5qLEbs0 uCdc++LR4T9hXa4bcHjxtlachN/bWuGcKveSrxLNYh9aS+4sskMIgr2Xyiecp+h1ODK1DFDajW7m oAXuoHPjjmXDumvZYjtm/HABe+TaTNDjuRcZRYbZFJ4V2P4pZyNhoJEbtRsOJ0I/Ob4F3Wqrvmn3 joopQWXY+uq7/n2d3RsRLmGgEhjhJf8n661nQFS6nBNMWC3Jlnm+iBzczkIhMfOrS/xwiAM4zy1s adxJ1L3CAbPr/4ahrQ0M0WSyRHWYZ89bWCgebUk+6Kghx8oLspn36WVcNBJJhZXq4/fBv8Up39xO OWRRZ5o98v8GOx8Iv5N+wiUf9tDPG2oUp9smkfcJU9xHcDPxgsjzfJ+m+jnhiG693XyXes8t5Unv G2k/216CVTnqGmx1+rssaikVAvdETSO+vzOg5sJtuFlN9KAGnZYckDrZCFtkks9HVYeHnbAsmANz icx7PHncDV2/JnsDb1jp41grMYw13ImmmtIQiTuovhX8Acjh+fFUO/jLl5wtaqxQ2CpH3htE06+d OVSZ3FxBZC33pWUHu/FO2lT1c39xlRhysPB+qpeXbfZhwyNb3xOhsjv21vLHR89zkuyHVrEgLxBF tVZFNm89QsE7DgsXzcIFRDOeWbShgLRCcE7IpiDrnlC/lKWyJnTwH4jMjcbRW53f3DzT0zqj/Lf7 HUdLRGaD8Sev81bBAYG2tSVqhXFJ2YtV0jAo5Jjpc3G3bZG8AePVQalWyWdn8EnDF3Q5kXZVK7Fn Wm2L5vzh2xP+Blmwati4+T0ZARztlFeKeKtEnva6QJhRYe5uN3nqAADdspH+U4LsqihWjuGfZHs/ JzXn6/YU95bCAqnRZE7X2GETCSX3jAFb6dpGaD0eSBBqTVaCZdWJYKbk39iDarxwfBf8V2uWFvby hJpcYcY5KwfFLar9YanMuh2wHlbPLm9K9GuIbN2ZBRWloMjR0xi1Aet7mlU50VIPmhr3m+hUsq6B EX6LUfi4Tt5rT3UMKLy/nRUK43gLLMZtw+h+hf8EY6ctzVNDHBLUu3EK+wT9hHCoufSyOQrByQlL Enmt25aliqPi4Y0irIAU0Waowx4n+4eS+w/FHwkIjq+WDdmJahSVI0R2PaczlbtZ35Jnrxr+aWk0 ak/xNLOr+nCSLSG17zYK7bf7Z9Of0uqgAv6sz/tkiKTdBLPCQZzwrMsquGhRLqZM+LXyc+tXW0S3 1JEiHRyRx7hvGqcdQk0/U8hmglNkRZqxkdTofmyeWocZBTK1yhe3q1jKQnrfjOJRX4R/sRy2aIK0 KNwqDMt9xU9Ow2DLky9wAf5iMNCcnFUKHoQyHK+NsIlE40R/2rEBYB0QnqTwxpaDeIKBmZRFCNk6 GDyhQ9K0Wq4yYd2du8if152s+Au6zJYwCIuPcLsmsY2jcfX0hwcZqo1XV7jkhi5dRoNrdK7gu9WR cPDZjUUJsImSZW2BfcCN1jgt/RAd5vC7VWQqaETBfMgudtlBZqoBiKA6jFwiDYM0DdBIVhf3ZxKe cRucozAAxS9Z3c2jRCrEwu70PdWiLs2bSwSX70KkLs6ovou4r9qVEb2Do6jEQPXgwt41dtcPEHjF UoZYMpft2DN5SGpNTGlilgYWIExlmEx8CFfSY9ac4NnD8x2ybqnYIQ3U+s4fTCWm0bxuCs0Rn4UE mAzzaahx40s93CznaFrM+ZztPgqPFjhpKC75ImtoQwJFXIqUSiLt/AASapLmFbK0BPvafEPXQC4i ftD+m78oj42f8VWiYGaat1rQBWExhuAUBL7/dHbC200uUCzNAyAewg48+cmuSPssi8uZS7s6MnfJ vlEmIunskpLjzbPMfu1dkTZcnX+wfJCybJYtjL2hGRWCNXFf8yXv+Cd6gTchy8y2X4KbzmGydmn6 15IftaNwoBgj0zPQGXLB69JSoNrcg/aVTezeYte+KKpt2eJCr8CxyKA5m3cPa8wYj57HLjm/GtJM v0PhscARTXe3IvpeDTWyGeF6QPzcvIPSVMULwT86VKow8mjiAfzDvMNIjFfOggphHc9D64pZ4pZ9 G9uqElkJQMQXJZ2hPnvJIXrQyr6ctBClX/GOlH59UxOb3TBkf89odleM3xV2iac4+IUqSQiz2D6P sdzY2RzyI9yZ93cb8YLQKFXDRczJpBX4Ez62MSLJ3abSFBhDVGgkkXqP7f0+ZroeSKjYARnZHZUA f/ARYlCMAVKBvM/Ib7u8dzIHNNr1mLUv1afoo0tjrz3WQFqWh0Yrh6OmtFb7gnap9KyVh/4s8a7q MkBh93yYdVn5U848I28ERIugIf9FeJbbkZwGY1G863bzj0n/FTVuU4G153GZFcm72iAeQfUB8+52 PVIUdoAaXyTzSIcqsdTi+l3F+wjXmGsgEQEDcaiI3Fk3ds4Hd1/5eLnTwBXKlAwcvxKKsHwIo6ZI IwrCCUqiUYWvXVYmj1k6EgVdOjJJ7MqieKo3t/q0ItmdesD4JyWxyT8OlWEg5LZo/XVCVbbuFNv+ sAUw4GWeYhT3e+wvDqul608kxTGfxyN01nMbOMrtppiBHRBzTwAt+YHUkE1T83dke/PinckLxNlx 47jnsfX82HReWpCed9nSdBjTuNUhxTjyyfakU0KtGN3pif+v6foXtjS35dUVHBqae6N7bKI8nHkt QSnmTIHUHJTgQ2zxk74MMPznLblf73wctqHvUNtFVfqkETLTxuBJf/NiqeybxgW3BvYW8rQR96lX jVZuxjGB5Y9pq7MAtpRdv5+0wjMDtVtUY7uAQ7mxt7pBsXzY8fiw/MMCU2RF5z2Ib25qiW5TG2On sTbmSLRbHrVwXuJYLycym8y788atkvCky0lmaLcHP41bE0Dk9Sw7/5A4b027X/mS441EmBz+qlRT VZbCIfmzmLOKwlWmnzp4XtQ3rUSg8mrp3aDgvlZekavmZM4I23mgl84iSLqr1bwK8jU3Xwwz3Mda +WFnPkowlGAExQt1wkpa1MJ5ZJOtuAwnD59g0T6h9dmfKEc+Qqqmc4Fhh5AY93E8THD2w9/vrXdz mR86JsVJiHd7OkNovymJY2SJth+4rx8kT4+IBfiHwFW09rrexiq1mDR9xIcJhGNj/2vU+ogyFWp7 ePjncZX5k/wnhfI+6B5ScrXRjAL+8V5cRdlxv7sAywCMEqgGjWiMeCn4S90lMWr86pf6k8A9L1IH Qgv3BECCChZxZEjyvPIPLPQ7cHYi7rObt2wvlsvFlPg0x5nO8boOkeXZtbjrgblKir0czmZvl+46 0BruocVqM+B+GbSRdAZqqPjMeYH3p9rNNZxGAlyT7hHSQzUE3KGaJOk8h9f6RYQ5HWWRCBOj8GOT WV/uJamwefVydPmhhiURVv1QKlSWU15OrY2ztgyB6VeZ426zF1+rSwCWx0VuJzycp2ZjIY897MIy GsEyWT4PyuIjMsJd1CliL5xitzmaUaDE1mFI6w+Omh2pc0Br/weqUPgrQsFiqlq+wDO37FjaJu5d DBK5TNg6C1FB5jr9SHkdGYryozlUVSmKxAOQldZhxBIVK5FWxj5R+NJuURizddme+ChFB0DJjFPH JL+WCothOoiFZUNOK0JtdFqs18snwKMAr8igK/+8Sx9YhPVPLCokiiJaCeJLYe0+ydTIqjwfon+D kaVoCVOG2mZVNI/L1sLTKtOCNg0/RleVMSVEe3u4plRoxkrHXOEbgZXWKAJAVUSokSV5qyPAdLWZ RDYF6dAmXwpdT1Av7vgC0+0D37Ik/obLn8+BSOu1A8sN/Fa0zd2mg1vHgmhsvaQ7NsCgndHjmWIQ f/7L+PdHgh2VJz/nZij6fm/wMNiBBUVq/ICTGCBxqik4mDKTLSF1Kzwd7NEIb+VV+eCx7gLE6yOM OgqzCMJ6MYftwZ1zKNNmUzk34xCJo4+BEupWleeTgFq5gR7UAuXEhuHKDu0xlieZqNCCrOyNYNt+ KLMYBrjkNQgQ99AB5fWqW78PO6m0rwS65QlrROPErT3SONUtaRvGYjqYKkpElg9k1ywemnkJT1JR /Um31LGeFuktM5+Ukv0YqxwY0b/sV0D83SShMVezmyWCYFvhfrtTNBjelLodqpv59MSZx79suBjz h7TuzmNOJ9ey1g609nwMRNZmb7dNnUE3vuFnVsemne8MwkGKC54YjCajEa0KTMXNxWWcqOJTuz+k ZkQg0Xwhi37rnWEhuUdy+GOniWGZp+nC43mmUXsr5foxbeOn+20posD14UT7m8uB+lGGNKjz/d/o 8aF9mxbZos23OOs4/2AC7lsxiX8tNTm8lMJYYsNnaK/ZrXwIXyMWCDsL6C2aHn2UCWc6gqTAE9MO 0JeEC6fr6RupIw7S+3/02LqB4FdXITACCpRW+HqLlJsLO5qvVFuwHC7DOvXD5Ic35QKYMQhhJbsy 9nPBHgflXKhxJAel2Ds5JyG3Y0iY1FuK+cykAAseV/Ob0D6LmxmfhZqj3Y7ld+/ihg8Rz9IbdcPT B+8/H8WFQL90UCgQUd7fWVva1SmSfAO6s5J2NTgtBlGsRzV0EtWSjwIunQYwtHEHCTv07wpZp6nv 57i9HavjCaSWoUe598AY0P0lEKwBn6/HTXFZUzOD+7RkifICthQJ7rg9squ/u7s/CwqGQcloEuec m8CS2bmvZQ4/qSnfX4rYAKy6XvDg616PCLQkXfp4SOZ6xPhevIVwOavylSXkp+QpCuQkggCKrJ9g bCmVsjTyGj06hSlvuxlFgER0C5vD9SLSmpiGh3nhG6LYNf2UtFHCQWKq3yNYzvjBjA+I5qeKTj4w kdQHiwLfB/ht6IsCKjdaKKc1ksfyOgHHxTj8TdLheGEtbvHX0gk0agfKuq/jrRki9AIz8DTSfsPf 7tG/EJR0bHD89IWK4PenFUAHqDvMOM4lQ+G3AUJSL2y/k8HsmYOvxij/drXWOzPU2pjcfdKm2F8L ZRgO+0qZI0ukJryxipEqkNrOc4aM163IvEGFuR3YB922QlLWgCuqn4tI/m0YZVX9jxqvP/MydaAA XR5CQyAPTxuIbyZ2KKGB5BbzjFwXMsVUbuvZg1aHaFZHmUzqlTal8HXn1ywkj6kHexc4N6+jofcl ZL/QTcWgK03aZxrynjtcZRqI1AXSSHgTB9nbhiNK0cOP8xC8mMpXEOa9SCgfmxu3FYbF5Znqw6JE qKqfyRGdGzxNuv0EVhopnWaJSo0xdFM0QyVXlLdYrrEf5l/0U25NWu3esK/bMtrDq6IvgPSAdXfU G+ERVklwxZzgf6Rl72PDFjyeos6HCQzys66dkkFnysnQm5NFxj867J2rk9/Mmar3146H1qQ1q4wR 7mgZM5KELdzfmjAcp1nXwZ2vCdY61cjq2QsBPqm3jCW8pymYQdkrP672qOXZRV0dC4PV8Z2eCyw7 +bJqmRwTW9EONsjL7h8mBbujJDKAfGIQAP++VO6Qv2Vh0ITnSUPxawYMB9DkIq0WviBswZ6/J31y 5pQjQoohG1mvwRh2qRoN/RG/miOFmNf8sLmUl6lPMfIse5hP9XLR4jlmnBhlb6gwEmt5HXA50SPx 0zjF9C6a9GkYc0rbBtfZ2bNmDC8ph2jgMTs1YArczM6Ds73bE5VGRoXjeSPxr26L/GyvkHDWiAJp af+Pq1mGnlw/7YjMtpiArA31pPWdGs6whOW7gQLW5lIbT8IlTSJaFp8mbLuyj4m1Uu5L/RMILNo+ 0z9GaaD0+B6Y4hb2nLM2+z0UFYQxp1nhry54le+0wvleT0DMX9yYrrvO8/6yYj34If9DyticuNGO QyUDG3ZTioCh8SouSoWzFTUyY/+pf245AbuhxB7iSlKEQmPJrFgEDc6ygvFzVtsuaM+KwKSAJ6xt LBYjceKcA1pjpRn+zv7VqPTz9DrpFrPNTm4tGxvwS/tH9+26EprXKYacM1C0xvFwoMEmeud6T4sj H14bSZZxc0OjYohGxt6IxyCTdMsg07Bv0OFBgQOEFwLLWD1EbPzLGrwLVjrES+drq3hRbtZNn3UR PyaN4edMtaeA9GRKEI8RnZJS/8+5DAimHduXpKq99AnYAKCn8dsejJszZ0+6nUHcc+FhcpqbhnB0 BmBJvDiX/l48bEacV4EjhuN/tFSI/KS7P3kw7I9SQSJnNQHUIbbd15kF75Exa5suLERzC/qUtMca GSirJAT25KsNde9pGkPz0wrSOIBiYSRe4mOd9oME87bzLHhwhJAjj6u64dhFCGHnNSLnavNhSAx/ cL6H4X27ZqK8pRPc/76emCmogtuc7vUPffTQRVktC3cGkQHyckKMRb7GV33vwpkDqINXkOlBdKdZ knrQbskTILtGiBQcYgSHooWlsB7k7R0dX1oocaaTSNe0iISNGmN1jw1zrAZ2GP1KcNEoHN79i8O/ 1Yfmr7cMkc3AJEWpQ8mwYKDVwTpcHEmlROrQxMXCUfrkWZ04CxKnnnOL1iu3rSeAu/HfUy25zvQu m/C4sHqJgsPxQ8BoUdEOZMHZqpVNcSrI3rDWn9gson6XUZYslOFesKK7cV2lazS10ovr0+dP7gah qrAjpV/zGh97VGrSTDDnqcjrhvHBWxArSh6mZxDYx73OCR3G8Ln2813qmhDRSFf0pMbbBr0NqOPi LzKPUwkceJl9LHSqjSNkzbgM5S+QjhLRMVmr9ec7npm5s1KSBSbhxKzqkKo20ZUXepQu8yma+r4h SafMNNuPYgVu6sDYjF5IcJg2+ECBnlh/zOZafKyji6tv1Yc56/zleE3Wfau+VsSM7qF1ppzwS2f8 EX5n3U6jCe4VOyd4I5LYKKSY1kqr7SLFzUEUA6I4JQ6pzTFJviFJqfqXWF7aSh11k/JGiUznukcK PelTvtNQITqS0t73e/GI4J2WttRB8rVPjsaI/5lt+cCeFBIDFi5UgNahSEjqDAO7e81ehGuVK2Iy lESaIj6A/i3VdYCzYrkHT9Fn76Wp0gg8BDtejWFE3OQ70q92IelBDa8pcxrbpOdA7xAVUtHKewlw o3/Epl1QhECG3AZVS9UUkTg3ysTiTmEBU5oTooa0qh12iaoEdOGInA5VucCMxnKKVw+dlbUu6VzQ KY7XGwSu5u7Sp0PuwXEd/4KgRujGY3hoZuQEojRiW54g/2zdwf4HfvmlkfhvVCQlYDks4y1PJQpF EIgyAgQDjBOg8ol9Ed42MKWGGXGNLl90J09ryGu5eui4kZ/MV1clPu5A//rpAiptNJ+tsG6ooKU0 dDS9oIX2Jehx2KTRjCXwVSC2a7IB01oxT+xVa45eh7x1AjZjdGLkLSRqGQIMBbQ0y1yXQBLKjES2 98IDdkiw6RKJLtHRkD5413DNWG7ZBfn5Kg/vCoFpA9QZ+oVhJyrbzyOIe4Goe+9q/9nQ3SuXK3zo hPzppf1PImqlDAGnUPL0cpCDv9weW25dD89ysEIbZFg5lvDwelVQ1Mg/fYcy0190z3vfPLgAVyP3 qnqxLL/m/0JQfk6axHtKXAzjug/jvt1HQpD2Uyob6wuMbRl9dRh3NyqzMdEuHkm6xSttdx96l5FK WbTfdnPcapxf9hJ3cK0zybn4UqR4yyFqN/YVTUT59fCzweE5gx70qyGRfb/egiQagrnoax5vu01M ip9M2nqm4EBphH3KBaQGM+Xps6KhZe6Ah/MQwqDdHLaWkOR7mNVxl+/4XFGlol3TCrrTny4ZC/Id ajKdph7X9Y8wiR0C4XUSwQdbdoE7YYJwcEKNUBg9ntVjHCBMUjaCUkuqwqdhFHdt+2Eymxgn2/FG VztGH3ZQ1Se6H6orUShxVAz698pcxTBVGdVQ7IvRSAR9Ewahp/3AaD+n0MptZRNJa1b3HQGmRw2e 3hjQEFmnlG5rbhLYCo/jOE+SHFtYQ39QYHFX3wOe0MVjH7R4I/R4T435HFIjwQUOcm87xDff/A02 6F83kzWK+1xF+DaD+dFr3mdmiAsxIefMPVaJtAPbTdUuAOHRvwrOOTLcq2yVfAlDMsTPZOoVL2YO kKzvmRhAuzmI/Ivd36YhhsQz9GzZ8T898Q7+RMce9CqXCMjjQ4YeGYUMOJd78xNgy8KkD7XlpJWL gSFfBnAnbWvzu145+DVVwvjWvczd7eJvi/01S1YXpfi0iDPloUyedOTsuSAscElZPzC+1hNGvXu3 GbCj0w1m19GiVT2pwZtEwtlVYaE09n+DUW8XvUjAjwy6/ofmiCMIRa0o1Trgx3QIBjUiMXwUI6HK ArG+wAT478jHcvZXr+UahqV6yxM+DVcZo8gQsFUH7Bn0pu061e4gCBzhDA2aNmmc+riOfk5BY806 l0ENLrX1yCStp/tEGjrfCDMSUE9Qw4N2BMvfyO8JE8C0xX+YymO6PFIVsq2YT/XJeAf6sMA7icFu NZBP8BIJkK/L7JhdNT5vtqf8oVE9D6doqZhPrkuh1aElDNthZJM6bGg/cvVGoTJ9+YrpsJDnwbuO ig+zSZKx/5J3GmsHyqjs8J9UgT5Ge+cA7y+WI2kj2mVYYYPMIpDFS0mZVCx0NkNHT0DIS0E0xWa2 S7yQmGVL1SSP0o8ZzfiPtlo1alt6ZZQbtLwAi/0peQrSRRW1+oZ7Mc6C+PZyS4y7b5uhdGul5yWf MHk+LaVgvpekp4/3jK3rxGNcK25XpqaWfu+ECKXVWh3iSOIGgR1uUrT8jogNH7cxAOJsXvU5XIO5 DZmQ0p7O3PJNfWoRz98xy0liXOLqEoXDkZzvjWBBMrrPOW3iIMPjLvNwu6AscO3xklU7aLRenp74 jv4aTAMv6as1T4Lons74y7LmmYtXamFTnjXObpPjLrrKKSqOlWzlYSVybrQ7i1y897pP76TiOTWd YOOEbXCPDyCucC2fhmrIdQw3iOE6yzs3xBMr6ZR04ltupy1MRJkYOrESeJuL5+u+/BVXkcjCpeoG BtjUorL5Hh7UrM5vN0yBnMUpkMwDY88l7FshK8FvgDMWfDjYLmg/2eG+00GiZhEcQxMXrSXbpX4A p100bJl1odhFn/NYbX3RTGOylew63A9MaCDxnBSAQ6PsgrnZz+IZ2+9nI/rg4YZwqzSgueZN5rYd g89sIcWIvUXaz6/HoL1B5MSfgAvkTIg16YPvsDVHGzLP/Gy60yLdUuGye/4huDs/eKFCpC+t2D4u 4r/MQQrxXuL5uuynTOSbs6Qtu09wsAkL+tJiG2knkjsPMgPKppU/xIGIyixSQBOUL7jKr+qwg8Go OkKEmsqzZYd/V6NnIO0LlwmCwOZHbWmaqFeXxjfb2hZpVrpw85bp4EWH+5z3jz9mrAWlQeKSjDDI Z/eeLj7bhiBOIm/QRRHBy+Py3x6c6OD9VrtC6mhTTBM3agd+6DGAgchs//db4YHVLFEFLOjbPqGo CJvzPdOiLQcNWAZavqGIz+5uT+mWzONu0/vPm4GtcdAGNgZ2rTJA3ZDq75ho9yLHz1LV7mw2DJdr M5pURB/+1gHZKe1jYBvBANexs0qJLC775pT0X24HnPMV4YNm2c9vzQMouZsUuF+i3XMABPhGZol5 PoLZz75L7MOWZg+KbS1ttyfdasu/8KnwdM1ey8WSTEfNTq++j19KMGS78R9Tv5/iYAnGbw1nOJq7 wbWCn2CXZ7YDjWAVOdJT4LC726dePjQd9gRpbZNF/ZO8FxSiZ/UL5ygWpZy+U+uoQrPFlu9lG5UQ HJRN1BdoW96lTCh3vcgQcdA0LG9CcqqeN/U0vnBGuQ96Jx8he3PKgvjZNEvheWFAwuhB1JWpjO/O E1HR4xFrmveRLqB1Xqpzh5rf7whmZSo/e8+5S3nS0HbVUGTjiYHoh6MN6bkfIqiex/AwE2VXtWDQ dyyI+toVvtZLCY5QRav3/ZvRI962UDIL6dsd3/kvGTis8StiLV3eI+bB2pU4pzqz4hXyqs5H7e/g VGZtWAr+/RGSISNUQlTI1ZnNM3VWxBuhheu6gvV5x3vQ8eiDsiHKdlaCl7PPp6g8MOnO2YkVMh26 aJGcAOlcK/vcdoxninfXenW5l8DjlCtvJUS6vSxmU3rQFJddIy5O7SdS1wLseyPshbcmhAU1+A0V +iyIJ/zcusn3PDeFZEdKZU4qPQbnHYntHDEtU+5o+U9xliqDr/GXTIJODKD6Hk9YtlPH+NEQOJT4 EOq6gIGYDcQl9Qxex2C4+Nw1CwvhaH3s9Ob+4IV2kCyQ0f6XjAWonKONlBgo+fImdSSzzirSYZLx +/4yQKmm7ALSX7Dpn1vP1mXTfc6Il/ekJcRlYV0HPsA9mMVJVK4aRh8iW52F8IGhpJkCZlAiQPfb 4y0/FqzjhoIjS1aSyVhT4DSfdgudAVWz268w9amEuYxyl6z6KSvNbgUBQ8ZAwa0pZkYdwDuLw99y rt/nb8RCk6tveZPykGog7fg0PsFO1Eq1/0mk/xTrEdG4jiwnkfUYF84LztV6VOhUTs0YHFij1+ga WKReIlGhqJXTkReVrDtSN8AP2PIDuK8Y1t9c6SuXVWgrtgOEbCBccsXKiT1mlh1gddYRHVfKD+PW cVkCrk0XdCK1EiCGSCb32+zdYDwZ1c7f89rJ3Bi99UkkwDMF4yAw+a8xF0IiDup2d2n9DIogwFDO XIF3/O+qRINWT6/LiyfZumrqNg8yHinKWYM6qFcwKsfoTe/B8ycyAZBOVFxnAXOhp1/pvHt7DgM7 5nvxCkiVI3nCk6g6gGFUhkx02zxS1A7HMCB6uIJQ8aJQmeTEVEEwMMHadxXtWbisEsCQvipdG1hX SKlCs83A6DN2Tp9qBlzcCNXqpgLzYkZKI7jO0/4gnU0Aa6lrpa08BBS73zxkogpuLfUkjFUyOH5x hTmTr66n2uRVanZJOqba8msfcyHYpP9V7vfgq2k4PQmeE1Z7ktsCEUlDtZFBnUfIImeJgpx+kiKn uAFBU+X/YtrelybQH6JcHlLTNHMtecAxVoDCFPeR6lH8pxfutUJlXk/NvEC6HtVUHZJ6FVD+TeXv itzqx6Cetu9VyQpHrnWWlaebCa9qOGtfS8mgJgLAsdDC6k3uixOX9POLJH2QhIwJ70gBpGIAXMGw aA+mcyEfKeMdSijckk+wx41Kk2uGTSDrwrK3lPvl02a5lD/oP6HSbXZh55mN/pU8oO9IHWimA3kY /KD7kc9ZNrE7zJFQ6BP5p6t2w00DWypAePgcVN1mXNbKi/TSXtd34QRm5HkJM2mHcxfBYHoqpow8 C26p5WfwpzudEw5y/2payEyLiC7BHbOo/qlG9z/FMRlv+SPyhi50c2e6Upe32Uto9JoaM40I2ONh MlLAwUE0saqgt7F3adc/pLpZmYK8QFMuZruZI5z+aQz/t3kjmngc5J+8kFME4Lyuu8KNphBxYgjJ RbLOCgQBIzUWiEdGR5WcqshghlPRNZArnETo0Z3D4VdGY1OY7fWbs78/POZb9FEi5/w84lh4YAPg BlEcrFqWtXmWidlPAduKso2G4dEsrAEqjC4RwiCOhKpBS63ljFNG3E32dd6qddL1SwQ6i+4jGNQu KqATUMl0iW3wNOEwGv09vv+GDcK3cUH3TtLZVF77vfg4cuaQFpa3Kek5UBsrdYBAqJsJzGmAncRL j8bRgBxTp8yZKNSQygbqOPGu+3wlUrplKg/Pz2LNaM07r+FeVTYQNUrO8oH+rsjKz4sdXe22hy8r mFOzm1dE7PazcyNH7X/lDU0SnNLJqMh6JzX9iY7stGjJyWg3+pLVwVQ/Dk2GcWfecgYX9QKH2aES re1TZyq5KOYOGtF/ZBcAZ3WkqkH4tiCbQmD1+AoZNm67vdww6XDXrvlJ9jCrZ6DFq+KqmzuPCPkQ L/cxgeOBX8GR0aUetqlTvKHmoSTGT0o7iPZCqTN1qWzhKlhwIQamyxUkRQZKWxUshrTKhS1TGVKh r6FMutH5JC2S3OvHl3zn6aTxVXOIwcru8V87FcCHLrnPP+RnBc7KRhSfCoxvURWim3uGxCZL1kK3 JlVz5NLw11EOjDJ1G4xfGsMfo1t7mj4EbPT5ZoxK3+50NbjxoHrYW0OociOIPJuyZRBkLnrFT9W5 +2/GmRUBiVoICo0mR0xxSRt9CPVxfnO19oA1N74f45de9gODbctwLFBVzbSZ99dfaUIHNoCpBGcs F4cTeq9D+5EXUvYUzq+GPTbVPBeZlJeluHI3Xuw2WjaODTy18IZEr9T2b522TysHjyY33evP/lH6 PEeTmpip2ppdnoOA3M4I+tIu12K5pZ/6mWLvx3bTW5VCKhxPsgWw30FPZFYKDG/BIJTE77tOlPa2 orHtOGSWn28YTHjyjuNSD+ohwn2yzk8I7lOKA8B39ruNOfuLV31gDpuWfUQPCAKFAnrq8RSrVkxT 9YE975eMByggokkhKEUdeAFF423m5skdMBKd7OVdlkwFjFHPgwUyf5ez/IAsUsIEDwkdQBpil2Np K/NSahKo9rt5rT25bS20vX4otoh1+Km/qH5GsgIMmoPlHwEfTA20y6LjK8/9nyB8bXW2R+Hqikqa w3AzYjtVssUvx53Ak7Nu4OOdOhhiYjT6wmSUuhgo+iOBAHMyilZgpLoImxFMZMIRGxtHZ+Y3ieAN JO3mgjdR0vl8nFT2aOjAXkgrj0R3JPOua241n2Qw2TAi+RYy1zS8+8WirZF66VWH6+xgIzOcRa6p LqGDlB/weeVIcYMoC5JfCzGmPnlYEC9bQUxzI5PI68sEoiJUGEligDhXzMx7VeQr929cuh1yjMZW E5N1axUwIY1VEklmj1oWF2tI4VNGJSTzPvmucoHhcKz2C/1afpmfDBDVBmtTUiPm91h5qWZ/3erf X4aGw9MTLT9K7sxqlUtGaWTazcvl4NNV1sgHnxpndxU2vlULUVlRWh/ukjnAlCD3OFzystbtuxyT 2RN4Cyd9zRjIVOEA7OjFcjc+p1HR6YDTF5/TsZR4i5ypbml3qCqFUoLLxtKNWnY2gvyyx7/40sEZ vE1vpX9Q8N1bun7DvPfzd0bqTlulMl/D3pGr65IkNP9jTb5LNyXIEtSf3dEH9R1sIr80kExJjOYF b8VWRlDPEMKRUmCsrDSuU9pNe7FAjyraho9wJHICb0hyoq5urGFuqkpDWecZvCB4xlYRngWWwIof BCJK/d1fIg6yTFGxUjJUURmVHuMla6M0zeTh5y7w+lCiEOauZa81W22MK75LLpytADJo5BD7rE1y bvAu/yiasNqpCbVM6IH0D2YOjEg9iegCTz8GRqJrLj43LDGtavoxQfWgUZm75n0SZT7Javq2h0gx jwu/0vwDi1iZ3FgME9Z1+aduKa23AfHHpvkyrraAPdisaUWV7ExQ8MLMVuqZoFkEXPWQVwL9kEqi nY7YIaP/Rp/SNL+tTsvT9gZWcpMBb3KriUI2Ex+cJXXpE5J5qasm2HBZRz9hWhyw2AhHiVLdp5UJ XTDf3drY2SpMZkxikU9e6b2luInnO7KaSBU/aGdDrhEJVGwvgT4pvJR7BgsA81cfdYay8DVr/wnj AWEeaAYlELYaHpgDv6w5jDa7YaZ0Ubq0Kx2Usb+in4bwVljvdyg5tDMgORjOoCFqHQPxVQrMEuiX Liklwsu4/dB7G2TrCscrU5C7t99qzsV0lZ0K8JTdUER3n7n06cYS46aIP9Be7uRt/nbrinTcu3ME KuU7Nw7dy26C7ByaglqFYpQDmK2UTJg3ifSLdJbSI59WIoec+mfejixoOuv9kZV/RKnUaMx9GNi8 CbEwIRy0aUj2sOIZJ7QL6iIuZG3AC4wqNZoh4nX3vj/hQGzJxdLiMCafCQyS+lnlXwUm/Axqt4wz UTGfiqCsd8Eq4SudovW4oxynTLGv9b4PKd0i0iZcHLqIdeO/XYv0+EWc3lMZKxirx9qP3uGv2rmn rj1HJup0+kT9CpB3XXcBD7DzggG+Mc+lC+iSDkX4vIAc0+vUygNjwRjQ2vYP9g1rIRF7jKUq/dql DMoUufsySZ5FjV1PWDRnfaTfj2cZWIH5dYep8Pc/1mD2okh6ief/EibFshBdDJ2O+vKQphQ+kwpO HZWkeFULAfXWDRHfLj5e7rwoltEriM0piB9Edti1WZMj4gpDr1mcfHSvVpRcsDVS+T1Rh26B8gSu usXnPZV5ALo/fA1OqnwVUgD27RJt+3e3b0xSeCSHVvGU69YaAA7gBC64HrkBtOzX9cH89uuLjSdC sVcR9KS/UbU3r9HXVdxLCay/XxWq+Lo8abXyUkExuUS4e9c+/rCf7il+N+xSfRDCMgHaMxHLWILN XfH++dqj2MC0P2RR778fL/whSe3GUdSL0Bcz6F4rfoL2BLExvS6Cf3Y/sVA74w9yrlLWnIgW6zUU qcEGkCpt1PeGefvnC6uOjuBtid3s4/MHv6etySj9cOOj4JSmi0Wp9Py64Q8QD4XiIRO8j6Waw1vM UuQvGPaWdir5AprGadWwHwf9m2M+jvCMSu5c3rgB6r+deK8hK0g9UIwJDZnJjIregxTbTCxkHPrv nBBLu6DMYogJfYQnLMGCBMBjZDzF0o6EdiefFem3+F89X9n7YD2ugmIwyhcZkjklQWRfUhCf2eiW 3TDbgnKgZ23RvHiDSJBOt816w5qX+kxdJJc2tbqzCbV6/++ByJdMHNEK9X4w/aUUoGF16qURtNw7 tmetGkOLH+MnqgzxHhiJU2xTa9fso8heHZq+5aVlCcohX7NvEW2V439uzGT9kNPiYY0M1hCQtVFl nn76vNOQ4pgak+bGmhWCfEIv7WNvhsRDWmG53GqguF0jljhy60JnL+FNLEkJZU48mCGi4iwsgTjA qUW4MrJ9e8cdS6mGizQn2fRgWqTH/mttN5l0mgq+TzVSOEcnoI2SiaGCmCG/93QI0D4wsRUMbf1L F/hd7H+XYW71tLUMkK4X7hhsJPLVEmHS0wRa6FIUy8vT1Cv+DxN4ldnBf69j4aCm0ovF0FcpJj7K 2oJUHvAlvWwTqtpe5RLGRBSI763I22rrb2HKX+cZ5/vIQiwRItl1NCZ5Au3DbKtAjnOkVKkZh+QE Alt42N6mIDEWtcxyP5N0sbeUmU6Vvq+AT7ZrgeRF0tfVyD49Wa2NiP3BryzPi8XOStMazkbrUBDo xPjP63lquQ2GTrCOaO52lVDaR8imE7UJbvEBIEUnfxjq2COKuk0N1FDaBThDhN889AVshdj2NfgR Bjk0ZnPAsmRkpEhA3Jxf3ZBCLISLq3w90T7c635ziU8jq/zIwdV6SZ9x3EYPtUvXGh8otsIgsC6T quxcvW16edSQPSq4PjQM/WWV9xNGVLXxIF2BwbOV8jxECXpRqE7wqgvvjUPzJ2wCJ1qZHIf4Zn2k UDlnqWGRrIIyyDr/ODu4T3UxBLCvWqo6aAf4Rvr1/MuL/sK00Nk+2c1IGb/Dww9e7Os9TH55dEIm I+kO06tHlda1GwwUvTRb/mK5FzcOgLDXweMdxRFDD5FimtcO7v7wFN2D6ZlKBrsI4hIDZY7jEGyM a7WFDPKOHNvgr5mXA/nkV7T8TunGWlRVwiFhda9g5zeYE30/dvkIf+3VBd/bmtxJNCeufweE3A2g YAurRKvfDg4yA3rXuU4Ey1j1Ap+gcl30wwlJ3ZEMXbyFVBXdNmDvtPwtRDjPV3iLG9+/UONjXIE9 7taRHrovonEjKm74czyTJmHBcKnmFVlMlm9A1kLC1YSj3+GR/mEIav192ZitLcFPca9XEj6gXuh3 rUnYXBcBiXsAcVyoXmJ7hGxKIh3pgSMC2499IboZrZwDVvfKlbIiJJIVnyRUcMVHItJaD1Mou6fU tj/Lw5EZ04xGGClPWIUO4NF66F8c5U2lxq9zpXLAHhdbJbHUdjXmMRoJkST9PCe2D3pIAnFUnDRk h6d1+7mZEP3yxiZWXSrhI1lmW5/mV5F48xAE7HBF6dFuwBZ8j2wpjmi+QeFL1cgUc+nDkCEGABiK qfsvVdfyrQ1tok9C06ebFCAFY5EUPNc84k0zz55ePChFujpAn1ymAeMAvWsJxCOR+vZ3eVcmzSJz BctSDFu9+xqCc9iEfCv2EBwstj1DFzlvyRziikHWYDbSgYfGkC7pldBx7W7zfe7h6DPoYwUJjH46 f3jpWVpgAZ558ojsH0F3IOvcUAPOBfocnDZ4Za3B5XxM80nc45Moxf5eY5Lg0O9AUDfGNYToGNHL GtIFhqIU0kSrj4fnsdPekvAj+f7TmPn0CLcRNjkDVEEZqxnE1BdrvARVI/qMlvn2+5smMFzfSU// xi7ftXNadMkqhT+0yeYLLWG9SDbPcN02M/ZFXoTumDJ7FC1OfAfCQgy6xiuwk6VLVbmWyxGlHmc+ 4QUs9NvgmFVaeypmtHPGYDC1CwDutyr+F/iMnNYvk6bvX3gLbewI74mV7+9NdmA7TN1XC0wOGGTA XvjSasnIR6MHhGIyBVELH2TuqpoCmbXvs7+H6/TTX4qIWjvmTHNIavK3dsJKpfi4ZyD9J0yRGtr2 1bf8KnBeAhU5VOPE24AKsBGl6IhSQqXlPdmJFwBwihiFZLZkQYWb0T+/CkgFg8uozIXZmFZmDVD4 KVHID4nm+dfIDCg1aG0jJR4uGH8Ui/4wSw1FiP0Mg1R/maUScBVTfSiOSr6pK3m2woTHJe+PGEQc /dhh4AskNucjQBugzbyvVImBe7YpkUQvL227Wp33rumU//CpHFUK15o8Z6Gms4j9wriFUCR1AIo/ iDBHv9y9rPJeTVuUAC3Ki49mFPEC9goe8Y2p1QDdE0mWLVMQGlXuKNaYx4/JkyBBE3W4OQ+OflZq 3dxsK3dVADevnxMJNSzmVqlK3+OoDo60mMXW3HM5hPQ0uLUcga2irrJne6LQso9UoW3Zf7pMXEjJ v6lqKyX8tm5O2vqu83zN02SW9d8BhZOdF7ZM/4uZSvAdqw1WZt4f3Lib8+VrrbQXU7GeAxVWim0Q uzDMPzhuuAzy0q06FeAN/9Yo0tKPcIOmOuG+3c5lrWBY+GLBTJkfUv28wPk8B6J/rZhvueLWOwsw dpbYwQXmHSXnxJcgmz8BrAZQzI1udlh2lD9ZtMZnAeokt3tHXyZx5EfKgbAHdpjJ337dTWfT2I1Z 859Q8WvfqLzE2AbZJ52HW+CyClDApmOVGkO6n+WhjVCQinqbmGjyJWLe9hiQrBiTFp24rTvtrO3V /+61MCEIQK7EZR12iYaXXKkZwYMDS+7vOe1ifbtWF3tVcU7abtBeakj8qs3td+iuafgbXbZDjptD Tzbx/WJMAZrRWAwXM/wz3o5oMtZB2LL78hyGDzweft1WuJ2xjdHbE/IiKL6jwZxpZ2x7q0nMDF9a Zj1Yu4S0FRouPI7e8q+TpWua4+PR9yjthVjkKxSZMP6VqFdd8bt510Z2v3W6GCrugxINvab3/t3c XsLi3haWHPtko48PM+l4FNfWoOeUPo0IA9sTa8y5M8b1kNuLWcdgHcKjL9O8xzY3OZvlDWgDmv5l OchOmgdg6j/sMckMWjMxyVJDjIA7aw3qkmoxtHbbtWrIjkfMJif+AmRyFps4TjivimT5ADHikacJ lhlsY0a6OK9brpGuQ2uk0SO+wsFCmOfdN8QhisTf+/nh1bluwyBEghU7dkCKUlK3uilqxuVGWoxG MSeyM5IcrWPir7PQUymt2N5bV308n+F3ofaKcnn2kKOb6nu1HOX4TRjm4BVxFTNaPJOWZBP8dPoF Xee8ibNi8epB9e30aDbVx286yf/oVqY+u/apXeTKPEUdZFgNNL64f9GOIP3Tw4tQTBGdmDjuCAeL yye1+lIiFrhvHly4WyD6tNMnxaEMNP0K2BZ1H9QCqPGV8xn1AIdauRzHgzXP2c3MM3sGr4scRKCp Sw6hqndU8d8ym30QdK2IgBHI+RRMWQNDroxathm39kv1/4L6Bwtqhp3aG0vPGfG/0V/kgh4lETN4 0SgupL1EomjfIbuOSCkiSBrkcjHyiA4hlcBhm9MFhslkMxqUUC2t6w1DjAESuSyUMKT/vExSAb4u skb/AAlBh6gAoI40tXT6FyefwvppAWI3iLhIPWmYZR1gi2uNBVf3l17jNpTdDVYku37d4qIIT4V6 RoUkBmJCZMx24wQWg+3d6PdM2rURXSjE29v/FsTNX7VfcmzpqCcGu7HM3ZhB2G9pVuZectvPLJM3 TQZcIJ9z/akDDFCJlUgOWrjck5ZVsq6Z9o21YAeHQ37lX7tf4G/g72RtnlyObFfpH9Va5vFZhPjM GlI0Ht5cH3IYrcTldGzledCuXPAvE42oRaEDNms11qjMiV+KOoNWXwc+FlsFPfeH89UwUY/1Lnyz zIl7sQyNqpwWeP3WQx3nXEypAozlv7IOzwDZgtvAZgYQpHvtpCiWvCqy+27wO5/fb6orVPh5DeBZ VOi00TX8AlM+guR1zgC7lNcW6eILVHGOb9hZV26bPWEfEoLdAhPEVRyiR9MQEgjUfESntE/ZPsBR aQDc2bw5lDkV6ZzE4xbu2kRUk8uZiQjKaf+NSlbVzPM8m0vynr/1tU0bXBrOZrsiYnR5X5LreqY3 uVjmELbKT4qlLGaQgnxUjLTIakuVxTjMtS385VgG4VK+h1nQCIqyYzIyulSD1Ko2ZgyWO8gSjotu EyRhz38s7ancOweLAQo587Jorlfbem0HOeJjlqsT/hnW55zo997K/8XRguVuXS/ffhHS+lJyX6H1 l6xEBKXQewiYEJOWMu1TLpYgIYata04S/tZqjcV+QmuqNYAdLX2t52wEOLNdzp9OjH/XsqO+jyRz wGwU3OaIM4xNuDpE06wslqQ/CfokchDX8rPXZWZCGmPe/22p2zO+HaJHdhvlHeQoe1NHXilPCr+E vESVrg2QQI+XqXvfGurCCJPGv4AVbDzWOvATg6XhK4qmLVpGsprf5efkjfYRMLBeBJaY4RYQln9f j8CfaeSc93d1Mb/3Ti9NTamp2bIcamBJszfPPl6K2luCEdoKZWUrsh0zbCccYgfs+yXKp4vW0S99 3IWwJiT2V1njxGezVA7NUaVSoTLhtaPj+Ey1xuBuNbnPvPr4U0bDkGWRYRIgdzkohtPQGvGygDqo FfcAaLW7hLLbFw6BH3z8ZifjXvn6zqYorOQEspSAgUPOb/mh7Dfs6m948VL2In/nIze1KDLLmiYc yunegVpuulDPdptSxOYyNrUV7+2tAKbR2/Ht0V01Eu1LaLWMgkP6WR+hFJ1Lqvt4BiaysnZ1Czvx 5BLe1VFIPljIjORGMsQplwouEOVnc1GTlyc0A/2lFlyHBcHwVVP2eYNMbo5cfc+NoNbQvNhytYWg l5UnDKhOUs7l8iuzz7ersvePWq/i57EQBkedjb378CUJ24zWuKIpKhKXnFM5yKmABTQ4e5kURUWI lHizHSNaK3mKtcLTwy9X6OdUtlys+U5+YgzS7Z6ebTIvO1QzExc6tzpVReQmg0yoquxhGt6ZfRCn nwxWR95pwRl7unmDcz9b466W5NBB4yHEX6U82JfNg09zwbFu+9QaH55ykgS7TqegRbCFtUjMgr2o wU0eqK0dGntxYavq3QjAkWvXKr0f1Y646Tjavt3xZ1G7DY5B3tBUnNDqbjGXD4lWO200LrRL4mjR d1tHbyz3y3/H2Ydsh+3UPLwORj+B0Wwf5qwgpCPJZAdmjsCxx6QbH1IMQXssqoiXS1EgGa8H3bFZ U3wIqNppO8woT80sZHUDNX/9+/5eBiPFB9521zRVKrlsuKtM/2L5a6Dm767wVvExz4Pk74YHJZ78 5nUt0SMNveAwhAMt231LPsEczo4RTveQy+GEcPkVJtiKfxkY71uogkhRP6SejIaoTuHmQL3YQW5r z6fQMV+q0aYM4fBWMB4T+2u0mGFF4liNG5Eq2fTBSNlTF4bhAZzOksRHcf2NQLm2uvFRwxSW+f3I TmSPUrFh4yPP7lApbe6vI9GhU2yplSyolI23XtyCIZ44+LFI6kvnWHfel37L6DFIcxKu2EZ8yAC1 C3lsukQyDMvALbJRsaYrSU7MtIpr3jDXt0dvQWF654eqSgl2YLwWaNdN+lXam6nKyML5917iDAc6 tBVHFNMErCgOeJzZ9JvP6Opch0qDj0TKWElM2A1SP26g+Be+VuAaADZ+v+6ZNJIxxC5J/mPojMWC pmKfbXXONFtSCIpds5CGRjcYaFSXuhYxuKgHcpQ8GnVeyBLKNuG6+u8JO1CZ1seslPcpjbz9WFb6 0tJWF6Ub0gQGu7yFsDqWSUcWaLee0PHUrHh7g+YB1jEc7w1rdt2aYcb10QVQdxP6Dg2SFAmip0hK /Y8ZvdqWjPimZ7c18/o+95sOKoODtduPotP8Fm4rGDY4QhtBxW2crUO92mDs83Z9V1lLSWDCW9pD gAfY/pgQKa4w5OUPONbJdk9vzmy20FBOIbash8ImxicyNMFqnYYxR2PdsZeIdDVtgB0pL5gefVsi 12s/8xlK00QO5zHYvAoo9r5UOLbiekSMD/6oYErh9Y7XZ1poJnR5qh/OBLkQmpj9gFwe4XxOaa+5 2APjS7GOu20mA7n6csx3ilh3rc+epkRwip1Tj0CI1N8V+1SlmD3boCv8YUrn5zjEh8iRH4t4uX3d do8ZThzAqWk7qYQQP+gfeBpHycHiu74D9ZwELYAw8FMJYFD0hVKTUrw5Rc+wh79X8U5z0nPvscxS dFoXCEO0xFNeuFsSYEsJrGAyD5nSZLeyb3oPK1gL0bLcRf/N6x1AYLMfeFFcbsE1NZ6XSLo/KEcs SPzNSmE1ENlQosxJCFZv5U0MCuEVeXweDDkr00v1a87jbxQDOy5+pe3J7G8qNzs/DLCpKnksAbZb CG5pqTqwXJi8f6RE0kCgZLP+RweaoGicvTizmjazcug6PdKR/IhlB7yqJRz904zbblM9ETfubMd5 qwnZnwa/ytukWNIWhLz5TwOsaHpK/V4iT4Ex72nGUp4semigyuTTWLNb8Sz/eKvFBnyK9gCoe35x pPfV6wA99cv6JGDrsdSL56R01VPT7Or9OR8nSmCsglAWmiL4iAJV+Lfphl0+PjjN/XJZGwWT52hM nvx0A2dLccI20gdgxEbqFKmiKDrFnRrY/unlRIECShTlhI2W5ykOTXgI18A5FgFWhu2UKeD8JGL6 Dd30/jZn2Wln2n1b22YWEcp7d/9jgwCNDEHybBjuAmBaZeT3jAQAVlVxaCAuvUwVY3gxaFicMxHG /E/3usNPcIr0eIrNa184JP6tGSAxBOn749gZssXlLka72RhNW9JU2haHRFFDlLSBmTh0TdTBbVSy 6UzcGnbRws/N38YXvutghw4b+ewjCjDLruS5d7ujlM/WV2zRwpaARofBVfJs1Fes91QzdUuWQrhZ Svy30BLgthhINcbM49XaeVNg8kejNTGhiVov3R6kn3rikOyqGnut6qEsZVrjlqAT3AfiA03jHORe 8NYt8RobHxlC9t04kUn3Df0T6jm1rQNF0R4+cCza+VP3hubLbU5z5GsoNDerHBeH+Sz1k2OM2I6h cuB+LZpXpMBfmmdLYwpQb8c4UhJQqW3wwZr1cjxla499HQ/rphS2SBFqTlihppCMsziUoVeu2fxc n+fmmfDA8oRINXr1sBOPIo7ohnjT7gaIz/PGjukMl5YD314if3n5D5Hel9HsKGYSzWbQkp3QxFNO y3h3YWKThoMOczcPT+V61l9d9/StehH+dGiqd0I7bKHmb0fXB+3PjfQBAK0HNzgSBn/Y5QM1ymDN 1zTnyMPspw8bOI2mc2AHpK3o9ibGrarTRCuF0RIjwX2FjkNPD2Nv6CDYXEaTu7zso5OHiS5pFKOP jXafqv+5vIfrmI8/HujmOzmeA2QK5mrGju3+8lvuv3qr7C6cE3FxMvNQgzoidzmgsYUZ9iBetGDP zq4kuM9VL4Wq7buOHjIIVHN5/DB/PSXn9enWatKX9/L0klsW0CUlNjFWhwRlLcjytLZYOzV7d+k7 nqdRqbtJzJUeAq4DWi2C6aY6iRfRNB5pGLDLrD4TU5tVlHYZFYs2lwD1pYlp1pAtsATuGIPExC5d pB/R6+lhPI8Zp8dhS7sc5+9cpDc8hUPN91TAgPE1imi/TIWHAznL2ad2rQMu2BSDlO4Sk9JpInBu 3/aVr+x8j3w+OQQz6mtKAbOj5TezedJ9j27QPKBzkuq+SCz1YG+eb4Pkzo4+F/r6hDbO9OsUrlqe BngCSRVx/9gLMKtCPhVWSp/ACgsdmoK1QBgCE9ePkgPpMOGYtoR6LafmMa6t6xdLOKGzJTZsDU6f wWAWESXGoWFEUy3iG8UHZrzbMp8bLgVx1x3T8N7EXXULC3iKm1xdnOSAfXjxoJqQ8u1ej9kwb/RL 9CGTTaxVlXzj5nWGFrA5pERmtIZBDcSKYtxFHSpCYrch1nf4o9HytVHHqGs26+IUcI17pxlnUlg7 2HyyQkJFlNXUjckIzTP1MyFdo0P0gMcOXG7HqcUeM2SluMvWYZvt/n4FKx5TXcoAVptkLctwLnHh ny+Znb46hTTvqL9h5v7Db2uu7vbE1qtMAeGFr5dBvZI2RBt0Z05dUeDEAKGqkJprzIo3aVYaQYYZ f9ftb3IFgBQjmWD7Hgl8ExJg1fo+g7+ghp/glGzPtFXwKqGAqpWDyrNYnBx/sPIBMV68EjQYvqQj 8Luy61ru/0TJt7ur9THL2GChfyqvDPFP1WNvo9PO1ryHfqtj/jwL834Yyt1bL0aziPLY1e+Gp5HC U+8M1TEnzjjeFmbhawvI/Hfjv+FAwo9ybdyiBhOtkfuaWM2idA0GN7LtJjEfdK5I/pP3Jv9HZ7JP 0WFMGRVF3vlpCTkSC0yvHILgsIOSpKj8TsVmN+xOBkF520lNskhOB28mdXbGjCON1ecg9JwGQQfu l94hcscYBgac7sF2cFnX/i9TweRAXSs2Tlrp5QTPABktmEumahGi4WRrJNNopdOJFB18iJCeIyih 2GfoTxN1vPDf2XbfpF3Kl0OvLm1JrbF3d/8kq6+69HrZCeoDJI7BjkPILK7afXi67KTQdm62/qnX iJpdQGQghHCRQfAjqnIrRHem+oSy3J9LnKI8mQOXGLVWaIWXZbT/URkdfOcXDsiPfKURHdNM2ROW Id88ExttFWmyWmaJQL/CSKjHStiZI4vQiX684ZP7Oyb4R9jrbkWMl6x5+Yd/s0DEkGB0+dbv4eNE Jn6+e2EkcixJNQdjnofFPWrCV5vSt6gA/BlXoqCIK+EEtdVAH7zT9B6mcKfhC/DDqE2kzoUbbej0 P265ERcnURrb+gR700HiL5xEWKIOcGu60gVRYQ54w7d77grxo/iAeEN83XQaeJhsWru4hJKo/nUn DdjQR/nCNYWzML91LTLfDUHGwENuKB+plwTpJ+ZSkwf86fQVT5x9hQWgwDeqJ2S5ush739lO1GNI zQSw6KdHedWmgQw9EtYhG5jMv34yhRxlfF6K2zt3XZRulQW6eAYX1F/yXoLCk7ZRxrh4H25/C1ie LakrRz0FyD+DeMbmcqEBpHhQ6/apCMl3j2r+AknpxQhUEgteH9omzNpsGNHdaA3E0x3VVFhoawG6 kNhrxBEDJjOAwjB1PiKuddRS3bxaqNQcjl/Cz3Td0RjMbHMnpGVq8G1RrOqBNutaxmhv4mStEo9/ 1TldyC25S4rTN9bycFstvnSkGfwGEpucgLZQOh4i1MPmz3IC0x0IQd97/tMfw3GUbjMEjwsLIO/U adjeOnPn85Azsz+B2DBNI6yTO5/FZUfewZB6T2hSy1XGyB0YccIODH807qXVlU56HtcOStb/cl2g 0Xq8ORqlduR3lkpTdoB4EBi7tWNruf8jzHA6lhohZZu1aTSdR7rT9v8oQ4u1WhX0fBwiHSzb2GHl 5nkFXipXN5YdHaRgIsFRHt41tP81jwmSVFipr87hofNlMeV51gnut40w1M9PMlGci6LouUUjauu/ mmhmDm/pMmpfdNSroXEiDk0oOn38gtkoF1tMKGDeDFNCc2+d3XowbNtumYd7SF+G0eNPukqov2Pr 4oG4ZqLqrQOUXAQRHRKmxhHESQUePBANxMnnaoz0sUxL4CJXueI+54AppA7+U081nGZ/dVfEgq6z 8IO+otFbX5wFTgXsZICurEPI2uqthOqp2DOS/tKmsB58PrSYFttNhSSFNLHS5LwzApY0jUaGCibU tQMYdvxLu9LFDlP5/Zpcie055WBTUcjIIwQiThgwvzEO7MncZOqKTuarFzUNi8ZUSJ4lLOIWkbVR FTbbVpcFdT0jTbU5W3vzZ/OkAt78sLkEL5YtQL4Kp1pdvPFjyqDN9jjYUClNIO1WEVp1SAV1YD3G PWs8zywYMM8lLycjUmscUhZAN932xejtdGX1j7T5K90GbUbgeMrQzyy39QbSOXHcy316k83iXEkb gtDI6eFHuJTuQC54suWtr/hj1yu/Ls4U2BbO25uJd6uSnMQJ3AbOUYHld8Xgqh2phEUbxoWetVTm ia5VtXCeMTVY/SO2zMs/SA4AwSXnYeUpbejQHG2iIkwDtefDhxvY+tVgvx2vfWxO5E4q5bZONdtE IwU6HFSzXpf0q/IAnkWaYdsgsIcMSVSftdwiPLU28Tu+F29rKjoSJcwbNxJw33odVyEdQCc3UY8q mseGfaVQ3txDsFM2ObDoW0SaJ+BO8KoVz5MiHuIRSli2yzfOmBWwXwMOd1bo8zwmiGxg2rsMOcTu mqFG28RAvsH2ppyrGv706RX4dAW85GL8mkzSN7B9A9yn9zMHL04C0r0Nn0s1JeQIS+zCJpjFmFBI rsmBbEWz3BUYwjiDAcTn6/Ifnq17sVFtEPuVjkvUp9MzL5QtKWaeQMQ8s8NtWFPrRfoMka6ukE/k eYCN535bVj4L+hGKFYTkA9AzkeFii28C9+Iyl2WfaRI3FdihmfhWrOzkR2l2PTJZ/GoYc5YSUfNv surDj3THmcw9QeRmwGU1wP4Y7BvpchiiwZMGwFrbyjS+Yx1bWZ0xxGcanRCAtTgkPYiRwhgEB2Vg 8KWd5/DjRAtv29BgLGtUdFAlqdgrR1iXU6vjE+2sHP7WuN37xiAcFykwE/bi3rhGm1NoQkgN8XOr b/9/AtSovAvbVZjBmkf5+TkNMgmy6VQbnL/79FDrwoBgjofg3z4J7MVaQLHoPVlYQGLYB8noFojw N46wqphgwTYu9dbDjOMVA/tb3C4C/nXi7QRg1+LzVdu6tYxUP2pcM7fhjZLLWBZu+Xo9AE7g+qhz 6oC7NcUlJXSQcecX6MgzFvOR2ziZiOYXAOwEh4yQgN9OHkgbbmEr0YP6BZtWfo2/TAFU0FhtNZrv 2jxqgDZizNyMYTFkJ6I/5ufgLPq3sbkN3x1WlyM1Jzy5jbJvj/RFnKruBrTk1G0bMGRnC6WO+FmL RYDpHXF8HqHEoWAHopvTfzT3fYDOTNcFkmbFZFmjLZmXryPDYpN+EHpexTadU2zowGtW5N+Ob9KK jKzznOEm6mwpNN3gjJbaOowfErIVUpHXNjRUacPnPIZJhu9oScflEXOaVmeSzP2KDVApTi7CNuuU R4/EbRonCRLbz5355RGf0XzClKE3hXHM8z9DPosiM0BdomBpe3/RnAoZCdFWYvOy3COHGR2+CQp9 nL+8u0Fj5GIv11uUTC+YX5T5EPLTfFIpIQ/VqvsNN4xl+7wNcMnpbIMUHQJv0itesAMhzFcrl1om BiSWDhI7u17h1YJXtG7b0bERpHuv+q7SSSiVCIQcOwR3QdfNgIwpYO+6GIZv3IN/KNVxtsaZv9Zv 1HkBS2etZ49CNcrItXS0sdaH/y1psQDRs7d9ewV/QGISwhYQd1W3qnljHtthZjvlaCAtME8Au3V+ oyc0ep5ghCLZCp9Wu3rhUZXV7X/gyaR6EwNUI/aZpc3Mb/SY45U5h6pF7Z8E0PC/1UMpbxai+cEP AAJgT5Uz3zD9VWDVgnLH5sdKDautWiWeG4RyDFztFZeqjGUqoGZnWCsM4PlosyU4cr2qXvVbWu8v eXq5UG4d7pZ4sHPXjtCsdK+UesOYdTYCc4nmJq0r00I525DaU73H5Y1ph1SUtoIKeRGyQO4/hYi/ DG+dXpygW7V7VmqHytxcjXe15lfiRBqQHtSe2YKPNum6jGka1mtHWvz8xDjPjG2tKMFJxq7X8I+r 0tWNmIN7UNxGizlDlWH2/x8w+FnZNH4cl6Ppm6SD9mKCHDKryCkHPnwssN9tWlGCkYyuVn/MNYx3 SEVxXz8Y2jl4eo/71pOpF5oNZNuIqlnfZyd/Eo8dkb1+j7WP/DdfZ8O8JBqLKGYCiAuuarg4JwRL 3OYDaDDKFz9uLB50Xaoa4ac0UFchtz0q70ZwSgkKsOd13WzMxqaOuCoEM2WJPUdKhrZqu67YUzSy vWOUGW2Qmm3x6Piz6Q+bXm8qwXtOvcZ33Tq6wZIFqpVXpGPjYMbM3fktoPLk55zKJGs0yRhnCf2/ Vfd2Ak36KKUxAE4NfJqfx8NtK/5Qbyk7K8OFwEIlFfVfPI1SqtGgdyU8f59N8PlS8EZ7OUfG4gqT tiO+KNdAEJDH1r8aCuh9c4UfEMZd9ot9SCCC9sulIVLTWgKSajdRv7G38VTPrNVMsJjtbrCmOh/L GP0cCVHx84GPslswLjzd/4ReuuBrZBE9Yv3vFLXM5tBmezz07gKMsE/nZ8zWD4oYRCsS4dmcEs1M 4EK0wLIroTFvyDTRVlnid6DCguQKXuv02Gen7Wkz6Q6+0FvgohNNXygV9mV7Ncb7A86SiHbAyZH6 3w+c98IUiAZhx0xHrCZMX6o/GjC7BlcBIWpKIPpe4jB7VoIxQtBstPQFagGWlbqQ41bDIQQ2yXr7 JZIeE6cQp8BHRm8FbHYOPkBtRejyxP2MpYqdxr/AkGpz2iR1FW8m4PkvYAGUuU8ZUOdt2u6GCSkM kbQnZfPszOBjLX14JdRf2weImYmydnGE+AEHYTkFiihePkFzXH1tDwzvYxlwC/q1cq7/n2KSexJi R4YGSUX4f2vsZzYZunx7fg8IkawrCs8e0k+ndPrWCnlD95HgZyoOZesYl7LR1qFGfEgwyI4+qWBr umTbZFbo7haWQDk+Jm9Xxi6vDRK5rE/k38XJuDtHxHolNaJRgKli8PO9lP3faw6Ikd9TtXWFQgLM CwKMFJxe+ptGDeILRANd4CRdaQRv9E5lISLhlZDTZpKtZAwZIyQh4LGlqTClCpeU5Cry+Jtl1LJE 5rgO8SiZfkqThFU2nCe5f+YkXU5wNF6Ss4hAS08kaEeblv4O87xrWd4gFtsxYwj+p+dUuDgxSKSA VEMObv8ttbT6Sgo5Y34RyRITXzPJ9D5aTFJ+HOFj4XmwzxWW6SaMRsoW6kN8ULlsUzuKIF3XXy0e 7kqd5Ji45TZhQsK9rfrUOU5KfJy7Aap24jDyT1kAYRgsngQekoRcanMI57AIFV+XVM1qlfiO7rWR cymQVF+ZbgsxKbnadJHu5rQZJSPoMEJZs/DLQAyIT4UTe+kKdsEDBcoLHQF2gNkXfVBfsIsRzSX+ yWQAxTvEaiQ6/pwleWUfYVsNOK49W1AA2Fi4oRQnpmY46F3NgUVALa0A2+a5/YHP8bLWt1jOE6bb iw1Gix5y8t1era3CYF7ZR4uhUw4rgFPZTGC/JlPjYY5JYXmGMiFYGKz0HK0Hq1ApRdPrqIErVIi9 hEeCl0ENX8mAgEh/ZgYvo6v6hz0Xnpt3CykCi5BBnkLWfG95kVIhorT97SeWktVQ6r/vNztF7dhy yy/KShf+KsXMGnmyEVwQNcuImHnOBR6SpkS7bt8flhGWnQ5EDL8l8WjmCjQy7QdJbFuFxM2EN4ea 3REuKG6V06Qh+KWY7wtDVB1EI7+4280ECqdgONiuZkHWVPG0l3kVXVBeSbEO3Jbcr5j4PbvwAmxV 7d1E+LDRgtzd1oCMlEDefV5cQRoMACGVdwv6kkxWeYt/GcP3P9fLLDiMlktpXiGoXOkicVheWurC 0VGJv08Zi2JowibB2QPYfcogmVtCn/OAQrRmqZjE9fn7G7BuOcv9bsY/HKtXY5pmttz1AASA8mwv 4gOb6L2pseex+DthtKY8bxces8SwXRYvE21OZeQU1Xi9Muk972a+1+IgXB9hzz8ldD9jtX4GgMgp priEdvYyK0/h4xwp9OFSOaIymbTt5g0kqpxjr8qo5Y3Hn2KXtdGTnph7q/MKkujuXZrf9uudfUyl GEsv/IUKC6fDsykKEunEckJzf5jyKqI1Dcr5LqQWkW9pouy9fB7DEHozGDplM5Ngz4dwJOLnM8ra 9jKXQq3R8+LDuGQDdevMLVD92LRzTG4Bbqls4mKaPqC23bc8UuCMxPLGqaeUvZxHUWMFk7YRgr6T MVe9z79rQbRzPj7OowuuJhElmNl7q0e7SZePjSXl4S23qAjwX8aw+ibCEsuuHPR0EFnfPt5nk98C b/mMslxjLI8PyWrqLtxaB6ug47x+40jIyyM8oK+37hcRtSzOq1W2h1+iEu8UJUvwRmtuZR5MQbr6 d5OoY7I7OHSTfGW/1EhtkFCjEO2r/Jeltnxe6zUpwqva6AzrP9VrxHbUgscy2Q5WKXEHgrR7ZAo/ J0vkC/+1acGtBpWNdX1jhMdcXB4zgx2sNylc4cKi2a5v8vamOYeWwLCZzbD6gj0E48xPayYubWid WyRQGagOiA+EjE/cSb/oEN+u0/nbW0KDSot3rTKvwoXX3Hs3D1aX1PYtw6ld4GoE6Y/DpKYjYmTj lQMY7FKBDiwGZu5Og18yZaWWcMuPVtjTCjNWmM77MRl7LMVm28vpjFUn7rNb66kUmmO0UnR5WGEw X31RoWuCxZKUo/hkFOuPicYX7Yg0FaTVAPB9of56zEePcLHRvGzRdeCz8GWe1ekukPpEDZmqUGyI Oa9ESHNo31dw+A+hbGe+v9CP2srTdMXRzrta5UwAqN9YLz0hTSgl1In9QzWY++YoAsCZlJAjoek3 mXZ7zLQLEWQW5lD9tvVtmkV1PVfKODX5CANGEic2IXdNAuKIF7l3Dv+a14kTvGXvCrcOOdkVlnbz fn83Hm0sYU/WHddwxhunZm54ma8RY/pcUUn0MjjBiNG/au/mVwJzKT4kanz9bFyYnrR0KEosrvnr UoGOYsnWRBjKHfqg5qqrnqsmgxoOFWhlYM3GjtJvHpkE3HGmWMIQaNTtf9s2dWMB/sN9V5LHaqiZ BOiWGblTcEcgnZQv6mio6fJr83BMGIx/XUu6NJVBloxdOpkDITSvEiiXOh7Ymt5sp6tUBgetm/ro +RkXgfYC1uP0chtA+5Je/1SoWB3wbhUtGlrq3D6c1Ffd5GuVDQ3DR0KutBgeiuXwYpZpV87+/j9D puvj2XVwg9kHjzOFa22EBW698yvIjGGnfWef9F04IDBTxN2PAakcCUVD5rT12G09A3AS8eQjXD3o Clw/nGdVbKFggN/E5+0+34T4KBFAOc3qvPj8DC40sbmhd2bZl8+Rt0w21rWBmIy5ww8iy397GPOH gU3rMuB5RbTklp3YZErAvbCvtK+YzuygXcBEr1n2YtxcH5f11c9bCY2TlGL3JGE4e/uUN3azkj2X IYrbYmo/8Bti33YT+loW5xUgfTFV/lc76zLwKcDNHZxhyeyZ2E/aLEwRswG8SFu90gYsYxdXEkUk puwRhKHe0EICDgToMZKkbXstsmyNrMu38Wml/+65UM6HbhUk8m35jjTf/XnMjJ2dpGV8R6ardy5i tY4XQClUfxJhgZb6zfMpN19oJhpumjFJvoYGhSA51EKH9s1dSMxa5xdqGu/gz4NEYkdw29TRT6WG Jx+VVtOijUODWQ/hkAdq5n1InD68GMA+K4wjl/kfIyPoyvs1XaT9djGBuSxds/KpfjJraXw4uKLF t42YglkzWydw402rWyYU0l3hU906+xdIOI7mo5sqbIlR/Va+RUCOvDuwBaKLqQmNOuLkZKVJADDm GkKRjR//yUIBIMnkf4Ua4o1Tl15wqNkBCVCe4vLFqXsq0gDGcMTRljXXQ8t1/wEiZmB3XOhtfRQi c4QgTMmVyLyWqTUK/ZU3FM9dULHPlBPWkEmVLxHljjQunPp3PiIJVdNuekP+6lD9lahVIMBsQRa9 DE+lwAV1MjB826eAWF2Rtl5Wptw8N+0DmUKXSrOdFBq+1cbh8VSpPyBrLpJMv55A7PKc0NvGWQCo 8gdHmuo1RnbPfBAf7CSARRjAjvO74+0e0PK5LLCpN5HhXe7lM56V8ytJrPi1sko3SWIU6nj1ZF3t Y+NNyU5arLNrjJftnS0/HqOHm89A7y0ZCLQs9xXG+uj3DxOUUl8iptqNqSvJtiTDRIrw2XS000RY lRtGqQr8v4dery7by+LV6uqQkOzVfvaCfrJiA/UYERs6ffraSUr2uWBn4Ff7at7WpeCCT8qqQ60I ysPR3XwRzGnC5sjJtZst7kFQaPZEB0zXgRh5wFGI7xVIEsQzQOVq1mWlAravWP1FkVWIgXYQOtZb K5jUZUKdi3nFmJhOHv3Qaz/zqyz0XYaBUAmaxW1y2hTZjp7BBn8UsocnJomHRfegyg5eucoPYUlH 5O/ozm+otslo7HT3cBVntiXfQ3ec5/Tdm7tHc3YjelCh+ASdiCV6yBXxg/TFQNNnATH/4egnFFXW fwzFnNfFRLcOPgsmewpbE57lmz4kfnUBdec4UEJAZvRUHOGSr1BMdwJqmop8EvLrrpvi4Z33Soy1 AVuVVYu/0qaIK2clhQaj1wqjtDwKAY9GfmDkWrwsXkc5d2pb+qjPyQgQC3QpvOBZCOm4C9Wzduqy mybZIcS9/M9/BR3z8HuU20ZRlMGl7Gll6B068O+tfEWl2CzFCygyfhB0zKdW4YqJ2sXBI9VK8wvv 9wrxQk1w1V77lB6NrfVgXlhsALx2AHiQibq8e3KOA8y4lIrqBbas8uzwHcG16xb/gdVatLZri5jA FSfcgtDSPC/m0HVIts0qcwT9psCvAvn7iBKw6rdOOU+Hc7J2nPHpWjW/vm4mghuh//c+dDY4S0DM uSN/ss6gDnMsR1kPypyyYjCH/lQCoMvpxjRE1jXHIbEeeLSTaAS4Q7/mWUUNkAvQ7oB59k7Jh9FP WPrdqLq+uLAPW37xsrgq3/+9kB3osERxGodGgrGsmtFjiY7v2x3/+C7IqTpEyFJ7R/HA5qjsJ9gx lurOQMxy3lzvslIl4XmCbEA4sqGAIWlle/prJ3XhyuN26aSpDL6vFWDxv/jKa3y1HQJQzlVtgp1Q bCvlL3mCEcpGEgmtQoCsTfagbBHi2coutv6DRmg9or7nTisAp36CSOtSmKGYqJSQCiLDSmhiH/Ty TEhDLGvWr7/e+YIB+POZxhXaTNPaaZggyamwGw8Xvju1W8dwXPDb/YGEIEEbouqkDojmcqVo8eP8 XmoiRFniOUtgWk1gMANprGJXsg5T2raVIztZSpF/DJVvtrASzdtlV4YKbej51Ws2dpVMwOMVRrrP rDKXNi7AAmXjBoCDPr6BiJ8dZQeCEQpFr2eE2yuvpXwR9MLQzBlW+N/CHVxYngjMtCVLHebj2NrG Y3X5EpttvBWPEmfhrafBeJow5PjcT7XeBlWP2e5A6KV8T0e4LwsPgWJAHxkv9vqslwgmpWVaSZr/ ytHzQjPo1FYgQBdbqlYzd7BeVj/uEzqIEkgJSJcam03gTY3FwNI8K4/q7w91ynDy+bREe+127hRN hXXF+nzqRAoZQuGu3VJWdNHgHhrkuenpkClFJJuvFhCwQC/wzwzYLrjz/OGFtRbDcAf5R8cGOVnA r0rAn+gflYBS4a0T5UPg5oyHz3yNcyxyvg+nrZDrJtkB+GLh7YYarF+sWIT3w0TXIE8pMbFGJFs3 fll8n3R2/0KwERw1E9NKfecft5h7j8YJnqzVsTpF6QqP/Od7OFvcn4oYCPhF+15t9J7tMcavdT/U tyMVQ6SG+WY+zkpTv3PG8jfDUi+/lu8BEPyn1m+ea3K5GYhHJDHNbiC5simZKfOleGEOZkDorv/K yhkbP3w0jgClsZ5jM/cwlsGnLneK1v+kzLR7H4J+FzNrDGWD/8tDLbF74/kDmIjmtNCWwj9dWfdT GuKE+XJu8DlkOOH0wW+57GHQH3/HepOeUlU4UX7ezq0LLKBbuMjZ8pMAIfS6tyh/2SiTFuEgojHE fAaG8jzyb+MizUWuzKQMysALZd/pJVkZlcYSXlX6iwUmKKyfLe+hAD+rkW75C3ZoRzPF3FS7XLrg ZzvLLeiTDT1/H9cMQISvTssM0xCD1sfNz8nAyfCjSNwKoGRAIzy36R5a6U19IrVI3FCsaFQGAmZ+ Mm3gEGr9if9jLf8yTR1g74P0AA9w1d4T/dgTdpz+PU/lvPQX8W2vFAji6RqzAA0VhBbDkMJxw0wG F91g7ix7w4cs/6AoFTAON/zK66l35W8szkamSex1Jv+uBxcUPqYTD2RXLJYrwgscRvrwX9aBINyj h+c9qVHXaZ0Jc7WZ2gW5JaCSoOPp69lSyiTEz9ymwqk9MMGR3Z3mMdNDRZpqxJyGnCCIQbbV/M12 0u4Uz5GCRjj+SPi4pqmhzKQgiUAyk9hzTNZcA6rrbKr5OLssDv6WC9PPy03eM6T6Y74Nvzdc4Cw2 v8wXlFgEVDHusKPzocim3jm6GEdR7S/MaSDIxmXua00zOS45Z4Avorf1Zza3H8b9l/ygKjQstfFX efHVnPDYaXz0d9z9bHlZQ/5ZWcPWLMVSNqRZTe1cJgG+f2tPOV9maUJI5KrJD9cOgVz0diAM7NF/ FHH1ykPOgypCCByxda5hSjl5tNRIaIPV8oi+BRkgXPipaxApcpVAJk9jv7hCgdce5VChj1zPnuH9 6xnQiVT/zdZLiLMedEm+b5D5c7AqE/RROzTZ2xpyEo/pSLLPD9dMKiRDTQjP7AuJuWDlRAN2hL8g EPW8hCjCokGRThQQHSrlRzETQaDIuMz/OhtLdxhPWh2GeQT1FgQtZ5zS7aFwxDmEpLwRYz90sXFm Mot8UtGGmeAe4UwmvFaWGztLXTBmBjMdHNB7IPppeaPjETCK+/zNlCzgngbUmuutSeMvKV4f0OFE KweLK5C1+GfoJ7Wwvt+Ul8uHlQ0ValyyGWU1PIyNDoseOFoV5Vm9xwKOtO0VBnnq3v6zHDErRacf buFG1MWLF21DfLGHIFwSPPuDrCU/4zO4QNsWa1211xgAcSK4yFbXSE+/OrLPLnzwgaX4FpmGSEp9 DNpip31+uIbqX5kKqDjDkI0y5fXVRol2aLP1FMTU/13fjUBotVGGZ9w4GaPjzZZJQZkiknGuOhZi fwvJQIs2PuUVpvvjM6I7ktMjmFsGITEDzpHnrwtukVHII8N1WU/p7HBIIUpCEuHCzSo0FeaXCnf2 iUIvam4kFznNglCLRWeQN02YaBgYhHbWMZ+exPBnFQSWb8KSyrK7AGq2T3t+o7+LsJvvTo+TZFK3 n71VTOebgAQ0aUywB8sdhKQUU4Bi5PG618kfIHnXqKRESsI4p5wMzV5BbOe/WfCDedMk1vWWpLtF KiGAPcMBEhE/8ySGhBjAR1NFtkwv88TzoIBUknm9RlB9W3xNm6TECOCG1g7SHv6SrCaB/Ty7ktp6 BxwDdetknQnqUHuk+k08JxE5Foz+x0vRXvtiD358ZPkq+BuhTDYgC6qJ7seF5IOo96peFd4qNZ0e pTYGM4NbnDELPStkzbNr4mq0PGUVkq6iL/uhjqNbMMsWb762BWUOekpTjMaNDJ33IZz4Z0UE3SN1 /XXmfpZv389iqgF0ppEpfGC1a0LZU5EIfV7i4ZMfyCZC4fXfqE/TGhJKAKjf7L+bhXyJ2izHO3dr BS7L6MRwTK7KCU685B0LTmO8D7LVRhsoyd12nBPsSsoa5dJIFcMBmms5ok17kvGSznDgGjA4uRpK 0icLqd8U08qjj9uIIPE2t+8JNk5qMavK9efbYn7FM0d7QN6PJPrl6C7zOhevjYjre+kcSjXhp0gF yLbGhZM7CCsSiwHMBZjtpbuw9GrLcggUceQ6fVwaOmYu6LKXr2puSAUjn0akv0wdVwfe/5/oGOUm JYFBsaewCtDx3LFbZ8Rhud4+sQpT7Dr2d72/zPIYZTUBfuQ9/vIhOm0NRtgb/nUmz/SkUeb7jCi/ /ia3uzvluu2STOWfEMoKH4L/pANb4DjgEGEzs2YIegxJsMqIFqQ4ULVl8rJdVOeJTU+r59lYhvDz Vkb15ZAlLQg2IS2stcE7BVDdTpV+WrsID+WhSLTRUGCGLgyjnd7d5HEY6MoBjPsE40n0yVLOVp6S 4wnUilL/JMKjhDMBX6bbTkC1ZnKN6xBzEZzVVAvIze0MWtNeHgMqxbGG9zDlIpxcYrsqGHukS9G3 JW/S37WODD/nkmKwLfFKlDwyBmQwcB0nR6H1kSsDlTpDu85SuzvbKiD3BV8LqqoMcHng5TvJ0FJm OQsgK7O2qaWpcfVIMnam8oDNOTXtyRTicAJQD4USaBLy/en+syMx2avvqK2gMLfiq6uuLGPVAFpc oCNAYpJMTYU2F20XrYwQ5OoWIkeHYLjASWJPlr+WJh6ZiIcM3o9aPe2Hl9vl7WrKIWp/qjIVKxAU PyWMND67jyb6HTQnOvRxQnpJnJ2eMttbccQrgJn5xqUtEB+g479u4APSk4Wh/XAJfS/31TFQvFBD RdSbhnqDW5SPOgEPktYLPpotJl1GfimbBv2GQxiDxVFt0zXgw4hD8ZkKUD6tx1fsU9hr88X40WQS ET7Nsba2Z4gTQCHXKbzuEUAilnzufrO2LJwwtKXYCWRqOR0fMJet2yOE/+kkbPJKvcxs+5OQBbDB RO1y+i5WQrlcK868AIOPF16Qib+s5XKxU3u8BMIaiDaQHOBqs8NPoo82WVA0gADb0QJWpgjqMCV2 j4xOVJkzxju3jQ8Rpvh7Av0TO1MVjhvAyl68zstyrb+Fptj/sDiPqePZn9pAMzTHtZZHgI0VAs4+ BYawRzFtmgAqqWsv2ULRqzk5/vR7Mm1CiKfDuBPbMXFIlA95JV2SQ4xTgh/yL0WduyP18CTQzWwQ rjYhQ1hr1x6TtzsFlCVQK6yvdXhkJdxEeAWH60o7rP8iOp1rX7RbDNfFw4667PNdst8LZ8tZNjzV xYcWNjdm2BUrxGmHRa1jrTTg9m+Qm0/QzjZpO5V7K1/q8J91OUcQR+hqcQCyFdXsntqbtwrGji55 HItaXiksVA/tAhxKT+PCklxdOTjuSA2YGFNx3L90S209ADW3dZ78FLg6q7hpaY+0Z95pCBAUkXce kQDLBqUMkXsGKGS4Luhx7Dgb9YOFRBC1T1DXTKG4gWLDIknHCqf9MHSsDb7wenaEGBzRZSF3YrLW 9CybYvp/TgDZjBie09PjWnIa+RiIfb1w9Hr9eKGIv0or3Pq/tz/moKgVOcN9rf5L1GpZmCuau7yC 6tZYjgGkw9P6GyUpZCF+ak+OAgxqMRJqxHRweQQkhnx6PxsBnzrzZ1Ww60DaqnTb0k+k6kcQQ3R3 m0bFAYQtrPy57Mspgs7Kk+JonxOVHSFS5zvDydxfXBOKHVqByrtFUhxk6m+/rPGk1efFyMtRWDuJ G9BEN12eIHZb2saaZjGqClji/uklIoFk1ZM6cMa+nnyK/IuR/fMDBbaFGSlt/DapP66d72ukbFg+ 54ciBsdl8bKcWzNwIItYcHUtEMbyl3RAtomoZJ0oT3SyeByN3JlfRICU241Z0BJsVFL90gMVBdiu m+3DeLTaE6rJu8aWt88lsQPxSBM1Wt+8ezm9VoUxBa36q4L09Sim0LmwqZUgHbtu3P3xM1rzY2VS ixCyVl0hwODcaMLi/TT/OPOkA19uz9D9zoeLId5hN1cwL1PkeKFmwqnFpXT2lubeAy3MUXbf4ZO7 92AjNeNMLvRgjVTrjaLTqLVXGKkNRP8/Fo08YKgmu4kkL7jzInpt5Zlc9womBuIhVQjPq5y3Fip5 aUFiDipNziHXRIcTZKzRikZx2nqFn0RwzzDXFRpVYLKL1LF/CDpHoyNnm15v9j8PmwEtF4s/Py8V QdkVcr94hxC8yEJuxE1Q0Xngl14Fls6fh4//0mpfEuU8LtzErO++SShJJSQehpnW7GZoq2Hd1mEK kWz/9lPQMMMZ1AUT2+5L0S+aJCb0ZR5QylnPs+us58fhnjzihxQ2Mh3KMHuAR4Nd4XsVM0LFFoYK qbegw7vTc2YTO07lKI+GtGLL153211QbX5UFCZcK9XzcmoHG/RP4n6DrDUKvbkmqJ+6gaSArugAZ 8LzP4Ur1tLtEcQ9bBB+pzPsjxUjxWa59AgH+xXXKBuahiot15NYQlw9UqVO1xBjfnX0N+Hzx9eNa OXkx2HYTcfZXO3rtqaBhWwr/gdNnJ4da32mgEnVhFBC/O0JYS+nYFzlUKOaRVFpN56mkBNoRMzWK p+E9eq8AEGbC9BLsFqthTlOylpcaHGtWTTyTO0/l5Isu17igdMxspYbUKA2u0eQmBuC57GiSfpE6 O5ZyqITSiu+KpAHOoYT0PqkeiShCkxR7f/Fm28iA3XtpRqLmCxvQReeMEbXn1AEcVeejQ7m2C20s 8LXxo3iOu/ezQDd6xlXrPVGhHAwiCbvqkDF7xjUWAs7ma4jvdeNbDMfXiRTN5/YQuMDRl5aYuKIy eM6c1kFhrcKxN9eQRsKMlLtnG9ZwqPHEES9SJGlwrKtaydxS+IppmqWwyHHTVrTekoeOtZMYJHsg CmENvTz9Bd+OoGaAvZM8zA2KQNOV9mp0NyEamSybuVhOhgEwsjzdZzKom4W+/fpNLBsSAUsth6lI 4+O1/49nUePNY3cr+95BnWQZJvFPDzwk8UK3c8qNywwbJjeuKHvvCEJRTjz9rIFQB5+P0/yS7RqM q7SIS99XTmITAhZtPYHE7CN3nINNAQeO1jQ+c8/+9BaxhEgknnAdplSeMC0tDrSwjhd1AdLhhZfT zX7iM+u3PqnLndxraZVbAw3HdtQY29oFAym4JpconSnUWQtdev6w+2XVHhwsXXYc0CSNatToB9Wh yLtZMZPCs5sVbIWfElsvvwZlok7ERBUqKObZ26bjOzgoQ4tMBaby7XwDAPRXPUf+U0hVck5Ch18O VIeTmzRHXyhvMktyWj4sLzTunJyeQgma4Gx+Ii9sWbrSKKuWPFFH1GsuQiTv9K/O9cvfRFkhlc8w lxNtvAQP8A+CWsO/szL/4trTp8whtbvDUUKEX/3T4/u6hbssfEawG3oKslLyfdN6xVco8IvgTvlA R3S5Omqv2T5qsxLeyUyp0H1aEu15CcAG9kehx4Lh72UGr+NNhf9SBNV86dbFeJc0DIz4mYSITLjy 1b1AZHS3ct/pbG7Rcg+sHG+i2DhvzGO0MHz5zqlnjKLZemp/YLZTpcK4pFNmBk67CaNJPh6lyGjJ NBIaKkP0IL5K+g071SxUdTrh9vDuB4eEo3jiMeHP92BZo3x3HPlXIxOOiGu45E+qLxDL4DTDNiin 8CgbdKF/I7xXl1WrYYv/O1m/logz6BRjNRbN9e3HcpcpZrFDSwbury4pbrT6kTMxaBXATbdL4uCZ Mvri/KJgvahi3sqsdtkE5xsB5mB3ffngkhV7QCSN0xXJ+ZuK6B44fUaa6a8LB00V9rlRCZM6+5dJ 1NxS2bw1cqI2Nw17bcgG9xJ0xU47WwhUWUQZfvXu6v/e8jfbGyAVv+f/Ja+3xo6t0WY8cSXQfia2 meY3oRZkgaDMAL5y6LphL6Im3ee9te2sWcIQUsX3GZfGDLOrLVOijieTA/+cT3nEposB1ZRM/eaD iubhg3BAdfx0p9s72K9ifBiEHR2kce+cwb54FHW+cKNvov1ZU4NLjmeQiAgX3Am8hyrhVarnwObh n5+x/ZjjqDzDCfgvdWHDmn1DnvcBu0MaD75AOmUkOqTJ6BmKK0aVYAWXkkhSg2W1CcMoK/7b5ahH 9krzzOceSOJ7JlbsumKZJZ2/hYcuT2NQnL9i2TmzfcxhPSs2A89vpnbmTMpKTFsCdtX/pN++gzCk eDMGMfK6O0Nr0x7D6AU8itU2gtMZt735iTqASgq9Z9aV5DCNlV+g7YC5Z/AR8eemLErLtcw8+6Iw ScJKyDyPRGEdH8CXao9tN3JBV9p7HN0mCrwVoaHjbptkx1FNtea88aA31nnyLWpeGyNEybv6DSXB WgtonGWh9fXNNMKuG98GuQMNYbLPxEBNBvHMW3ACumAd7jrVuqny1GUghptOscwVS+1NnfNdf/zn A/oKNJCZxkzbBi9gllDWHxUY6F70MQtgcH6AO9rIrOxsn0tR8ZiBPcWdLKjoTygaIG17ruoH/j4l v2HWyuNsOvChTxEdBjZ2W6AQIezwFw/aflcpynw7gUgQ8eBDavZJSN5gD3CaqKrQfHi/PAhuuky9 1JqNWZo5v6tjivawYZBW4SLynfT/VQpvLJz/mVhXFVvrTr5g+HIuZiwVAK9KS9urg67+IlO+eSkd sy2RJ7Z9hNB71uxIsKxb5iurtwkZ6Pv8MDqghdyHlfif3I88qEVqeJlTdq2R/CAj4KqDtSbtQCys sNbgtgfft1EMnIkxaZy8YxzmJTK2f5BPygKi4DE20juLO+CrwGIy6sVI4xjpoPrl1Tu4DhUV4Fh6 HKlqt07ph4Afql9/9L5g/LHk+liuZnaX5vpA7YWiPtQSyza6F1Fk6kPxbH8IjQD6MZblTq7QoRVq cx69Ff+fMVbwhINj1aX53rf75z9k6dx81SdDzYVwNpTKVKoqYG35zzkchtqOwEq/8EFvOGXxNwXr /0RS80QpV2JOLtllnqTcB3mrnNoPliHxZxHXntD72y3lbUlkq/R4f31iKWcJzeA8rJiEcprA5guN 5bFs7S+pOCDwmZh3Jj5Q1VmR9ggsCKRgH1pY7tdPH8HZV2Q9KWKcWiKKBXlYpqizLYeASlVYML57 nsWcAMUh9fDPSSLaM+vfqL5gSdDnIO7/eCVMk61P3P8g/WU0SbZWT0FjSTjrLqKWVza+oseQorZr uj/5aPfHVhGlBbZR2PlzlAjEYrhF9jRQdi6fhv8UVkw1eqQZr8sUHAj/TJ/i8oOzxArW/m2bfz90 QpRrgJbA9d5G4nPA/OiAavH3p0fuZBrD1jV5LgaF3UMVLbiCZMyWPoYRW+tAehjCdqFLdvPlQhXL jRJBOuek0FpzzscH+caXu+8vTfHD27xGoFwd4Ph+rnAqSeKxv4Bf5smfoIAu0v6Zo53Euogxk8v0 kuHFH8qoo17KsI263DzMG6asby8jKLwnKxgXwKBs5vszO1wcf7tGjNEsVjzo4ZAqwqsyikRptun+ aFTH0aFks56nDvh4a+MQBSA45n4oE8qlpFvSX/QC0lJFkDj1InjKTryzDJ67oQC3Po5r0sGq0sHO NLqwDBUZiDSQglr/HTG0yC1GLFYBvu/B9Bg1dhn8/QsU/tlgSqMc2SdUzAP6r/MVIXDr36VOr+Ia GLghB0f/MHXBvF9CElSFO4A6QG6I/samMcXRWmHxYjRaRjwGDJy59QKTewmZPhyhXbJ/ik8vd7Gk G/D1EfOq0w+bnD8eF20DRea18cK1B1IPaVw5mrvFQCa4CjnqEidx0mCFE4U8Y+B6PBqrrBrX5lBY OX4cIsW1xEqWSMbbMcJKEPhuL+2CwEx2Qu1nhZgJNRPH+5xa6n6OVRs0n7NwDUYzdSDcIiZALnEY PN90k4c+xWbbohdNHz9ojOC+dqepDNTljDNwJUs7eknFah6wzr2HvXED9dNdrAvg2dg1JyRzmJas 1SiD3cMFjXM0ZtiM6pGl6yteFzDlyfE2dw9DhhLvbH+Xwmt12476LcBtQzVfKxyo3HlP/iglLVce AB2FInXpBpIk68/y9Y6PyTYLZpjSi6fxrfMv8Ug9Au4KeUBTvC9qWzpPBkH7JCMHaHfoIeat0QUt tU6VyzS5pgft1+NOeaPYF3pCW4EjuSw3cLfxBLX/1wvju8pZi/Y7+gnKTtrEimGNfd3Q8okpLHCS i2oOz2cCderR6RPmb7S2d6WKC2SMAzUQ86KcoU5AC9O8+g1NrywLh9v+cgDGQTyUBozHnz4efLh5 hyYr1v+FAUEYO89jJOv8whmN4erM16hjEXWw+NB643phygqAGTUjfjJ9SxqKujbPEZrchZokAuVN qj03/Cp0DPl76W7Wz4UPN1UFU+wI9e0b1cz7C2q2W2RMnTT+zw5yHXwIZsjbeRk2HuSU2K9W+285 JxdF5YivLHf8aqRvj2yAmueNuakJUCqlSHF5B7jGFOj1RwXKCn51Y43xvyC8v41IJO+rELwNW59W ryx6w0nKdtYJVSShScQM4NQNhq4qK9UVWjIBJJBmW3lZ9wfGnL8mg4sIBKrx6aM3GAA5shdYIZAZ 3+NRy/x93rZ+UDqkonsGY0KscVl0bVOppVd9QSZ4Re4AdlYuNVkoYk1E4f2Li6K+xPpiRdpK7szj ZtNB7sn5SBc1Yde/QN5xFXPa6bXtqUCA4uxSyV19ADVt7dASrBiDtsvPjYmjC1dy1b6iyHC2vw+K B1y5LjIAe53w6F1QdmVcm6y+ofGmxI3loSTHWMCSr5syUeTnvlNg7gUffAchWdSm9wOkpmuCOSB3 qKWmRqGVE9TyJtmpTvTCxX11rFIJVqC1wLJffLb/h86pIxMEnpGwRrTCTcpKZ29pb1JJLWq4Iory rlYhNt2W3FitzLSeyirWalg/tsUfsj35dsmea8wPpoyqxSOp1ZEIrZbVdeFN+GBcjLEt/JbLT7dV yzsVjH1iszNbdOjLC8WPjXVoHPqy8vgpWppVPVQpifje4SCFsvzOzy3RjoCZqGHWGdXlHAguLO6J kSDpekhmHndU9zP6UEJBPziG+h3Ds+2VzedpnxwiY836vkDzJ+AJ7lqEcuXDSnShJJXqvpbZTOi6 23QW0PoGTGF18uRXFx2QXKb1Vmz/QH7YfgmuQ72+RH7MhjomXnzan8trVRIt1N3LlivhZCYEg6pv FySfP9es0AijxQSE9b9d0B8GotK10cCLoO4R4Q4Y+OXHRa09KO3/MWlsQJTkQAn1O4c3n2VyusaF 5bu4c1BysUFWwet3Vn2qKNtAUQPtV/e+2Ot69dtE8P3MJ+rUTHLetl2t3G1hMXFKQwMS2ICc31ag /0TyzH3g4IEXEAyKMiEUTSXdhuNmElCSzX303YBeZqll77B2bkmzGomsU88meitj6yjY4YQaRH0O Nnh/xNhrIt68EqlI3AZdLHWbd5VG3apa3ssWe/UwYfWyuKMw3ahowfv5/UuZWfDvLSdccZn7B/Jd Vk4c3eGih2KTFh4m09QOUP6gdHPygbagpyjgWAklyfULh6oiqqmMinOM4fkSbiJjl4UOHQDV/0cQ U1SbJ42jlxxgSft51vj1yN36Eu/np65ru5oiO55Uua60Ss2CM81794nQ9vD9/lKiZ4/k0mNUZXoq Eti/+46HdFQ2nv+JqA38SBzdogbC79vM8cx4gU7WbpjZBNLHanpht4UQ8cD2Kx7G+5yv13ZLr3uN I/eV1a+aWrYKWwcdEJ7y4ZG7tc0fyIEorLCrsp9a1BQKoSZPMEYCOCdKkqcbiu9vf9AAfgABpsff JVn2Z3vw1Fe7yqjJW9O3KEFAjotpLAGFNazCZ9jWW+dJggFHnsjr2fqWZeCD7NiF3ZRVPd50XB64 ZW1OGZg+lDVsx9KLF2p7PJnEZIsPcPlU1S0axBTqAMwoIemNsHmkt2Yw7Me42jlimAZfxDpICa+6 XySXK6zeTD3Gu0qu8+I6TJLd7zNk393NvngBzGERy2FxeiBqfrjPWGnWBXPc+LwMKVzfeWJFjUMu Zy9TWSFhVtIuYg9JrKt/ckN1FlbW9ZKFn9YtF+hcOL6dNerBepjQCA4o5hcCVQRWzRrHtx0eNtg6 vVP16G2QosraARjesFXl6q9yTDhhYPLAuoPbWyFtymlu3RZge3VDRB+NqBFhYVxvylI33gr3Zl3h qzBD1suovQrTB9b6CwNALtj4h5P6DNk6P4TGpVBQL6h0pFNkUXZwEi0mSAUs5mVvT5GPH34rYqwL RF1ZBl4AhxvCJwTZF5H2wC0CEwOnqTY3HVAmA5lHTv3suTCffXARW0JpJ/A61CKyXHs+p7xDVAEr KPPG3OUbczHtR+mdXKJSUNzlnkmES0vEUATOnzkOwcVvwyqgl6x4ifDS02ObXFLnIyyC0Pz8py3j pmTLK14lhwaEFRUX2ejSM7SCiWNz7DlZHRlLL0jvhvJk01T07aIijgoEQJ01njgZvCMjyLCtHk7+ NbLGRKwRjHvn5ihLK3g6mTPSAXVK59L3sqX52DLllfNzTN+nFDNsCdNmY78QeBX93tAAbBvY3YGi 9caRPAUtMi4JPfGcNJUIYy14piPQcO2HiP5n9gq2+9UfX2W/eHWqD2T0cIAud9+P49iJSJKBWD4H C5t9XCsDXNcvqWF0MMnz7C0L/I5FEnA93vw3LNmFCIChRmof905uaLev9ghPac+ridZ5/20v4v3K q0WcSfV4KiN6vX2ge/yr5g8dpCTSSl0VacP1YCEJYRASRwIKP067yn2jaxiatuQLrLXQZTEM/h0n ryS6FlhTP7SSdQvBtXO5eh/AicfkVVpTFIpUGE+Sg11GmPCvlz3wm1yd1RSBobL5ezBhAgFZ4cYD JA9P0VTTma+BqlLYl9UKm0gnOWPLIEy8Ov5IbFELcRdCXh7tMd54HDtPO2nFtfQ7sHKeTZ0admG2 yk/phXcatxafiNnN+Cd1GHVeZlQqVyFpn1ktucECMoTJKLVSX20IuSlfYPN5Ferr12ll++ui7XcB 4lCydP6LyZrfCtB8taLW6RFfYJiQllfeSPUX3pG3tozVi/pdD73Ow1zL1mZZSpk2fIJCtSld8+aq d1gdX/Prff1r7QtrS8qDHEbATOvgBRmhSAeklmXyyLPm5FWKdbjpMapA+nLCirmJXD8fIBH/6Pnq orzxFGixpprgS5CK/Jnj9iKZRvuvY563ACwCJRg+c3ABdSXKaaeLYwWxpZ65LVIckstcvMZ/cZCo aZX/9KHdPfNoYEwLPXwMAP8tnI0E6VUQZBA1C67XhOJpY4hDCyax5jt3/euCJFo1HdE0Z+L9NkBI EnuNmnq1orgb0Jb+eQb/otX73hNAqbglVBUBfM0i7q72RYr7wFbDNL272XAhogpLHfBXq2PFFTyY C2ZEg6q8VARlBp5QvRrt7Y7RIhXsf4VaITRwxHyPhLgl/X+NI3mVg0RUZpEWKJ49AN7YAWLT6BLb AYDIq2BYQGlJNrakiT2iUFvcxSWeGOTBGHB9jjCZDrGsW40HTLfnjfw2LlklQgcIbeK6lOXWq7WF ZTwWQdnF+NsxM/v/PAE+NihFbc9IsxZkfpUXQsSxo3ir2UWY3nbxdowxfY7WD/q2aIGMspjCmtNO GRZPeOIs7tH3R4Sgd9aZ/d/VU5fXRsohM/POvJXvnGdT14vyETP2g0DuBxAt0rzHIv+wZojOU/Rg 1dtqf5bIndLJ55JKpr3xR9kw9zPsXmqlvE4/mpcDEeFNCB87Ohjg7fTt13e8eCgAxvXWTyNlABOV uhbS7dnedxXiuOSGVH6mktgDswL31z24HwlD9P8pvIk6ftJER7mdb68AHZv6TcHFhKrVeSsh5sLL FQguoD5hBpMvykGs5D5+2zPFAxC4MtGSl6/3yKqxosQQuMbIuy81cAYUKXaaq4WtlF1S6ZrTw5bB jJMMwfOUyd6DlIQ8nosxtbJ5MLeaRwON5h48z4sEZm9fhWAL2MEiWZOK0c486/fG3Zzn1qGIPExz 9TysGcgB8GH1AdIUD1GfG3ikyXdiWTr/pTIl991KKsXIDiu0Z6BB3uqYmgd4pnEtM7W4/0ua+AmC SQq7TaqS6p2V3HVYo1v7zv7IdOp4G9HH22g//wCk2HTp/0cZlmi0FUaFYPhWh+Ty099MLLF74log BLanqRnqSL1cHA4omzVrFwFYqR99cBKI8YhHWAJ68/kSto7Ukc7qrVRQLKxuP9ZgPjPeToEOwKhs tjo9PmjQlSr/ILdBcvMjBZTFn7GS7cD5Vnhq5Sx1G3sOqPDjL2oZVhm+Qis7U93F9Y1mmfOLrn3A BaI/zClFveThCJn4gqFLVY9MyMsT1AOm+/ajwaHYoyJH0BkFalUoAJUCkZfMrrxDkPjD8l1+GCdX wu3bSMxcXJI3q6Fb0LhVKbnTO93AGua5e4b3rg+nHmqFl0ees1SFY0BUWNzVVNXpq3srhlIF5sTD Grmf2zly03pJpnhx82MePFfzogTZyDfx+j04MGo/9zscWpVr2LYDDgSObCt6HAsaGo6wuzCdp4Up /7kxWLycej836U4Y3dcsUWlb8DlHkUjpd26ZQIjQyUyYBbEyvEv+wqyRrQwDYopffvq7HUkDzoSz 4F9puHWhkUcIvLs+WB7GQSZQVqC1yj+Q0QDVoKnL1/h3EyZd3LqcjFW9ZDTzrifc5O3pDJufps+d Nak+koSxjBJQzxfzfH9erSHis0oBBsxjYNlZyd5UiqGx+vZE8TV2kxhBGZOA8H2dyKTtDLICqRsJ bKbLVqbG7Ep+eGtq+8U5khZiZZT3tYseAcClnLajNJeMp4DRord64cdkPjOkq01Cr2bcLwdWwlV/ yk+PeHPgvOe+xVuu5hSOWeNQHZ3nqEf9orbk2DyluLp55A4lLIHDm00ZkEGctxOK5BfESf5MZae5 PEniT+5lIFKtISccXZMuyPgBnJIIrgB4CkncQnvq+NCYNKdjPNVjddA+cZCQ9vklLtftUwzUM7TW NwXdPkPmfasqd/VNJaE0hwBfrTC1i0kzxce3f0kbo+2zWMU/yp2Z/xJoP76IObxou85BoUYEXm2P dFjofgrrFQvz9CgjkkEEIIRittXgkveVitgMlNkxzjhTOGaGfseq+sg9JBxn6HW4+8IJofRTDqBA dZpcbcE15rOO0VK2MZOJll+Yd8X7v80MM54s19en17+pSQWvGG6YM5bmo1pTVtv66hEOUb9Z6dbo qlr+z98bwXWK5FDZ3RgdfForDwM2rqfQaMSCtO7xc7x445pG7dBro0HVdAGvoRki4HqWwZkCWoSC LdmkpepWvt7vukAAmZBFeHFPPUXbbb1+mvzZYLqpO6KvNrFSMJk2hyV++dwvLsRFHtf1mDAgezpp 0ikbib2tkCPM6dQ5IlEqZNYgFaOpw0KmFrqx1E1MSyXi59IDVXHWwngVP0ye3hJ+vx5QIfCwvK5i aI6qBOZxpzPQazJhV1B+reLlV2FVpOiKhrcq+muopcWf3eWh2QYodJ0SGZ6A1QWufkcXQ8/Lh+yV 6oCj2T6toZwL+bXElqUPGM3wt4xlW+kcTCemVqWDmjZJ8v1Hbyur9KrG0+9NgAo7pOCTTl7upB6L 6EjfMDhmW22HF9YkPF0ts8Gff5DSsIx6b2P/AJwEg7+j7bVuM+ofyDwNvG96xb5u7iLoHftQ2jka iMc3X6f69QXc61GYNBm1oN73il7N/XdxI0N5yur3Fc2PpQvaHNmYwdYzIec4COJPgKQr/Y02Gd7b Ufk/y99rqVvFaS+lQTFvAu1xPuCJnLxx7a7ppoXXk3hC41Jbklg9zvuJg97n8knTkfddkIEe+Tt6 mCOjNWoGTbcQ7tO8TiWH83q3/YoIfNP+ca/cslFp4StLEqrvsY4LvyQuPRHvQD8K2vMwSyqRpxvQ ywfhXLg2b5bAId+D93t4niB4NPXzXp4XaEDiohqa8BxUf0kYPBpOr+hs6uGqu/BaVv7UQTJkeSXX SMhMEVnRNWxiqsxkUsnOY3i8qXSpjKVyUrcAuXPjmcTlgj5lfJqZ+iVcN8mFGRnhD4ToYNDGQpF4 HfUbOS20MZoWZBOdWgN4Cz6el4ucjuVDW/6F2A4HBxbFftm/rqAPMXAbsODwPje1kvFvC2RxHm69 fOuk9pw3ADK67c1yMn6Q38C8bj0ECsfqR/GiUiGbxiTtHUR7zY4TMG2THSgBlvW+41qH+EXdFwHy sIi14ljhqAkCjR/ijIJGhpOxafYHXr/BaLqc/NYGCf6xIZa+RCxB2xkAEwLTZHDdf73SZkCXuj/O UU45deS6y/4bcLLh6pg8x9eab7RetUfIR0jd5Tsbh3T03IBmmmrubGFZugHJzkTVKche0mItM9WG X1eXrfVHKGzlkg5kPuiuqLXOY7iufMMLwdQztcKtBttDItCYMTtmfuMvgrVRXaiC3sl8Bk5tQ+Fh hEUY/KoxzvMuqNJjRAd0TJnTneMW9hd/HH7jK+95adFmaOZtE1dwPZkRWAUTJpuuytWiM49Hr/oi DQpNy8ctLZNMK3SLeYOpdy2lp/mAHNw5qZk4Pt5WMHVV6RtAcCqkDBKzMzuiKcV7+zLCo2PTDbDY lBLMHtH1D4cYHfO04izkUYWpJWIhYD+z7zM0PtAxQ6q0QYhc8GRGuW8zzAUIIZQjBEAx78q7djj4 hbJpk28ndOmR/j7IDu0aRieMX2byUcvJsWAN3YUkBjrAz3gCdrRKPjBzRLdw68rgTOv3XAdU87Wr x/XtpwX/g/Um6LWqu1m00YiF3h2nSlCVfuXy24X0WrDARRq+fgRXNDEDbX0mEW8zjtox+Q7kztqf 2CYV2oYPZ1LiHib+zPWirVqAOAlhxrHDmMtBpKgZucpm9D5FZlqaX8kp4d2RqBuyTgrk7cGyBxrV 4np8N6klKqfs6jULYf9SvUjfnnLhA+Mww0q547/yD5rysAS84MagJkeFIIGne4rISmo4CXQY1Grk cz5M3IFFFHClaoIW1H4LOOii7IXiDkBXGH9ksbKl3Ak7aiy0b1ecLgw28WGE9sXuTv3sHFQXZqwK xDF30iSQlnQXhTnL7z3lxoAyy1Bq7Yr0ep2dwbz8qaQspyUuNQrx1UCfOCOqAt9tSEdRr80pAA+c 6Gz6p9KosIk3dePlbiqxanZPRZON+7DTlj5soPwl33eKjdVoGLAVr+7tELP+hRBcHMT6rT3LfoWH BhPpBkhAWsgN/herp8de8SJ0UBHkkR7kZo2VQ1bU0/3mIQZ/Y4f8w8FYh8O4zHugtVxj6Pic/2JE Lj1dnkd3itCzjLBFwS9yRg/znD/ZnKFRiD1LqNNRPp8cHKsWZHkjKHGm2TanTC0Ud9YclC9Ut2lY UkLZObh4ylo2A9IBxFWH3K3rwGfRW2ncF2O07MIUN27Bm5rzjvBOOlQfO2Lt2jF9XoE+XRuCFm3+ 5RPqlnUeqD5Yow3trO5RIL0GlF156dV86evlaFMmJxP4feofy7xIdZi9Zv8oB32Z2TlzUHVfHTfq zcZgEkaTMhAPgKZuDCHFtGtJQbBTMrH48S28v95oeoPsRFfqzC1xZ7nuJb3IavBcH+o2quCMgySo OjOYWHQu7/Wh2MPQoYi/2q7ahFcANK18gvmzfccy2mtf3UeuBURjkzbAaQ4fV+4oXonjar4snrW1 bdbkxzUkPv/yncXg9GPaG8dpvNlLct7xt5sxAfy2Lkqar48GuUt0EFZQHADCEwhQjT1hhwpoK5jV PH313jTKYPen1wNXoQsyIvEPNYLdUnsvuvtyviYlJ7xCQJTCgyED+g4rbnJiCN9yR9e6CEZBZdlo 1M8h1I0iw48E6v7a8c/nEy4MKxtjt9++UVAMI8JMAt71nKcGHJ3tq607WlLaOiNuBgKjdg0TFnRy ulKjYN3R+ZdTtt7kB8kOXKyWw8JvXfUpiXMrkThhWsevk544oOZsyIJNK/1rR83WtbmOJg7BrxMw JTkyIQKVgVyNfmMUiNenVNo1CVdMC2hhymvtR2DejVWXybrz+a6xLmotnn967qlLLuUbEWJL6QbC 1pfX8CYtkzklZKDJ8FxH+0LYuz/XCrCi4HGhpn8gUI9L8/aseDAdDlfX0wcXoUmyk0A5KIL7P79W h+Zh24YvxcmRX518mxaMAkZZBeCDsjfJUiviYSgF3I69TVI6yAExEcB2n0SH50aZkms+93WALbth YfC6yjzQYCaPXoqyhf8ubjcI29SWt4ns6ZaQ6X5Y8IKsw2jJM+9jxYnpmXnxWVFOVK9PhdNQlAbE WD/dMZsFrivkFB8Y7ILC33VTd83WyTeCvymmoI7fcJ/UC/+7MlD/70KRt/t6OuWuy+mdjFraDu48 yJXIBnkuYloz7K0vhc41cbl1ZwX3jGdlC3atnMPXlAm1hMdqiyVuakAytK4Q04m89cvPoTAMQZWS BI6RZObzswe7EUHE4vyHF5YJqLdqKsonGkR51aXxyD8DUz2I5jsflPDZEQvXsdZsFtLQpCtRwVpo nZn1dOU+zqZXeFj+o2nZlftJKvzW4gW3c6XAvUDma7U/6VjbR5mvyBT3mI9FOQwe7fjWUSwF8cbI S5hkfbFrGMDgLAbiPs1eeEFnB36RFO8pEB5vtMRpWRoDmtOGRp7n9Ms8twrRcwFCnka6FwqwMmyE Ikpj+gNpK4UPakt1i0HcGl1EvS+RDdpluByTeHvHF2ViYNVtnbAufO+Se8C++9lj8mjxYz/q/eBb qent31aoUvzzEaYWM67OzCKNyxM/LsCdtvuh6sm7usvfYUh33lOyr2/Qo2QipSdAVSTXZlY8N6s0 L2CVg8ZMFLu0EAWzKfKdO782irMQJwVMGidVZDIsrmvkLXmcL+QnebC6H0uflU7zsdgqrNV/9EZu GYNvzW0xc5O8EoRUFpA5Fg6ufdZqes7TwFqOvCbX9XiBccdx8rdIjY2GfHoO4qjGkv0t3HqYGHdD cOT+0yLGAjo1OkMNb0tbiQPTgCeHQJYw+qKKvK7MYu5ffC2iINtGYV+ZlQ8lFkKduAbX4UAM1DmM VOleHu9uJ/ecFzZhypriqy34z+yozU3sNtzVJ/ek74/BXfuUUUycNCTEFrbz4Xe+plnKudPZPt6a Kt+C6xqdezmTLlQwdzNTGUv0FDmTbYhmfrYs982W24MdDzn2vXi47E8l2gPvOFxt2s2Pbe29miVj AusfSXqUpiIXrkii/DHWKkXTuZyq9EYjE/nsz7Hp/rNUIj5Yrl+Grny5qYOsTaAFkw9PAyh1BqS7 JjDfrrKQ2JCntVp2eTyU04AxzXMwWIanXf255VBUnpOt3pgiZ2UohVxbBI41aF5oO9wnFM+WcEqH bFxLk1M4m+kmccWwLPxrq2PRarwVJz3BaNOJjileux+Rqw5/1AzeTc9ccz5KsYcnigZQ++Zsfv79 bZWzGL+U2V+rFhzeut9q1eGiP8KRj+TVLkmerNg7lsdLwhbiIbsn/pHW86PfdY1J/vFFFBtVCBe3 ZPnR5YpkfMEiTi8iz0TKJfsj7+knz0RwMlFhn4dzjCsIom5ujoOCVTRmkZZLqp8HTB833ofdeLTo uikfaTAqCdZkjvgQcAGBXZcyRMQcYUkgLTyumSs7q5OtxWmOYYtmsTSkybyZPqhDL8Kj680faz3I cd7LkFpncwrjL8p20iG8pAQKu6cYSjEqXb+jd72pm0Xiv1h58O3Xoct+fy0z7we+KMHuFoJAl+on P5GTu2OSBoLN69rPemA1FgbiXlmEbu5xU2k0fRNQ1RKCU2P9VjII1s2pGHzDC5uymeTiNExGQlTW VprafgJY1Sn13l/y96NTMxgt8hLVuv0MG9AUT67+VyrlYMA+k93ntAtmzAed8QcBSpgR4yQ2dB3f 6f9dxiy5MHSgirZ5Xpym4MDblYpi4YJT7ztVG2awDOSoODaRHSrZFKVXBAL1/NSIuTRveA2XtEJM L6rVEJq3YWH4frvoMgDJqYREQTFsexXLSdG7MZf6nlJOpV36QJO1t7flsF/twG+pu8b2N+nulwso 9CLfinUFbcHCYdXDth5ra97o8cDsWalISyLdKI2tYHudNMC4DIlBB9aSehz6mGyBS5+p93XFPw5c rQvGmPPAlVeYXXw6laVvbaXW4v5pYI2jUoe6itjKFMSnsm1kQrHNoSHXnsNjKuczX+xkJDAeNGyG zZy18ZLJroqtdcinOTqNdvv2QvWkjhhg7sKuAO1tS8GixXHwgSs+nNUTfzpwFlskpKIDM6bFEF6V ltmxiEdvFEV2Vo78NMbxAGiQseuGIPTzg5RYah2dddFpBSu3gtu0nBDdVwqNsYxQVhZGHYBbuyhX OA8YxKEtdIx4FkrjV5MRERmLTaLRa6JrKQDPgx2pME6B7E4G4vS8AnUZZP0xmc57mqLcToCP+CsF cFFyOQJJMfYbpNmeH1RCpg2aach34uQTXry3qY4Runa5TxS9IMZYjg++HyqJncOq7lzUynnJg9ml zUu5rLsqh8JXDYyt8QsKSvBSQ0cCRAqK8Rz7Hocfezw7RHKO4oFpAHflfXDdbotxpcEyR46u/7mN t2GuGu0Iht/DW2JWUuIj/dlGFTbqxiv42MXv22Y0H7aNKzyZu8T1EdI6Hu3vOq1dsEPGSmOaqtjk xiHyv44F0/P2RH7a2mWnMDrJb1vmaIDEchI8c3Rz+lAMmOH30NcOYChSXl3Tm86IqACVoiTkS9mD dCgPbJE/gezskQJaIf50iUVnTDEG6BUoy301ggTs8vOUtlTT9jn+FIMUIIBNLky653qEkeSkvnqG M/tPJVr3s7ciBTbs7VpiSDpMoEnuuMewdPCVtohn+V3uePcbMxq7yDXVKTKnHIF89m5erltEsulr iMmGMvCYykzXAbFSOntASPkeYMHU6v7RR332G0dql4ipqK5EhYJT5EqLZAz9S5/F4R4hCQsCy8/w GWVZ7OwaYTVXDwy0JhzNVMoCq0azBk18QraI+S1wZ4Z21X1EVjVcJVPCQHUpzosscP3UH+9lU0+6 zlKDQnWkPNNMndYmhKuXdzyyAesNz2OJ3TgHfdc4jaXo4QHowTCgt74BgrJBU7agcl7zTbvG4Bl3 5NU1T4UW+oIZpGoyfunpVjsvl6UxnGVj1zXBBb6Gb0PDD5A6q30Z3a1/WkKBlwRkG+Bmnl9BbjrR aSLzVF/Vsj8wDNIkGvK5aXbfW3K9PdQrnXx2/E8pnOFv4mtEFxPN+W14s1ewLHnbAR815ejCv0tl vWml7Xa8lpJHEwq4AZYXWlU2iEx9E42enXCgOxnup+7Zp+rfX4uqNQgjwbiUS5vCMaQ15/Fx4KMc hyPk037mDWBYqxNLsisF4Nnw80joq3mxf3/JBx8/PrLfd8EQINz+3oMGG9iGUQiSEUVGST/L3X8j E1giAjObI+B/VXEFdTH/B20yR7e6NfDKTBcZTS6SSD5mJ3mpAWDdVuQfwril8GVXVP2caLOvEU6v 6V8mJWBBh2qmOlVGflTAwp6E+sLO8Lf2mmZneh2+jTzHAaUb9VHpu7t6BdUnzvQnZFopxh2IIqk+ oC2OmCdCUtDFqZSfI2FYrXERC9M1aPB/tU0JwveCn5+1SoWtqnTJyUniXMjdfWyCQ9uJwKQYYUwc YgMWwpSmrk51mcBVNM9BftU+T8xU6yVfJzq1S898+uY9pOXJH09ZaWj0U3EsyCKyfg32mbXM54AN S3cK8hHu0x/F43wCoWSw9mc/repZOWrdgZAolwYLCQkS6YoheLSyrt6hHTuc5q98+AZu5jgG9iij QSqnEkLlqZ+WRuTsbExtHRz2aKsET+XM6/CHJuEx1/iNU0TRgx9ERL4fy8WfFsGb3kmr0lFZzt+l x4PMysnILcJ7hcCkxmsUCGeyTCqIIeifJtFwrINowAqdnCOUIGEvw71WO53iyXySwQKj6XsfYS5l 6r89y+64KImP/pboJeok6PmB0K9prkJMaH3ifQFcKnOrUGPKD0gmBrSds2Nu5bK7xJY1lq1Pg8g+ 4tvFdlc4EPUw8sFU6ik3V6AkFT7jHOU/s1S0E7P0hSUGI3CbNNWOsJc59Z7RLu6jBs2ncKTZmwt3 lXFeazO3EHpeEajY+0PN5ghDeG2+K5PZGPqZ4i2j20nWOBmygsP2WtXLlOS4euVmOZOdYJaqpLN6 yT4tznfDkXjBKWzDCxUkfIwZksBdo4lJwTmhk3flGy4rnmueG/GCWUdnC1hHTqXx/Cg9xyjGNQRU ga8In15rPkbBL1BoMVItiRKhJ6MxpGC+fSo+MMlXwL7dTQpUSjuqLf0bbfRRfZFYHvcZf2JTayTD E06izhZMoKMOvHdB4L9cc44o755JSgGeKEw4gj0TDYnXOslT+YPyzAsz37RmULJgxU0uYHjl2wIB db6wCtCSxcO90BwqzSj3vUKMN97XnWXJ5GBmTFFLTM+gC5zuKeadYHHEWR6Z2pWP/us2EumH5B+6 bg7yztXHeYK10UjHXBB1XkdunyOgQLkbN0Vl5ZvZAOm6dvUasbj+Eh8zBpyyu3shSR0hXDmbZDky vg2ZHLn4hXERvxI3LyEk7v+yQsrvr3nkXggccYWaOIoaH8ft+Q9NqlpfOmzQ1DiYPv2JqCB5ZJnd TkwUop9gqk+fPJCvDDS+dRFxR19mjf0+oAQHQcw1vw0sTKVvZBD1ub+p+mdj/cpAP6vAVBwzqDgK hIWOkoxYWq59VNKT/ZGTfDJLTp9pueI3To+g0A3LGL/X6Hpc8srqw+CFaol+Dev1W4Bq/hCNFQLP EUK8DWl55hKO4VVAIuCatyoHbjk3V+wYpaFIpowA8Skn5R5nuwSc9kjTFw1ojAA6zB1Z/NOIHyYU 1wXdZxr18uPiFGfw56fbg2u8vlrKCpXFJ6estaqYhTgOyrekyyAZbmlZBjdaVvVFWXCa3y+QVlYJ FewlVdr1e+wuKJOprosxG89/JOgZ5tdS9vzqTNnkhlAwLQhVc4I468Z5OHyswzZOMZf23AhEaiwB nZW7frDMU+unqzV98Zv/tw4Dvh9xtdAg3KBJCZQYp1pqrhNJspSgqG7yXb+btu75NxKI3dCyUIbm 8OkQG9CTMtWQQ53QKZAUbu/prPJJvHPdJxOPYhXJaLi53nZtStowCmdIg4GL3OGpDZr3d245OiC1 Vw5xNX19TV3wItIdSOJ0UKHMf81lXD98KtSeV1tauI8k4djaqQ1OGFcKsBKrGzNS0YhfvZ3RReVV 5wRJ7UvNRAhe1NVC2JZvfZx5gUgwiANQOp1TsRxeBIEk2Zbnf4A0ILasdNqipS0wUkAcyevfKEew WJ8CNIqunFgGmAC8rAIb789C6yfK98qYHwBQT1XPJvE069SxLWyemiLJyojuqs1JejqI7HkisWGy PZxu9t5rd7awCxNnl2Mt9UfJGy0HPiXByz+qBNPF5+kjhHkuXvUxJHJ8eUkZ0q7e9yLCO9+QpoHW W3CcgDQyJ5TDrcP4tKbBJ5HlKt6nY0BT4JHMRTL7D+sPYpnar41oyb6SlMypd0ibqynVvQvzSJjV p7nyQTWO9AOYbFAQzkCY6TibhmmOEaFGg2v/eAs9v4HWsPb7JS1AhBWtXt2n1of7z20mNYJbnUsL vBCeKm05w5KbVnR/XZuMUraQrM8U26NmXHlN06uNzsC+9qV2kWWhCd82kuwtOYtyAMZOjGXTWV69 4ImFxAKgz9krc5ffeg+04UoHLP9dltbt3TW6JYsKXvT7QEvSjj3RZBrWD6r4b24vzdsv3E+Y2ei9 E6BHW7ohcerYBQm7NaVUShMzWFS3PAtIHWllokspRZ1HGOkYPTgWckEbi6972HLUszx7gK4YlMS2 8V90CFx647X5X5W60wonlu99hm02QM2BuiwSBuItjAGZMOhsvOwoH7NrIoPH/jLFUZ69WFNxBCLJ D5GK84TmmFjsF1RIoi1Gw6OSusQUVdtpQhcr2ihz6AYRJGv2dFS6lU93Z4hL21uEmajHbEo8ZsLm BNrHyX0y1gckFkvel0eUgSXMHBS8QOTAGQNWGXtyd/B6YAJGP8XVG1EfSn5R7CpRDZtVKPYAvGtb 6WdAIRmip+tD9K1cv7K64LTaQ35aABfUJSFn4PMaO9cBqPJZ0aFmeCrXqOzyymDFK5bVyCj6r0kQ Gnir7aFX37l/0Wlyul1AtqUekJSopq4fbxsF+Jp8EJJ2WdJSb6duXVDNk66zp8S0JKmMuO9SVHlg bAHPBxdGAYm3E8k0dNsico9ikipkmmWblZ0oONJb1nLuZyx85oJH3L9hnKLQ6GjrBjVx4In9WQ7J Gabgw8eF7W6bmooBG24KnBNJgtEYpd0H6unHtJcnpHgC3Ni/BuO6PFczzcAiGWJVewovK1NZ1jKW 9XpgVNwuFhhBsg+ZdPLTSp1CCWa92PJTSBREIpWPxo+uTNXS+cYqFyuwDnnmyJTsW1g9Ube6kigp c/VSeMwGfD5JHehcTDkEZ1q9IEKOc0RcW+DX/NQtcx3SLdqFCcfYqbNjbQuneALqg/lBJEONQNYy zljpzNrB7H1Y6jGnjd6d/ENEsbf0o8ulby+jzxdw0WSN1ErkKyJ7OucZuhfjwzIuuBE1RGUIxG6L nhF/cfcemvS92LZDfZmUxa6y9RtvYnSYyxf9D1PFZ8b3Ab/LOrNnjfToXvajNCA2QmGOB127e1Jm eM/6g+sg4lU4iXJQdPd5fmuI1ziPQrrkwpFFwvf7VnlakeMf527zY8gtgB55dbpJ0/ymdFyLAGBC 2uA0qp271fOVKPUT0mvdSaJ5BDKjIekzD/xpCsWJEn/IhAXCPlOjEplzlrpKqUqP5WSwdMXoqRvM zXi4P1B7Sm5S3Q3aq8mArCHCJmy/ugRYdvKqduVUmXUL1FzAoLzqxa3orI1uF6FlhZ2p09qizcMz BE1/+1HpRX9c1WXfBegsKqlEHY9VN9q1ieMsEzAZRRr3F713zsy2xRMmLfm+eEAFrXgUPbppTk10 J55dFLocVibIjyMeKAUhMpq7E6xACoGw2WTb605iYNIixC5G6GYWfwpa+68YgaNinShfrsw9jezr 21PhrfqTnJWAb1UKCNTXJXR7ZY7fBgh2F+y3vZM0ABCmP8zcGwkavg6F9s33RdPiXicUycb4VUDh 4+irQ6lpC2eHQaEKpl0fx8aulqwTjd/n/+NEJRB1GSw6AnokmScVAhH+wpO2OvRWb/hRs7avYQGm jMtN3SQek/yJmwd+f2oDbCFrYHZP9rgTbNOU9OkBZ5nSRefDbj7ag386s1+mseVZN8xolfDUG9Xv A40gJSWs+ve5yq/jOkUrVxQBjjZu+U+H6Q7X+RJ7mQc0GPvIRbEc8bO4OPI9gfXVkJmvPDNJp0TH V4DVhkVFSQxYJ8HFlrq+Vg/VKooe2V7yxe2jNihrPsmV5UQy3QSQcEL0z9eOqsN7tPPewg24bwPv W8o//Zd48lLyU9U5nBv9DnDVCPvt7QvU+4KDfxcSKFFDG6bIOgBJJWdmQFAFr7fqzLUHCbfyygFD vsj4tLd3KfJP0lFyO8ZnURf5cBVN/4whoq6dxCoX+ZB3oC6nFCotoLPW8U7RvXReGHYCEMpYb77n 4s6ZsyFLEQ5iKeiSaRwZ4lIMMsIK2eJbUUgBA9gJwhjVj45Kfitm9JHgzyfKGUANui9IAIT148a1 51FGTot0De7lMHELPWbqGaMThntNKjvMD5+ZQP4itKgRihUtaO4ZqSYC4V/mJcgk3NcpCzqA3W/2 3E9ORQQ28s+KaTXCZKxB7Su+HJWXMlRz/1Wv8vAAcR0Caos1ZHSoATFfGWDyJ3bv48UlMia02DKk yZBeDPK/uuVPgvDUIoFyDYdtLgMuRdaFoJcVtv5GTO61FYEpBHrPcpT3ln3RtqEH5pWhSVIlKIHd CUffz0bNFcpTFsMXfqK3IEOyGSMCXTJYFITqLMg5sjDWR1ECcupHtYsyGzQhFQaM4cxgMh611CmA wzyYsa8T0vjCuBfX1S0taNxQwLu58J9Ocsx/T5Nfa7DkSP6hng3b/W8ZtAmJBzjR/wobZr0LA6RB ThI0l4Y2PnANLLMI7Uhd8Tpb3wwJv0KP3KC+2G8hXatlimNLM12aasPiNCPyCzb/6O4syQ5NsedO yGioeYMwOjddy5WTUI70k1wGVJ83KUWEW1rxZTzUW7c4ll7u6VOzo4ZFPTqm1/MkwqNlIQyOcrTq MLT0ndWus8KZPKivPB3hd4agxDxom8KhUtIJe7uIkeu6lcdcKJfDeJ6TxjGZLwvJtVdBv/WvLBZZ pmumZ032tQFhmBFtSPzGD7j+H+Wum6HWF5oaMSshfEKtKMTJiTAZAZHgXL47xL3iD7MbNjxFuDay tjxmxBf2Zl+R2Gu1cOAQD87/iHY8SvXhVazBnBltvhCo6oP6WXcaMUyJ+dHTmgnmjYK5SIPedUio Fbq1wOfFKZJsB3OAoqR084sGu+UxTYA26jPtyyVEgluZ+ZJslnkZtq8WetcC0WIYfC8FlkSCzaPz f3sfew8NLBP3GGxuVijoWWh/qg8fkJ1FYh7/+8NxbTFwESUdDAqrt78Oi0k1Mn7rmmXestQnUdLc UNPek94QOmPhYkOI60F3wusKi81BHVxem6upSJzEq4Xx7ayiDNOpD0qHWjxW10YPB0kzl5e8+CJv Kx6GIg+PS7Ns3Vhe0N8BHz2CmxLBkY8yKYAUk8CKmfhE0gbVcFdk9o3Mn4azMj5WSOr0R0rYzPya Ul2ocowu83eEUAhnCHIS4aaqqFzlD/53UwmuGlosIWvLvHqzNv73LhIKsVWIktwXl+fcuOEhxD+j BTr4pTKRG7nWstKuH/Nst5cxGJzLi22eEftccn2Wnbz6B3VHd6VEYAH+CPX/iDqFmVewlCsSMiz1 wLn8V5TpZN9WZrEMsc5XcaKTPgtk3JrD3oUUu7Uip+i4U1qJAGiX76oMLUXnxK9ddYuFwOydoAe5 7r7nqr7woIIsavbMH+ZXFujRF1vqreQCX6XZvyO3DIMmghLcGvI8Ev6srujI57ohjFl3YAn18MR1 NPv40U0ZmpWqyXdT0rhZACGmASQu2xFJ3dUIOnEw3tgxVl3ljJrrqHR9nrYM9CIc9wQPDmWaUbRA Stzdi3NTvUM5XqFTScNq6Zz1BIT3WDJsFX9C31A2uq9MZtSmKjm6Zj/dtzopbe6HYtCnEE2a+Y3n 0xAXZMDi7jOwv5xa50YOWXq9yzMeTCJTFgT5pUwp9SCOBel4r0O8h3mNZI1IxzKxtWLD5RiDv2Ra 7geJYVlkQJVYYETK+arnuxn1M9ZUocU5sbtgRZhNxnZWi3W/D6afG48EyLAsKx7JFy8FYe0RaytD ArlDzfYBy63E07+rPVSg7Mi8aw2jiz0El/jEc/NEjJvGw/+++83PAuM89KXtN+djhe1ty+vqKmNS bHBUqeC0XOJG8NE56/2AWK2t1vAGm/o/Tv1xODBnfDBFhPJNzoZbSTm0wCWI3wNRKO16LlfcJaet WPyNM5hjK4UY45v0sTrpQk3jQGsxEcDjaXqvyF9cj+rGQodhuKJfdBgdBZIc14d2sz+eTU3nmaBV O3vOA8zeOvMt8nbHOAl4jhV8G930PwlKjGmDzivFwn/ymXQeDZCm/vbYMSwicxktQ41oE92cdVpW ZR7io2BcpiNpgOCgj8NKpcFJk8fMZg4yMKVo7qylBCiEZBTvxaDtgBIZo9LEi7A3REHUNKJLONm9 jRfZJ7JIUdwb11kd+pEEJXfzHyGZX0vE7uWXC2SFUpXv3vEVjXWQmTOZUXuGkd/TM7aN08qm6N+U BFQJf2BxsJc9BEGsmLlrdEnAEQbA7jQPlvZMXnUTqnR2Hg+QvKv+VtIvLA9dVQXeL3gkW1TQLwR/ mSeDPAHvwvx3GWHVAVBi43Qq+Ar888v/NTz2KAHENZ/3m+hnjUeJ1ER3jEgNKDp826xONTn1YpK0 /eORDvitxIBIi7gmKDQpT7imfcKsIVbxj/kyi0NibWLtSypDNBbHUV2E/9A/L+2g7z9fW404DGgH TQLrXH1/mmDcklaEeVz+5NLJzcYyIz1/wBqpR/AkT3BYYIl92G85kQo2I0Q5KU5sP5j3x2sqn/xQ kXvbAwPHP3ktOlYhjOF6dg6epnBsjPDD5ttM3esDHVib2hc3CWvDr28qSV7jxKM3MpfXsGYg3tx0 E9iAIPzOAfakkx7wF26l67jOmLA5kcrsYdfNBhfVV1wYddmUsCwJs0YBmMY1iSNEY69k4/g6EE2a OWzqupzhls+5S6TniLYMD5X7nak9GiBbP7RwS19PpqWhCSyW1xjJSCbBnB2zeweJq4KNKcUf/3Sp UYBY59DYXuBxi/DDb5DufKRu12SzKRWlEcDVbBewxriRPSe+mkrHY+NVNOwtOS0hs66+EG4O+dWP meRZVLSdW2f+KbIJG0afZnflpDPr+un9Btz6lCdy7DOMsaN90UabmpWPZAS83AvFXNbLtKXGbwnA lSaFZ1tP+S2gmQKaykul3phvWC0fFIymG3q1QWn1fqiWJSoU0faCRe2SsbmsDKRDqxRpa9pEpiqm Ja49z7zjl7Rc9eox6KU3b/++3tAEgvRM0jYP8hKC9puV7jlPglazauJif3oNFKU/B4M0CK8Oe3gj eh6v9obxrarh/qGj3UOwMGUMWBK97R3rJIxZfh+hZCEss87SF3aMRO9CDbCzS1aa7yPo0mFcbwpA lRPpFcLh1/XePr2N7UX0eL0KMv3+wOg+wWAwQaXIH+PRx0TDzdxufR3x3GTVFC3zjb/uKoau2abx Q4Hw7KBF1hcTvl7SiBKYrgFXmGXMKAytBHvuhWCdYbl2EFKNdXr/O9RVQwB/dz05UZhYbMm+KbBB +mpai95pS30XDx0kTuDo6R9I2ymqQuhszVj5lCV61lXFUIJSKvbzJ+Lcu5cmm8HXe5KYKDxeelHw CeMBj20k3WXP6m47JCRgjKh9p1kP8EA1d7P3YY3iCX9JmNzvS+TQFaatP1wSJqf3s0bRVOUFq+7g IjIgH3PoVOVFJlg5PYYh2ss3zqYWJcCNMBxDmdk6sPyp5hVJpasUNQilXvy19UcrOzDtOqMjOHl4 wqk5ivczMYjuwhxiAGLFGLzFGW7I9ooHAAeNDLhTOt4wsMeLcACbSgEOXgtF2sjpAzFVHB5cdVt8 dsLDX02TrsH4ns9Zj23MiE/71dFYszvCkkmbmOPlDFI/LOFkjeZKlqBmfK6fPMGMLXomH4gXIN6n YGPyy02qE0YEP4PaJ40pjHNvLpfWkqLvAR+e+bdmOvQ2B/IPGxpm7Oixrr52GhdMKnASl6wi63/E 5eliiZ733/Vg9h+asSQR2gRlTMSqbm63j7sc08HXJRQyb/HaIqRcZSlY2OpO7A+2kmLvazpvnKCy no3LyAMKhq0LzOXK0F7m6dTBbmLSA0SGS31uzhR1tYmsVYziVBl2pnjtGYvUryFDURnENVEfqhG3 de6UyyKFfDZn5VkBB7Z2S9NSJ3cwO/lslFqL08xA+UqULzUq4Jr3hyrelIpwdR2A1Oo57kgUXPX+ NzihlFDOs9SbnW66rpmByotyjbZBJ2rx9GjQew/fowFbKmkM6aKCuE+xxbVzKzmFwwEWKqya6LrM IlL8grr/x+fLvVrIRigAsBxyURZjtF2Nbevxkb1jvLuimI5WXrXnpSxfIEMCST1h5dn+xL5rKTfi 6bBobSorfzl/+holSNAIXyR0ToTEMmJ7KCP+j3htSB4aDehbEGyDyeMjCBLHX4otCWGNe0psNHs7 IaGV56LVGg4QvsMx8ogPe2t8R4NSCsCA8wbeto+yKDp5dYaUMoVEBrDGuF2EdYGi6p1uf/VlEVTK NyxQg7W8+8KzvduLPFXXonZHbWJ9qoshjfyFSYupNpwvBzlwR9QkTI8emjODOgxPX9BW7Dc/rOIU LaMRdSnAmIXv1R+eEAFnxrTprvLergrDQpTrFm0e9Hfx/PQi6Iw/07OyA+X6djxSWUY7VafcBULY g10fhAUwMqEzgqe4Y2410c/DH2gWT8sMYb4q0JvoTDxof3ED/FVIp3xFoq2NWbQ+gMtPAo+KiwSz kT4vGzZJ5Qmow17bbnP98gZg5q4kCr5Y+H4H6IqVYWX60Lg/gJL0ungZdq6XqO8uSCwTae1+1ZAI 7tj6H+D9PrOp6TQmxjxV4maRmBQya4p8NBaTd/uZ77UPRuBMnH1PLEOiiFhXWu8Alm+Ys2wQS1gk cC3Gve5KzS1kClqqeJD23iPfrZCHV/37+bP6rFyJCo1LhMyxbZWr1IqX4eo1w0sSgtpYacv8Ivtc BxPl09jeM1pOlKy9VOhSkJRirmUZab0+rSMPhGHVR7JbBIvp/MbsbnavahULbriW0H95Z95Tc4X6 WZv9R5zFzbasNZBks66NCa3qXrLm6AUtAWPmuk+qPxlophBfO4JtoyL/TCZVXZM2ySJoHL3q5kYa Wqo7MFG+ubTR2KdfW7Iz0jzLs3yySGFIXLngmoJ2oxrEjPkNi9QDJV6ube34ueYCmv9DPrQqRalq B6VmbFk8bFsnc9jX4Z6Mkn/kMkYF+yp44Htkn+RMI3ikS72PVP3++9YZWi5SNCIm2dICoqs3duQZ wthVP/dSBuft2F4Sx7gnkGUTST+A4e7XuIUGxqg+0o17HKM7DiP6YyfPeQSIA+Ibyqd3/ahVWbtS MxsC7ha2NERBqWFxooSL5ijOjh6XspHhYQjXNr1yqYAuOzAkYN75nxVAnuXGCKbjU60ryX91kw0M g05k4d8irrmpq6lpVRh5AsHZ1Dp2DsDtoHsYsBnMITg/J/idCZUYwDz0pznhCYMBToREWsINez2m k+/E5LkzQxurES6ydQWhXrlmgY8XOzFtIHJqLn0IezgScdpaJtWhuDCKWJHHiMR4/2ELB8v3TEVJ gUliFt44syzOoZ5wx03Gn/M0c5VsLWATE1u6UKNtTZQmGKf+b4F7dTKkXlPds9Z+6xfyx+3hN8VD Jrjkxp8+/gQeJ4gjrk4i2LiZgsP3SFqzMlPa+VZ9MtHBDVvSfqDczN376OaDQm8E2CXT4X3KGxEi Rcwkw4+nn+6g3wj4QoQKpRVYekarr9XW+jRJPT4XgAXZwcuSfvjQp+ThbYzMsVigGqt1jFTWHpZt fEKnyR/suEw+HkthGFh6f40CT41+c3aSWDbyhvYYva/rpYzE88PAphc8qtYk60sobdraNMjtP0S7 XDlLjYXV5LaNTnjTCkmfi2mitRhjvg5KpqTa5HKqYcsbw+z6KxRmJwicjsBY8S7clsdFd1wYOeVc IuZI6i5E+o9+sL30nNKa+iIpubALLmquNiddbuFiIIN9R4POETUvszbJpfoxeRP66OfsC23MHFbR +SBTrOwRTqVaZxq8CNcNSYyJv4vcbNd4JCbEzpwmeaLNPxEEw4yh2CDfnbrX7eRx+MJPBOSKksXh gLgBf+kHSD69G+APp7haI8ecGAAtUSsnrj/qGnRsaEWg1WMEb7xno+yv+K4jGzqB072T9hGILy3m tJR++Cw74rsTv+3abmqgXn2JOWrvsqjKLvTUCtag1S6mRHkTyhGhr4jIpK0+twDU0xBS4uHmtw5s iPfeZuk8+23paAkD6rOBtMXt7SpXSYc306G6ktsKjat0+0W6ZT3qHJAss4vJ71437AGaVtVkUqdi mROe1YNhMVcn1FjT1mlYOIZvZhcQNc9u9Dy90+Nm9YjrKJcqOyLZtbPMmgIWCIk0QEVWmgMHF7/z L0BztGKLg+10dGMhJNDz3zatpsmogXABQDlYG1i4/Zbrxve+PyrWKCBvGggC8XayH7v2ZE9qF1Zc KkWW+ncLnD6xsYXKz0mr/eE0/8E7dWVQP1HIEeLzjEuQL97IUHPJ3c8yCoPK6HX7ISdZkXegCftv gAtlj19QjmCkgowQ3Ls4U19AGK4JiwNcrjaam9JLyGcOSAaLNytxk7IPE1QnIB/6GMHY4OdBhgDn nHU2CSz1pXFKSBrDTcJvOzHu9B8qaSJ9cYYkpnYCIPRx/78yHrptIR+SSeNkugkU3NJnJG5uONwg LxIAqVHR3tM2T+5XVDkxoON1agBoxn6WbDr98kgwojeVyyZT3WyCBjGjDzTL3r6voG0TyjxsdCNW ycIdtFG7vHfkVYPwMr6QUiQULWOLTU4IKuqXo2TSi8Hzj+e1dTHCUhJBZ4EKHYAXPKYlWomcv6b6 7lqqhBmDHfFCHLZsL36FSKJTsUoHW9fdc4vxf7fNvoK9Fi/aB4KIpKOrsOpFq4e1XBYfrVTlLpdo z4nwxsvXqE83GcTzcV7LoN1F7j2AaT4tjCfoHcY4Gzl3PIMwg1e64LBYkZiXl2qbmIbmjSX+uy1e x/05cda4ujg0RIEL8kRBh6Ng/D6mFMLOb3Bi2GsFOrN4QY55x70PbcelzDAl9LFPjrbv5aJgaorF ueoceLWiHTJKjTTpmD60MDBVjkLdKxTiNAHTZXQIVDvyfl9hnscIe+5cfM/nAj6vglJ0pLbOG/Sj WeTeJcbEP3gDcHbr36PrTrG8oLV3kjNoXltk7PUU6D9rdS1G/X/hwvtau+seJ822UrbtqUtuDvKQ nKLKBYv8Gibk1yG+gA+LYuxvlzCCP3q9GmlC/FzjrsQ9zDP+5bjXTXI/HFaZmBVTPMwUXPngOMvs 9RrB0TvXWtDC2Fr+NlfnHT/bTys3xXE8K/sDYAuL0d2Z4gBzlMZsumU1685r3oGKy96VLr9slz9U +3w0VL8SxpA17YICOI1PzF9CLYmqoHvU+P4pgcPQHcLqxD1rrIaEI0HOFyYw/mOi6dHas/e3YUNp b+K73tyAozF+VadSCkmvRRhQS8k1lyeDuKJWCNoB/F6VFzk/dPF5ugNLXWkTGBNjoUlbVUv4KdZX /dXIyBiNk0lO3T/ZjqqI3YQx3CUzbs7VsDZgP8zo4b3QVgOdTtthN1yXVlnA1arDOjTaM6uVVQN9 6izS2F0sOfVP6YGKbSqC5vqGhkvBOUEs7g7TE62HPPnu0duu+Uum7oo9rRI59RGFypvRammjwcuO UCCfBF7mWtU7v+WdYBYTuyw0urCEkQTkUKe/RuSg/7CC3ZlHCvpufqLU7eLYHY2xW47alcHaJHWS x9KhwLtynjdrEyL3enxNAyqUYbeHhA+kECpAKBdgiZkVBWIctxNiQiPDYml/DtyHOd7NRNX1WPIv dniKosPvLeGH63JNp10l12W20/tYDlfHU9tvD45pNpc6CH1xT/ZXtUhzNU+1umPQanxRA6h9qAFH X6yrs7mQcvXuPTiHXVDJbc+mA0ORUU1R+2p5QpI4ogJp5XlpBcDuxFW8ElccfJ1H8acCUVI6+mYl 8zSVMHxhLe16ybRcpI4ORG0AZ+euZV3WRuBKGaMW9MwxZXggADSRBIGlOLfRI5VI0hMDt64Dlcjq eJfRfJPzQI/kVpK6mVa2Dfmrdd3SfZkuWHecgUCZvbI+Ee1fitqsgeJWkSXP/lfRBerDS6pow1NT tPf6Z+mYol+c76hJNHJsa7KrzZkSMSFr8MusyDWcUmiJ/El8pJgTiV0rlEELDQ+WLdfhC0y3mUFF lnBFkErEaS/E6mp5eOxqZi1Ngo91jUQIa1YGnzeUQTh+6rvlvfSEgXVyhkbxeGV0J2IB6UzBwe7I m507YUbYDMFyrf6ferackNC9wzc49O0+GRjkfULAUzEfP2gJ4oK88TGECElh9zEFLvkxbekRs2SF gtACeH9v8c49DnNN919l3iKIUdlcPq/+9sc+Qk+GBkCen0sk2TnP8mv+onk8qhx+lTpOPsdGsOu3 UCJB/fJcFL8uAwZH3eB3UnLkc+k85YgEMhpx4ezEkOaPgQyqmXAldIW7y1VwxPfloIFK2mTZhB5L O2W9ILFu9MhXdtQrW56jasFrs9u5HEAJZ25z09d8CXk8Pm4A4VFBIylxjyBLaNzYKyINUkiYRaXU +wFKizJyzUYiluaTe8o0mMWsmIrhqfF0Fa3tqq4J9QWGfq3Flz53lluXpg1qmKpqsyFh1/X0e4L2 VCsgqtwkbnJLS5o42YFi71IeNJ0gN1z8DODi0eQbCy+1ZUE10XGhXYAGWVy1vn150JqDUx+DhjHY NY9xFWWANE6obzcOpsU1e1HFnKfCNDI32nkKD0nxSCYRS5uDWSRWTC+SLaH2Xkig9kfMFhsgAb4P riUxlkb1SA3fUw+CjItBIecSQQ3ULoaCjghRHlmGS7VVJd4ZF4CRuzmXZmD8EjsNqYmh74R/Zgt6 kuhNKcFd9eslwy3CZY9vteKEYP3NzyAPJrizrP93O0a1lbthY0vdiJ8MTbUKmhR9Vo2ZuOFrecmx sxWtK1T/o9xMzLAcQi1y5kOBetwGdnJPzDuUiYbNXgA1i3AbZXwhn7ngU2xjlSehKoAOfYrhcphF TBlmELKSy7aZz6eiy7tQwHqK5f6MlhxBE5zOJ/z8fPsvfdiUEuWNEZfnomz29NrQm/hbUXOSZ1YA JsHNhVgeQgquhRp/POjKBNIrR8JF4IBO6ndx3Td+IeK24WTy4dRQnJCFQNfbnH52H3vl3Czbn5tt w1b9tx3DWEPVfDz5CDGRd2/UG4KsCbll3MzaC9Un8H7WQJp4+6qwra80di/IG7StfI4m/s3l4EBS AVt6DiQfvgd+LY7e5bB5VijJvwSLR1xig9Os1bjWXNvCmqOGJ5TrM3gApjNasi2yrzHT0UnJzDZN BSvkbeN10jTHJFSiNdQRIttC6T34RQJQojcHqmyeWfBkcA7PXhWiAIBiX+4y7XBC0/88itgRVwpd 8N2skpu2Yvl1oF3vgEoopK6b/dYS5LKOT8Ms/zk2+3HzedNUR66zI5pFHQPAbhKSpJqlq5BBZVvu GjIuElG2trSvk7in4kvUL1IFhsQVWJsEbbbzsOGzrjyRg0RoFNKe24c/Z837rhBCmgNgrlOTaAUH VMxpUMZEnjFF6OSX7Ube+qiMzJbgHPKiv+nbYo39g0OvfknPC8Y78KTc3fQkrXK+LxwL10mUQohM 6RmlzAB46uUYm7LeVp+7MH6z2J557ilIaQL3qqLgXIY6ve1Jr/u6gv+YYThU48KlUq52ev4Vv9ZW pq5jP9z2ThXYZWBhrIWrqwI1HF89YeTNf+HCQrK/frupGM9kiCAnQGxAiGne7DrzqKV0kBekyjrd 3X0okm71tbRqbTIExEwb3qwP9c6dzc5lyAsl48pQZ1AN5Ftdji0/ZBXEAb2TggPjmpaE4jFuZGgC zV5NAwBCh9EoJl+OrmQnyabeY6l1L0SzsPqKviqcS+3/YV6ZLawA9WmW2YZ83/Vu8LVUXOxG7+kk EcQ1PysUGdUuprIv2skkwFebesoSCTwZxSQtJ6tG4oLxLqpIYiB3QNFnNeusrhkMwdl4q7RkXWsm D0z0u6EF2Zhz1Wrbgi8dCuMrmLm2xT+b/NFJ35f2GFlpA8nCVmsVygUJbQKfFKUzyKkaRSiv4mVv rZX2V/fo+3lMbEhCi7QlLJOLIsnyuXIHwxxQk1xpSknoqhCCDaP5qYHO/ANn8HZRs/zmLfMlwHhX Sa2xQpscsjUYOyygl5/hZJOBA7tj1O27wFT74FcnEQEv9sHreqw89WgVwlsQUIBs4VbqShk+RcTg wuTizEIUC95VTDsUiDqeF7UNJ0GkzCID3848s7A9+1+4vnzVwhDq0hJz2lDQql6FmXwNRpGDpta6 Midkv7lSPIDCmdNNlZCfMDEmE3ViZALuFSPY+ua2v1r1/ejculGbt70XRrPBpfLRrpBExqfGJpDG 0XDCzcdN813yhHMinOTr8LbrNLT40llrgcX5E5JqRIyKKY7YcvopVbf+CmuQj44d2GVmE5Rz0e5B a2Y6xbccCbv/E07DLfTngs1op/FgtSgey94EPBqH0Ry6FcHmaF65/Wz2MNkbho16rf7tQtvgVw8v 0hrjZ3v4fuqX9UKPCXGE7sPWkvhvJRRi0jYr7Z/5JM8z6mSMk0O5XuZ9raNCElQM1Hcl3Il1OhSs NMaE/lKG10t18AwPbkNiYIIU3L9a0zzGNG9JSx1EyiqvJkuX89s3ZMvhtCfLDOlHAU8qm1a37FmW xYzrpKyhSHvqx1r/N5gg148wXpF36SOM+zQOFsmPOPsEGNFUHxLX4Tna5XsqISY73Tl+2iJeyfBU aW6yCcjjluHLtF/qKLn7NeysLYUZLd27LEgmmjf0CWoUv1lUITTTrXam8W3gZChKTT3cLqjvd5o0 Cp5USs6RG6DdztngsBN7+LSRKRJ6ux7rd3ZlJNt0qRothskpJGhiOXxOPPAQJYG1uMfBxZyuDvYT 0vOqUntrSmbmcssB3YQNbBV3eBjiaTDWRhaCJ0jlafSxQuFUHfIbwj3d57eE6p3XKzY3ymygajuI XHEtDQx37RZANdvxjEattiUUfNYU+yUq1Afmi1/2w1HcglDJgpLw3/zHKz6dwR+3kpxc6Ajbn8SG QqIdic9KBdik6rtOrVZkznbogo3mchrg5txGmtTjDPDluMBaYZyhXM2HC+kWpvWbKQBccULEIVmk fWS4fjkgUf/0NAoV6bWZKmyEiVKkIyiJLX/xMEDrgWQoYlUVhRMyXOWW7oDdPXhn455X/s32Xd0g 8Xr6rIc/ovkH7OpbFy5PBdNqOK3c2DtkvV0X/67IhVmVpj6w6eB0zGQe8VPPu0PJQoBnG5yQtcGN 4OrJ53oJVOaeft3J1zJnQ+bMCjrwur94DuS24MgOTD8Us+S+OcEcn/ATojME5AUqAapGf6JsbkpS XwK3iTFl0uiS1/LUUGdo30Edhj5UaOsZc188qBdFbK2sEDh5FOTCySVHLqFcrpcqif/SVaVj9JIk kRS5eiI+0hi9b87RREVg78WBOdz+WWg9Med2STOy0//LV6L5TW/V5Y6Gf61IpD6X+XIhVSRvg4KA MOAPgqOw1AjbsabUnEnVa1lXSoLHx7YxTiOZr/W5sYh+fZi4orsQ2XFB5lDDSAjtkPlGHj64IOex E1QytMvyBmefz3FDM96ta1bK4xQpuP41Tt+l40uVWG/n6HI4pRawyhdsenTMIn3wysPJkKM1NCG1 2kkf1vDaVM1zZb5NbBxw7voDLeY0Hrl1RAEfK3tJRllOYp+5fAD1Dql+kCDDdCrWD4Qj+yVRgSK0 JmdQIiODB1rNMi0Lw9bf2I7ZvRRqqywou2HRPjpekfVQj+in3qafUEUNFtqR5YdIo2TgHtJ89ciu CWcsw5aiHnj1jfRoMZtGMH6mxpVpRx5d2Dlx8Qo+ANoZ6gLEULOe+VWD16UHArBCQrvVkaCU/rGk zWV/wdtOYoYXQ+jYnbttpK1Ja6UhnGesJUy4qoySGoYRUI/upr2hFB+IP1i0ckDwiM0I29zgYkeT Pwk/KDFEch2V3b/TRdYF6FGYvsus3cDc0wzzEovo0c65I8fuJXUFRpoUDLnPpiRiZ/5WmuABsVNC GIgRzvFI7/YU++ObAcJFLd6dgPDbNbXKFXCcIH+b5LNiLYKljxGtR8jFjgLzgef0hzxRMaCQi6k4 +HE4BEwl3QXLevs+EtzmzbTbDwvhlPphqwPo0YyzRL3aempULkAme/hzfxMrYma67n4yqhPk2WXN ExjQNt37wITR0+rylEIh2rOtzJzKTuHblnAYIMZo2hyKb/GpAa0WlTZb5/qqfP7bUJ639dkN1pEa rzNg5BScZrZknJ5Migrk5SOavueLQCqWs13b1vUnvrG85vB2BzpZOWerk9FP+wHtxy1RLwgBID/H Ei4UJ+c+2Q000FlgjfvhmjyXc+gutnmbaJMm/KpQOujPsOHUyj8/4WA8J+rOe6YrNvAzXvASaLXG f98mPrDq0Jo+5BbEWiPRAVAzmdA+d0gRkyTy19l7I3KkA7nCR9dpJVkdZ9uGPUbKvqkZJaYXUHPR qOFS0mqFEpBaiT9vjGvjheCDzd6WD/79MgxWm9EYxUz3RpBMpumeGOxhKxIcyGAtTyU3PmHlkqmR cYsmVdKg+mTJFSYukM3/HF5PAjmlxj17nPVj7sibN+MWeUd5lCFP54vZxukQuX2I0xcz/9j1IRpa BB7lJxEHwO2uVS/OtynhCRZl36sflVEnoDRzNtAx2a906TULhdZaim+aNJGRP9l9nWJawa/Wzwm8 BEp9nfIXs7ViMdglVXwqWuYJBfZgXfCztJvKhRGegAphomy7TmLlZT+tnhdV6fNkcOd4uEXI1uBX OzkpgR6Pomfu0FHeZ8zsgnZMbTp8PusfMZWZ32pRQj+wx7keHYLQ/Acdv/8szCR0ppJKRH69cxwn dGobZT5NkCz8Q9pMTYQoGJl/xScqjv8POYvvNf5qVWju0vW2CJxYjoC/04O5C2HHfNo+0pyrXwFg hyjL6dbSvhUouI0/24eg8Su5WMvlbi4CmGrFO3anjEKg9slXObiGSxlBmL59d0CupOUMMSnc++Ko qV0TlPq5tnnlvr0khlIesVqDdBCmyi/ztooZBHejyoJGpcae26X19b2pVRYDyONYNJLu4RflRo8t lAWkb7VyPkEAsk5xmJbX+Up7mFy3JGXOR5HXESgfIIVdYtV6rAW7ZdE5qhpz+5LcV6d3xxwwpZC+ xqPlFFnaegkuO7dPbLluCiDoQkvZ03q8FiH0kxkrttAmPdBSnNwLFlXuUTCiG6DoBADqYpQQVNtt qdEXQ5OMx0d4O//OpBrapGW4Qgc74aWK109z/GX5r0Zhrf/i/iKEb0y8AKnUegCiB33NUuP0Ajwx 6fhZjia2Z0K8EfRjsl2+Rt1rzWn3a9Wf3RF2n4BxmZvgDEyZZ9HW9Lh2ka18+ILX5cM2ii8Gr91I egWu8tkeVYuUvqBq/s2wVlyMdS0fnxhM9wFGcwjpusfkumfqDKjRp3LZiLDx0DX4kDIiNMxiRckL zv6ov2hXKSm2YiRoxJJcNYb6b1DRSM3QjaBZ16u0b/zvsKdK0V202HepWf0ysunABOSDOPifyKh2 TKHmHaYkyhC0LnYkgOgl6NbTdceR4AL7B4ZzYxNh/XyQHkJnM01C1htZmFayox+GnHxVVMPQEovq CP5JeSBA612HGzTlXlHu1m0hLnTCwch7xNaRUEbQ0Huqc/6wo9iRzLNI+ia7Ec1gcYr5iWIt1Asn G5AFDA4dE7MBTh5T15TurQMTxuI3rnoPxrF913FEXquaqPTiDYcsUW/fa/29T2hoDSBiekjdJb9Q eTL7WduZqrQeyxWMfxKD2XrgILkGYsJbqZtn2HnNj+Qo2jxn3XRR/1NvREH++VQv6UHp3ObSAk04 P+t5iWwMeRczQLSXJO/NgUPtkvYqhXSVQLJo82c8dt9kulydaMdfC64klBC7bxOlzjZDVtl+q1bT DogPUI2rSONeMHFhSdHvJRtKHh1X7POyZ2PBvFCyAje331S+8DqO3TLNJWQ6sRjMWMpLIes18JuC RVut5CJGHaEc8zZEaNKTd1aZ14sSikKkx+pMcZAVrSzhfH+RwwLW6A50R4ZaczCF/tDKyexVfqZy OHOI45NHqqr3XnesD5+V/nyC3V89k/klH724iugrR0YuN2PvqnyEXf4M0JTYGfcG1UQximn33ZTa KBW4Ve6U0FUKx3cE/uMRJOJ+1Ul/fultYFqBiKSXS/S0EuBN/kNxXiWqNmbipVciCsXNQ258WodZ 9GPBmhRORKo4HGbhatahXGxBbmiI/lTXmda7T6Q8bXXmDjeNXYtK9Njmtl1TO7aBT8JelOryoFGG PAiHMVej5h0nLXO8DmEbZjWA+rKaKhlvsW21BZXxu/UE1f8yuUtif2B7oaQ7TnKDIL1f+v+N5AgG bIkpgYaC5cdnlVUr834EhLSWQNtUpjsyqzq0+PBrq3Du0kJJxCaQaFglT3xgL9CJAa1wbbc3ucJC fbYjbbqpmy8dTY1tG7A2rTtsliTs+YDsS2epN6g/1vQFhffJXj6Ybgr6cpAzEARspR1kl8n0qojq VPtMTQcLjMRjpDzs0lbm/utc8jGbbFTPYsOOoD00/F5m5ZkvGZ/fn2zBX1R/grlxti29VM2k7KM4 SQguvnofDgY5uOgIileN6aBT9+VDqh6E2vwVICSaAT07ObCViUTm8lciT+zA/LAJARG+Kpf59Siz M5buqrtIllry47VAhQXzlcY+98B9dxF23WX05FBYVRZ+Y6qS44Tr0rlvU6gSdVlhRcmPQQFvEI51 Xw7CoDqHUE8LCQL0wZybbxqJhYZz6fe5OiHAVH5uHJhAvunWF+b0fGBHdF4lWwQUuFjFAgi/4OY6 16ay4XCqYM77rA5XGcum1BQ6p/cbIiowHFPlmK5I8OinJlMzj/JDdI097W7dEHFxl4V75H35tNwq 8wd+v+AFdW5aCPlLOduMFnGZs0zCM5sKKFi4nOKQH6d9HFZm8TzhoMFJVgPJgpKgY7tiLaSIPHaE wClmXCmQznmSOg7nME4iYWXPesRrPtH7xe9ol2byXD5SovlBX9ITKqhTLEtbz1HTJr8a0ILpwRZG +jJUpafSwCeBWEMd57dVAiiskMHRf64+pXJ5e/yLUneuz0bWghEtIh1HTR9k1IFHJVwJFnnfrtez ZCq+7mFLC97/DtbHaCxaSLqot3N3VdcYdRiYbLg6ApDkK5iPe0NX82LIxl1s43d9PrVbyfcXbMCE xtMUWa60akKaVVE2lW515qvNAgDaOx2e2OBIXzYZERAP5asje21yOoXZ61Yf4BXC680dElpBrM84 12Id14XZy5UEFXMF8f1yPlppdD+sA8E9zL+uJpfNSQWanw9y5JqQ2dfheu74OsYWuLqHxYMHKOHH F2n2OhxZMDwJ7kKj+OesH6edeTvRsZAlW0jpqJ0XWjaV1FbKt2wtBCf7eUO/xDZBwcKMRIbqFgh3 sC5qill28RzPst3xKm98VTGK/xXxqxUKoZ6+fVBIr/SdbHL4hY+5tbcev9l3rfmAaBjZkvQYXxub KTGQ8k/DTxo4+gSzozDIGefCBhgVf+1b4f2A7GzcZpfydJl09o02I7r5p9UACMO37rDBnRR3B0T5 xtbvVCq6wJhHJ6PwHaQhRjNAbXV7/q4IT5KufRqKE0/0EU1i8ABf6AsSQFcd4U5ewD74XwLkcYU8 cWOYCSch7O1BY4xMXErVY36JEnZMtJrGaYP/7QgZIkqxgboCkXwyUAZ8ZnqwAuzW/wgSgvcF4YXN YZj1JRd0jbpO5B90Q3da0vPvbow4ocKs9VBe+WlMmnKFmoD0vscAPraCuS43P2ZZTtwz0UaSvfx3 jdunu41xiKHP8XG6AuP1xRKlQNi8B55mmflMv9M5y73rdCM3hiJj7e03W/aNYM0+syUR8MLXnG5N 4N4ywm2upDBx2e7DWDRefHi/zVsnb0r9RZFPdXl79dY3oHJUluDt1OSW7LVTT0Qc504uT5R3TIXm Q39HAmmQVJOV+oCfM0XQZF5UjFYHFEwgbGt+pJZWBmykR1OZMhRrRu+oZvloD6Cwh1tTnlMrdFe4 uukmjPwtpKFBRRZ2vl23xmzWlBeZoZ7mX1jMgp0wXvQRrtqm3Vx3e5l4Olc2LY6Q3x/EnotnhrjX xU8tpeNMFgwVKhxha7qVHvVkamtKpvL1kvAgl5Mft12WqXioVWVpgXHRpYp1QGBDBz+63rptvLYe R8YeQyiF+RB3EOi0HjY0369oWIDtStJG9kR+3j39LcdE4p65c0LSeoS7ZWilFEdg2MDRjlMtkNE0 IIJnC+OOcB+p3LPLgBkOWskwIAAUrpW3e5x5ohNr2+vqDo8t5t77itIe+P/6YfAndUjjEU8fuwNi SEQHaTdKnB+k5sibRWc480w5M5qD3dof+4dY2VnzvVP7fv03QyHdbaswSfWszpltEJOHIyMl/Mnh 75fNJzluQJyaKbJFYsl1fT/zQqpuCaGit8fyuidV1P9ah9UVrrpsJNZCyDQv+BQD/BkPejvweQ5T yVC53DHgJQ3NxMUtGOW3f4CiwtuiyF2FwvNOu1THwe8xvpEF37LZBomXBqwHrkkqorwGmXzJ0jLw P/FE+cpNLrEyDMSIbL3jdrzyKJDw4JEdoafOMzKd5INeSt2aFfxPN363FGJIQLau+Ozc4LU5r6Lh h9TKUEo+sLaUtfR74bHOS4mN08Ut89IKPKWzt7OpLkcrakeEqmXgc3EMDSvc6Em0ChkL5zdb0p36 3kmFM0cuJvKBYMtKtxcokaqEzSHLJhosPsrhbl5UeiIj59ra6hKTulBG4/i76DDGqP6KRo37bjMV +bQJzTJu88oPEpxBXOxXBS6bi49wqvtXvAdf8JEPG41uO0iDY2luzJGUHEp4ECx78e4r1o7OMMf8 Wslr6k3qI0JnIDEIjEMkOWY9o8giCrPT7R8m+aZcHSgogCIV/khoJzNWW/dp0HAOHTUnlFZg7DZN ZARLVCRhEEzLImKV5P7QPURjPEh5s4O706vYPK7KjdRvsI7z6fPDVx1RSWw1BtffCikgqVL54ITq sMkx21bNSJbK+nILMOpudyn7ciBAhQuvUQ42WuZ9HfF9ZHmXUGfMEZMjfPy6FpA7kALEBRhUzltB QDez1DEPtQCub5YKhAMKIXS/ertQvoSk7+Urs4vx/2Jjo6W3Ju8BP4Z/tmpjTTYLuyIt/Hbjm0fn MTeSqfICtAY0tYLQTc8LmzvbCskHWEY1lqV0oIZrBarpNB6RuYAB4jzp4R4GyX7GxzU/uIRamcK5 bUmRX2EbJpU2RFOl/AhNORlHsmMlswtM2GFU9dOalpIPPu4fvJjWMZCLeJkgKj+FA4xuuznXVUB5 7CDdBSmwj14xXIgfSHrHl5ZYc7wsplJ8GIWKMSvwYJaVeSJBqEpYtzK8WKF7glt3hdPwOH1GjL4P ZP/96H2CfDyVXrdHaDWKHtoX3MvcjsX0Gxo5qVfSmwfFxKERh4Mc0prQjRdjyDxEGs/VsbDxbFG1 abftFH+75fdz+gGfhTAupvCbXcx1qR7Br18Eq1cdtj9ANuPLshlC8hfVn7n6uXZPMe4cTK+1nkfr 2YOx40d6Jh283AYb7J+mSq6tpi1LMLhn3aEC/hjmq+FRJPwI6+0zFzsEEC+iSmFOoRxUYFbVQk6u OqtyQptdKCi5l31R9BcwgDbqepHNHcEF93eOj2b7yU6qaGk5s1RTiusHXm0a7bLmMyW4+vLyoMON T7cp2TMN28GM/wPdHYgJl8WzO2wsF5xTJiSVCPxYsYu+Ro+OTO5CO8zGDhOMA5zlXV/oUy95v+5L kendscFn0f+N291Q1ZZ56gc9l8kGDZIHSUSpTTWrpDcJkjnvKA6+KexXzSAJpBQctbMdpuWg40GE yBgNW5/NOwG9To3nDIjHN1vtHv6wtNXWuBv+aEHzxjf6YAkELAoUK3YetRC1NeFWlpHXGrF8/6Pq 5cHuLfvM1OQmni6E2jNRrfpGD4IW+uz0qVCIktUxQgLN51SsNltwlA9KIkGGAKQ5sVM9DUI1NOeX jJi1oBsnxnMyVRS91Cb+pwUZYxWbjgYP2m49HxxwIkittVK4j9P7lLbYiYtArvsODfpExv/R32OP E480vpFFodPCvqKJezsOxbWarL5KgyqupJokjEESmf6uEw63xwuGJ/kMn38tF7U6l+tlvjX0nyga S63CEYnO+ZRDJLSTHMhR/MUglKgEnADQ8ULhp8d9waSRdBktoinauJdqiCU1cXZ30p4li5y0oQpR JAgBTOSaMCrRoAQB8rfd4jMsFBGd17FLixoYEAdpBu8NB+ZlhZPPWLFUesXgFVKbHmR2VHVrDpaz IFwy5VIUMtsIHneTEqYXXcXJd1r1RVxw2WQUJ68CNCqhQYny1931VbWo/vRvVY17puqreZ9Vhimd wHNCK5Jocw/iA3SUVdOVWcBfPNZ44Ptcicmuw8RjJX6eSRP9DzN3KzF1rLjFd7Roa9eEaeXji2SI V99j/RjCPLnF6fQrP35SoIZJgYcq7+QQ5uxCZeI8Mulu3Y63LIhUMcH5tJZ8YXTykrkxdi7GV1R5 wREl7y7TPgSItUyZ/mE3yfXWeyuypIivb0C/9RmeFrWkho7WAjS5OjPKMqITBM7Zz0734CYHcnJL Tz2fEqi/jXV9AGzQrTR00/Tj9R2bPvtAAwflNhBkO7/9f4+AjArBlZX4jTVz7RsbgzwRePLBzrpy pNSn/5xxqtSmwY6aEgnTTsY2HNkOA7sFYXjI+odD4MEZy350fmQrVcRWHU9nmh3XdIYfopI3yJFn 4oihjYJXjWuefSmfaszj0Jbq8SQjn1WMEAHutHWTEMc3wPM6gtSdEryH43J/iqhHgEJEetdsRF98 Jx/q2jxRo+7A7j48U2Ktrvx74R/Uo8D7ok2mM42XNBer14Eq828jqaNxU4PDaPMNET4HyNKs9u/H V0+hRGY9NDem `protect end_protected
gpl-2.0
1d46ac46245dff7b7e15263d6da7d603
0.953334
1.818486
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/vm2/vm2Arch.vhd
2
43,394
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NI10545P0PP62g1TZnPi/4mT9n3OrbMoopTYkMYKqELkkiPHccyeuHZPW67acn6RKGintPsIRjjv WuEUy2Qlhg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FOfjYpyF94RZpd/iGlO9oQZkpv9sEpJIE4jLge92CDbDmcLlQ+tm+ZD7gQWC0emTbN67EFbDlLiO zOa23Eb+2oL8H6Iu4BLkW1gD86psT01tAh2BwFT9Qcg3+nZOd4xXNnR0FCiMwBoq6esheUz8HdPr jL1zFwQIqpRDQ6FPC6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 21glQrT/H3XrD232kNDar6nDgRkUySjnWSrOsRfJFOg2pKVN1rOSX7KyFcu/L2riwv6/adtdDNbp C/zu9O5AUI4d5LlNG1LWjC2lCTcLSzwiMpIIoa1WcH6LT5sVOb9GaqA2xqYSo0nPj7WDEQqxjGhG dEcVMe4px81y3ruTUU00T1HuZUU6CE6rSk9lmIuR5J5ITXeAzI7ws9pC8vgbeXX/1nAp8M8xmhkH WdxRWJDXr9hXiJ8juboF10RA7DX8JNWiI4SKPtX/JKT8MU0CJiH11/qCC02j4K5lyWIykVQhktmw nnx+bgXN57S0tYfpJSJHP7f0K33pdqUOyg/32w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gI/qAZe2xj4v5l7QCde7QssXoHhRpF0RGDMCblFKNnWXSaZzsOBqD084EEH0XyoyVC7FgMrVIIGj r16U532PiF0wjp7zfEuV4JNOrbcOGipP7Ub8HQtJIXPgsbS44BsthaO235fajDhqXfQ1mEc7Pqo7 BEo+O/wSh9aOA+EyYys= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y3hO+xeG8VJ8ncGN4iKbLMNtv/l0imJ4rlBSOEn1EG/asBnidBDG9SBv57r7/0qxsBo5X4Xpm77H LNi2oMSGZlBbEOGrgxNxonDgRV3efzaPPl7tb+Q3JcbkV2yCzP7iH+I5XMyAEGl3gn+bOusw/wGk xmfshwH1XVNDMr7RBVPJfhHC/SbIjlqBQ0wMkOtRqQf1LzybtY3ggMaD3wyKUMGusHIqu2qH3kqA PcWyvvgumUgHzIZ0kLs1cWc78Ta/waDHk4CKvMsQIJvjRHAgZhC5x67xLSa3o73+a/vOG1q8uh+b Om+qrgy8GuUZaoGHIcEwvxue/hRD4OC1r2WJhw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30384) `protect data_block B6vRi9yz6goZBt+gO0WswD8LB6vXnVYhiH2xQPOlCKVnNHJxh9dXSmwehyzGjMzxAIs40akqwTOK W44IejxGdNiFYVgeU5f20YCs2vze0CoU+wWnTd/m5NucFo7+lTX6evbZ9VEcSAnSQrjsNh3Q1usL Ldio6y9HSMuSVe7NyiKUHVIEeH0rvaVYs741BwXGu+Lqp5BQhIllqQHQxy3kKpuajs1zFaWFaAqT xSHJiBGh4LbpSZZ07wX3OZ3TmIvd27TSRYeLKVvnhTHLtRferZcYBHF0icp6P1c/XPeO1sPv2K/8 0BzqxcntuA1ZFvRpQCA+vhJwtjz01RJsQDCoGO5HvMLCwrt3LxEADoBZwha2KPcqZ0uQCPUJXB0H pOx24nUhXGRgEApEcGaUOcQ/+F/1loAYVxcfoxsvivblUUyaonFFt4L/Zt5sJf4TzRFrqMCl5uu1 fXp22Q618rGAY2ipULMm55ELQnIWKvHO30wgRuV3+7TYWSGwv3h5s6DW72nPzga371Bd4UAOfNz2 8V1PhSBN+k0RmfISBt1sgzNwozyynEMv9EiS8XjglFk725ea+qjhAi2JTl5byoT29upX/uzZzdLA zH0i1AssAqwoHJOqIf7oG6mAc568z8vqVOu4utceNrIIay9+NMv2XdtO0NuHbh+zRzQhzLLupJAM DG9AmWhb5IBUXmYO/Ud7VTdZQ3gj0wF+A7Se/hJziccq1gjSkwMk+6A2l4d/6JQvpNueT0Fwl+yu Q36/wM3oh97YGQe/77pFf2IUEZ1s+ylfxeFiXiDOGlwiOHElfjnrdZbI9vbgBE3B85+pv+DFProt geyRclQgY9Np7hBpzC9QXNWIkpv0XdJgdEPuH+hpyQ2hVfJB0v+lSTOtFVQ2dtnd1gUCayDWyFUD nV3lbnFHbsI/oV/E5WYo2/PQD5IV74YTm/zz+OmtZ3TkGcdWSrohShqL0vm2TtzrW7q8OGI9u47L 4hJPSHGhmZSldzislk953TqslRYL4mKjv2q529dZ2OMf+6YPL4VHsU7HlssonnEshdqTFMe1lO+Z nAtg+cnARV/a8JbAGtzv2dGyw8IFamaCIPoX4x2qQxk1pPGU4DmIG7Vy175eP/ZEWU14HYK+20Co s/RkFp4JRKbIvdU7mWm4PRQ+rMWtGHkkEie1HX/K9qhFqIh0O9t6jZi8M322xMEnWmLyfGNg9pLK CJclPFpe3AyuElYIZQ2JHAyu9XB+RLJYymuqh+YRabyOaLCimlRPlAk2A7PbZfu/F83ZS0g9/s5O u2ImnDUrFfocjhE29EiZxoOZ8l0t99XYT9xBxcYLchurUnne8vHOJmNDkakcc8NPp0rjqvfZ91U5 kiMExO0rt/Ollll6evb4ajEEo63tqyTIWWk9e8w/FxXduft5+KrB2t+hU6PQPiLuUoMGE6MDfjxs Y8ZBVApnDgwmlDAZgZAqMbZqu8DL/GThKqQhkI3F9zkBR2d+1VcsUzLjm0HJ3SQyDCyvxYiIndo8 qG5SuC0MZ2iZY0tZiLf0H9siYfA5QSnJ7oO6/CByBfIXTMbz+hmfwR86ivWaKBm3u4UojF4ArlHz ak6LJDWUcUuqACRD+JcWA+VAfRv1oB9kHKYTMB5+vR2Z74NqOYNvnYyvy9YS+k+9qyYiJbzQQe2G /uHwkfK3w4qV0XLmvouVmHht8ybxZRJ5rFMbu3SadNUq09fH4B4beP5xuYneaipZS4Z/lSb0mqHh VDPSmyGXshLCpKg+3teCFVGWSOIQ1F/nKvGQ5O+jC00VZ74y3MCHVbvjJ4MlwlNaOcjaAjiwHIIp sV0hH1PPq4quAJAfvX+GDrP1TXF2MLHzbJ6Rj6sKeDNPYQdjz9eGcpNvk5YsHBcWmyscW1mhWiEo TuGnV6OyG/QGw1m+yVna9DFoJMizu9JKIz0+T/Z73J3q8mbML+dwQqVMaXu8Z2VHqvIDa2irj0dH pQbsYNTw9vrJ2HYZZ7nWTYOOeyVwJfKp5eW63cfQdGZXkUZdpz1NDwUw9jy27Ba2klnhS/Y33zpn 1rlfnFg0JE3vjPf30JxEBivoIXGDLT3xY412ss2DY3epWc+dCDDj6Pzt/gDibOiRximNOMhtu0fx brEm643iYGnFvyMvgbmRZt0q8JncZfU7GJ7Pmj35SSPBf/fzvgVSg5l4nP/7ZKnzhYdp/6WvyHdl GInX2edUp8v5iLVLHteKPi9BC0/jNmPDSUXhyEPD9Pw9n5GEhAI4EoZG5sr6Fn16/0b7dgf3Trba lnZJpp+vxpipBzO7EFnMhIPeT+Dvb4+ieJsc7W5mw9uBOTYTdmf55NiCBvFEcdkKTfcR8Rx97HWB vFqOA4H+Vex9r1yGk0XpnZbB0R25lRkSus7lSjATKOog5X3poPdwIOrKewiZn0eJJjh7jys/rjSM xMSIJbfsZ9w7yhr91Qd5U5o3ZMec3muqdRwlmsCvh43Mj1+nrwcC8a/Zi3cnWcqHnFW1SBP8EMKY ZHlfzSzI5QmauOdO/s5LpoK4PC2bo4bO9+Ptjerk2meP5iE0wMk4Rg66PTEYbD8VRmZ+pbo7DQVX ANdXX4zssZlZvbL7IbgUcdvzdZFfFj16WlXqc+KHUQkY7th/yiWULPWnGDewH3iufxdXO695c0rc ezNftQLumi8eWHs+w1dJ2SD+P5FX4wY719KiTtADQCyOM01W2sTZq0iBCzokbvbLaJcc/K+O+5cj vurTYH5TrpN/F76VnrCWjnwsR2WiwaQzt3wiep5au7MR4YwaJv2ex+OXghWKTJoTgn47UGb+E/OP gIHNIygblKo3Mbvw0/xSXyT3um0yXb/35EKcFFUILA2zHFyMjdbobVgbiIVFE7KZOc4T2OzSyt/a /uCxGd604VDFRLYOqc4BDSlZXTGuiNlbPQQBsXwjRNBcHavrXV4aerSEgnoCRoJpmmY2mRpkBfGJ P32HyewDxgMvk4B3fyBY0tEX5BNliyzd8+TsqZxb0lgfp6eOosh8MVJmKy5cKLSsSQkYUCpdJaL4 g6DLpZ1MQZG2+wVNBQJC/4JNnNeoQqeW6ca2iZNXAaI34j3hGrLc/NZzUamCMRVm67DLuoG5Ursb 2k00qRoyCAqwQTTFOOYVXO7hijKoo2EP5TXr/EX5/v16E3mqiAO25sCsQBHjz+tqiirW7nAJvCs0 rleJ3ec3O7pFyNHzb/5Ymjgj37EwsS6vHbx/MEdJIXbFMckpDJy1aAQbQCy7nFU5WBUgTzsJRzeR ZF8pX7c440QKUweNFoU30X2bp26Xc/6Zo3q4iXqt5J+y3oSMn78J0n0MD+Nr0BYooHvGqB8t1jna /WloNd24o+/CtFBsp/tCcv8+ZEbQV8z/5+OBsvhLK2DMJQiOXnu0/fx/La3myJPx5VxOiLLzIFYL Im3/+ivnshZqMJVMVW4Z8d7WBmylQV/gwn2GWtD5QvjUQ01DapYbqmimZt5Qy0fhmSDGfuIYSbCe 7tNJ7OQRMbm/QRRAQlWLzS8oaLUXcBvUVRyXH+qIfLO90g0aRmaWZByoKPaNWvrFQU+6T8uvpbud 5Puzh0ePzQmZilFNFoDlqcCzg20M0fC9jOjUZsIgoFiDr/0Vq5msRZkwaLuXPtsOWV/4DLw5SaLt vFCWIt4Am/GQ6WYpl3naXzJrjTyFZ1JpDo0NMOVK9NhCh5jXxMPYl0qarmlt0yHVJA1He/cT9Qip eLJvWnVDJ9zlHJNZXCFgh36jOiTKf8VH6cKxWo9oW2yyjBIMcfi+k+JVMOVzBtdHf4lCUwkPiAN5 9iL0T2TvXOdWxicEfus2VHaP1M1qxND8GokoX1B0eHe3XOBniCGsgfp0b6sXuaoy3pmIb3TiLVRm Ihnn0ew+yzZoSQy1AKTKupeLkE1mx0ABcPyzfunx5DdGq3kHuhly+e2nZE7V2QscsL+nrlDerA8c nk51Vufd/ZpV3eXN9e09EZmlQJDT7hNwITa/lZM6TjbbN3jDJXKRFP/yYuTWOgFk3RGae04LRV8Y M6Q+v9XNj9KLFLcyPr7ZAinH84DvvrQ32UXQYZXTOnCXObFuVY2R9BrnD6ZG5H/70TKTGB4RKINd Rdw+uVV2GpD3hcWeIkUqN0lVVAhtGTjTrOTTG7NlgCr2z0KJ/0a7uH0h3+9Uh2Avt5ToL/c6T14d iODVKnZQByQKyCE/1ldWr5E6PY0gOcmDzSAwhLEloNukuDZApoB5BXUbARi8AUeltZaxIqYkDwap ideHQYKZoT97XCgyf9PS9quqkppft9KCP6F0EvGZ4cLTPK1ShhQc8m30XxZmvQ/2NWdwbDv2SeIt a3HT1q2S6nrfbpSUVe4ip9rVT0I454dJ7/2nD6UU7+liFTL2t+n+YG/phXAOrOGeP6JqR/lG1elg LZ8UBUAoNuZ0GMXjJ7im9r3Gg4fsu0RFCOA0wnfSoaeZt+SFAv+sJ1asnAuZTemgcyoPmNSTBcRY xGBGm0NmTYiNMMiNJt/4oUOXqlung6Kq+ht0TYjfNoah0FPeQYfsuZ4QeDT5fhGXIGvbHQ2BliqB 4dKMUEnr36FsNHNCULl2j4PuTxcy4R4dq/d8/Bd2AL4hiMzJqGZwI9WwtwPuxLx16aTdiJStu4Ii f+FoEucvGEXDK6kjeUkGjH7JbZ0myP5xceL9tmgybVEVq+35EojOjrPkCqXfDzxcw73sw/EieTmq W8pG5wmO00wLJtDmo8p5SbGhrJdfQf9KkYNZ1oGOHQoadEThg0XC5WXWW3xNHhoP8YU9hS9ICZe7 yTGwwseKqELWE4N/mCpz7fm0bif6lTRaQ7eoVuvEgKrbq0k93fjWKqFOkW6sihiTfHuhsHYPFJz/ zULCdZLmZ7aVo7tdEaccM6jSrYAJAGQHgM6WTlH1LB+ANWjwZakcrwHSY3goHXUm9oCPNfx092De 6arorAAi0WqNrF383MsWyyIFG41nhaoD+fVUQf2xCRqhhX2pFvFCzCxCKK5BbeJz3/xYpkGE3mw/ NRlZNf/3D4vypvk0c2yJaWRigXFMEDkAdmCDq7Apkdvq0UybXvoycLYQxGA2I1Ta+xFhQ+vWcc5q kEOVpt0fmYGhHEOIG6beAji4/uud52Igarw5lFQTDI6RdnoHG9Ys9Kw+XbQSq0q6JFQw4ElXP78z hI7jxKchDaTlbxH04uxpmOQCXEeUlGJJ7W1kP6xJpDGBYkqmgYSrWiBtGnAeG99Yz0nUMO2CDaab L4GljUfUObTIsMIG98E5yyHad97wX8Yy86CUEJN/wOIKL/7pRNtg4xUZ0xpD0Y9TaCU5qVGzgYPh bBRXrFoQmcnqFtR83a9oIsvEKgCnlXhStHQgOEU8jjbDcgEV/0a4OS34z+FzzvaHBT4lK06y0Q4i QPKN+VTnXfFQyzid3WlvsmuG+Vb/6NV5pthGOtj6Xn86u/uzi5rrKvscTBaLP4KsV0goVl9onD3p d+fG4Khqu7y47T37MY8vIqdT8tAoF68UWEDFb5nJk+WoCTL6uTfzLaaBLyjWRe1CdmySKhdKHyuY 3+ozP7WO8tk7vk2uDXNHFpAzQfa5NxCP4FRMNt8FDVUvUwyr2rsy97nnT0ytwmJpVQC6LNez8HMC OQJZwGFuPxNm7JNG4dWTS9hBo9VOK0Y7S3VsPSNQgVIdXjySiayqWTJiTRY1n6xCo/IVvpETDb06 3i8pQr5Hb/3/SGgC9Aj5go1FPI27MojT1U3ThmD/hqdGyzr7ZbdqH1k53HhIsfXubFztDk58AUOy PiQfhBRGDuw+xuP1MO+K0lwIUH7+DXS27L9HfSHu/1qvzf2L8yGBrIk7VA1uCC/FZBg/wRASKq9L 7JHYlBjBFD6cULoyZyk5i3/xPHy4nA1lUmZlacj/4GrlAzAG+UGtlJDt7M6Vwea7L/4jYIykkSL0 Phhyzq7r2SJrKwzsHQWvj2Qb+NVgzlRvbypzN65BhcOzXRmLK7dMOcw8opKLpLhy335HuiEdtyya nLujHCTihULS5Rt7wNkT9n866qsnyJtBN016+t/M0V41weG5LpViIiEXmVyZU9HXRrrQra7V/6oY +1Vl7hBe7QWjaG7TaeO6ceYe2JwSCrRutyMyqf05Sdv4gSm0gPRQgjCGb7QH9pz4oMTtZN4WcpLF lv5wMR6G5kKNC3sjtD8LWHvwkAIog4qxM8Ooj9Tgji2OZehO4G1YI9ts2zxVYwocsBmOIcCBmgB6 JIaXPzGyqxOlJKbIbSGlO8OjdTIRylcKHlO23ja7QhpPqFmzjfCxckuJh0Awr+YZsmPuvjl+L/0O KvuJxa0evnOpVYCoG8blWNfENlfyLPi8/AdsDsZvmGVOmGB5JI45+FKBUHPULGkkBzR8A3dIEsTo RU7u/LoGDtv+Qyb/v2EDWaGPIZjJkGUslU3CyhAIqBNEqf6W8KShdiWEyv1FA1XzeMH1EIBmk0fK b/LFgggcG3o7MNcCTIyTEX805Y9DUG4Tm6tLVE+ns26GUlrvNkrHh2Qv2GHNViCm4OH5HAJq8wTi 9vDVJvzlvzv+4cHMKC7aKC4vNEpo4qvWQkVE/lJU8DiPRw6ZVMNiWGytMMpB6s7GZkiPiZKvYcAi bOL/hcx1pEjqcXPKOspC5hCKkDncan9ZoGDbpK9v50kgAk2jTAu0bMjpJ1sYChqi/sqpurC8oBPn jhAfGYbJhNMWCEWLMPe2UJkdvgnd+uAQd/Z7b0OBkZcOKqaFbUV7Vf1wVFR0ymAqSOxpMyKtvc7V fmGWt/4pWaoKOjfnO/enGDOPYxYqgEttIO+iiuLomKONcczVKYOeQI6xm7XBg4GL1aH0J3YWEQ7z e6S4uG3+0aFzvp9q/vPKDyIihTVcjclzKkFmLfmlDtf2pMbC8Z2t8Wrq1/Pul4Ry/e0kLsWFtxLQ sObw8IDBnjU+DH8pNGXQ9oIb5t+OwwwAYoLJgf1PzO7wCSt/yzGEEPHQX4DGUETj9fIUihr+D+DK zksYbVn9r4PC7K5xyOrNfmHfVK7wZF6aG0xp84pDHYkvxmANuXUSSz1ocZ+zkIuKAsGevLeD6dgp gsLimT+Ofn/2nhuRcyrnv7UCy2LPK7y1Y/oV2Eq8UgPyBZzmwJN4LVzwA/SYKZGKadN98+fEnHBw smFoLpvBiZ3veMVdR1mvd9MOz/LOGrsyAU4cnBqpnpxwYJ5vcbRXJj4USW75KYUW4Ify7JfUZa5Q eNHoEQw9Fyjl0HgYLfGLQGq53NSokjmcjqcuVYnwc0WGqWNuJGvk/jqnzlGTy3upMVWlQWGU1nWJ 5O34vC96SC5iTYZd+vivunWP8wsxhwNbaj0C09UqBh30tSuBgP6eXIqcXABDrwMXRd0kGnQ4nMRP qIrQd6GRSyG2PZl34j0hu105gDtwrJ8ZNFjPrwk8FjgEK7yLS41pGTcMYvuTlIU5np8tnQccFQAJ i/3OXAdFrtHZBwNUPq0Lq/45RUoYZQmT7/LpOF8KajYd5Iihw/L4HzeOkQ70e4NIMyG6eO8J7U2P iWgQ8mHBORLnr8TgqIPQArWWLHsjJqtt5ao9E0Y4eqlnP7e1wDoq3Z9758OuLIN/G9s4RztdECps M8C3BuKmTIi3UZD/wsqV48xitlnpxs1LaX24XonZc0JSaBgWBNJk4/VvQf2ujCbnuF+761kRPwRq sEh6JQaGJsHf/P3ICRszveIgiFrWvfYVj1GAb7n+fC5x9SFRaRPrzO02Mu2fil+57hf9e6iJtY8w CkrydVD31vNB7CcAoYAMzKKD0nQ+Mta613ZRrPu52NZv2+vMxeWoLfs2KE8Cd2g9ipRxMg2gKiZw yETy8INPkL55r6MujCuw29yrXNmnO/q8HRhc/dHvDalI3M6j4x1X82RKI5aZJFkcNYMtohnTvQpC b7pBw/oRIX7aPLYNZypS1vZsqBeImZOGcRYxCHOyfJV/yF0/Jj1ixEqnW0VvDrlZMpus+iRkYkq+ vR2JhMw78jPrmARjtD7d8lf9uDBGqaLSKh0rKxpGY4lfsoMfglbd0WRWnFYxKJPagI0H7LmQbWdg sej5VHwNmDmB3Mp3mlsqgTk/mypZkkxUTXJtIVjWP6Ij1KulQAVU9gCHL+NzyI5y5IkOIa8qjXnO z05S9NICphOiTFwoJmuN8i7mMDix5VL1LfJOzCpqsPiqW7YmxmIsY8UsY+YBIfxHgJN7dRnfp/gT yVWXnOoPk8zmvoLVNNkgJH2RhQzZmzowhPXEh+HzOgeC+WLTWsg47NW57nlkX1MF3n8FuR3j8ICc mW1wa4ChVU02Q0X/+7UX4eF1ChvH9hpBrzi3ZqVJB9rkL78lcwD7nZ03piuQTgv+vKTuAhyl0FqM NS4FZbsz/9zSzN9wMf+2DNUTnGd0BOvBI/kL7CwTGIsneI11CfbBfIPfgtkkkpV5oU9eohBv2E83 ygz808mLKuJtoqrhQClwZyHGVCeFwSS8vs60aE7ur+Wh3KXLd+gRe96xznw2dyJiU2bwwM98wzXo 8Yuv3tF6WbrfzxT2dNIG+UozyzrZIDXrfALRNMPO9p3+fVy+whxTA2o4H3BjOr2hm4tTC178FkM0 eXOhGtkuFKLKynEKnRpDPW3IP9yKmUM9qZeiXXFsEwyQRcyGA7lLmzMuPRLtNGADltuxn5d53cK8 qR/8EoBVKqpfFixR/YBX7tTswICOPFBoYrU0+WYFLEW7MI6qvbQcIYcOsDZu0UF+d3Tnjwf+IYnO BqlTk0NlxblAjf7SX1WSpF8J89gHciy8oq0LQNMTwYyH6husepKnqjWrvQqWAdjZOrcMMLXq9C3X mQcfgR6W9nWbUFBmDFzMMAVWwmiQLdPR/w0QqyYFvYwhu08iRg/TLMHqZnuv5STfuv1hHyt/1FOe BcykBsHUX7HrxiCkMmm0WrbdFcrTs/4PqBEDGuqN92r+yMVVirP1hOXlJSJfbpvocFgodNqtaU/b RMpwaQ5vl+R/zndMYrSHLfawGNhvTJ0D9589f4SyO3+rQ+Ft1l4P3b7jDhSBl/EROnXsKrQSY7FW aoohEWAoNn+ar11o8OIEAYDlndS4+yjzkmloHa8Z4TiZtH2W4RiWSa7L7LTkmyxP0/3+H4MGy+eF cGA0TGpFhWHh49o5LpSnuAx40+jFihDFO/WYr6+giPU/LMCT+dlAf81SaM78gCfjOY4v9qmPKsJp vzOPyR3dJiQ6woDI2GmJ/3OWXM2pWyMK9nZKTi2soyj5BTUjtVS6PP3wUuckV/AqkXO+hag0w0W8 uySt1wKsSItFLENCM4JJ2X9VAy2amZXaFeXXmq5jt0jBOdSeCyj5FJKeg+KZTkyr2BKU2giLgUba gu5rvynXEs7q2GqIaWxVdTc5/RHSEXGQUikGj3xKSXbNWU2ooni6mFk8VoUiIWk3QGR2i9Z5FOEA aaEpbaWn7bumq6Xdic2ut8wrIIp0SPsH6TslcBOKjD5GhJpN7ytPUoPg5vqOVYFdivB/EryV8j7H bkUR6VwnJYA8dJ90b57H2U3dAk+KXI3NVnjJrgftQInzxN5svhXn5JlzkjMcmMPw2CweWydjIJ9g wmfabLpoxrworeuVjVTnmsX2G70wjflH5rPVVuI5phITMbPSaTWG+gmU5sHO1TwNhZHd5RUC3Cus QAzJO4xAgPSwovzEbFDjSG38BEgEDGnKJ1SdsW02CllEZbwmYjWZ3j0CwDPicndZvcyy4Z+XIJ2h 9LM7qoM3K8kT6W+MIIOFk7G7A3uDbSXwb2UK7uz7Kxa23VQ67dpZO9ZeRjYxeWQCa9kD4brYSjem EJ1RwHQY5n61wblrtaN73nEagv6EYbMVsAvrg0LMU83ocLJQhXk9UDMm42fU4aBwZkTC1zYEBKsc i29kwfslCqHohVDZ40ebbcbpl01qsb3qcBwVk8MoeLTtRqdyUjxyZUj+hDTRfpSq/CQ+SrpceK85 bEfzeLl60IPEXnt2oFYWuA81Qn2S+yTEZ2hO4VXe7O03+NaseCCku+fu57wi3Ohbg0fZCKImDHns CuBrLPTPnivjdVSLXMQwKNy5Mb8Rqz0m1J2Spp5WALSaMjL+NxKJa1xgzfh1b4sfdUUEavhEoh5M 1ieUiWPMbmrF67eM0qYaygV9tLrcphvVBwYcWYbS4zlZnT2lN9qj6oTh004QIbRPxekMYLy072Co CB8ZwT2hcP9e4KOudjx0iBY57dUwhZG0AMehLr5hzPGA56qRJpKxUiPdPq002dEaqnbO/fOUcCwp FvxwwrtwvsijVMzTeG+Eqyk2PMZTzMbKAs1+7lQb+CbhVO+kb3EL97r9YMSYZuWVRyrvw6FUQvgU PxquNXpQpejdi7ZOx7gtw0Ub8nSKGFEtjm5ndMpd+iHj/LukayNdqmkw5hctMBvNqTFh+CTV8k0d InUUSa3aiGGIGlMiTqYreOuM95QgIgBeBecLmxVJG5Gsg0eY8VUxIxTOdOAWraaopR1n71lM3hQb 0gLdqF6JVUKEEPEapEqms/8lfHWev9nTw/GFO+w4iNNd0C5jq7FxHy4v3Fieckl30mFf7K3DmoaS zpbCim6bqanxewUVHTS81XHmM2sVgjj4ZSTaF0NoQjtn6i9YI9X8+zoKD140FuAFOnYg0VnSncxI qRCV6KfNuE41XXFihndvz9m0bvWJyOQJV/DreF4h3/GhGOgXljBJW0DnjW8I3LOVdCZlylSyMCjZ 5/cUsT/E8/d50wUg4uEUPNZt/+nkyrzE3wfpfIXpkDmJ1xcNvjMs0SX5NuwoYGc9Evt/4nNAkODD cfQChPdPgAF6IeOHIUX84Z7UlJqkOVTG7AP9aUrbFpD8BFAMgDttmbYQ39YoDhyIumTWHJtn9vUp kYx1yK0mJNfTBZrA0dYnygYLNuTTV5pKwK6ILhvDA2Ay6garMWgYxGtM3yljmV94IqelHBaor8de Vuuhvml/RV3g7YvMw/pww6CTE+Eb8ISfK2wqVAKIFCojhIiwqNFeVP0sLSzWDPvFbCDxB5VffBb1 pPN2VAUlYJPXQmh3QeiI8awZ3lXCXess7SxkLyIQsHeN0rBCSQwOlKpV8nVycEWWXJvGMmBtWCh1 3EARgg8IBpwXd77EkHTgkLeSJmJOnNeCLi60V7F0twGgtSDjEc6cQnU5l0U+w1zoJJCjyUDmEfCQ Gd2DbqifHxZkqtHEHoNE5JNTd3wMnmm0AUPrVQO0K4Qqo+ZaxP7X/xPZ8Itk/gKMDW67FiOH05m5 QsTE1l1eTahEPoD7u0LeLGRRqysqoQgCCFiCDUQdhwq2orfUZOHgleqp0tpBWfuK2J8YlFUMs+4a q9Oqle6+LE0fMavCuBhb/v2c7I8j9w0fQpyQKoRmoZZb3LWnCyJA8CaWA9zoj/Kig85p02PK+25I 1q8Hc7YcyZQ8cGsXh0S/ZuafSyTkU2Y3yInq7Rezw1+TooZ7WuNlgmhROxz5vsX/31OmPF0qsmt8 p2ZIY4XC6sY3veRzgdqJZnddb34k3hNYCcVJ3lBLMdHaDy5Q4U0EiDJ0Q2z3sXhNIK7D4VwvA/09 bBfcG0kXzYWpXDDj4BC+jqeBv4RH7OATkT8l9jd1hWZAo6fzCMNDJlII9oW6pnwObGuS/KEb7auO u1//oPCNdEMKf9fUmN7rngr2nyyJYr9tmaVGuErr5ITQxXyQNxlfdI45+/Z9q/e8berdAUpguiGb vFownC0icfr7XdWU5gr1nebIVYQivNnuTi0Y8Jgiy0HhbexW28mk3jPuqdF0obqPDP5O2HGPy5we +4aU+9JY/RtAv0327TcWoAnplsVMqB29q+xK0lTuqh/bSH6owJXk1uIXBwnUt66/Je4gLMonCbzi yH6g5dr7T6YBoeSiwq9ORu1G5eCCaJCMszdM1EBOlO2QDvU1aAUTcTMAGsGmFYklybpSCmS86iYy Oxsel4ZWTHEvf8Ayt1K3TbbiXo/MElwRIYZT/g0VoNGYHu3zNMf4uSedYVETDAXRFOamty0nWfFe y3T6eo3yz2FE155eokzxcLWJlYYati6wRbUUW8J5TVjgpSHByN7ylmsAotAt+IQaNZr41kcRnlNo 9iANHqAtUh7/rsgA1dtwHQIxXa762vqiS5sf/5I2zlTClzwLNzkKq+u2IFetJ8gqOrdFDIwXe1kL W5hqiHIO0JTTPUSBPUB7xuM/H8j6qQnclRVrGx2BkwS7b6a9aHwZjE2dL/IZAO1DDWhitbANoUXK 8cag7Uu67VGF6bJKARrd8i5FTJulJbPNHq0jFYfK7xT/bxXU5LvQ5mLpWKUwwzQQd5wRltE4uvdZ a7CP/ZsPWcUy9qhblpPDgtsLgX4wwn0Dd81omOxEQniEid8J0ShKTSjdPcW9xx9TQkgRZvlLyyYK Tb7Ju/CrWeqFOB5ncrAaA5qLKaTZh+WWxJA3b3ezomo9EfDDkSc6Yt2xs5M1qt+kc/Qw+JURLeyl L+SsE3SUsRZDTkZp33GMg55HFFlt/wolDKajMf0ATBXG1C2feVihdDfIJyCPYNC9bxUfCt20jaMI 5enFkNn/0LPJiGGfkQW1v+lcSn3N60UhI6o6MYaTvjS9u7UX+HzcBEp5b6atJLSHBsXW8zTyYZ3u 9Bnr5z9wiRvAO+ggqy/yicsnBZfFluJryHKwMX4gUsTYNSLj6oYEYRJlKyi19kWWtTa7tGTz6QKq DzEmoTo++xVAeXh5aVT5VKrTN/IsiyUa1Oi+Lc/23N07OxF/ZVvBDQrlLsIUdL5KWT9eW4DBrVHm 9/6f47YudQ7EDJQeSksuqdtbI2sdHSb5J6QHK/I4QpthwO5UM/+pafi7YVrOyJ30mS0imjzx6vu1 Ysd2E6Aw8fhIn3v38p3Mm03iHVWhtN+N4wtUaY4M2KUU9pGkbSipjQcBFOPmRtuKMw9lN4hshnWz wkNdMTvHZ/IBy0UgfHMhHOTmFCVGQNVQvXu/jc3rr2PEcJSHsKgMHppliyZQ9vp7n6zbqbfSXkSv f89+D5VZv2gDz+FNfaa3ZFz8Qp+dM1cYW5W6K5+RVJlcYKxYw+GF33QYy3bJi1iXjk6fR3/Zb8Ju 7d/+baADzmGUZNNNYIZfiBpliGBdVJHTEdJt/I6ohfHmqWsD3DFiFN9GNHdYqLL4BQmGpPJQr+3h QhZpe0vKyToVCie3r8T+Q2mV8gVnREJw3/l+V65wWfKnFsSfN5avomRqFNr3Z9/p9COrI2f45yO6 LjDs0Eoir1j89rFpYkTxM/aiWtNrfcjh4IXTWMn+cwZ5BPeeQIyM9wh71WWBJcGiZLKGFoZcYbq1 vxiXluP0JzFeOdtvjAgSVIEsQgv/5jcnqnfo3Db0eUyE9V3ebNJDZcJhvZWvqCq+plXWyANlWmRA GA9eReKp89pPm6pXlc3efH+VPa2GxxyoVW3/G1S0+1eLzj+mFieg8gqi0WOnN1zsQisTqKuhcSxd J48o2IzCZ/oWZ2TLD1P6Ed6HCYL9jKKBVdQFdSTlciMXZyM3/c24/xWlPKZibIR1SIXMH7+AAvbG t3aFvfI7vARKdjuqfzuoq5UnbNEnGXky6njmPWGSPrst4Gl9zweFcE1zYQK42SG/T6eU60uF7fIz ST7PdrosQiKNMVxb4Xdr8ueezfnNmUWms6uSSDSxcit+xYYAxl+LUDctsTeYOOnt94E6eLVluua1 85rRG6SR2Jp6ISnPhuSYlcnB33WF+ZT8H8OjhahbREDHGBLsE8FhlWJKfgXfchNGzshUQb3O1Bi3 0unlmIU9tGcbibIsCjeOWNWVXrdLB3s1u2bFvLG2Dja31avpx5qzxaH5FFzF8VrX2cQamqY5crN2 TAVNGKjJXTPPvZfyzTWMiXQxl2pSO/1KqOoRgZ+56YchF4cT/Z0GOm4oe8IeZTqmF2WqWPQJrlSq xhwBiLBdTVvwKb/fVpPsVDqdU7UBsd/VomKdHdG1cgco4jnGSUQhva8J9ug+pVoeDYoGH6te/cP5 YrNXMAzbDxQKfynbwL5YblBUEcFYUMLNUPgIkExpfEiVkUK4nmJaPGdtvpCQpzpZbTZKcuJ/K0r6 O2K9BbcENPxofHHh76cz1dp30EtCrY4jyzZPelhxcioHbo9VNO7k/mREFKxbrF7/E8DzTU7PAtXa 1qOOdCqFa17Mmg9XrFQYZ1kb4mdRLVyaYU/Ulul79fq2Ul+afrlyssEXcZ62WO9kxga1+HLrHRV6 5i4KRCLU2FI8fy+nu3TWVh3OrfrZk0WPkECWY1bI8vGybVrulAbTOOimHBOFveMVbGWG+149qZs3 dX/Upscd/a65mjAQ02rVgDYp+E1JrY6HO7tBY7xpjAQlBS/rD1aGbI20uTgXSVjETSdTFG8g5CHU lHNe44muYNod9IFAPA6ddsR04lNv6F0MuepSWe6AjM8/miBNfCM+4fsXkF4hGP9HTzShaqn4aifH z5IFfk0d76F14gIIHacHKzPukX7Odm6Rlk3T5jBqRQ0WoOB5HNiYBrEBF8S84JCIX9jhGk2NEPZX 1g/RbR0AAMJprLExLizyy9LIOyAq8hq/dgaizQQcMjpEJFzGDj/acgqjEhi1VXFfhLbY2gNEY/qL lFzP0/BOx9sWfphvwCp+ah2VNU+JcPGDiMIu9ejWncC9gmFe+A7NkwPLyE1plaN4VPYNxQnqlqPd g0MFxCqcaXdz0zl79KfZRani0lxSd5LMvymKJeLacTHvPz9klSGeildtqICC2JXL1V6dydYCiiqF Kae1nk//JnfJ5FKz2n2OhEBbaYxZKw3+hqQFj8R313jJHm2vcux6+H6IErEM657T9aSVpVmRq+7n I5MhxondhuusacWWEScNGGCAdFlJInkMCc+FI6rPu+6/cHVokYApmjMl/pY+sHXUozHVTMYPs1Ye +ccGn4H/d19kiFSZVjqQZSo5KTGtHzLVHexrrVQj8veyFEoJ/9ksCZfWdfVcXvfWfGocM92J0kgk 5DNqlwV7OXj+tGBw4NZnbsocZW5gKNoNvPmmSuhpycuay68cncsTGoo2GIXxZ3ZsGljmgXUaHp/V /FFV9qY5dEWpxF+mGqgMhVXAFPzIfZN/Ha3SHat21kJh+Ka9bSDDsYmieKFftu1/fTYSOjOZBMY1 feINvLP6tweIa+2/8C5jlU5sf3pV+ET4Q4qY/UwpX968qPqGkLyu0HyiSJZcPqVTa8tk9V9RTpHr LUAVnv1C3w4G+a0SOsIcX5lsLhHFPV6MDMFyMvX/yZRH2Br5LliJChHdDvOREGpkekeCIOIdZJ+s xp8ePbuFB2ZYPrUj/ScM/6ZhcmL8ni2K8FqRq2mo3mUey2HSZGntAdHR0SJSMKY16ABkcHzYA2xT J0hYcjlQvZqEQhde8GfBV5KpaK9mwuN4x0roD6Lqc22ISYVdAJoRsaqMlBarDi6uvIEDZz2tY5mm QDEKQAZDeJytO79dB8L9gORWqh8uA9sKX6jYOtOSt4JGRdHLXttfTf1ldPYGOaWeGn1fK6TDVuGK x60ZZyARBqJQxtdbBr6ERRlN1/bICbWNuK5BH3967g8GE8sIY9kDIBipuGZolBBNSap/SUd7ocwD yGHnGueJUB/nr50ID+fXas4RMRTmhxVGpEq8B8QVi7xbIYb7q8V/T+oQpZ95shAdwwER74/KdqQc lFY6ZwC4Mfixt8kNRub/imPhKTqFJsoNaPO/+VUvgg/diALzBU7J8D1N5rM2vYI2KT5+wZnlvDyb U3IEuj4rSup8T0gTh9fsj6MUEveFX2jW7nF4El14y+ndK26dc3UL7wNNmPVWQVdby18kCagvtolO nLC+hVY+ao25gecjU3hAVsprUv4mpORBtW3WCAHRk8AiqXO5r1v1zOJMtPghBgAbVuo5obbTNvNK XkXpN4H/YRAHbJXoU/HtaYjw9DSaG+dErX6pdT7M0qHBVfCkbWeeYDo73TWDITUk5UiNEjKcn2S6 eq9p+qs/X1e4Tkthpyi1RJ6aHpsfPOSDdKZ094CQRX1i/QScNGJ36Jf9oG+1+ft/LT3KAds0zxSB 4K1YmqbhWIw8wNH1nCUXynY9bTmZTeExtcmYbUNcjsYVosMEr3UuQyl7BCxlQBH5Ux4rEUNaaHVd 6XUKJS+DY9zZbSx4Xb3LybGXlgtz96G2f+924yQWnUmWYwt1y4SKEPEQe6RqlEdNzilcC1R62WRw 7yvMOHmwha60Cxhy8YbWCYwK7BrrFFHSi9BfHLUSn3E8NzAegMvqh2B8qaQy90WkRUQpbpt4+T+P Ugd+o7m2dwGwVE2Uh88H7767xRYKifppcRjOcaWxPgTR/jwYNz4gr9Kk05niSvbwOYOx/Dudzz/a MVjwCEV1NR+/5pEjk3/3qeWwyh8zDz1KqiiMY3EAIZhJxiDdB9/os1auINLmWKhCP+1LD77GKLfH qpKXG7mGSVkKcBV6fBuBiB1uOgkiDS6vmXDlb50sYvhkWbll9VVEGduK4ux+FQ3aHyqDCv1H0jAx BTuGUMTAHH32jAvRjYIH82w1z7pZ1a/zJ0tMxtabS9/3hJkZI5ujPb1lZnEnt6tWZObm2qHzvqpi qYHaV6pmfSlN76w39aFHetYp8yYM+A5nbu4g26M3heTGAxwp3p5F7vBemgW2t6Fg66vakPGyhzOD ftPrKbs42Fq3eHV+14IY3kdtXyEdn6BWSzcIEigvarYXXY0vxUbhzLmM068m9a6H7lclACRdiUdn M6fPHUK1rZVmpPQEs2iQR9NWR/5woiwZxsViL66gM2fJ2k3rDSqYI15RJlre1VsIANbtbTM5Acga An5guE+LiwAFcmpEVcZUtl4fGRzoCcdDAlt9IPz2htUPfoj5Yzo1cJiZGyZtoEJ1gRbiP7ElVhRY naXGetOKYsSoTRQVL28a1o3gPFbQ3CLJcvhbgsfe0D3Jv+1X8mZ2m3ziJD0aJSpnRYM7CW4qz51j PVNvlrjz9X3ofSd7PXu1dmu29+9ovnP+QdDYvC9SzBS7WM02rWvtYkmZmvYyhl7ARhrESjJnSvaJ yrJ6GLz4SWnoIAVE4MkdtnvehU1j6yyS1RFnhYm4S0xB511BR6YeBagZ/5Nf5BZHKWluaBeLTMSm QShv/A32+SFA89PeMsAOllA5snhMZb7kPFnNZavCZ/FLJlqzL24S4fiZEPV4N3ywXRlwxf5F8Kdr rtV27q3B0+xsUa4YpDHq4pF0yWt9LzMxoYQSTvH9EFu6PcWTvNOqejwTjspS2JlFVRd9Yy2FgdRw Dzi43mnCbBzelk3LDcVP5F0szHbbMd/dqnKEAs7SjeXSmCMig0mMwnwIoz8oxoKMrDxvJFk4IWKM MHw67hmhL1TWEAn4Vq4Z2Oew3lPqzrrBXElrs31sHP/PmYyZujNQyKHljD1C1G4p2stIcWzvu8r0 Y3JAaQ+3k7tTL9fhOOePHOLGARNUlJNf3hpleG3gXgFci5vmpf1gHDyp+TMRvpdYwREobFc7dKx9 NgQdZEyP77Nump9YVXSc78IiNCkqqq/l5vempgJdGRqQDFPjVRBesB/SaFoUaypojbaAU4t/HWSy BzBaVAjY/0UgIAOtMdsULtCi/VhGGeGESo7Sq5TsImoyQdhiopxbIq2DVn5Te52UPhGlPV/aRFvv gCi0jiaztPwo8yUdT8wimUkYdyRwL4jCWw74WF/edV+Hf+nO7nDuuoqTpEBURa+YVX4xyTAcraTe lvDT9wYFmfk3MhkLI0cqAWOjcspOYjxrwqYwDSx5eubZBFZNP+Eipy2RvGLVy7qw+7GW3pT9/eri 81Oc4VMCTzg+LUs9VNMhjUy6mimTrTwVFnpcctgZBaonII6+k4qr2X5HZktRlAvAYoO1JYjEkF6V HqUU7HI52AGi9PUve7uwZEyhNZ2gRj3QnMvnsQv168Oc5J2/jajHgw0CDn8p5CHharX/0TbWV12+ SwMcjedCa5GKP3f3V3qhvO6q3v/zNdoQjjMEnomxdYTb/xEFejrGkEvKMaJ5GQmKkCZscx+LDQEB C/xY1+REglyI7uV+pa0Hw3nYS5o6XoYl+i+16ixO41UcD63nOYJQrGFSUiy+UjPw9qTWC0gKYNWc PCK8V10KBMl18i/vRMZTo+EdBGXq9cYel/YcAU8LnvhqF29YVUWvvMcJWfA6C1VzDABhaBoMDklr xe52zVkaKDytkbamut4bkhddqA+Unwh39oNEtSoJxCPFtSFwmQOBP8jyYxTmdpoDn9KafpARdtK/ DMV7GQMRYtjSTx4yb4hxQascLAdFcHGW0qCSN6e1LUMq+xVtRihqlE6Lb2cdDMVsQKSCX4cy7tTy LNKGQnf5YE3Ko/bFlEZ5TIzrHXt6HP4kvnHCPZOE03iV4GaTsfBeZrxwgegpqaTpfNH/jDKC6V+y AoE9W8EGfF6njfv8bBuOszB663NDpM+/gWTtETdxftpin7pRp5+o4uGvZHPuANEObfPVOQf1Fe7f chWK5lXw86blIprJvbPVRhKeqrgyrEv4HbCoBWcCPdHk41iWJM6xhswe7aSiblFWuU3sd7OOEC8T XDIH1LsBPzP0u3+0X/khV+9jIEs8xwRYuWCLeiJ/hu3J1ZL3mPc4qNrF6K8gGnd4/UrT7mc0Mh7o 42vfrK0/aClHKPpUbTwGCQfJw/HyT7HNCvxjJypKY4t0vSksozsNFR9VZezx3x15Lg96B/JsS798 USYJ5PX1cDqa91nlJOo2EBf3sW1cS3x4abj/WeL7tUXQyy7MxTbz/qVnwuiE3D6a9HIMSw22uYag 44Ttv5Z65LG/XttlrTVVZoS+zs2gJ3RGBeRPmi+76/luBCrqYzHykmofm+qBIdehELzB7ivgLr1+ j0hHXBB0EYyJ0s/AxJGjRdlT8JqevW2UAo62/MeGMNYheYJgzI1WhItbbV5NJB/EzVsUIsdgJLiK zVU79aMyo9XDe/iIIhfV6Ud8N4+LopJAn5+PuKOaHk180c4+e1nQ2/aTqzrJye9KMMaJUaOxwlYI o5BHLFs3IyXnmZNac3lYtrXBINE84rXKuM94uWHbbXPvi59znQxqnNLofjiCWT+tnm/IYD09Hb1e pdS6UkrrfBTfGtEtPNaiH/7gjruxFR3N1yuo4MhIAL5slb+Di/sUckoroYf1W2SJ4izcDcwJxYwB 6w+iumXy5sclA8amIZqmq4IqUWhJiPMuSY7cRc8S/SA5FSsW35/y8Fxyls+6llihWjRvFwuFQez3 PN0Pwz49CtFU61FtgwdQPsdZOe/CHjQ8c2CpzWZTvriXdeY2UwGp6TpRTDrExmPszfzNZDDFO9IF D3x+bwbU1Ea2aRl9zq3ZNdOjZ2xQBbR3iNcBCpFFs3izvGXm/wELS8dk0q64gfyCLnuS9a8eD/Y8 ztCJCPcKeqyyPscx0mrrT+9la6PKF46cQvn8Q9FMMIdh+KRkSB8Wfy4Cc9QKTUnfpOGjNPaL1Hi5 IdjPjk8LsUYD+IHu4sH29JtixmzYX9ydd9AH94Eq4ZPiGmH3zFVr8zlfaeF53faQ6ybVBEtWHHnN zuy750NkEJA2d96kRj/UiAP/vT5QJIuG2yO6Yg83EYCQMfJJ42B8IMV/BvfEJUaXJtF4x5SUhSd0 bWWCf5fs3Wr0BaNwo+5qL4yKnIIX5B5byIkbFNNivhRCIqp9BG/ZLYr3A2DB1K9DkO8KIVEdHcVC vlUI4XUJO2vD+iJJS2KNrQwTzMfHzKoKhSAunZ9SvidJBuyvwQ9K9R/5WuMtMwqs76C0ZXSxnzlL UgepwLEb6r7oDK5Ojo8tuhz/pulS7pWMJS5i3KmYGevT0BGXNdzboT04BoB6HFdNYqlP61rZhVj3 z2vqb7QzfU0ZXexuIdLCKQQ+mY3TcQlifP9yYJ8cYLYMSGmtL2LUC+g2EKUsWOL17bqf/x1tdVn7 Ut8omsYqOZ/CSoTkyyACR4Xis4ZMZ70zmQikhKk2U35LC7v6vFjDIt7pfxzRe5J2Oe8QkFR8+okf RUTPWs2V8SN8rgwNkj/ZVJMyaGEH1nI10yzDvkPtsAOHwERvxy6Es4M5XJdJJfSR/wQtmDZ1CwJ1 sMDZLc4E/YqHSn2QDKrcDBG8LZ30gfFxdX7mDsR6zlhw0RdaZKp2CbojOdDcrqgtkeOpZGmnZwbM HO+NIlY/mfsC17bQO+Ertqk5eiuU2rY4KDW2OGg2w+LhajF8ldjMdXcETRVRcp4/Xc0e4tqwr1IH pMzFw0rX3LIIK3bg9r16cbaokKguM3jCcibIGL7tNhi9MSfbVd11n2SsN+6LOPPoCEAu0WHhZOSv 8i+qEDvXzGUeUyE/yZmKYWevxepc28OKqaxL9SsJGl+QJ0NpMZZgt1hauIYnFgcXvijtiXzUEjdU WWUnAHxlXcgmWCCrupSf//GShBov48XMJL6Q8OZWRzemnB92EMwoq4+rgvsgsgy9aNEct1z15SQ4 rcdBEOQKOZ4aa2WfIJ7UudcF266xgolaxpSAbhulTgjfW0yn/TAQ7JuypcSbnPLRpyV4tLu8YDhl oXCktJUq0xkn114VNUc6SsaTpnkoLRxbQhEkqmwNXn8y+v6+Db8zOmZ8KieN+1sBlLFtVHl4Trg/ O14RWXc9rCFNniuR0YSov636nEiCKL7XxozLbyFZd+xZSRBZMKupckjuJ3TEBT2pWH0X9d0G1KU3 lc63KcepeaKu+nCH2hTUSTMzDOFDTNmYOpMQXk5cH5o7I53g3LN6gDst+IKC1gMRRZTlxCXx0pM8 tZkLejH1GC4cTaMrfnAgQZVLi/qzWRwYDqVOiY3yAS5z1FT94NMK4wtcU9gBWbb2OtZdvv3XY8cR OoG+xwp6vqksTJgpkvHpnqk/IwrIzZZ02cJ9rgVDrw8Ki8GsAGgtZ1nl17ZaZ/UfdWVoKKSM/g1v h8lYA96mXzRkryYpCBy0g7Eke2mg/d8cwt7PWtv4FXXOsfoTO//A6PQ5lgkV9DRElMYVPNaVU9bs R+kmcDtEemH9YQ8ylzA1TBF08GfECZFYEU53X6NSlUCxOGca/3ZmlaRrJ6zwCFN5bKj791RUXGcx RV+wRCVwSOsM6L7YDEaOEo40283NWM2Fw//rnIKl2OyCuL6YaijKeyHVXJzY7N8mpDWSOIYXLzJ3 S+z5+mNoSOYKsyjqAalOODUkYZkzEJmcFq96eY/xlP3UezHs23ZMQHbTUTUj2kW1jxWXPiXJMzLt bo0MD1EpNwBILNINzz41tracxQ2neUiWwSbfm2LYOy3dCGjWBm8WHQOsLPfb33zMZnruWgyikilY v2I8SlAGj9lCePjH1WvyYX2t40uxGO6BARGogfRAl74aLYkOLHIHWiJMr2tWoD1jzg0bu2VBRNh0 0NYXI6WGz5VofmMxGX/lE9lwHXTggRJvt6Pyo+bIAnbJi6i7QNbc+WSgVEsN0Vvt2xnVydYZ4Q/L EqAOPVDkvAMkhQs0W1X9bfs/zy7kP8w3wQXM7k7Lav6JjSmb4+1fSjTYw8tt2oOpHFwT2f7M8Q2+ xbwtMsgwJrAxNsHEwdKANW+Fwki01KLcdntG3KrqBSyodYd+FS8XLMzOEn7uywYrS9ITIWhj/jMg 5jMz6mK2knEutHD0cBpZ/S3A08xUB9lsZHavaKxWrllOgL8yPLEBp018+fGSoKEXNedly7BtzOWd Hzt5GCjJL7iJcdDdDZvtl9cUtUL/nkkGd2blhV/p1oaa3B2Gy86UyHR6JnINiSAxsjt7NF6Bu2ib uNgGuhfhGHbZ4nZ4XsuvypNRL/TWxu70+UPQIXezgJ0W2UCTGaCGl4vEtdKH3zOeFXNG7J17fsmd QaAe5wvKwRQFfLgaA+hrrIbedOwjB4Hg8ofzD3sN4o/igZIrnlCRNUpxfZRhCB3tkwcojeN5hY5C bi5G4LJ4gGPNaTdJ5oKFpvWDO/v3sQGH/yVYuHBgGEEQg12/mC/B850V7N2/6cOa1/F+HrqpMoyf VMp65jAwiIZIgWo3VinBbNn41YYZvXEAnFF8XywVfzV6QKcd9HOyNCN4MdcRPVhgpf4GxXM68yhe ZzvcJao2bm5o3vqoEydC2g5S50hLe0SPbPjXDRTEWUFv9i3dp9aQcqfXcvjXu0Q1N/uhEEwKCyJF dbJQvDH2//p8i7zyhV96tr4wk0hM9SYsozJv0HBSULn4XAg6j8Yj+DMCGDKRazev4m/ldxr1r8wJ tWWUsaKdr/2dD2u9Ma0Ub8Nect9O4nDf3/PPEjEWH/knBpt5qAydDsORIo5NCiy0dQtaqn4UEJph xIXqY4fIsYAWIo0PtRYDRF9M4Z5WDf2kUjgY0Nyt3KF5VcCv5D5n/OsaJltlKi6VV8ybkO/+rmS+ DaeA0yKxXB2oRWlRL/Qyu5wUbB5cxH4WUVZ3kOHYbAkiWZ3OSo9dS0xade6tfFaWG7P2tjs4iGxX VxG3b4+/kg/8nY16I6Ll+P4u4FRO/Ey+4AnKJk91WaHdop5LOqO1y/juqayBWcWV6NGvJWc4TDoh Y9gOoQEXiM/1rXM6+5CzJiRXRrXip2rNSQX4cr98Xc3TMHQSH8Vo0uUPY0yZ0jI/fuEWSNZc4foB soBrSRW75F8Wt5CZnX7RMJlHDU/ouCHMsZJ42DiyAYaoYm3cX7QoE1gennZeRk0Q3KteZxQHNkBZ iJnO060ZwNsJYs9sa0lZktKMd0cE7K/DLnUuUK6lXqIfwNY7tOeIGvMcmvjfcZJzkw08i2ZQnPTZ WizuvaUhZuC6+uHROhXAJsemW5aVX+AviYiHCMwyHK82y+0w3minCKnASL+muSOpdh+UcdOkAmMe BZdeD1nzX+myXVV7HONtX2TsIs4U2TiSO8PVPsY3Gboa6xM9HlTgPWs524VdZOKqG7H/5UE1hTNt LIwNR5oLrTDXafqpWafq6j2Jzt+5Tvg9SteF4JdesEjSxmMudOKNQqxsxNwQyUPpUgfc4Cai//6e Yb4DVqzoFBi8CVSJBtrSOfPacEvtPMC07H1pN07ozCggiKA3Zet7TM2vkxg6L4fLOkJUuRhljwDW xdE8m2YBw3nsUDHzC3AFcLcUMhkyMNgAEupkzPSpIPXHigTYu/T1i0P8zsaq5MPwNdsa2n2x5j9t WKiKyqSpeVU/5ICQtbYes9r///d9Mr7juDAgr9qQfP+oJj0xyRElZPMTdwC9oxjfp92YLW9V1XEB vheL+hf13ZyKs9jVQRBpXSp52EjNjzG+oWs0yxg19josul3ZtM+2wlcInqh9Aq3KG+nDcQD4U91V ce/kKAewEcmGP2zAMXTRBc315ekyBZha1v+EuSI9UmYOKKBtBdp8fNsUxJCNt0HQQ9gKxWHof2Lr dUsznNB8FojxkmBAfRSEFk8vrrMtmBJRPeT4zcPb6wHCqhw+l6xcx8z7Kj8C5Yto3JmLu7M4IgmD RznWU09JBg5oRGf1Rox92+iEs8UWwItoPp4RgmeTXntlwGX/JQ5ZXnFrGrnD+2expP38a6tNanc8 HUgo57s8eY+ItAhfRv4s5z2sugxHQdHpW6cVWOWfcptSUJRofl71tAbjai75x3Bp00J6i6l2UEy4 U15tvPyMK45N0M0UsyUM03jz7zc50QUxpsZfpkruexF01cWt7h+UF1/d0W29OgMWiOk/kq+o74Fx w+JWUDJwDwaa3HLg8PlnPs6eSUCBRjprUrsak6N4pUJncLkbHtDOeodp4eS5nHxKhAir5eQkl8uU 7mQfwnMDlyKciMBNzMMO51gLfdQjNRm+wbbItmUGkQhz/dAGrUky6ByNy2xupB5HE5KL2u/3zDmW gyqa9THJkvPQe+dg3J9LJNf5Ij50AMWe2gU699h+ZjvcapDto3mVeasGqPhE22Eg6MFRawcALF8y 5KJsE90x4/oR322EWG1lszL5YTpEWfXWw7zcftt0sKv/d2rOblSYmst17OD/0ALQi1KCPX8UyeT/ 9MKWZMtsoZSCx5otbULHXdVPeBaGBLuAW1LWNJ3aho0t4wCFLCHJTE4EE68XcPDiGecbvz8VHNs3 TzGlASRn4InSRpsMO/jwrLD4gRVEnb1510+KgLcpGMfl/5hzuvI7xHgv70oqRURgRudMD+2ZNn9J qyEScPHhhiaDOQUjdHRDa+6EH4QKsS9NSdqwySd2OUZbAhCLuVrAVxSJJ+WsAahNi32jyY3efCWt qZhgAS0R6lq3FSUD3tMosVny+A/a9PQjvs60nu08SzV88809nBLhdCmDv4hRiRTTmSZMl0Z4pWHA BPvc1r8B6xUQZ/ZiNJWeiIjVfNyEMFKa44EGu7NQEW/KdCljJSnsWF9vv+qRhPqYCDd+D9//E6T/ qIg6KTJckRX4Oucw7ahei9bCsOlfBlS3aQiHBJyrntKVRMO9+hMZbU8wxrG43hA/uYhFr4tZHQCO h/5Nuy/oAVHKCYGlcdxycOCqFobhbbuHgceiuMAs6P6LeVq2E7BzT6GLeZR5J7+zWSx1j8pr2X6W ozZOPdP5e9IZCIeukNDWBIyDftpIeneMVka93UYGG6lu2opmWQ1nr/oAN5wL7BoH3exXEdCCVlI2 pCQ3VkLpOH9v6Ru3IAXQXk3DxB1ufeEC0mMBlfI8tLDfaw3tjPCc4whHIPZCHf95FHYbm39CQ1j8 VCsdu5dSgYyIcetS0YgLSFa34nXDDygX73LFMj61AnBH8I17XNNE3yeuW0ISF5jIz0+Tzfc0tca+ H0HQixXUIpcw5rZowvOpZOIQXFcckSeMkaNCEmXwY7MirgQgqnpjakVSM/VqSf1z1A9c0aBPqmV8 luFvtUlcpt+dipWa/Z0nmumZgPyeXrIqT1zN2A+YehOfBxU2WvLnxIFnNUZptZkvj/r4PM+6IDhf vk9nb5o2Ccj2x69UX5UL0N0xPBDkJyunzv4nrCvC/9s+/CBgsCLnhgmvT3wUppMV4RV5YOJWwzr6 giS+pNuGBNPSBeagunlExB31bOTuTl+K1qQ2BsEbFZzUUYQfzyNySp/jEoZh6Z6UlQM22bMc66+d WYrxygNDqMfZeBJRpGZmJbF7vH1er8lEsQFHOA7NT043wyy95Z1W6O30K6N6cFCC6TNeWRTdmH6e pv8Z/VhZKDNlfRdtHZzK/6cgocf97TgU96dZfvsyFjKT54mRPEzwSInVsxXZKppGI5PamcKimr99 Lf0x5Xk4tjPEpC41qx2qJrh3fk2Y8i7v3BAm2SginQtBFQh4lM1b2pk1cStNeIDnWYqEIDRznTWt Y0dSVChebS9CbdUvF6dOjFTLfqgOBn4nKM08dE8iQr8olBlBzjAEq8nA3FY7LFBBqYjQ5uvDKtys NTSurcnDTQVH6OR89O+JydPDGrI2ZyTo/yZ/uzeP66F/Vj1pgR5p6+M8Rnjt0qtRhrhZzq+O1QEh 4SERWVX/z3X2oSH/CxRti3tXb4str575PvwIuX01RVxFb/pLYB0zUaSVgvzev4JxujD34Q9/+EBA jI9F0v3BzuhvwV8fdraUj1ttSlUoROjIAegAHkOmHB5EJwcPQCQjxam8iIZn2qUX8Ev/pIT36tHb xG8qqS7aqiSSL2PeNnQoplXPNMGuxAgUDMZzyP6/x7Yklksifl4ht+wY6ML+37mr2n/wrvZJSFV7 osU5iBoGik1biBBPICjP0JrK+gkLZk/3ZlZIiKdzhP/LrTS0cl1+aroNTBYVK3kzboP+pAsFjIYM 5n7kt+9HP8yCV/AOOl/7gybYBrZz8gwcI8wUejcBgFMYNgFmjuU0BqEDX6Un5/X/wPWuR13eEwTH Od6h2xKDYUBBmOLm4UXXmA8+UgAMVBbLnZYUz+ydHoR+RNhI4vDY97Dzxj8ooeXie+HDR1cDaV3K XloXuOqkGid1DkQBrL60lKXF1xFFlHXWoxV0XJ8qUgX0kNYb6cXoxAxTqwYakN1oU/+yX5/2xit6 5R/M/LH+NQL1PT3UyCNrOtWNdXhJGXCqOzJSAgZFLeY3rwy/DXd6WfCyIx40OdjEhr6ySTOg93ul TmkimBz42mtSN3tqhEwfNQEGhwModmJPuoVEktivOa1rgis1eYDzdZZ03uwRLvHoJ5n0dTaK2h8i e4JbU9v/HEgHYZ5X5cr2k2GlfdWhVY7HGQk0+PM8YarJIzU+TagWIkqtYeaKHvDq3ZMDNid/xGSE I5kzrFc90r8NtkRxpyoTIhnpW1uaJ2QFf/JMi5zwgWbvzWm/fQqGwt5ueCfmVNQgijJz2wYud/5Q nSjPqDbAAwtHCPm3AvHXMZvwkT/A76GsOjgnJdgbx15ACoBtwtMKYv0S941TWVq5M9kSRzhpaUNa iKhA7bCzYX+dI8vSB+vMF6fT27qWKCTop7kx4j/m980czDMLn8MG7mOQWzI2LoaYZMpMraJw/roj 34d3OzIG5XeozSyepYbdbHHViOJv5q9uTo8OCoOJlvp4xOWsOq3mY0EOZIM7krFTupnGwuPgxWgg tg3rz2c74mmGv1+EH0JhQ5Qoh+Yn/F5nz8KNPIWaSViXPjHKwliRm7/zEQKBPcePPTk+eT5wW9qb IyRYFv1zi3IZ4pUZNnAJo5YHXx1E67z/knZN9IF/5MaACzrgHxHv7vS6kxbbwBDtiqSBxNlNpq1Z xuNRWjZAudIbg2/dHTvYbnDq/5Oac3FNm0XlMy2qW5De3b6JXTg2AgDhbjgFws5tT7OI58yyLme6 Xd/s5EvENds/ieDt73Wh1gfijSVc3OpPjaXfHfC+ZNhRhu0v4yLB8Ft9UTeFKvkkcHT2oEnuUAbk z33i5dy9bVT6Qh14DUf+SJJKUpDXpaMxNeyudHZWaleHm70Q50ZUoWRxLKbC8L+ooqvrYK/V5/RW Yg6E/55ItjTbwvbko1BVFPTq7dA7ovl/SacVKrPxnPtsuhZBczYUoBl2W6dPMF9t4p0C1yp9taFv V7SGZG6SufcnXf5kpoYq7ZLapH1jrhJl5E0PWGC6CIa2WT2L2v+UxYksjRci5dOn/x+zBqsuiHjW A1FH1E1czRChLzsuCZTeLBAQSYMdFyYp9+eHyWBcdLVx33zrN660NBOh8tez05mfEFOB1Q5l2l+k q6GKF6249RtaaU137NzZiCAStHL2APAUp9FRAeCVD138/Mf/sojmkEl5P5YMD9EPuHEhCKtmgfvf 1Tnj00xLTZN3sVXDurdIvDZaAZS9qF6vZBIGEBWaoHrkghwg3pRMS3tyI0encEwcuLOkOuxK3n5b cGQA1ZkZ1J+K8BZs14qMGFTCap3mj/HYeSCIHRLmmccIMR6yyK1hoIECr77oLPuzlsOmEzNZgnm1 vo47dC1LxUImJFj506GT0euvI1G3n94CDQS4l8eW+lqooxhtfSGuzEuXdQL7075gBPDF3xXKNeKX SYlZASjXpi3oLLevqVCZHeYaS0Rkd50JUJQtkLWu0oBblNvssJSM8+KzovVfoeU2hL6TROcq7EYM qyKl4Gp5x6gR3eObhP7rtw69H2qsEkMTvf9MrESc8LjDOWsiJkE5AZBJJDwtVU4mPdjeh2JBotbp UjhuBjQfcz2dpsR5W7LXg8wwWgKf1I00u56NlKTo3+Lx3tnbhljc6rmGwN1SH//JqCV3vhxmd2fk 1zTz9v59ntZUCsxYkVOFbQa0KdfuXwiWMZ9CK+W+n4qdJN6hTL1VNGNVoHCKzEyKPc1J3ZTigxIz ztTxCORwkei+J3LI+ptR2zG/YeV5s+JBSm9TTxyP6zrJj6NM+0rh7Rd8UwlW3RX3RpFivYvzrupl vu9Lv2JvLefrHiih6vIRS6FnXHCApWscxBjXA8C4JBSoNB8lgTG7OT4qz6XlaOt/pMpw6IAsKi8S msPLwf4FKfXE/5EVnXqbVfNRxoCj7NZuZ2WtMPZrEYcW8BR0BGj61VAT6DB5I/IWxijGd11tMm4q I33aUonb+5ewFihG4lseP5YjhgHUtGQPdmlLySdJ0pGPBjJd/zbTVYVoH9G37NmuB4vRW05ovVoo xcm/uRCkSIZLsfoHw6+K1Gh2H62IiH0EZJHj+7qoN0sCQ3GQMPl0WyRod2kgUOHmC7mfqVBAQQCe HQmCPRX46pvkNK31d5UBUdFKLnxH6PAOGg+VUD4H6AHoYce58xoMLZI/qb7d4vuYmpXaGqLw/u9Q leYwq13mgZcpPvnM63furSorzTPWRMEMuKalYbN/yoo4N8bYzO1vZTwwjJQBBWTvuRp0FVpt1CS1 XO5h1HE8rMoihLi7qN16aRpxizAq+4TBLvmlSq+UMBKfNK2dv8UHflMA7uNJJGNqR9bLGCblcGof cZwnqpQBwa81DcIFJ2aCsSlJIb/WdorLR7xgarcrZdLGSXqDJm11fCfejEb6/biACry5mBqNq91B z5g3DczsCREX4OWpUUHQfS37CydcvrK7ub+6sIqRYZaUIKpCXOJMpBU8Fv5YfJqJUf/xuWMPpA3A be1gAHpZWrfYxJm0yl0goJwCkRCwCVe2H0IMmFohaiZ24EYZNpcbFV5BNGGeqavQe9iPndN1EWol S8xFJ4iPpfofmsbVFUNmR0yQ5g0L/0/hCcQHMNolfgt6ywIlKvwMcZTintlgRxliBh3t0fBCU6Ak SQglH/+29U8Q/hyUzMz4eLMxrZCednDAWokFzapKTWKbH0uo6kVKeyu2gJkgctNkIVgs4cZsRtpS qqLaidDkB4gr51xGke4elhzd1IqBHC/12mKyQqVqFk3I6OT/QmGNwQbCwMtV5BkA/rWZHbK3HOyE yR4KqNPOYW9zICbTtaeBWlfWpwibSh2B5XxPpzEpedMaHghwliCt/BgozxwD8IcIUw1dOj/2ZzSy M31HCyhjXFK2Va+L0q5O/+K3YT3TZnlrNcWrZo/ml1bqZ4dIw/qkCwXc7sXioc5Bi+BjXFvfxXYJ cIt1Us46jFEUTweGHacrUBq7e1fdm4hT6aQGURWySLTDVWyRG+iaJBav6rHrOWmi5ouFOdCBrti9 sc3rOBK9r9mQvsRpYdGlxRYrZBndPUDA88AA116BfCmem8zHo07QbNbjyN0qyR+kkH2CAuFz4l8v rJBBcjyQgyW7noJ1vkLMJ3nnJJAVIWFx8wHF7pAP+bA9Wj2CrhffHAl6/RQ9Y3WZ4FC2gb4AnAEF KRe7g5EqHZPbw7AJ53lOjEhdQAk/YpI2tr+KWcnhTGohRjJ1oZHk+s8QgtvJzpAaDpSstSw/62D2 S+Q4sxaeNXtAeQjh1bJoZkcTvhI7DG6DFfpKIdQWIerLebRx6B9mawxW8RXTBLPK1x5OdyEJuPk2 vdnbWHQDrfwtJsG2wOxpVh9vfBcxP7PnmHZEUN/Vte5luJ3zv9blkPHPjYbPvv0SJ26J4QkJFCXk oKGZ7MDszB+ZCySYd5ZX/rrXvcjZQsnnmznFxa3HNoC9ro2nAunq0llfSLZuztzi2TBNTOvW2NS+ S5P36Loj0MR/9iZMFVsHtyGeR1tBsC8UF45YcSI6D0mnv4vzbTytewSFIYj3rshDOYlvQ+Qp3DjI 9Rmc29ZdVjy4/QUJGPv93XNYKS22sClB2BjOs/Ib14damLhRWPCSCpYEymvfECHDPVySqHoHCbKq iLRxOuHbWTncYo4DacGs2HzEoI2YND4jq1qZhWuxEsaFfrTDbRCJ8JM8KLib2xD6Pr++6oP/YjET CjAnvLO78NiT1R1oECTF+QVnhJbUWYpZ3BUULiyNp/A16ZbJxJTq8ADa0rKKP6Q4FSRKu7vPFyPK 8GtnXkdZEeMSrdEnir/KkHxjKCbQ/oFsuZ/1c1LQBZwkdj0DEGVL6jEyeSnAMBAMroEY09enclLC 83znZIORKN/GP5YKr7Y14lArtTv5xj7AYQcj5izvJpxeIYSbpf039r1uEwzpLuDjktTYJqCE/nYB 08EZtAF2VmC2pPun8IuC4WufgN7/EwnDdU9G44Wuin1JOkD3MC0/+SCmtpUfP6sdZMl95SGqvfnk 3EMFAlGuWLImPhoUcySF1Cff1qSpDKs+O2nNZQvIZ3QAw5n7aScqWTYXKfhs0QPS7jhEoHproMyj 60zepvxr+oHXXL81xnvgncLjD+i/T2XOLvL+y2wiByeE96qHqL+HLinQCvkZMJyBt++jtBcekun+ sHw76j6MNjr+CtglkBoz2TiN/jHZ9tGCGGWZunVp7/rYGU/hprQ634aY1/1Frkb9IZajt7ucQ64D U+7VM0PNiXVgLeJJwOGM8nwmo9l2qod0Lh0jjVYZj3S5oxfqAhvQRtl3TTL5s5m4ioylM/Clquci jlFUeGRkDzH4WbMz8NtDVjloTfW3eEdQ6B8EBmx7fIsaAmifEzhsUqWKcT1NMna4NAEMlaCGzK6J Je7hK52qcCMC7PhB9A38GkPEpcQMzqCLoFqMWAGlNLxp07wJdHwBiUPeMf8PKXkO/3DuSEf+Lp0f B0IzFI3rWo5tzGYSixbwaGylq0IfsNAXQHJxzh4tgj6cEo6cgIC5Jjis/6Co6N1RP3rF8uoAG81w ZHGYwRoux8pOUjYyC3RZqqScIVN3kYYbm4KwL7QuzPcYXBmUxdbEyGl9Uh4qE2zDmi46gfIG2s6C Z5dIzZiZVewVq0nc07AI9NZsUrqPIA7IhhpEhh1j3nLJYAmFTDYNcILUsKAsAz2etKOkOpnBuUxm ds36McQ6Z6Us0ZPvYOt+bDnst7j+jL7KeJEcXyPUl8vCsJgOaBgknuj+Tl+6ceJsH2JQvnY09hPB uiKt8CcgLRF/bvl45yKYUJ3D55jCYAUnlmUENGD+k6m4VY9LCus6ee3rrbec8nq2Y6RTJ/AEJf50 EzvzqIlsyr/fQRXZYw3qaYjCk7990JK/wxBVvL9AgfLEhZlbH4vBMzsm0p+WlboECfVuly707Orz UlPO2HFioT1qgQn0WcTaT6Wl/jIz2HvyOM/kT6WT+uFo5Vi4rOlI22Q3RVCJaxNEqjGDp3XJJ5kv saAU9u5laeoFDGuc7/Wyh5i3sj9kaJiW2th0eJ5b5MZC8JmHzLLr6HyHcjSP2KYxRPitB+yr49W1 JAijIJ6wKmKI9yIe1t8BfMmnmHzviINj+x7Bs1my8xVLh/tzpVNa+D6f8Lkdy4TCTyMR2EP2m1dw n+s9oAlkfxZI4XR1AfHsdrHcHYD3pSdZhmUHKcAf2uw0phRSKHHUAAKVlNMBQxmCF+Hc9WuB4+y7 iGkkS/+TWhSvg+787OBvZjrz7uqlFHg4JXmYtuEiilXCuGUef6htkiYCaTS6DoM0w3b03NODx5Y/ oteGYN3B6yHU6AUIRZNg5Jhiq4PBEdC+XiMBz5jGbIU+26WTWE3dMjxqJUN01TI4PvQ6wLOX/aTm AJpAB6W4K49GWfWIfhhMyBkAYiWxkYUsWtvNWQqyxs69y9VJeZLFK4OnNr//P5QKKP9Q5swKZynv 4NQpu4Qyj4TROsaHTUWouXqVlbZz0UyrXAh6PaLwlIY0/PoQMPl/JflLoH0mhon1jHpYIIrkeGCq PWm9wkGOU3Db7vDc4YwfZjVUl1JqJPo3W2YTNmlGSGIcBAn/cj6twlj1KuGaH/chHHy9mBqSr0HY FFHFV7pPCYshgbSbe0MIUVoQe1BPcMkg6T6yucNCH/Pu9nElBKJKMNDC+5Dli2RoSB3zDsfxuDvz QwUHUnBhU7Du21gpoQa/3JxcBcuyyLYT7dX6bN18r+m6UUADROPDO7C6WB5MEV+T8MDNP2A54Ytc 3e3q61maSoOBDk+idRlQzumQrCq+wk6VdsPEnNvf+nApxChzKDk7uplqBqRscxVTA4Ztpy0GJnJQ jFv3lytaeibdlRbOIPEW3qrTvKoWGKiO/OHVuxEsy+O0cnr59DPYz/e+jV+1SOJw7rFsVWxpDoCh RmhgKuGs+/WM+KpOcleD2itQVDdITDttZO6qMvirq83eHN9ZgBfv7I5AsVgMJ0QE3pkudnQnL0UB 8BW9qpxtUQszXYV4hkToQnA/zkO509Oq8qWgXpMnWCziPhT9835yHvXm4kFX9TTg52Ov7pkwSun0 8D9R267uOv8bm4s6VYputjeuN5p05/n7xpBrvckZadkzEz4DdLBCB82VdEe/xChmuuEmV3nay/I0 OCAazkbArKMWqmZpPB+mFwHEuWXg/YqD5NC4Fs4YiNqklwwI10d0cT2yi1UXCD4X+AqON3DlNtLV jyKDhdgQsWtFxOHqQR9xyJR3uDfu5yz82D9+KRBZc0iyXSiEwlsIJ2vUpMIDP/t5wjbAhl0eNbbV FUACitKzpEeuLx+jSm53SKeM4z3m+kJp0FVfhQMWitgJ7Ljp9JHBOyi6NsmphUgoGNIj8wSJKXC1 ujVJogwbGhB8yDrCwIIzvdDmCITv0AspQMWHN+9BtKAmf0ROeGpW+aenkaBkJS76O37GIUASMga/ eC+D3EACUj7akHqrIZgwAKNZYKu2z2O2DyOYgMV6MCUaW23GcaJnDmQ4RTOCBCyxu3C9mEbW6BZI /xoF4cU2k+O/1HWaEplWpcRRYc9YGp/ojZ22W/2yiHcjhZTtWTrWbRvtWYdw7KjB8qAiGob7yVgb 4AyfGjCON9CKaOfKl3dLD4ZOkWcELpWuyRIA5tamAvCMFi9aiaOVQqS9n4buATB3D+evyQI1T4D8 ckkR//2PAZmvz5SvuNlDf6fjTYQcQ0Opok5A8R/XUVwxjnBrWJ+ltNTWFRt0L3vvqLqzeL+zLigz DorFQeo2JS3YYl544+MZ5kekU/UP3GlIvaqjJ/OYN4WMZROairQHwOS0bDUYWyfxfiA5iw1rBuUi FKUMDDq2NFEfopCA3SckK8BWiR15gG0iBL/H6BrAscaK1MnWk4307ba3dKF+V1PHJZomtTYW0Ewn 41kZNqOpKBc/YcQz+Yd3SBeT3UgdVxs8vBLFKdX8Gta1QRo+DoWR2+hGx7RU01m66PQppnXjRRHM elgN3FZKKI9RsD5JbaDIPGp9CgoAfZ2mPbPmF5z2r2+Vp/8jC5zTH58EjmAT0B4HXoO9VFqgUs6p mIbE78wNh2iowJf+twwJP3zIK2A5THbOYBGXdXk5oDVncF8q4UVAOh/7gF5kq+hw374SaQyPfSpK wsaCTryhO2wfFSsGggE7rRT4WzDoeD0PdpLmCECLWLdDCg9QuMAwQQ1Q3YbnOA1FCPjzG50qpFJS gisoIQhhEHi2Sc8H4WM3vY7TicXdLcy+xXn2HegsK1tIQtvKl2ZbBlndKsxG6WmO1B7kWpxXVCed 6gnFj7ryxIKIiTSQTn9q0IVhtmozb+vfb6jwS6yYjMe8V4ApXwjB14o9+ySY5A4SIWs9iEKZWppZ R8vFvwrgYmmuNGeXDW1+yeMR0rbDqBUREg9nmlGx51V/0sDQii8hON8yfv6hgsICnTTui36M1/Dx dauuI/Uo26uan91xN+a/oVO9oW3aopYqoZYNrAPWR9D/yiFCJDfGOS1jd6ixE71Bp8T4/yq8HT0l G9lcOatX1W0OZn/cvMdvEMqUOnJKPqmQe51vKC8uEv/70VriE4ruCK/ikGt+8991ST3iLVoQ8rJ+ XZ5jrl8h4WqQxR5PZhaHG4qRLepVW0TZsD0CAbErnn7AgbZXyexmNFTW7XAGl36sp8FoptwzYHzE A1BSAZbVw6a23H+18Gtd1E/BKeOrdPhowp1g45189tvF3cf4LTlg4rJAmSbdVBe88MW3ng+drBMS HQah8bteZP7FvnxwvopkpMXMy+Ca/j0L9/7A9IwKVQL3TPYguCnuEIkqYgBDkVLEfduL7ekK+RiM bHDKiuHks865TNf3hRhHb7Nfe/gYjazyRhH1ejbDfgQdQw8nETDwBzV+oV58jUVhVEY7rEnNiF/T k+xVT4epzu5P+ThIdNz34zJLobn7DUkoQV1asdljC0GQxqaND4fsDLCXQAn8b20qc+roKxuFAgWY czyrP9rR+s8Foc0mXyqNxmBi/ma0zCVdoI3OPPpqOapLOXHEbO7QLlE+OFAom3JT08Bb60PEQvky FGQINLB6ycBwMNlDE1UehNIYsepIAGp5s8EvkOII7XLrKXBdOnpFikX4m8xLtjnjDYV5rOkU6W0C F6DGbccc92uXRl+9YR0PGNY6AcO15UYaUqoOT9S77ZJtsko1xjNKw0BTfv1tffCo8Z2zqsc3yaA+ VUP+jRDN6rQwnK0GzsjKFNsOf8ns8nxbfXOpk/Uj/wldWKJBtevuut71ziqy01Mv0gKdkV6Zg+oW rHOnok2HICBSMAnk0Wvx5KA92hEIMUjL8CJxzN1NCMkwD9or7G8RoOaocA2yaFJDHxNTLqTvgwxP nlsze9wimJUvi9uHKwKFecKESewCzMS4Yj5V7xirJGV18wWhXqqdsZeURTo3xqKgAFsByxCa0kw2 9XylHr3dXeUDv9dOS/I7J45rChcrfymOKeIhT2a5QJ485FOhTOUyRenGI6cEX/l5dyNz0YC1JfyN 46Iv5GlfPssObJvFuTICPhRPDeS0tCWN+Xqimuzj6KhcZF7f98PfRlNfmcY5BI6JdMJefqLc1moV WX7A1eSOGgjAPpZi6cXBAUuruG/dQws1XU3PMN5ubjWX1/IOSpNdow8GvE6NGmXVxGi9DE8iZ+GY OTfsNphBCotGtNyK8t5rGTYj4YkNQTGh+X8bZHJIYnDERy3gJOsutmOPhzFUgM54iVS4luBqnDba T7B4lZl/jWXChkmByjiD/FbkhOZXPWP3wnagFF34gSMzINldsCuGbUMflHgfI3BZwnCdjsIjHjXy ggKs0y4j+TiuvyH8VielrDG64hLPunBDqogHD8ls16/ue6EoFApS21dye/P+790N8htWEsHen4lk 2An26lMnMpab7Eaz3PaO/RTVXrXAgpxvt0DkNXCkwf8X6ZSaMH5GXasaF0+a0lNkz7H6raBdIfp/ YZxJtrrr72ByhwOgoxZk6r2eqB+QVIQjJ4l4TEIc6dpDgt1Y/Hkp2WUwxwzqOOjSz7CmdSPMzqW2 cA8cz4q8+WhyUPHbLrflcr9HfB0996ONiAmVUx8XSeTbwYCU3LpQz/vrZln6xgAuCno/r1Z3dlSF YqEsQ+7BFL39U1JLuYT+b5IUbCp3vsI0CuZzUpS3mTn+MFgnxXRKzOYxrb9z9nNSCV6Y8g+dyjlW Fpz19Q0GOLhQpyA0jlUAMdpecSt6kfyZuvv4AhMbfwibv1W5QCwmg0XQkth2EhwS8qNWkggPnVT4 GD7UAX5hi5SEMJFxFYFBBzMTJzfPGHkce7BkkNWgVbdCKvWSx5D61k2j00I9tbyxm5b4CnocCN6W s19gJdAvRgc1U+Mq1tP0hgUZEgi4j86TPycM9uVe2yxooaGJ3ce+GGneGbqys5GUaZZY5Ink7pJQ hasB6YDW7qgbX/TUf+eCCVur/sS5C0tlICeMkf2Ljyk3xrQ+/ke+XRp69fXxMz0/mh7e1Nyx5rz1 SRG/wkus/yTi4jVtM7u65APHl6dQllf4WA5WpJvTrKWdDSClWkFAoc+r7LO0k+fxxjPRClNjTTLo JgKTZWl9K4onfAtZ7a94iQmvPgFZByKJdUI/LShiLSvyeqluZ3TRveKytBcXPVh6Q39no1Ix4jvN iDb4/vIy4wYNYicu5AH643LeYPm0S59fVzFwRH/MljeobtHF4hHnHby7Ps8fDMU+65dR31ofoYOs Itck76emG7V8Au5KDIV5n2byBkzIgZ5C93h8h6tCTHReeHD2nS5L0mIhG3qqhNWuOebLxicyL0Qb dRoE9B2TuFwer5iKKbM91fpEpOkzrM1LoXXlQrDCl2RrtHkI2cr52KINdAfHJu8DTrxOf31jAbJS NDIbj1V6V2oS1LHMEJ74xmHYU8tHuTr263C1T//TM0KH1cBDAW6V5650+xREjioPO0DqDUf5kO35 uy7QD7wMp49hnwWmyAYNiPc+wOewfnp592sVn8jPL4ZTCIm4vSTb34dSmzhJbVp4MWZPDM8VcyJ1 JSFGsMN8eesTTmSKgCfszAWt34EyLiyMGHDqqI7g7NHHdtOK8Fb6tm12Q1a7XZT5BYu1swhc7+1F x1gNvjwni3nadCYy/BP3/972QW2qrmCSbPlqaD/9n3maop0g6cWLRNCHw8a7+ME4O7zzRkNOskBE Qu49lAIqbjGCG7HqDx+5guHas1d/NqPB5XuNyKmCtNyA/UjriB+GfkwzUwHkaOrojTvuK4qHesJp MXEDdLFh+ldFn2XKjX2bxeYemHSMbi7fT/oAzqsVUzvHy7m2A16QkMOrVWNmVehO4u3rLhbjAih+ sW9aFBRuLc2sRdzX91pw6znQ0jjKWVZDVou6hpc5H5bz1e+d5MMYOiDP2Djr33OlqlqZ3Eu5ziKa So1VWvzz9xqsL3w4+mcM2vlt2w9Q0IlGiVTNhQP5g5r/av9Hicuo6sPjJCyD1qEYJSzILOuu/oIL J2+vWGZKxy3l4uIztxQ7Z2JRpB+9uj68k7fAbmJgfDWof8vgZginVTHMqsqHOxX5VlYRSQhnRE6E 0dc5cg5jqgp+PomCp+rzGEA+19DklDuHlgapYZxeX8mDSBDXWa+hyqBIWK6oLkyBm07YGjJ7+N0p ED5oJkXHyii9KgKSFXEAxt2OOPaJTYU2C6yRACseREIKYCz7WcpmsubHM53OzJwNxO6SmumjSiia G82PEMtteFD8BEKtpKIvSgXd8v0to3QI5N1k7luO0X4ZvQSgBIj94fsSpNz/uvlFLLDyUVJEPnxf cKlt0h7YFoSMh6dggEvtvBxEDsSFfWBFTO92Xt//1vNpUVCY08M1rDd+0HgUzz05+Emx8C9pSDwU dkRWgCyZdPSEmIO+4VsMnBsL7NVvw5MV+WQZSFprNCwcG2TS/tV2p7M6Jax1JMt0VoiZrnqTpB3P roqAOAy311jVTJSquyrXu+MPbwoU6mdGnP9Sow31ABKELuOydhUzVO4qRSLhka6ZJ15b8YR0ZvnZ pVgYZAFvAiRkJ66Fae1WGvzKUapekgriq2vsX2CHSbqXvLdTCooapp5iVQCDQ7U1EvuJzXOgHE9f KPPOHcaTROjxyfqSsXu+fpfVwpfjEOLrJKItLfNzCEt/BloIIpLm9K/07+zoOlB1hyGpCChTxmJA ix1i4yfhHn+UF7hyqe0B9LRRSmG6BMPqaLEm2QHW1eTLuRoll2WWbejYwXpTJ42kEC9sfXVV85wG eZksUF5/gSwupgXa0QCsfYe7gsDSramXXVFRSZzdm5k+J37F8folF/q9qqbowdGGCjjXZyNnSSoG F7+Qi8zu51thTIe49ISt08AMa0KxpL9zLwgeGNehWhsMKvNaMp+I99XWEkpUNIUFg6K/uPjYk/VM iCE22P4O34LCAEss3b7vJxJBvfUu9xdg6I83kJ7ncb6vk/CaE25IOIU5mIvdw9Z4laalrGR3SM5X Q1cmF4Y/CWJ4Swhlm9+pGNQ0q6wGOBu8+Bsm+rbvUuG12YoN4DK8dZOVk/tmVuSOQ4B3YPkR3k64 yM3NAcPpPTrorzh6sF9SltPAriXktP7nP/Zcge11m+YXM64PUb/f5r21l2QxQLW+saRq7wnoaATr AkqJadbl/x80P5XhN13PIwnXqaDGklCs41606v9+OjwSev7z9hahBtOvS+CTE0mHFPDQlhXEstM3 6c3zWnCI0g9TYs/rhmC+MsK+VRM5YzrMVCLYd6YHWLTxVv9njz+u2CB59xuYcplLpZZOBOCF3qlR UMmPMt1FSkfN8d5DGmmOuraFeGuaLeChDZXD12dCcIxVl5d+sFUbHK3RVuSFOeq8nrivTOYjDOck QoCG/69wwmXqF7Z05YbUnSlattaOPSln4VygxNPfMQxfTolK5tequF0bf9DGMTnPgd9ZLHqDsdsF Pds0ICZcuX0Wm9Db1KDCY7m5Z5ODW07ta6jLye5+4XnEou6pZUNJ/1G/ZRnn4VfWL7L+/JTieJGH RcUQ5VLSLhIzScWGZtOnw17STJDb0Oy+b7GjBR87aNBTtswf4fetBg1ewEzE4OT5bDlc4Fq6JuTH WIcoJhSzkq+4rl5H55xzYXuuIVDGPaG595o854Uq20wWyD73W8UPfdlv+7KeNCuPus/Nttp0u3Mt roLZEcZhOyk3D3dOVkQhJ0r13rIi8Fm4PC8vrN0bWSTp2t4eWf7m+3+BMkWLmT21gtczcyr4EHAp LCSPO2fPfTgAAyVLx4Zo9wi/2XRFr/FSbW6XSC57nRskvbWLleBWmL1ipLWWtD71bxLOaHMd+pqy bY0vioIXi785waJp46w5hcC/Lq+K3euYNOR+1ukvVZA4t+hHXIKlNAUNdOCB3UYxnM6VqTu97+Yi uE78xcS8N1C8MyMpPdUP+/KUGFBM9dFJfWOotksZ6XYasFLjquizArtBsW0vTYbJbceLkZb7Ey2w sTN6UUUhcUwXZc3xQwBo6q3aR6F0zZC/2n/TEWUO6q2tz7/6yrrIvTvwZzc7Q26EVIAaMiDLooVK rh5MrQDay3va6oZlLzh1+LSjKo+wTYKClUL1HYjZT0gQ11nSdxZGBi8g74MowY2nKCLOOyi7U5YY 2J0TbuWq5xUCoH45FVpCupLdkv9Oj4qG0GVTuHVkx9gIubQ59BoKQloU9srjVD3bFuQ1YtPjTxPe gCeiqzIxPd4lfHRCvwDoWoRp1dbeZgqJRndfdvSyzrY/dzzKoU85qJr5wQOL3X/fGio2Z6yeXYMB t9g15xYd9WAXD7+pOuHOoxi+z7VMST0zTx8CKQVEcMSgqNg78HqHPuqyWiHpveccxrATEqne76fl ViOEbJ/H3NoicZwUDRNgwoaaUxTDDC+zS8I+tBbberWIwlH7YzkgYq41V5ULJSRmwSIyHIrHwe8s Ho009RC/Lez2Z/VESEXIHmGDnIxRiHswxgfhNE4gGmuiSddc9aY+vQdm/qb54uNhKFPBUY8VIo7g Q+lEoMLzdKWjnmHVu+7SnFLUGCLG8tfOWJYFsG+iRpXg/6YD875yXmaFn9pWj2VFzExL85tKF2Xq JWjHwqZol4uuVUSIKR7jZNK61cdxe+0NjsFqZEAp248B3eQGDoBT9XEBqMF+49Nl3ZpUSV4SUHZq v56nu0Q09GsP2tJYreRtGflBWTHk+yDNejNAXWi7N878ydP2BZyqv27paCZInJeLP8iaSRUSxrjR HNy4p45RKeSWpDJhqHdqeTo7kdSRr3VO6e40qN5GxB45cDaD/uI0DXJ+nPbCq2/cZJPQlbkP1mrr CKmXfjZG4ZzqJq9mgQMMJchjnH6PGKl+nInsW9jURl3J7ZOVyCWvPwovD/TFsOhmNU02ebdLL4Mi 6yl030bxB7oIWgfk5IS6jccUlW82UjQ0y7isZBWHemx3FnE2UZ3uaqwN49T6FQWUJlqZ4B6aUe4j 9ETwWwIo+1QO7BWRtIyGnkX9Azr2TQSkePck/FrrkiXSYrscLLY5QtlMrMWvvncOOfvVYtNiOT7V uyhU6GcH9S8moFFEMijCu1B88M1SQmNBbwjvEktj6HuabIGYp6lUsZrKDegZexTqui3TrU/MJ344 cQdt/cyFNcZWOxaS5CPM+lKW/hLFTgB98oxHox48K9KnxQCqw/0Nl41AawQmxUwS4m4zagREEClU QLEyWsltqixBKyH+5AiuwcIBYKqJ2Lc8W3zL5BdAJz5E5mGu1DjwIpcmWZgEscl1QP896G4idkt9 Ft1iwVs5UimtT2FZ/ypzbZ4Btt28qDpTNWPocpDK8wTPJNidCyZOEUUCMwaA29cuRoqEoqXIzEFx gUynEHj5r7rIt725SxSrAZa+HQPKnFXACprd3o6gt/OGiGCnmNjUCEFyNHcBFohWM3IByfmzwnyO hggJB2ugVXgp9P4J6/oT7puet/uUlsSi+0uvtDNGMqkTPXQH3hRofi+Nz6r7J3+gS/+s4rRCdgjD oY79CCPaP5xcfkuGI1Bp5J0nEwB3lxDHKYJi+XjwZKi9iAnA/OypIsyb7qKAdG9qr0MeVJYywhPn 3BpDqn3s63ng8pigxecy21HsyQy/0l5zIgcDNZ3UHEpjFcnKtCxPxs/2nSryk7uxAS6fZyLCcmJe DSTiSCI/Q7FmSTx2mPOZlp07/tVjia3+t9kBcEDAfbDeHwYp+3QTeL65J2FiQlGLncAHdtDbTgh4 110S+ITVFbt8S3qk/JFXW2mf0mx1RhTHMeZX1qZlJXM+7sHkNjZtICoLOuKIt5b1P5MsEVXAgjJb 0EMv/yUEx/YAPoFLBdfzStKH3Jjynzdhll4cQ4Rs4J+ZEh9WcUgQXFQkqtt6c1upuxUw6AQdi7ZV TzTaBgvg5oCgupDscm3Ulp6i1dgNRyQU+cx46MvuakU3EOU9pBNoe9MgiHJSRpoCyjzWvwvU1X+t 8wd6o6QvFz9vU3sM+eC4l9dsAJXAyFstrOks0KJcJCQIkcHoz3p7PBLpkvjRSsxmv6QXSIN7MQN+ qZhWy4iNN7jHES1a39E35RumgLGeFagXxHqGL37Q7MT42783vrAHZmWZVN2unJ8+wS7LvLvGgNgA gCrE `protect end_protected
gpl-2.0
c138dd45f40c61e8552982e8d0a16788
0.947965
1.81755
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/IM_tb.vhd
1
3,175
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:15:39 04/13/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab2/ProgramCounter/ProgramCounter/IM_tb.vhd -- Project Name: ProgramCounter -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY IM_tb IS END IM_tb; ARCHITECTURE behavior OF IM_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Instruction_Memory_TL PORT( CLK : IN std_logic; RST : IN std_logic; BRANCH : IN std_logic; BRNCH_ADR : IN std_logic_vector(11 downto 0); RA : OUT std_logic_vector(3 downto 0); RB : OUT std_logic_vector(3 downto 0); OP : OUT std_logic_vector(3 downto 0); IMM : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; signal JMP : std_logic := '0'; signal OFFSET : std_logic_vector(11 downto 0) := (others => '0'); signal RTN : std_logic := '0'; --Outputs signal RA : std_logic_vector(3 downto 0); signal RB : std_logic_vector(3 downto 0); signal OP : std_logic_vector(3 downto 0); signal IMM : std_logic_vector(7 downto 0); signal INS_OFFSET : std_logic_vector(11 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Instruction_Memory_TL PORT MAP ( CLK => CLK, RST => RST, JMP => JMP, OFFSET => OFFSET, RTN => RTN, RA => RA, RB => RB, OP => OP, IMM => IMM, INS_OFFSET => INS_OFFSET ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. RST <= '1'; wait for 100 ns; RST <= '0'; wait for CLK_period*20; BRNCH_ADR -- OFFSET <= "111111111100"; -- JMP <= '1'; -- -- wait for CLK_period; -- -- JMP <= '0'; -- -- wait for CLK_period*3; -- -- RTN <= '1'; -- -- wait for CLK_period; -- insert stimulus here wait; end process; END;
gpl-3.0
1159a7daf9cc99b51e9abbdef60be632
0.570079
3.616173
false
false
false
false
UVVM/UVVM_All
bitvis_vip_i2c/src/vvc_cmd_pkg.vhd
1
7,532
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.transaction_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); data : t_byte_array(0 to C_VVC_CMD_DATA_MAX_LENGTH-1); num_bytes : natural; action_when_transfer_is_done : t_action_when_transfer_is_done; exp_ack : boolean; rw_bit : std_logic; -- Common VVC fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( addr => (others => '0'), data => (others => (others => '0')), num_bytes => 0, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, exp_ack => true, rw_bit => '0', -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - These are used for storing the result of f.ex. read/receive BFM procedures called by the VVC, -- so that the result can be transported from the VVC to the sequencer via a -- a fetch_result() call as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result matches the return value of read/receive procedure in the BFM. -- It can also be defined as a record if multiple return values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is t_byte_array(0 to C_VVC_CMD_DATA_MAX_LENGTH-1); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>, natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); end package vvc_cmd_pkg; --================================================================================================= --================================================================================================= package body vvc_cmd_pkg is function to_string( value : t_operation ) return string is begin return t_operation'image(value); end; end package body vvc_cmd_pkg;
mit
f61b3c302e2040f2f031fcdff5109700
0.427908
5.293043
false
false
false
false
UVVM/UVVM_All
uvvm_vvc_framework/src/ti_protected_types_pkg.vhd
1
23,524
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; package ti_protected_types_pkg is ------------------------------------------------------------ -- Protected type to register and report VVC activity ------------------------------------------------------------ type t_vvc_activity is protected -- Add a new VVC to the activity register and return its index impure function priv_register_vvc( constant name : in string; constant instance : in natural; constant channel : in t_channel := NA; constant await_selected_supported : in boolean := true ) return integer; -- Update a VVC's state procedure priv_report_vvc_activity( constant vvc_idx : in natural; constant activity : in t_activity; constant last_cmd_idx_executed : in integer ); -- Print the list of registered VVCs procedure priv_list_registered_vvc( constant msg : in string ); -- Get a VVC's index in the activity register impure function priv_get_vvc_idx( constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) return integer; -- Get a VVC's index in the activity register after skipping a number of matches, -- e.g. when using ALL_INSTANCES or ALL_CHANNELS impure function priv_get_vvc_idx( constant skip_num_of_matches : in natural; constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) return integer; -- Get a VVC's name impure function priv_get_vvc_name( constant vvc_idx : in natural ) return string; -- Get a VVC's instance impure function priv_get_vvc_instance( constant vvc_idx : in natural ) return integer; -- Get a VVC's channel impure function priv_get_vvc_channel( constant vvc_idx : in natural ) return t_channel; -- Get a VVC's activity impure function priv_get_vvc_activity( constant vvc_idx : in natural ) return t_activity; -- Get a VVC's last_cmd_idx_executed impure function priv_get_vvc_last_cmd_idx_executed( constant vvc_idx : in natural ) return integer; -- Get information if the VVC supports await selected (waiting for a specific command) or not impure function priv_get_vvc_await_selected_supported( constant vvc_idx : in natural ) return boolean; -- Get a VVC's name, instance and channel impure function priv_get_vvc_info( constant vvc_idx : in natural ) return string; -- Get the total number of registered VVCs matching the name, instance -- and channel, e.g. (UART_VVC, 1, ALL_CHANNELS) returns 2 (RX & TX) impure function priv_get_num_registered_vvc_matches( constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) return natural; -- Get the total number of registered VVCs impure function priv_get_num_registered_vvcs return natural; -- Check if all registered VVCs are INACTIVE impure function priv_are_all_vvc_inactive return boolean; end protected; ------------------------------------------------------------ -- Protected type to gather VVC's info in a list ------------------------------------------------------------ type t_vvc_info_list is protected procedure add( constant name : in string; constant instance : in integer; constant channel : in t_channel; constant cmd_idx : in integer ); procedure add( constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ); procedure add( constant name : in string; constant instance : in integer; constant cmd_idx : in integer ); procedure priv_clear_list; function priv_instance_to_string( constant instance : in integer ) return string; impure function priv_get_name( constant vvc_idx : in natural ) return string; impure function priv_get_instance( constant vvc_idx : in natural ) return integer; impure function priv_get_channel( constant vvc_idx : in natural ) return t_channel; impure function priv_get_cmd_idx( constant vvc_idx : in natural ) return integer; impure function priv_get_vvc_info( constant vvc_idx : in natural ) return string; impure function priv_get_vvc_info_list (idx : natural := natural'low) return string; impure function priv_get_num_vvc_in_list return natural; end protected; end package ti_protected_types_pkg; --============================================================================= --============================================================================= package body ti_protected_types_pkg is ------------------------------------------------------------ -- Protected type to register and report VVC activity ------------------------------------------------------------ type t_vvc_activity is protected body type t_vvc_item is record vvc_id : t_vvc_id; vvc_state : t_vvc_state; end record; constant C_VVC_ITEM_DEFAULT : t_vvc_item := ( vvc_id => C_VVC_ID_DEFAULT, vvc_state => C_VVC_STATE_DEFAULT ); type t_registered_vvc_array is array (natural range <>) of t_vvc_item; -- Array holding all registered VVCs variable priv_registered_vvc : t_registered_vvc_array(0 to C_MAX_TB_VVC_NUM) := (others => C_VVC_ITEM_DEFAULT); -- Counter for the number of VVCs that has registered variable priv_last_registered_vvc_idx : integer := -1; impure function priv_register_vvc( constant name : in string; constant instance : in natural; constant channel : in t_channel := NA; constant await_selected_supported : in boolean := true ) return integer is begin if priv_last_registered_vvc_idx >= C_MAX_TB_VVC_NUM then alert(tb_error, "Number of registered VVCs exceed C_MAX_TB_VVC_NUM.\n"& "Increase C_MAX_TB_VVC_NUM in adaptations package."); end if; -- Set registered VVC index priv_last_registered_vvc_idx := priv_last_registered_vvc_idx + 1; -- Update register priv_registered_vvc(priv_last_registered_vvc_idx).vvc_id.name(1 to name'length) := to_upper(name); priv_registered_vvc(priv_last_registered_vvc_idx).vvc_id.instance := instance; priv_registered_vvc(priv_last_registered_vvc_idx).vvc_id.channel := channel; priv_registered_vvc(priv_last_registered_vvc_idx).vvc_state.activity := INACTIVE; priv_registered_vvc(priv_last_registered_vvc_idx).vvc_state.last_cmd_idx_executed := -1; priv_registered_vvc(priv_last_registered_vvc_idx).vvc_state.await_selected_supported := await_selected_supported; -- Return index return priv_last_registered_vvc_idx; end function; procedure priv_report_vvc_activity( constant vvc_idx : in natural; constant activity : in t_activity; constant last_cmd_idx_executed : in integer ) is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_report_vvc_activity() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); -- Update VVC status priv_registered_vvc(vvc_idx).vvc_state.activity := activity; priv_registered_vvc(vvc_idx).vvc_state.last_cmd_idx_executed := last_cmd_idx_executed; end procedure; procedure priv_list_registered_vvc( constant msg : in string ) is variable v_vvc : t_vvc_id; begin log(ID_VVC_ACTIVITY, "VVC activity registered VVCs: " & msg); for idx in 0 to priv_last_registered_vvc_idx loop v_vvc := priv_registered_vvc(idx).vvc_id; if v_vvc.channel = NA then log(ID_VVC_ACTIVITY, to_string(idx+1) & ": " & v_vvc.name & " instance=" & to_string(v_vvc.instance)); else log(ID_VVC_ACTIVITY, to_string(idx+1) & ": " & v_vvc.name & " instance=" & to_string(v_vvc.instance) & ", channel=" & to_string(v_vvc.channel)); end if; end loop; end procedure; impure function priv_get_vvc_idx( constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) return integer is begin for idx in 0 to priv_last_registered_vvc_idx loop if priv_registered_vvc(idx).vvc_id.name(1 to name'length) = to_upper(name) and priv_registered_vvc(idx).vvc_id.instance = instance and priv_registered_vvc(idx).vvc_id.channel = channel then return idx; -- vvc was found end if; end loop; return -1; -- not found end function; impure function priv_get_vvc_idx( constant skip_num_of_matches : in natural; constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) return integer is variable v_match_num : natural := 0; begin for idx in 0 to priv_last_registered_vvc_idx loop if priv_registered_vvc(idx).vvc_id.name(1 to name'length) = to_upper(name) and (priv_registered_vvc(idx).vvc_id.instance = instance or instance = ALL_INSTANCES) and (priv_registered_vvc(idx).vvc_id.channel = channel or channel = ALL_CHANNELS) then if v_match_num < skip_num_of_matches then v_match_num := v_match_num + 1; else return idx; -- vvc was found end if; end if; end loop; return -1; -- not found end function; impure function priv_get_vvc_name( constant vvc_idx : in natural ) return string is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_name() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_registered_vvc(vvc_idx).vvc_id.name; end function; impure function priv_get_vvc_instance( constant vvc_idx : in natural ) return integer is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_instance() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_registered_vvc(vvc_idx).vvc_id.instance; end function; impure function priv_get_vvc_channel( constant vvc_idx : in natural ) return t_channel is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_channel() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_registered_vvc(vvc_idx).vvc_id.channel; end function; impure function priv_get_vvc_activity( constant vvc_idx : in natural ) return t_activity is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_activity() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_registered_vvc(vvc_idx).vvc_state.activity; end function; impure function priv_get_vvc_last_cmd_idx_executed( constant vvc_idx : in natural ) return integer is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_last_cmd_idx_executed() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_registered_vvc(vvc_idx).vvc_state.last_cmd_idx_executed; end function; impure function priv_get_vvc_await_selected_supported( constant vvc_idx : in natural ) return boolean is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_await_selected_supported() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_registered_vvc(vvc_idx).vvc_state.await_selected_supported; end function; impure function priv_get_vvc_info( constant vvc_idx : in natural ) return string is begin check_value_in_range(vvc_idx, 0, priv_last_registered_vvc_idx, TB_ERROR, "priv_get_vvc_info() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); if priv_registered_vvc(vvc_idx).vvc_id.channel = NA then return priv_registered_vvc(vvc_idx).vvc_id.name & "," & to_string(priv_registered_vvc(vvc_idx).vvc_id.instance); else return priv_registered_vvc(vvc_idx).vvc_id.name & "," & to_string(priv_registered_vvc(vvc_idx).vvc_id.instance) & "," & to_string(priv_registered_vvc(vvc_idx).vvc_id.channel); end if; end function; impure function priv_get_num_registered_vvc_matches( constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) return natural is variable v_num_instances : natural := 0; begin for idx in 0 to priv_last_registered_vvc_idx loop if priv_registered_vvc(idx).vvc_id.name = to_upper(name) and (priv_registered_vvc(idx).vvc_id.instance = instance or instance = ALL_INSTANCES) and (priv_registered_vvc(idx).vvc_id.channel = channel or channel = ALL_CHANNELS) then v_num_instances := v_num_instances + 1; end if; end loop; return v_num_instances; end function; impure function priv_get_num_registered_vvcs return natural is begin return priv_last_registered_vvc_idx + 1; end function; impure function priv_are_all_vvc_inactive return boolean is begin check_value(priv_last_registered_vvc_idx /= -1, TB_ERROR, "No VVCs in activity register", C_TB_SCOPE_DEFAULT, ID_NEVER); for idx in 0 to priv_last_registered_vvc_idx loop if priv_registered_vvc(idx).vvc_state.activity = ACTIVE then return false; end if; end loop; return true; end function; end protected body t_vvc_activity; ------------------------------------------------------------ -- Protected type to gather VVC's info in a list ------------------------------------------------------------ type t_vvc_info_list is protected body type t_vvc_item is record name : string(1 to C_MAX_VVC_NAME_LENGTH); instance : integer; channel : t_channel; cmd_idx : integer; end record; constant C_VVC_ITEM_DEFAULT : t_vvc_item := ( name => (others => NUL), instance => 0, channel => NA, cmd_idx => 0 ); type t_vvc_item_array is array (natural range <>) of t_vvc_item; -- Array holding the VVCs info variable priv_vvc_info_list : t_vvc_item_array(0 to C_MAX_TB_VVC_NUM) := (others => C_VVC_ITEM_DEFAULT); -- Counter for the number of VVCs in the list variable priv_last_added_vvc_idx : integer := -1; procedure add( constant name : in string; constant instance : in integer; constant channel : in t_channel; constant cmd_idx : in integer ) is variable v_duplicate : boolean := false; begin if priv_last_added_vvc_idx >= C_MAX_TB_VVC_NUM then alert(TB_ERROR, "Number of VVCs in the list exceed C_MAX_TB_VVC_NUM.\n" & "Increase C_MAX_TB_VVC_NUM in adaptations package."); end if; -- Check if VVC was previously added for idx in 0 to priv_last_added_vvc_idx loop if priv_vvc_info_list(idx).name(1 to name'length) = to_upper(name) and priv_vvc_info_list(idx).instance = instance and priv_vvc_info_list(idx).channel = channel and priv_vvc_info_list(idx).cmd_idx = cmd_idx then v_duplicate := true; exit; end if; end loop; if v_duplicate then alert(TB_WARNING, to_upper(name) & "," & priv_instance_to_string(instance) & "," & to_string(channel) & "," & to_string(cmd_idx) & " was previously added to the list."); else -- Set VVC index priv_last_added_vvc_idx := priv_last_added_vvc_idx + 1; -- Update register priv_vvc_info_list(priv_last_added_vvc_idx).name(1 to name'length) := to_upper(name); priv_vvc_info_list(priv_last_added_vvc_idx).instance := instance; priv_vvc_info_list(priv_last_added_vvc_idx).channel := channel; priv_vvc_info_list(priv_last_added_vvc_idx).cmd_idx := cmd_idx; if channel = NA then if cmd_idx = -1 then log(ID_AWAIT_COMPLETION_LIST, "Adding: " & to_upper(name) & "," & priv_instance_to_string(instance) & " to the list."); else log(ID_AWAIT_COMPLETION_LIST, "Adding: " & to_upper(name) & "," & priv_instance_to_string(instance) & ",[" & to_string(cmd_idx) & "] to the list."); end if; else if cmd_idx = -1 then log(ID_AWAIT_COMPLETION_LIST, "Adding: " & to_upper(name) & "," & priv_instance_to_string(instance) & "," & to_string(channel) & " to the list."); else log(ID_AWAIT_COMPLETION_LIST, "Adding: " & to_upper(name) & "," & priv_instance_to_string(instance) & "," & to_string(channel) & ",[" & to_string(cmd_idx) & "] to the list."); end if; end if; end if; end procedure; procedure add( constant name : in string; constant instance : in integer; constant channel : in t_channel := NA ) is begin add(name, instance, channel, -1); end procedure; procedure add( constant name : in string; constant instance : in integer; constant cmd_idx : in integer ) is begin add(name, instance, NA, cmd_idx); end procedure; procedure priv_clear_list is begin priv_vvc_info_list := (others => C_VVC_ITEM_DEFAULT); priv_last_added_vvc_idx := -1; end procedure; function priv_instance_to_string( constant instance : in integer ) return string is begin if instance = ALL_INSTANCES then return "ALL_INSTANCES"; else return to_string(instance); end if; end function; impure function priv_get_name( constant vvc_idx : in natural ) return string is begin check_value_in_range(vvc_idx, 0, priv_last_added_vvc_idx, TB_ERROR, "priv_get_name() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_vvc_info_list(vvc_idx).name; end function; impure function priv_get_instance( constant vvc_idx : in natural ) return integer is begin check_value_in_range(vvc_idx, 0, priv_last_added_vvc_idx, TB_ERROR, "priv_get_instance() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_vvc_info_list(vvc_idx).instance; end function; impure function priv_get_channel( constant vvc_idx : in natural ) return t_channel is begin check_value_in_range(vvc_idx, 0, priv_last_added_vvc_idx, TB_ERROR, "priv_get_channel() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_vvc_info_list(vvc_idx).channel; end function; impure function priv_get_cmd_idx( constant vvc_idx : in natural ) return integer is begin check_value_in_range(vvc_idx, 0, priv_last_added_vvc_idx, TB_ERROR, "priv_get_cmd_idx() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); return priv_vvc_info_list(vvc_idx).cmd_idx; end function; impure function priv_get_vvc_info( constant vvc_idx : in natural ) return string is begin check_value_in_range(vvc_idx, 0, priv_last_added_vvc_idx, TB_ERROR, "priv_get_vvc_info() => vvc_idx invalid range: " & to_string(vvc_idx) & ".", C_TB_SCOPE_DEFAULT, ID_NEVER); if priv_vvc_info_list(vvc_idx).channel = NA then if priv_vvc_info_list(vvc_idx).cmd_idx = -1 then return priv_vvc_info_list(vvc_idx).name & "," & priv_instance_to_string(priv_vvc_info_list(vvc_idx).instance); else return priv_vvc_info_list(vvc_idx).name & "," & priv_instance_to_string(priv_vvc_info_list(vvc_idx).instance) & ",[" & to_string(priv_vvc_info_list(vvc_idx).cmd_idx) & "]"; end if; else if priv_vvc_info_list(vvc_idx).cmd_idx = -1 then return priv_vvc_info_list(vvc_idx).name & "," & priv_instance_to_string(priv_vvc_info_list(vvc_idx).instance) & "," & to_string(priv_vvc_info_list(vvc_idx).channel); else return priv_vvc_info_list(vvc_idx).name & "," & priv_instance_to_string(priv_vvc_info_list(vvc_idx).instance) & "," & to_string(priv_vvc_info_list(vvc_idx).channel) & ",[" & to_string(priv_vvc_info_list(vvc_idx).cmd_idx) & "]"; end if; end if; end function; impure function priv_get_vvc_info_list (idx : natural := natural'low) return string is begin if priv_last_added_vvc_idx = -1 then alert(TB_ERROR, "priv_get_vvc_info_list() => vvc_info_list is empty!"); return " "; elsif idx < priv_last_added_vvc_idx then return "(" & priv_get_vvc_info(idx) & ")" & string'(priv_get_vvc_info_list(idx+1)); else return "(" & priv_get_vvc_info(idx) & ")"; end if; end function; impure function priv_get_num_vvc_in_list return natural is begin return priv_last_added_vvc_idx + 1; end function; end protected body t_vvc_info_list; end package body ti_protected_types_pkg;
mit
37712072a8bf6aaaab596943a2e8b00a
0.593436
3.791747
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/vm2/vm2Arch.vhd
3
43,394
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cR9LtEE5I1n5L3MtY7UjNDSship5Om9Sa/VvSbvTnOr8Boq6tI7NYs+2Kq41LCBDBiafSF6g8s/7 cDcD1ctqAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N44MN2weh1OsD9AnG9MqPfCiSEz0WBzfUoikER/MjzqaH5HiTizxirDc/Ss7blXLuHWSRLhmLwiw qIszhGVtQqGtWD6mAhmJniB3gDo2E7QxDAhYbAhOu+Gn2GNrvrl67Xl0uXadQNshNRlBbhR3zzgN B5LdnPFYvwb9ic+50NQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NujdooYN/eZqyWu8AB4K3h8Puu3x8bAt9CpOjuxtCOQ5FT6dZ2kZ4Dhp21db4f5K8I6c1I/+q+7N NmrgVd3jdIPch+zuKW9MDTvDx47Ide2JiYIrR6cqNZTF2aINiAe+lBEXeJ6iz7kSoceOnd6NkKoG +EF621FMOd68k09Me9Qu/Qmwj42gHpAQk+5h1UT+UUB5weA0mqhDjZu8N1qckdVsu6m1mGP0MMzK af2evoP2KSLJ0byRafEecd1AnHEE4bxKVtZ31cEWI+O5yev+xoLAe6cePleEhDxdw8N20gBnv23p jMuGhAdH9DXZNiH5kxVq/Ar+yQpv+OVaPe0N9g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BccJM67Ot/VOrhruYdA061ynxO0FpBkP+9GvmHe219OnmT9gzuTpCWyVMhVxGv3Y8ijRyaZtmHSF oEoV/qDIWcv/xWyVFe3UZ3fpX9J2QwU2JGfSd31zR2o+IReDuJUECos/WJeB4dIU6KfSruifizAC DnKAxK1UQGBFCLLKAvc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block arJF2eZR79qF88IOaTXsgFsoIEpreypdXcdNWXDkvgKnYk6LMFhZ02U3eeU2c3m/qJzFxmjjSHcp E9AZ4f04xYU5O9PR3kNjUxwgg/jsdeJnmm1hsq17cNQ2iaezH3f5Ln93P3p8xZomHX82XnqozSGr 6RDDF023GCH25x5vEyQDJSiWlgLv8J+3pUpiCvLl9beKBLGJch65yoN4tpZBSGKv/iqbvXG2YpLi 64KhEjueDXCfn32EBZsxH6vT/3COzFdZGxIgivIO2hwZwqrYXp5CKQMdvfeEMYFhkUB8k/hIh1f7 7y7QsUC4B+Pe7hXAE3QGlgKR2klmD98Udn7LAg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30384) `protect data_block XcJg0QGq7hfsOzSJ75I5COyXrOk6JWpJDrw3CK7f0+d47LIzvIUIXnw9xGRsbrtuyVPymtXhBIed RZ+pMWGKe7lUqKEsyMnp9SQ5+Gs02Bk/W8u1P1YsuMeX1ipEbk65aZSU6Zhsw3VY8TMt4UldcGFh fywlebaTiDl69Vpox9mBDtsbCrGWFRHLcVGk2oUVgGtqmZfoDnNsyACURuPhGHirc5fpqNM6Wgt8 vEPDIDc07l7gi0TlPngphwnWuP3rq5BxHzOlU4bfzJyE8e5OPpNqA54ispqx5oznvQkJbE4ulF6I iMqVpAXOjQY1EXE5JBv0i+luYThWSu9EAxka4urT8ARhtMiJ90btAHAaBg4iztQ+Qf6qrP3e4drM OAcR93zbgEKCa+rwSLsTE/IFhL3zwECNl4OlYxMhEhCSUq5UVvEQqAdzCVFSq6B1P6E0OY18fvwT 2ANsNgEQ/Uur8y5FBENn4qUjrb73Ux9mFaLss3EjsbZTzdAYCUMCBq9FPsDGHyt1DtOkOLVUEdTD XkAYiGidqb7mP5m1miFKeBrG2i1odtD8mbNSuXG6ANAskN798U4tmDwGNimAmwoiMV0XAV/rk8KZ +l8LreGdwWcUZpDcoT620sQ1fF+YNEzyRm18YKgV+73zI40H4nmS2uMXpz5qxcSuf2F1Iqpwjs4l EZ5WN+W9/7LtWJJfYyGTgVFGZZfL8Yq+YRgoMkGh6qNpUDErDPYu4ZtmXWeBDE5XTs7dAasOe711 YJ7jz/b25FHp/PCV5hBnbva0kgXCa8VLHwJRLhohpfhxuPQVauds78gsunO4f3Xso5J9wOWlh+SN eIO3HMQrspllnGLd8uhWgW+djKukKu8Byj/F3jHSPwE9MvMLj97PK8Ygn9Ad6gEc1s1tjrikESxG Uvb8T+UUNXEoToZd6twXZsDBED1uJBgcNv/Y/WyiQJ56omtO3NUL5hSs2Nz/lTqHBpU276QLtKOx VJfOrzJtk7cHlmayjVfwjJ/9DRBRDnB279ZW3Xcx9H78lTAQB1JwCh1OB/lra+fxWbcrPneq0Nvf LHglnSnjDlyV3VqpkLrSKPmdxVRsZIw8Bnu20Gaw7cqrXRRX3mAmEvhJjPUAF7CmNUGeXrqKki+H 22RpXE5SIDn5T/DNSnNMhZW0wjZs75+Ds1KDXgyFAvGlpghEFjXo0c5Tx4zPNE10sB95hu1KyAN6 v/Y0AVYoINsmG7NHBB9PlcEcQMtxXGQ0NkuBHeV/dUQyqs5V+d6IgxwONWripur/2bZ3HgAb+CTR 18JrYtCuJkgdpScUsyTKJSId0EPb0qqH94nD+ekL6iT1KB+ChG3yXld0BtQ4NBKnNYgqsrwqIoY4 7QsQWqITLrlgGdrCLdEWRbBOd3j7P7iBF67pSkoSo4KmDI1N60DSWQjHmQQfQNn4ydB24GmK6395 SwPKX4XrQqaCwXEJwraU48/ItDpXAbnUrt+4U3QI9U8OtO7fDj39Hykg4HWPZKuMr4OAY1nwT18n biYtXAeCB+7p4A9EfrqgLomDuZ+gnRRI8M/hsxZ7YwXek7XVBMJHRKicZ7FwbQMuFsRJmG+Eg6xC xFxuDBXabgK3/KyoEQWUNCNR57063+e2sOjxYqTxeH9F8+G9uhHK5A31KOfVpvHOAAbD8UrGhbay bZertFoqeUaBuLrvP2rwhV/mAbqJxXXupNz02RV9tfp6vbN1FJ6x4+apRZR19a1ydr+KUaPNgjve bhCmfmPEvMlTP2mvn8MAz5diuNDTH0POrbDTGyYgGS/ICFCBi7kcB5CoxZv/g2hcsGGGvwnuqxY1 e1aLvFiaMIzsatFRhQ5zsj1BLvXwy0p7nqUTwW0vFDgMELl9r/bvSg/RrZWUriImDsb/gQqBHXI6 CRS49Z/ad3+aTc4OI1NEvGwTl8HvZJ2Dt9faXPyFebAMIbcoW1SAP42krcAVfc1qf+B5kaxMe50T ukLCrYOaUF0w47a4cg2arTc7s5JIp6t4L6Fc6lffD+zLBvobej1YGp/A21lXmL4iWGkEhr298b5Y AdBhwb9cAbQP01aHh4KeoJ7xG8dxIJWVPOKo7m2nBUp2oHYJ+ytQsgxfr0e1JT1AF8DdhtTMdmpT 7w/7fHu0Cuvi+9CjY4jw4mqwEuOX52p0dgwLU/skNvowFiWtbLt3SMfZiKOKM5ImrTCuwZ5j9J3X w7t3BevnJ5h2iDdEogALgbd38y2nXIvoNzzm+KccIFhAHUJd2+KcsK/X2p09CTZ5MdII+csSO9Op FBav21olLby/SZohKA+1ush+oZ59n7is7o5/JXdtlkXn5s6oOw9aT7sX+0n8G6M/XhIxNLqqQuDp 0ZwJxfl+wZAA4rPNRiABCxJl/w9P8yWHDt1SM6zc721thkI4qtq8EOksd06/F5MVlvef8T2vNneg t/90tBrhousWPxZsPtMQZg00KQ7TcnCghjRamgG7whmPapR/1bUYf06S9jAVJt7VGi0IOuhSXfkT iQmrs7lCAGgNVOrcl7QYhCA4TEO+W7fd4+LUp8xL44seMmDdmeefa+8xgnFlSTJrxTmiNTWhRT4N kRBwX86iIbrDKfWK6etUc2EuMjyb7KmGG5UDcDykNdvrb44ZKCJ5eZz6ITgl50YcZMSWUYHGwIh8 0arOlno6gBb4fylHLYwZNaA7FigTz+GfHN/ErWUtlSSXukzPsVL+Qic2TFHmR08G+iWwSnluV+AP /vsDoSA6qsRTSAvr9H5vOhT2RaEhBPdythPXj5hjnmiGsZ21g45KH8f6uTIRkVI0DED51yzMuR/q B+9oITLaEgIcyTaE3ndG5h3W7cO40HVBOKZDs+XiTMks0uuorRTwlDVINv4da4O0/E9zt6+Xm/DB OM9F3ufI7PkYJ9RuVu3b+g1JCTZdg4Daqi47RK3v9pLcaZBJloLwjzSxlIdc+jf4sKDe85WNvyRy JYTm/YYxQ1n9uPrpUu2Jsbc6XB3L2AjXnVLSUXTtMt4HuHyIIMb83lca6h+s7eyaipF1sYrB0DgI 2lL2Fqd/QwxDrfVcfyt+1/WCeXgN6jnTe+Vd+nQo9ckt4tnpmzeJKo0Z4XRXDD71j89qm4K1WZ4q MVZKvTx5oP9do6BFM22K3DIQtulviBaQ+nlpBVSqK9sJQlxrROl6YiYnNp2nXdzcoLgrcOuVJ0Ge sCHEy4qp24iY0pxHh3dpTl4OC8zvWZUS1zJR3XVbJ7N1e2C2LHm/8hTavTvc994TuAwGDLGn3Afn 81fw9oXH+si+c4dM1iestawk8+FkEsxc/3sVnwe8bRswfGBd3RBATf73NcBzYfGpuMXJq+EpOZfP D/Qt7w4yhVULyTZPgZoiWcuxSsv3vRNZeRuIlBaxueaOdGm+H1uzKrOFlQSAD/LSxsbeV9/FBYSK mbaaZMpGkZf9kI+J/5M8KS8em3YKPbIYPT85LA/aWaiam18SqNx3WWb2CecNAvXU6V0rXP5DJJfE 3dLWDxdDqWw/aBZmN1q1BVH87B+IyHFyz8GhSMcMUlZXirXEGI9NNY48CIJsQoTMt5+kECSetovT s9GZbawE2NOHzdxLW2HSe5ZjphhUFudEPkMzXMl7La2L1DtMstthuPSZL4eQoeTRBCONsipg3tEf DfHWL31xW6+6eCOf5SqKLZ7o5CQJ5lFQMOy1Gjy9+lTFpYt4rWPGmQpo4J9yooxDCy0tY+GA49ej JFMTS0R0GeBJOVGBXIT5RcBgZJ3Ev1R88VYZe5t6GRGtsqTN4c6wPNC2wGes1ISZIeSfTzUFjIP7 z2HCFZ9jfKgjgZvuAYFAG6IF6OY11eHif0DvUcbqopsAnWlJu2jSVZoTsBXyMlpSkRf2sSw7Dmd7 eYJlDpXuSFpK7QL4Ze9Lpc8xgZVjMVMQ0vf+PRKaM9zqqnwWoJxjAYiyB8TvnuB+P5duQ4eb8o9W 8KnIKNX1STvvzga/qommdSE/sGzzIOQOgF8TThyFpe4XnLHoEYuZ0grA/F4OkEh9uz88G1hJx9lj 7RjIkgfm0nD4iTKxIRkP1+pVcHRpdaXDStBAGSEAFi5nw9ZlTPRRyJkpoqoqIxPtA4xw4vsyg9Ua UmcAT7CXNxqq3rLJm8u3x4jH3tzOcWabRPZNiAmKhxJhtTITKh4+ESNpZIc1XxvQop6boK8jAjJ3 OtVPG9/EP452mwhotIZRv1Zr0vXxocuYLifN6bqnGykkxLM/FsTDTDyg/iDSuWqKGIF9SRd6uz5W XYYbSeanzLx0krsk69o41cpdYx99l/XQjVv3CNJG/Bj5A1KmMsqeXpzkNVQb98ZWRqBcqxyNQwDW 6maMhy9wNwXJpPajw5bch2iK2o8SSo18/L4yg4A+5nfaong1l4wdp4FhFy00GhZGnDD/LfWutiKA I4ZQXgOYD+OzfDfVA4E5FPHeHrk96tqUmfdrn5KFdk4a36y6iU5RBQtfmyGtwDZ7L9/Dy2X+DksV Q3J3d7CJmftLNNf0Ojf3PL2BvJwex0CNXh1F8MbSARIJMobg91XLYg/Nc7b2Ryq1NlxdWaava3TK r24przyq7Kh6r969ubdQBcl+azWpgn2MBKf/wxAI3A6wPj7YemCPk9p4zfBzYgUzVdHxTKYCs48L vSkKkX6gWmOWuXHeiV9buzm6/LIUTCI1dijz+Su54H4feQtILLKjsuT4IvaOmsIF++V+Xgvh8mz7 icLN1UICoGf6UNLCXFEpxB3JZ0WYscagG1C9PdTXX6F95NUwpONI8wc0RGQV4rv1klLt+ubbPj14 3CTZX9F6Txl2RjSQDzn4fVywkduy4zDr8uK5UjKtgsW3oj+mDs2w3LzkoJccNy7puBpSCEzYMTVP i4x5IiHmU989U1sogD8hbE1FMOhZsPd+OwH37hzKVJlavWClJar7NX7EjMiu+m3Ind0mutVwHted Of55+q58xgt8Hj7Yrbs5hJzD683J2GmAcm9hdf95KBgscmwl2L56vR2dS5K2Y3RE0tqTXdrTuKLC CdH6jpci2Cim8PzqDbQIbQAJfwBR5DNMVXo1Rq69B8D5033h+NnGiAt/H8QVfqiWu6G3Ast2uK0X klWoIN9TCbGilvgBZi6nUXVa7xbEtsOHv3+6oG+l68TFuCbIkDWAqrfWwenEonIRB69wvADRvSU3 9RcYk3/QqCSnDpyfUUbllB8TyVHJQ0Ol6uR4gYIJbKJWM3lxdurw472o0ZIcM8WpKm59Bp2j72ZD WYsJWuOm22EB/1Te+pfBocAPMCKJE6XdHnPcH0/zTkzbMhvqIbHrW0Cd4MDQI9Kf2eqb7ntTrafi NFuXJ4XQO4FEpFkzs5ZWF/NVAhC8iA1yQtJ7a5rtL0Ytzyi0vSbSgMn529qvx4v3qUPOcgbLJS47 t72P8q2kB7C70mHoXDQ7idq5IsX5ZeKn+8t31F57NpQC0BUJCGSp7MmZa7pGyVBWMK5wGS0uLBHp lPny+pUo/bZzuuetpt46n7jPzbFbazkyvuEUwcX1Y+iKIgPVf/e1pKpIsVt1evNm5JuTlrIDAsXR DP+blcmgziQ7YwlPWCrge+nYslWxk5JRZEHe6AfVnvS2s3arq4gbn1MomAr+i7CHq1rskQfB2H78 tZkuNpebcNKkgplEgGfs2b6zlpUOMyWfNg1xghNUOp2djNzC9fYGH5KDQSt6AQ8+1vtY8yVOxcVU 4LgWtsSyANI9RwRqecrs+HwjRSI5hrF4f96kR8mcx5VcFiuo/i8n8uHx0b+DIusJjF6D2eJf53+4 TrrpapDOjNFMS/28dav8X5bFqBo6KLGE+Btxy6nhYgkXNKCzqHXn58nnqvcL+Wwx2KUBjErQyuup UcNmpGfkZgK/Md5NbktZkeWBrrzDVksmXEriIdbiN5IADIBoxcFhP9EXQYwGaUSw7gLTZUAgo2bK oDKodeHegfiUi3nCmYy0YJ9pnupe/5PqezB2pnnTjhduwfjAIzX4z12BV4/2WwOzxFrhuPBR/Ybr qoQhNONBn8qeQ1zoCS0PDcnP4ExhPUm3xGZj24ZFIsLO1YDYSqgdOjZNJOWyQcEPlXosAdJGFLaq k1qo7Q88Uw9gHFNxPkCdt4dhgM7hclOyz7uVTXiqk5mbBDNO2OBPqzecvvT4SRZYGsxH3VUwKn3P dTzx/88EiUQZvTCQmGd1lxnRbg1Id50Pt1vX2Ysjng3FLJ2YyHUgXXXdmEkfwLlu3ob2SIQ/b3Ic iYdx8/vsAGRhgWs58NkcFWarbUAtUgCVy71dnfHt60x/eCIooSVGHgIqF0ezsDalpc3Kk98AznxB 7bZLrhebkp8h8xgeIl7oDj3CJ9BLILoRl75PT97wSPL9F7vN6ONNrQKokkeajywZDFtjPxJbkPH3 EqljBdetHLHR3EN8aFeoeuggbmYzRhw8dqR8yh1NhcJCOh0/eHPsBZrJ8QYpovZUqozMqHlKDLCu 7MfFxQgWIvto+fFPXVJKiWDA6kXo8zxvtRw+6689gqMH7tucWyXE4kYFyq/sG/BlUm7OchF5ZP2I 2aS519lryHlV2wzUO3FHAXYdlFByGeY9zlzz+s7dINo8a98SVLXqQGu5d+HrEC80E8ungkPPoG1y ZtUEPRIUtjFCOEQ2HUs97Bt33x1LM8vApEMpXlJJETC/AZLvX/dkd83kd2Rn1r7jsyW8NmtUwDQv Tgv3Mak23+/XrRm8GZlo7RsjSwKTgCvI7802U2UkBThR5P/3e3cpjHbUtRNRWJ7ioqitKXzSdSX7 faQF9+1x04V8phzVmD9cqgxaEUyDB6TfpgL5h3Ac9NeQgM/4PZYL3ccDsMjxUq/gufLpD/hGl7Qa TKSnyA+7fTmT0AJFiF8UDNYiCCmLUVmQRqCgBdOYXFSibxDUwgkNWxlbLr6EjC1dQcCW5YikxtkP MQuXhRlzELOkoeCt4+6+Ipj3HJamM2WU1oStyKH65qRMMiGVokzO1AfH0vuK+oGsxgRXhk3g7Bf/ C5xl/9S6DpjzxN6mSJtsX+nV9/oezLJ9axJ39OPEq2kw/YibWB6XSl73Ab0d7Jsnq3QSSFAguxPK Viimhknzfe286LaPR1wWUrtMaumZeC88X1YFNGqS0+Lkk1n7Iq7sczKz5rImU8/J6dQZCzEDAE46 asffNk+PzYN5NPht6TjosHbH2LIY+r7CsPLyqPodcDRtqRzvv/PXcji4gj3QZtsPFhkA6iAtKMSW 7vZWc1/0z+1POkT1NnkCVuInx1lD+rDmSZ83iti6/J0Xnu60syagajruCpzv/6Yscp71pAOnJ0Cc JzKci0GLXJSt5fE+kTJwnwgvX69DJuzvk1lXwJbjJa/DpYuFh544YMAQjxFKfhCmdOCoyCt4kMtN jnzsdGMhLhRMXkpSrfMxB5FtqW6D/09s2SfJdSQJCA/sMzdFCh6P7yoQg4cWRDn5z+lq1eK7rIYw kqfH9d2QJJHnV+w/VJ67YFUEiMWRSy0r+HgOsRUKUy/3w3+MZNMGuzAHEZhT2Q3duVAgUxL4MZRZ dvR1GIyYP6iKceMJ8O/0Nlf0bvkIH+CDElHaLsgqLHixmvGAUgYj8boESB4pCxjyfQj2+Hj05kgY xR2JN5OnSdGvEhYMh/evkLiESZDHTilvTkSxAzQeCCQ3cttE7GD6bJdIX8/D8Gof18VL+u5avKSa PZ/YhyxpC0bO28WZCQKvzFDB7oeAztwHKwjcdKnb5SawPBoq8OU1DLxxfT47YI7PNCc3ShhJKyxO 4aAVlmi+Bocu0VIi6xUUvnvQHtvpbkU4XvoeEkwU3KT+/Yqwlv7/FvyY5+LMHpkI0cEvt6uZLITL L3MasZMIWk3LieL17WlQzxi/APF3CxNmo4P2RHtu3oZtb09ufvetVtw4RJpi6E/L94zDzp1evMXP wDKigmgPRNuUeHbiv6QCpFQcwaPwoyX2ue4yu28A/D9FPWCpItp5G3NQWbLlRlTYM+oUm2gnKUjo RsFWTvnizteC+xUHHEdBgN/ePD95pYEngZeqgRLOKr0ZPcjRb1YODRy2LlJHWvaTpUF729ckT7Ek KdmWpCw1WB7vVjCQMpvztUYLbswaItIN1YvdWzZOli2gpV+6YosQt3FZQEE+PLW2M61WEGb7/93u 2GBzdR3SFQ40PIpI7LmLABr3poEdyNlsZURETkA4AOa8zEXDNuaa4HjeYPKB73RlXOThq/kroznA MxbEnUe7ObGC0RLaTt/GZglJcQUp1clgvTywHNUrMRVw5YT8BIE8WmfprTH0c06bwgsphKT3udQ1 jQL/t2Px7T2eAyYbREyJZ/IBHYyWbCwg4noiuvTEZhFoW9GqgGT9BaaTvjM1o76okPcPagVQFuxJ FmU6Tmjr7bmPDzhVr23jBLfE7tNWbrnUgV5d5ALJ/GnCM33kkoYXqrT17OFx/a55bgQjlI+a9rOK 2D2ZvI+q1718G/mZkJ5YZYh8JGAhPe/KfvS1jiXbezT9Bqjzy3Po7zXbqS3dCV0vfqj7oWnQLt5+ ntaurydAiPvVpYo3dEu/IMOJk7v6wYHJpwjeenR4CCR55WQyWzQhvOo3V00rxpBcCzpnDSYg+qfy EbzdUSwqQ1RK4+Gm3Jfpbc8Fp3NRbOKGWtjQxQWlMACVnO/s9q1KRV/G/5SarWhZx/SZYfyp5RfD NvGxqabkCUuTN8cr++S7b1YuBG689TiFsGGRAh9CmphOFVECozAOViC7ckBScdPCuUeHFzau6olI jwO46S7Wo1AAlOw0o6iSXRvlb1zDjXtjVj1yDWI6wRJcb2x8+PjiptVzKjB3Q6bhc0zeggNIy1j9 oo0baOysOkGYpeaf7MRe3KkBV1gdf1HKFOu4hgQNM978A3OdXFD+agJ3Oq6EcnBDmIMozXShtp+9 nbE5YQa1gIe1GfOBArEXTj69oqZx53Y4cWNpUCtPmwAoDdmsbf4DLMyrkiXzURRAoOQY3fkMrgV4 dyDzKuBFCG7ImOv7B8lXn8KzAjCnSSoZroc+STYEaqeprS/3XQ9v95DmFydGawnrDxGI/ryfVyKF 4It7MsaUduhC/qmuvnzLnZ3i4MmI2StmjQlPsowzIc1uPS8XBJxW/LF9cypzZI8/WSbLbARJEkxd yiemjzAe4R7aCy0u6DvWpV51IAd9rAZybN5qQL8DqdaEOnN8coGSbMhMzve/eTyMxYsVckPl2HFo G4+WOn3IcLGGPb0QkBzVFx2FmGtShvwfr/ltAwInMs1W4WxMY6DbNxHtnfr+lt2GI09/KIY72MJ9 XanF2x3JC1VFHbKh/6cfvUhOxfej/ST+nGzhcjLEmeRMgbqVww0m6nhIwaXcjc6dbQ2ieR1hXN+g BrfMb22MhThctWkMIPAq0r/6sZsA6ign+HN8iaVHHfGFyCm7BZxtQSCvGMl7RsJfYF8VnOSFyYzO AVaac941ZJDzeEZxVhGzjXRuce9mhHvlA84q2xbPk0YOPm4VrccyYEQFn14xwriDGolrWzRyQzNI Ao9TMUyF772sxLuY9aZhcIqjRkpvOHImlu0B9Ud2SzuP+Ik39+7Q4TryOOfru5Y3GJDiQppO+QS3 W89E49+vY3aCEc5/UqCiV1AI1NpKeQZrWvrFhagQMz0l+rnKahSRGSTcjaF3zabVJsieMkVpSZbF sKLdmtQxCQYQek/CpsqaFZOerU6nrJ/X0m4yIm90pV2SoVyLLSXoNKhhpORsHLON607IxmLPopNK Dg5Tq6kFl1NElw4/dRKkZEk+CqQwoezRh8FIzFzUR3tEaEQWGUaXwyo6Q4RcJ1EgQ0P1cr3S2vAo H7mLDLXZPt1CEhPmKsH0/q+huttrJZ4BUxsZZGR7zcah/joxGeVt9QST9xmi0K0d3NFyt55ZVkaT QE83ZUVdYaSqmc9sVmWLianUqpHuPfZ5nuQovnJBZCSy/dkfMBR40Zn+rhWv5fM5jbUADH37o5Zj ygqItKEl1u/KArEYAiA6AJUTUfu/NRLMIlwnJ2honzafS1WqvqiKRrTcxTI+hCaBVwdhMZEYS9/O gK9QWeev1D+anGsMj4lDs9e+KIXWdH7ZoVJibrPbZCs0NaezaJX3PiaFvVaKb3ZL8anA06SXzbIM Asdxpm/3s1mQ6C0mcrYGoXtHi7kd25Y28SCmKTrpL6QlrA5f/JACl8rwBCj89XS8L0n7w8MP6wI6 iz+6BCeNz2206H/XS3WCUU/WT7ufZt8hyllnAtu1WkjFq3e/E09rcFpv6J5OOCrKiZRv8sWSe29B PvFd7q5geLsmyWB2dikJhnNjC1ZagzcWYu8xoJq02xJjv67MH+qN4qDnVZ2CalgV0lhMZZgwYQmp ga/SeoRFvZ4WB5yoj0WpX2gXRmEQDPcaW5JARHtEeWRYdFJwqQOilNJpY38nxKHIgywgJz1x/Iuc /OKwLTmpzD18RBOYaW+jmOiZ3S2Q8UKNSQQV+T6Ev8Frz9ONq7JxeVXeqV/nxNLz0JTeacu+5H2O zW3X4hfQxBm7mufA7OmRd8HNwFnd8kjB69D6kjAS4Dg+wqyLZGiVxPB5EbmplWIncNxl0PdmsZud vpteqPiMZNIv/w0lUl4KaOq0qb6f11btThOedqYmNJN9OQ5/I2cfB7UOHSdCL2Q0fvRTKez09UFb itqGMnQzc/8EBgDEaT0plGRXv6oHGuLoFzBjPcujhCKSITmpPkKJBHqzJ0cBczKf2SGNlaafno7w sbks4L1OccQf4X32IFf1mMLYDUmpaDHxk958C/ZMU0IWI6+Isvwzf9Gc9vJT6cqhrufGVZsqsIHW kYX0jYo2bKSYFn5QLY8fm9hiZpCvXuBWwpCm9K0PQGTd9mtAf8GVBj9ZPLmmV9Df4fhD46JTbMqt /HE1f2VwSqHN74phjYLP/7e9TBxa7t5bjt/rBOGrJ/+DrMWl0MoCNkDZ3EBB3TfqbQPPdwABbZ+O 8hTEQ8NdmjL/+Kv1jv6E/Jg/AAT5QDC/sJfZPE3rT4FmB1FwmL/M0jnqgKjh8Icp45hcX2pM2PIZ XlyFOBuL88BhDMh1NRhTiW0l4+mzzW5NuVoGludTTkWJLwS0NBxU/ChcvgBmEHD37Gw77IMclYIH e5PpFq/dzASdw/XwPCjVc1FeGxR/0UYiIokRXl/TSGfDqQA0LiGIVegc818XZ1kWBjGmU+aOOA7z Y+XRVqYgHMq4dp3YKCDvZ3lQoLB2IKcZAvxx9zohlbROMXFXu/PejcpvttWgJIIdgn8hg2BLtLAJ FTAjiouBHVjXs5yReZLHvEo42syu8k7o5OsEfOhBuIyULnxl48nprVX8WCBRC2FS2Rg5T0LDZSJZ GbQROO/zimM9ue3x+PslBDsGfWgUomD9phuYa5UeNs6XdFYvXnzdBiWp8YplYZPTjOoeb+QCa+GX 8zXLtjXK2/f8P3UNiFZXmHaXJ9R0X+Z+rFUiT20LDGe7ujdMlVk/iD6hyi41hFkR6I6I+KxjAIbR lS1tcqB5uFLbbL5kiqFXtJ22dZUW19pyCCJjLu7jGK3X1QgE/NZ5zUS+nszVZK949cWWJeo0htVg 3jC1JdkN481UsCJMa5N4CN+yGea/I6W3YJvZVav4KKknDq9bRaMBowDNS475g/rRdoIVLp39YEYa JbDIp1C7ABEz3KSkGc+TjkqfruyrKLmgR8XBrIjV8fYO3UVgVtRhsHmJD1y2yIsioDtDTTXW2FcB SWuHSbq+90/4kPSYSD6SLndaWeTmkl+qG0P4ooKrEmK7PifJwQWQytnEJlx8HX8OHIJTCXgDigOg UhfEQw9DXZaWxKIhr4/wjPkQ3utBt0CWoyn5mdUEFWaKvYCtI3Devlj0juB5D99EHzsBjlar0CqN QIbp8v7XrVfStR3kNDfgHXYmZBNSEzzkh6emg61B94Dm1lysAu/D3Twc8xOh04wJym6S+Sn8O3Ae eHbB64Nrepa7dflBN+Sf00CSZIZvFg9eZ6jlic9kLRkjyHruBc0aHdkkUAFe/P+vhoR4j2EeA7dy FK8Hha5RwzpMlCkXkYOroN1Gt5ogmflJ7AoG0tGgrm7hxrXxFzLRYwXp6dnprlLm7jb4ROw9Xxo4 /SqA8WEjUsGf1lg8I8VipKIeDIF8Si1ZqI+7bHsi0p/dQkWhhW7VcgkztFaH0TBP1rv+kMgbZ32Z HN6YJloQuC4A6VfuyRYAOaMua/IXuYjV15JnTmuDrXPaqCW2msXCpygusPfiliqfh2mXFW8dzU46 +f7c30MwlYXLLHW4Br5tsNZrvPoVW+HeyTHhTsTcd1zMXUUYDgHsHYoU4St5WEI5NuSylQemF+ih y+eEDknbDVc65J6VZFKxrzqCh4cq3UCKzd/qQG1hkO6/PbIez4zDLshP8evECMsJh+i2jEEOM6fG Xg9PevUxOuelswlBuwQLXs0oO9+XeaLbJr0Gs79xw4EIa41jBiNqKz+9YlhCcIj4MBgbVx/svAJ2 o3vyhVWHfhmoVebftG+ANWCbeD2b+RvDg96E/AfSTh6xXUhMAoL9COiRMshwy1OQ17XL/pe7UwBF vN/epz2JFDYHugQxGz+SkZuaWRTrtSYFkiI2e9/J7oVJuqN7s7isnC0I9Squ7YXmc0aAYFmRcAkt mI0UC5Uj5nT71XD7d3KT93CgfUWMM4IYIt/MqCm81P1jBcMGTgUgTQxE7HQO8HH8J5DhSO2dBkq5 6THr8L++rr2SKxhLYaqLP23X/hKkgsc34uv1nR0bYQU/0fchW9r6BpbhExVd5C3zhKEU9kzNjHvd ls9P5zCCYJUYTuRdPjBphEMabCoW2YprCkVqhOF7+/TQZyn5PoItJ7uaPIaESmBj4/x3DEsDgP9z mfvsn5fN1JxkQCU6S+1rRClKngqW1kJQIKT82S+zHs01in3iKfQDmw8j+AVGsRqH+HuixgF8776n c2gRRuHQEErTDSst+OrusCj6TJ/ORldzAOJIAsyJoSmoXY0esC8PBGvMIhGilzL+FgRNe/ne/Flt HPAtALn+KIiuWwbn+tduFIR8Vz3ZnHlPJQ0oToJ05MtAibP+thkVM1Gc3egWr6FqnsFUYyNAvKT0 GfSbv2vMO2zAVV1dKoCnG4erzrlOG4z+uvhPTSoMcioy81oQ935UcXE8lsUqwsao40xG8bxzXC8I 9utnXmKNcI8dLAeQlHQNMBLrGRJt/iw4rWeF7ayW/RZUerHJwkxSFzbR/p53mnFYVySpT7J1S7XD zXvGK8DpJXeYdaB8ivtrXCTCiDnpmpGiw0+krsBk+1GVYeEjXf1JlAmPxQ0mJExc2SoOk4XWpd8u QxcMDnPo8UpYi6jNaX5wggCzMO7/5u5fF2Y3MkZYBLK09SDXZzT6UbVZ+Tcw7ydPtzi32bl0/+7f tdTPbfBgF6a8CvpOG+J3z/z4tJqs812rE7HOq0NXop1pGc4wovqiPxYxMYkiJnmXYykiq8thp1uT Q6iYj6GQ6R5rFYdnGd32cachTA7pbkpOcm/aq2hTVVo9qQkO1fMkQG6qIZcen1Ct8EkllSUjdv6C usi1iSjxUFqqfucr51stTzg9WeO78zN0sMLMVsca/wk1eZMmzgDcywWaoWpk2qCZWH93j+MPjN94 nO+mKSmjqiM6Jl8FhEfTVGX8n9z3hnO0oUUb7sK5QJeUwjHAxb2gLOUUE/hrZhOEPKnAOsb03hkz JyiVOuvK3Cn4F+dziwchagttTcNo2wY0GmX6nAt5ei+SRZSQAsKYOPDtWDTzqcYMV2lad7tqzFTk WYZvW6+XFzQDBQ6UAX1lgVo7e7KdP5/Lxr1mQIE9uU1w9EBUX6hGmortB/JAo3OJErn+6hkLysiS hK34q73sg8qIp5bOVVgrEKU0q98YXfq0uGI398cwKbUEZnjz5tS2C9KaWCBXYp84F0DHOIFqpnUz YE3b1eXS0LPO/2DI1OQS9tgMIwXTBCBtcEWpYfqSgUwxu/qKTkc+mNXppw+xKuR4Q4Ux+0HDtQ1U PmD2pVc229wxz8UTNywEe2VmKSxDq2L3Vwe9OnXwLTCu/sJTwWmYmKGRUMtOKye/dC4+yFqDF/qy m5eciZrMmwNMbS8vplsqKhRBaOGGi24BqfBr5Ni2MUhxGmj5YJ6/jQhc82HPC8z+gnJ7ms++7ohE mGx13yBOX40p/Ig0PL2SGOFSTQn6g//In9xlGRpYj+e7AU2n5Rht5JTbiY8VSW9/nuU6rbQdH0ZV q8o/A1bcTKqdxbnw1OWzui+cHIeeI9nRJblVt8fyBbWkgSKr9EmOGWfvRXXRPjmIiMOyGtH3/AJH tTA0b3K57z9BrmZL6X07XS9BBzM2/Yhw34FpFqSpSNYNVXJdaKxS9CgO4h2kOp+sDGM10nYRBIzG 3ggNulPHTgS6QYQCnevqpQOX6Nq7zr3xFGqZbi2hd+L/UF3Vt8SndZKPP59uAW3BRCrtz0kI4imz rrzIIhAhtvNhAVKHTebaWWYAJdrmNd1W2G5HVjp5eN9BhpkAQ3aUU2zBpfX2mYWa3J1phuMJq1+P EVS7E3L/bS7gfRbvu6wvd25kzXK62wVeQR6uDefnM6QJ/KUT24KVfCbGVD9/g+U0hCV6gCf+Mpxk TVj3J0Qa5RcPqwAfuIsN9/c6qITOx2fBfM604xdB69PsqNHEZHugrivrvuowyS34Jn4Eg/Cahlpd ZojTrN7lxIQnDeSt+ftL+4S1b7XWt4v6o/ObeaPx0gqxq+SZ1Pm1wYkg9skLgL+8VXCLz/HL+yrc xyJU0Oz9E2Aw7HAYo5hKGKmSZSo4wl5o7txqGAkfe8xdZeVEsLu2BMMslhHeunrz3mSA/P+ojsqZ +EelfZJR5YiAWBa5OqJUVnhmseRnMon1GrqSsjfc2imok1m2h1TAXEqYhGxMkRq3ukVd5RTM8XH/ wLEOajjeo8ivOEnwd21lmy9oMr6/HFyTCpX7oLqAWl01L0sE3j81uuGspbtDllX3UrH1114lIJoN no1vdCb1pOWxxjcxDdJViBPs0dZRkSdK/4A/nFXUZRAYWJS6KftVw2lCa/Rrpsl6uTaZZKCi/hH2 uE1uLGtCV8flJYEbVoVjhDEh65GSUxKxHsZHjWnpahUDR4JvWjTbbXmJj7P3u6pGlqZL8iqd1BWE vHbWZmycZSVzOWnWWNnNSUNOY6e5rrj+3+ecz7iTLsehruXujzunggZSN5A8ghoMV4VIZ+EliUiY R+Tu0Irr+eEXvseUzLbXQ7BEnSoJ436k0w/1GMDQ9oDZCa7/g1kpW8l3pUmjOQl7ScPsr37ST8CO p8dcO6HDSK0bL/Mz5mEqoxaFnNpmJQapGaPDq7cetgeEv3t/0zl4W6zIacypk1MohR014twO0EkV k7J3Cokf3aW6aM732Prz8uhPMHmAg2qbO2ZIMlSWu/UYMopbnudSAHdPFLfJ88yiLfmrTkQjRb1S 82udR3HK9jpTlEnMK3cFpx2t0dhPxvKSOlz2a1VSAzF6CTKTza1K7LX2tu7QRP0uHnXnfFifNVjL cBpYoSu29ykQWXyrgbc6AR7T2Ty0cV3ZsjPSr+8IhxkfGwKS89YdvHTpAyOr+4xezbL9/WUDRcYg kKUNpIFlUwvyl+PCnPTnWDS6HFT6yOqkCVAcurOyRSSIIUbrFmia7FogUjBya4NoCy6z1j5jOO/O EXuI1oNyF4pRl6k7HaIHaWTvjaU7zklCAGORJdfSSfsOPoh5q9dwqtGNRv3KQywe6l5bpclIM7Kw dJC4uVX+VzdI/u0sGe3JQlFdaiiVtVRCYQaIaQttOvwQLDzrFeoHGgQLDD2RRlUyXAf1mw6H+EWf +wt7DthudXAIpZk3D6JcLfYKecO/ZP3vSFscVA9DC8TX9C9omIv8kWRT9S1p8TTAYIzL3q9YRFVz XWr3AUF9hUR1IAr9+X8as6N7TOP4Zdw5hobJvgrxYlx58fIftomjV9q3ce4AJpumt2R0jeHv53Qr sNIpTzKL9kAXDpoaw3xZ8Fk6YL9GQDCYUy4imENVV947ygFEYl+aVwA42JZl2h/ajVkpnK4rukgu gMrNWQNMehCDHll7i/c4W+kdQ7z87YNl0gH87xFqPCvyyH49M480TmcIVcnnJ4wHSKqzq9f+NP2O 9INawtkkOSYvvrVQyCdEcnblob2/D2VBBRkE4mo+e7lvajKks17R4gNkDlyAsNcNQT5s0V1LVgsz TTnKuMOKCrVuEmDnIetcnzj2A1ZqEDKpBiAjh9SpEif7MLlCjsMx/fkCBncJvZPPZkqoXs70Lb/w V/tyv2/IDl30tZ9Ek9W9PGHWaHJOS8G+0akijUZLKD52y08Qsl4M0N3vcyfPbR+OsMBjinpy5ST7 MT+ExwR4eIV6u+Xa+oaOWv3RoUhyi+DhesCM+46oM9qy8KhoOoj7hMvE+s1z3vj5JGt33XEWAJ83 7b+RV4iE8e0dn2093WkUCLsGlQTza8zmIfQsQwsGArhLRR+pskdgKXHH6TmaREr7FW9EX7SfoCzU LsUOX9Eta3a72OWx/4zfavpo2xqnBKPdEuaeITVw+hARggL/k8+NqiS5NFJwTY8+lzB7KIYqtT1I h+4I2Q6FZ8mSWCTgjvYcwRUJoVDSCte4/NT4s11zs9vbLt7/i+MVFGQcqEGZGXEI3HtW3KhREhlB tJkh9MaBnPmfE2z4ZCriJpXQGWSuDjgO56jBPjSn8SsngRynXsIMDV+eGDuX5jAK6+H4nLMr2QKS Ue7PBGMu24YeIq2DTeAEaEMbYAvl2iAc0P2Ao9kBTv7Iw3oZNGe2bAS/qjTYgEwGKrijtNWDi0Ut 67juXRwCM2C4dF4xK6dybrQJuHXy5LniRsO3bK7AauQo6TbaJtgfTPtG3UBqalHueJ46T3u6ZRgU 4G7Xvkh6DVfmtyQDcV6e3gEyupzA2HVRzj8oFAbzF457z87FHOqF0XddJ54juSIWPOu7AGf6azXl uqP/EkmZaoMizDAOn4V1JO8dNcrO0BpmyR/C50xXvVkY458CjmUi30A7M0tDq56sYCOX79707yqd Kc2MCC7gzzwpqvRhp6eUFIRRRno5uf+6+VKIptWkcwyqPxo+s2OnUM2W6b3veIuZMGqTwzqY+lLD AzoSAh/5NmcoriGt1N/y17hANydDtxbPV76/IZR89XvBfV5kmSXaDdQ6J+B4xDq6KuMyLSupKI6I J6ZLT7h0tUPi+AarFFo0ZQGRxtJXvaNOUorcAGrYmS2mOCMJuksq3vCYtWRcuooLBz+XE2cb6EQ9 t74QXYzxvW+y+opXpAvcm5BOYM9dI8gZKq4KpnsPXEPW5jZVYGR3IUVdo2BPySHRgwOiAEF766Ze lSi/4KGD4wREiqVh3+ZwSe3icAYYkLjqA4L+RQIFqNjIXaCGKjkCVTreZECgh48alxXXeL4NaTFG 8BhVbO/x+fjvEUPzvd0M6WFZrYMgJXr6/yhLaD1NaK1svJALjrnYOlmz0ZTk52q9jA+5d13epD3s /T8Jfb6YhZ0RW5+m7Doz90c0iIxA6T8ZckTAlbqDlZS9L1UKXSeoQSMVz9WRyPOkJ4dbEsE3Bvki BnB1+MFjl1rkwKr0DOUBTM5NGy3TZx2B9KVcciL2jXu2QYBjOO4595ktU9UOTz0HdJSIow62AOVa FyP6E8DQcNBO3MNczG5ZM5CHKFyAdMAUwGpwmfWv4y3MbeNJy7bAfX0OrgDRASh541444M2plwZr T4VndwVD7JCwTF5brC0YO7PoTs6vQc/z7FnD3NRTnUk1TIr68l5697FdkQ5hrK+gprs1rs0fKacA mQybU1B23Sf3kUf8Eca04N4SN4jNTAKhAdfo3s3NmLUtS/I14cDmSA0yhO1cW8ep6NKwGxoCi08r AJBa7fOmKE+VsjYVNqzpgWKPBankNTw+XGfACP1vIvhCm0UWORKOmJpYY+0630zMbhtKLuhLx1Ew GYz6jQou0D3BajLuBMw+A7sgOypLOvV9yCUGTTUxFdSVq5eJiZppgZ9qYFSBCIk3/FAbFCQ9rbXH dGYFIWzNdaBWHXogvmEGwnrp6HvACCfxsG/Dzqfw6RdfkahbyWWKPzgoONjZlCCocy8CI99KQ4bO SZvXmbASfwvUt+hHM6XZa9oI8t1BbUci/Tj++pw7oqdds4hHs6Tp5/H/Dfc4mel5/7BC/x+a5V0z R/yViHE1fiAD/J+VqUKs2XmRkF4K51pYc4EjXfi3lSs0f6WUsa7WS3E5fTm+Dg9IOyoY+ITvpxcY wYIP5a1FLptIQIJGwZh8DLyYlk3sOts6xCzhNNX9/+r1N8L7j7lAoXuAQ1jlj1DVgYilzhccuc4T 1Dr7JCZNk0TAPWvW+BMAbDhukVnbRIVwvoPmCnEDED2wTIDGE2W1ClMSVzlhYMf0M/bPqsECr4LA C1aooOVNbz0LlNK7LqR7h7yhUWS7Y7yJSP/olQqUaSl7uANBLD7RNIHFiCSTWolCFBoBi0R4Lq15 FuTt+94g2v8lHqChehWgUp6ss8U4lsmKibuGaZn+j/nWrYNiHqJ8IYsMtujtFazdH5utX616iZJc TPfEPk6XwZ8gW/KONelcyOvCe8soCaXuT/91k95zx6ryDYC2bpEqH7MrH0cCKFBsNnW0vlrSEbuc Mj+0F0EM9pepFG14csMInCwbQ/a+jwPmRv9rD+RiUQVL2prkWYKZ1fpHv56slD0hGbLLTd3sSbQB lTySQyx1GeXLofH9VGQKHqL0vXXugdutPkacbetwR9vPaOKqJ9UWVJHMYG0CzBYQMVZCRlJUpRAM 0CLJI/kZZfi86ihmFfJAJlt5x3nFvHF8IqMfZRmBTQYkZqRnJoimVEe3PUeco3rkyL33JOoxSQdP rUD+VzenlYEPPZO5VMECHWNIlYRjDNmAm0O9Br4Zihl/HXp5y27bkH9qTxPiX6d0cEW5wRY6YNgU mLh+1bZgWmawF1iwkg8RfLTNIO9wYyltpCbcQj6DU9nQ9OmUz3BiPLCgVhgG8lMSe9ODXuTO1cJK weP9yZwqlRW3x66UEPmefz6jVMZZSsRwlPCEmkupLj6Pxf5rDhzE8boVOqwZPZwBgqfbM3D5Cuk6 Xvk2cBzyh4vocp6hllAKZArN3Km2SFxljNA2wyz5jKYyC3RkaKuTvPt1Q05LqtrvhNLlTgjmBrPM SI1JFAKhF9Yrm8+AEo//JEBz1lZVQZuwZn83lqEfXSidoJSTJDYjFKnj58vqCttQ24dWI1EQPPcW ijlicFEO3kaupO46plZzrAfEdZcdlHS+yDKvzQrsp5nwgoWgGqysmzodLgO0AEKhkWNwSwWgDQb/ 7N5cVB4G6ouyA6wDTyHxtvensk9UawGHwzV2bNAXGKGKG3+RCvW7OHicIgTOFZ65mGpeOx/fJA5L +E7kyn3TGJ9D3f3VS+bcQ1MuriHsPV7vBqsvyaDluw4xfNArJ+PiTmAEDmzN0C0ZJevDHk/2tMSD iRAK82ZaCNrjoyYeVem1k+QUMj3q4V+3chEcE4T8RPxRbtUDr757wuEDInwVfZNPb+FISwuxEopA phu0WJYko3xkc+uBxQ2udY2p3NpbVE0k9Ki4g2BmqqCWrIheJVCvnoVtKL+utxLRW3+qDtOfRsgv /NxloOSB94y8gCGOPjgkmr54XtfSu63lCG8gK4RWlIqah2OjAo1KmUwyaqCRh4zBBwA0vCHMThRw 7FfuPR3QfTcM9j1FwJsP8YrjTzkTANB8vq2krXQeeIUJ5WcnKMm5vr7x5Vv14GR4GrEEePqUl0uh 0JtmP26W7yYLl2nJJho5///UP/VD+p23sASBolh2qn7Zzgq7UnxAZS5bY4VhXNZ5T4dLopeg5CHp ajJmh3mrbXOAwBvp4sNrQm/r9yBGe9tdDWb14hSZIFXg6ojXuAWYsXNp5JUhM7RPIQ8SrEnsMzZr hbp3mAk15MbCcRUze55kKNEobktTPf8EmoFwXzgpvGwirmXb3OYY9ADH9zmmBoKnmdYsGxRyKIzV /YSqofT4Cz4/D5ppmeDwwTxRWCpRKaNvhyUsNGygsA33a280pHZo7MXmFmylNBlVV4sBNBcCa9ir WzKV4WuW7AfLFRcGH6pNvAz+G2sD3ypNYbUAUEI7/JdCTuS/S23jhK086jjqFc/+2myyqKy1WFix uhARcnnBZPV3MUmf16d6Ai8GEOlUQeXDVE8nLW2gGNs+U8WBVEM8EkpVF3OwCCrE6bopI78rKRsR 0/H9qf0DVNQMA8Cx4nyNjDeraBcD4iMgIHyZVLwfKOQxB1HY17O0MYonG++67h2+ycqKwsmLJc24 Zv9MhN5EqhQ1ueyFGyselBBwNfGaTzKlbZIZt94bAuiSZDmzRXwTY89wPb+0EtOH+ulXK6Q2KO5J TrLs9DpRRoB9PViqth+aNwQ8Fn7NoLm4iumi35uoHXrmbie9ZrtkzkYqs56/wqEY8WEZsloJ+dZT mBMJXmdJWgileWTC2ldEuQmxV+y/Zz7Z4QeUIdOZjS4t7/KbPayi6Q3GLL/+Eyr5r8FbNj1D2cTj ABxTRyTpe5FO7n1XsLpQsR4IFAgQbub5WnP004+pH6XkMd6ap/Ho81uczJ7oQ/gO8aV4lpb5gEtP HfKW4MCxElvr2/xMHMfJLGdBHvSt+RleirLOJcvB2vWh+YZvhklb+gMg1GUJplu509WBrxqOtjry ZKqgTrJjT928vpTcXJ9JlCGmrq/J5pLSMy1inhyEVw7BXJpvag6NyZhaFlEoGUnTj4AJ/SR/+48c 2SahYnLMrOkedp5sh3+2tDLSJPwYtnr4Iwo2GFfFVMAT7eTvfjV/6YczSVllCG54rnGL9ggLNBDY fEsmIdk4eX7q4nGrra7LPpsDy1paibHQLowygrcx7uKM25zT2gJiPtGvZlpt2KqfoMfz6OpssYKQ E/0EnJXptTAECGRWwsX27D69mJIAMDpcxrsaEirKH4ADTSD04lj0JHRcikZrqJwfKsM1Fy5Mv3L/ ObsB4FRluytya+Rn8+E6JW2IWU3Msm+VPLUMFHxlIx8PGVZnIJ8H0uiLoQ04P4swzHDwBwU3MYdo 4LgA1GPJ4ksNHWv2/0MAMt7FErCA+33Hxwesrhq6xPH6mV17Ltam1tq7MhUqRpolLnl4E/ksfyjV fgWIpdW8rFgPg9+ruL07738H/MQM9aShNdQfIWKm+QX59NbqUDpQVsFK75eDTYkSZHKWeL0l7MvT pcXqMTxMW4N4t8S/MbOiPW4Z9QywaqEzOCCARxCe9UleryhpSm8dJc+oMK6LEcNP153iV1dEhcRR 3i8Ls/73YoWj6R5s2e2+IFhCiUGQr6JM6Ao94rrtuJVT1OVoCaqQjn24OhoTJqAGSb/MHQEmpRdf Qj13Yr2MTJuxU6JGHHz87qSqQWPd1VnwIemewSRfg8hTlr7Ywj/D5ntws2uqTINEP+jskm2Dm+nT AN7ZSUFPis2fezxSTVChHSZaaZDZWiM4pOj502k55KYfVfv6Tg12Op0T4IeUL07bUJw5jeAfJf1K Y4tC8wCxH2ohJIUNcbtjwL2nqt4XM2PnBRmSUWUUsvM8cO066PxJoIxToifMRo18L5ShKWTaCgOL FhpAt606n6g6SKHH+NHUf5RQkQ32NZtYDNCraJLgF6ITf3fhnzMwrdCRnhlGb2cBmFl4XIcU+ITs 8S7dv85oHwv0InRuzeF8g89OPJhhfj2As4foCcCSIftsnIfg3aANu0UFT2/SC7gKAn5ove+fikXI Q4jZGkqTSO/R4e7gpU4juBH99Kz9dHccJ75x2JVAI1RSIxca1330Zl2PNukWMLYdceaziL/P0nx7 bV9er0pt4Ok6BI5XC3GdrcyuYF0JBDyPQ94Pg0M0Nvcl8m+To6nfYCf8dBFEVnyIO/pTsaejxZtb tRvISMKHb8Qwy1OC4F4GwrFApmp4fvrvDm4iGNYTUBl4PL8BifF52wwRlaEM6Vboz2TPRmuctE0z 7kz1jO2s7rZi8ROwOsD6brciqwJgI3fqOTLn69NVE42Eoea86Ylhiy1wEWS2r08Nl9exfpltfQxn 7urSwldPDRpnp1wzuuDhVvGhOCH/L3AdWvKEWye6ozScxXUaJ1MK90HcCKxCtaeGpPqzYhu5O/fY POE/VQnAtrGPVbbxeCZYa3YMvBVclMMWMk0GnaF4gup2CAuBRIp4Upsa84iAatuUU9H5P1VD5gbJ j21BcswvOdd1XZV3vDY/ilmSI5eLD5SqPOi8TNZMTH9wT2EnjaTxqu9+pNfZaclErXUlJQp0k8ZC BB0HWzOBTGzMLL2LWqMyR/hExFe2PXjBKN9cQSAY9xLoKkXc0Xa8Tiwk5bCcNC5AvSeaeeR2hxnS HrbKhzq+0PA9DyzsoUwwl1jcvH/g2MD8S6zpBMkggp+uu2Q53j3kbpPe2GaijOIkBU/psB2ldCNh fJ2W7pzewpiixB9Ak1gU0m/FnQ7ihVjYMtjo4W5N81m0/igf0V8fDLFnA4FGRE8jy3wrob6FHf3g 7BjyiKAvuvhnjtZuuM4FC85+C74B7Y2W2Nw1ZvidQlQSShJVroplVyprdGQo8vKGHMc2yamu1DPT k36dKoKcjqkyH4TVCMiWw4L2tEke915s1wBcKLQgY5JDqKyaOtAqD22smFHYYXhq4icGHpWiYbsB wK0R8J0XglQyU17V+OIhdKIdGURgLpQuqWG2N19gZ2EV4gL0JUg3jaoseF+s1Yu2SJHMqtGnzPLt Ldcz+rnY4nLYupJyjardzfRyqX8hBqK9KCmxXkNj6hd36eKWHbY5lKNdtBHRa1Vfc2FDT+PvYWS6 C8rLfk24mnQt+HRFhYTcL9xSes7hYon3wJ/mLd7o1XkgT7AZSbb0jvtMVwmqSCtHKdo70CWEPJcS 8UScT5KxiDMc1NhJlGSDrfEorYcs9bkxKDE0nHcfHe0IK0e1kQjwfh25KQpNjvnubHK72sHssT0S m/AGOxGcjwKjjK9fmOGz11ff4AjnTJo/6u8SXd/gF9XbakVdqjhzTiTPlyl1AONLfEL2Ls43hrqO hMMlc3Htlvj+SiTnEBXYvjk0DFIHAY1Q5RkXdnNQiFVlDoVzNPyr0mk1xNfTBgepwzipw+ZaR9sL VqHQKkcS5sXpyPr7fVM11VepgUntv1bOnh21UALV67NdPTQhzuXdAlyqtbzbIs4tkzMUx7D78cxs UK2UT4OWeW18ZlC2ZmWsGKa7cSaYqcPR0C4GjJssKqRqV3ZHANqwGy7M3qCz7rHdmrm2vCJRj35M BLNWLSrGB7tCVov6tP4G1BEZfwz5Rd0beQTBZJF/DZDWk5bmdDm7sWDxeG4F7bZj3s0OqYClsBGL npYScWRA6L023jdP5aXOB7JTnloB7KG9EBztD565D8H62SvrqUexlgGclmvDXZ3Tztahp2iD3Wl2 rklkUIZ4gJS1mFc3fhbWUEuYJO1nA7D8YCPcKRZMbV2CQ/WGTzwgPM40IEBnU3cBHj5TBfDGifU9 a41pEK7nLp/YmQU2/quZsnvI0h/o3+NVsBvRyTi7dv+6Ka0ZrcQjLmXF/elp6df08qvBtd2tsrev B5F2NjLiEOZ1633c29K6Z5idAlySMX7hAAktKMBgo+ObmbtBXq8BdgDy2PJexL+o5emdZlIcYFyj uqpAw75WRlNIqBti84+FVJ4IfaoQf90yDaRDVmFtG4ALpIBm2FkEf1/gLWhcU6g5/ImA077Viqj3 T71priHOirfsL6soqa42n0s1R5PSJ+CDKupJX3AO9Xz3n8EQOMCw0dRdW1KjMo/kLSjC62K8yrHT bZGNJ3fcadnaT5r/4QGg3UmcDKn64T7TH0aOnPAOLEtE/q//kGT4Lpp/dDseSzVfV4gzQCsAik6r sXE5scvzDhoWrmKKDrlwfex59P5MFiqFRVoYKe9w542q/sqNiBPT3qtN/McdNuQk7dMbTLMjYmvt Sby/Piy+vtQNx0kgkAbcKR24/pEjzYZrcODvOU65eIbi7+dRfDzhZmMwGEJKDo/gSe0uHOtlxtB/ dTHMZxn1CMw2xXIB9NFQ78mRbu9gVNkBOtZbjdfi5AlQEhwlW81+WLksNAuK2oXTiVnH/WOfbb5q e3aWlC1pa3WsF5hWXkdk4EvXWeGxLd+8fyM3k5SnLRgXQ/kXc3zQdIgtHs7vECY5+dVANGIOog1J L8skvKZz1A4080Z7jBGuRra0SwKHaAVt8MofecordrxAEtmowPL5QOLLJiI2l9QhOb5tBFHmLYf5 TgYWgGzde5LhpNT98jbMwpbFFketdASiOQQSVe/yHPHCFyfqsY3kYbRlFcByOjzKWdIB/e7qIdHw N9r7uprSIdafU9+CaTLETnf1mS0zr2LlKVDZKNt83XVTm7lYTknKrVGc6oCCXdXlStXS/ageaW2Y qUYphsqvbfjMJK9tm2WvJJLw6N94xZLboM62auHeE6v68IElPibeNI9LRa9OlCMx4aVqQTiwCPRp aLE+vypga0KorJODhZLlUtvbWWX0L18Y8RzSksy/Mkkyf9+VoZMGC2OBICyBe990HKUu4FweieQh y8e/3L0jhs9oPu0ufHEHFUaIA6f9P7TzQ9RlyBCSkchM8BlJNkC5GZZIlWlfWr1spULmpv+YpnPh HXO2V7Be2yvYp6BW77SAjiipKM7PHvMolLSLp0m4ElC9sf65aPEpXny0NYOvtLPoXSYWCIaAkVWq p3RrOJG5wbAeqQehXbiITh9zAgX5R5ji+MIxRGdKo6fnZAJmmKtL2U6tl4SEtJ3S2FsA4CB4DDEi 6+jSrJJiejWqIDt7FpQCdlzDiC19fk/L1dSYYmapEPJLLwI2m0LklHNQ28O5ay+U+ZGn8Yr6t71r vsw1RQfmY6hPbXJn4SD7jsLTSqTXR6o3b/uPlD2j2KgmPVN9p3sFdrywGFI1ZqdAW0ypq5953ULT cSqXuTKejJtyuxknQKBT7/+UkWHZeT2b7ZiyyClp5tj+j2pvLlFM6ftoiSpvL8CRdWCYQ46rICTj 7TKI2vtBfRFP0b14OfqaeGGCJaNhmxgv/H5R4LdQsTxsntRgdKaxqlqUu3LsxgEEmhtjgJ5QaVh8 wN8Dt11Sf214jdg/uOLseW8faxGhQ6OC2MwnzfJmlw/HFSLR0MYqb5Kh4w6QHX7nECkLRRCuODuY uid2YhDLhcUC8A1W9jKlkFWeOJqlnodS9Sh+8+8Sovpn4VAoXZ8oX+7dkDuCjLJL2Af+ihHRyIJB VdNEyfxz3x/Al09G48L1XaTCeNn/uYMxjA7xIfzkdIijiiQSL1C1YwOFk0Cjj9MPHkA0jaUSnB5f vHVf8nHoy4/lx1fqd3fTzBhmWItiuaXRwzhry+7iM+musiVT53PwhQ3Nzvk236VQO8dUJOo1X/be UlT7SWp589U8a5oefJlZhlRtRDFt7ad9ZJNr1Kw/gBy31jrR34ibgoA8lQU7siziywXTZStLnxFT h3R5We5VoKD6fln+ETYkNUhfvBBcy8RIH7DjtsTLvWVD97RHgBUDFputN2OuCT1W+89fO+qS/kP+ RDLnlKSeMr2nne+s63T0/arengezLT9cJKJ2HUsgX+eKFMnQXWeWUWxSWfyIjGGwj9eW1D8VAICP ZMTH6wwBe4U1fhkRibNlcx0cOkt3C4IM9XdIDUrKzeGjcb7wp5lcfVL4KwoKMP9gdAYT+MteMS22 42Hb/1GtcVaE1mADosp47Vp28HPa37Toc2H1yFNqusn3U2dPlADkLXkCPGPAuvW0QkgNqHaNr9qW 1CrW1q6i4331C/nO4k3wpY3QhWY5cyr10bl7DCaD+yv4vE9N1pDg+Qou8Jswr7YPiHw4/yxTQeXz Oq9LM5GUw9iQ/tXg/prRsEH8HJq4CJ6Dn/SQeeycAodErp1vlV0uT0tGBDLmPXnShRadZP5IrFDr 6ZeyTdXBeZZPagosvIW1ehkaVCzt84VaZVOvfEET03mfyF5NRSWG02eZgr9C9VAM4zv9pTV8YDBV oc+qMzU1C7fOj4VxgdsjMlfX8e7Tt9mEyf4A//zhreEEBM6wBIoEs+3b7iv/ub+S1CgtpbYGdGAl Zw8sIOCHdzYnL0epa8daM9F4qN2Zxt+qpNZZVwrFCfVvkRfmSItKiKm1NBw5pN8HWu0UecBFYPxa XQZNQ4WxdgjMPH4r1eoyP5SmDWWY7FTxHbsmYJt/TDjvP2hl8IvRebOOH4I7bYS0pkOlgwkVtgkE 9+PK5hN38rnOil7oGp8hFxcG+9JIhGcNGZSlIFVtXv53h/khDHvl/rG04oH7xFklnUghSXZrc6kv F0qERmwYIpQZhcXLR629XMILGBm7CmynC2eS+x2BYFZL8eBCKsUpJ/N9W0NL/8EI2gB6LIEpszYS AN+Ok/eJjmW3Zz9p/eM7RacttVl474POOoIzk/U8DSt14c9WW0s2Rj9AcQdN96ioppBGjMM+B5mu DGQghZty/QzKIrNmjpVmslbR0ZK9H3Pajfm/7VrEy4Hwg/Ygez1cPcuSjfcrY5kjVDnb2J0qpdRe hjv6zoB5h6futT4ZGpzuidltQvHK7JY/eH3UTh5LPk0XFvBEZ4eT0WxviqA2r+OBz7AnLvPnJmcS G04lZWXQ68ginLTsB4JZbY1ZoxKCzattx3th4vK9ykC1eg9D8GwjXqaBwcPnC7P3auc9/WW84vGc jnO0NAwFdp/JaW8P/ju2rL4IFkOWgDh+ksV8RA58hzN12aDbLT9EzQd4vTOQ32A0BbDzQUlPgNEz 8C/G/tXmKVPFwjLhbVQ6/pqRt0VrBEtik8xML1O7tYpTO2mhVysskPhqiO4R2h3Per9H1CjZv90K BxGSRctk2TTOxdcd6XhWRetrGWQ31TMOpTcFBrPwMsXvg0pFGZSnfMVDNRRLY+by+//YR8n7BEQD pRCNzQic0SYLLsBhu20yt2IlNCee9xnYDh/rJ84DWpI77R6kunIYrGve0fM8zG3Ma7G+oZ1dyODN BwYl5JyfIn+vkz0MZIbitWQrLd0WDR8iWW58BZD4Wrbk7dD8hJbmoLA0MeJMV5Fw6vYMFW8Tz04w AJLMBqdOhNTJJO/VAOqPyKplE4kIdYDFDsWK5LKqu1Z6SxDQdJgR3Y4DBPHVGub6ahHfs8N+uMUD XYOJktYtAleuR1woha1HVuSvGW+Yn11SjIXECquWXbSqdXm8RAwXcgcLPU22PIr+f0AJqMEf3+Ag A4kkhJECB4G73eapgEOGBUXA2YJN8CwT4rUvJAtbTZu0ZeQrhekl0GugynJptA+n2SLrqjurJ+VU 16otu0KV+6iXg5Gxnu37hWticAjLX1UgcPwiJrobR/FSpTRDF+zYvOa5qNwxItpEJrnTifGXn3Of aPBJBJCS4ev0Q5pvIYUKuBEseYTdDgmz0JIg1tMMVaAnyDO6eQIZTkcnV12WbekiMkUCaDoqd1EG bRYjeT65qCCo2F5cU4lJVKomhdo0z3b1zhON59pH3J8uYJTR/NrdcLJT88TPkS+RfWeHgInKYplV X0wOGY8SUHt/95rElwppke2jcgTUNNP7c7F4pfO/8ABTee9FpLDTsdPjqZzZ0DNhHL84llZpJw/1 Dwtmk/+/gtxIdqfTlYqU9ZwTTrTd2r/mBE/kGbNUS2ULVMNMnRwzynGiBuNad71sQw/GrTt6jeXP jP4GiCCeRa61W8Wu62HJHxjUIbQY4h0IeGdinkwlERjTg0SPvUoR+vPaQs/RdelI6W1FZ8RVS5md IyRDlhkp689ONyB5WNRMNGFt58jaKCGDQohaYXt84UJQsjTPRTkO8eZFJ3ll5OHOlZSp3yqkomNs falyTh/NuqnlMK1NrC0WrwH3/zGtnroNfIA8s5Dh38wHd6G/BAoY4BxGYDFcIYX37NwHxOSWAVy3 wuIhXNObQy4sIse61yMKIi80p89SFCTmkRfh1ipEmCM1KJxkARgLQzrlF4cNTm7Bczmbq5bY9O8F cFEMWQ8rTFEKgjN95rs4iel4h/E+rYt6LDVqWjXE+0fp0xwZHVymMbT4Sgw4s6JhodERN5CcQxk8 pHhEzDSs+BuU6Z3l8d25lZmGxJpo/FbYs0MZlpGCyOO5SPms6CbkYRN6hiSNauMJ7XytcjqFcjRn e16ErDcPwYTgj80xZzLJlrtpuQPnCbskHHr3da2Z0sh8tSiBvVTHfWPp57u1ZfphAjwHnqkj5WNs b7GpgjAzQyqnJ2/rjYiPFBWxrvSjB4c7dIVnHf0Ydj5xvwAtQ38w+qcXmrbaZeVF1WNxDDcsELbR azXPwNI9M9f2yJ66+H8SvxkjJemRgNYRopOcm1n3ldtlEWhK+e6FXvZc4VzfhHPngWlK7no13NvB q/pA6fu6HkQWrI+PEGkkfe2zNjVrRhXQehn8Fi/WUw4oNYa18+HLCe5p2WT3dXRnZB6ziK5A97fL fF/MsGq/HRhbubR0K+jD7RJuMiW8lT6zi0RkT/1xICWk4Cx7l4He52wYrJRgeK6AuBqByw+38ozp qW/2SOWfV1BD5IS/yedsnq+J0+ggb5OEAHiuK0wVd3W+7Hk0EzLCnh9wPVlsx2ROi1wTShDPkhyK /dkitSJlCH2YC38c85VsumyZz4oF+tyjQXdv5XnirArQl/6TSkIYObuy+Vo71q75Xo5xRBWC7hrp xfnRPFIyok0GZkfMpVQ/sM/4FO5TSnhAvxKbi0r42QhmiyqE2i4qh+VDR2Ifwkw3O6tBmv8kYYih 6uP1daKyedH+7Gd2MPOTfeqpRuha6YbwYs/PjVCEpDm/5qjXKkdBgSFeS8JtTrpbGBLGcaJmsUV+ M4NP2F0bxkQuX6M0gA30+Z+71WVdu7cLr/9Z7qW5u8GlbrDBD8KuKlHEAe2uJvXeEyS3A/wsc4G0 SO+b5pSBQ/jhGyfU2AsQE4fzkHmqidJ/RXP2FLw35s1zanpPLQCdMX0IxEg5stjfGSA2S02TgDuT hjiYNhgd0g4lty+Kfc7AVoPcHbNFWyllhMlWeZVxiMgOLcFyr0T6yrd60/h3OAfzp6OB9gva09XH ldMmHCUmaOIiYUaqlGbET0MYJPoQ1rpVD+JXOhdcKuiJf7UvdG3x+q+MT8PBqkOdpPu49xDRkRbS tXXYUGx6obiJOW4eqXzySWTMFrkVcrVHmCRb9UjOJvT8YVw58GKQnFz0Nkd0yq0uB4ET91QG+Ilz xEdtwIy9JJ/E+3byH8riazg+tB4tHWX5F6QOyEfvsshG+eJv/jKfmmkGtOeT0R0HL2yUqAVEcf9L Ov+VlUxUHNn/9Zd2tiyjh5fRUTQKfb4+apqJzMsgMcd9S+QzxghB+fkshuQ634Z5Cvqf9aWtuWkX 9Kq7ps+uZQAca97hVkt/R+XoSx+h+dq4uSQ6KGsW/zrj7ZYVxXl1ZASYb9hRgPw49kaqCQeTcAKg KWGlLDLnPA4V6/zNo9aMOMZHQ5ndJGKrBaLl1ymyVK8zI84tI90SBrv7Djwrup8k5y8q/tvXDI1h iIxjJjAFfClPAvWBYGdqYHKN09uY4Hm5dn9otW701nY+gJBbyGlP5t0J7s0YLl3ZBIcX7SXYNTYF EF61smhY0/Ojhq77dkRguaSWvFgDfXAwPQPeTzfdNyysi12gnQy5m4McdPR6wXtOVfKRXe3ko0IV +TXFotMbgkt/cmkMl+fdh7Sa0IOrExk4yjT3hRahgF59hgNkX/4vbvjrq/oyuUR/95nGJntR+S9n oVaFQtIxbyzp5M8XWDbzI5X4ycbolA8hmRfqCUwRRSyFisB06PPiamTjmI+dJEq6TfzxQZFFWKTK NUcNiwvYjQ4jrnbQa0HhfZl3gekzb3yPEg0Rw6OiGDzK3XdmyBFvPYAnj46oAQn1oGOntWmUHZ87 GAsNt7RKxglUb0WHUFS5kPudvHvf/AMi+2bjt7sPHk2ecsAFWbKljCCVV0pMiGLfNObeMqQaf61H JMWwBRvQNIhrdKdwt/FkU2oNY8d6TDb9IP2xNztPmSaqwHcHH+N7luo6+H+6GV1uJ4bsSuPdR0d8 0EEvNlw2DxDQqKN3QuqbMeAOUDglUEVdYHakMGF3zNTIy8F9i4HTyGzWb2UVCyZ1pwAFoR6j75uo dTBC+W/AHho+WdDsxm1+R/ed+kYCofnIlxOBTyztDevoRIL7wAJmSJoJ0dzLFyf2tS7d/TaAF+n7 GI2qT2MZ2Ei2STUmBj8EKyPsUmELXsco4tjzpz3i+1SBSUGz36sPrO4PMLijBrMg3z1YmopGVYeT I8ouBpeAmtRabLgF/x9931My9ZtJjke07b3vK3L1+g02g1QcvqG6rg+TVeLS4hzoH+aUcsZaMYOl DBxLEJhAxcneSN3ib/2knUeTE2xohcXy+GVFS4SvU/r9Zh8WldqxT/1Hb40d443xeEzzMyZeGK1c 9HzlfE+zH/hGL6K2R/ErevJ5uVZCqBBnNkHEnLKJNjGTnb+ORxQNFWh8rDQWndtqAeTS0JNGmM0N xGFe1crn8T9wj1vHXFxt1ySTuEGWli+G12N68eOaMWSnQP+krcHbpWFnAc2SoOnxzc5exNqsWSZ/ kLnFm3ZJuwTbGqpQBOzirTENAxy7SICjoi0numf7CJQ3xR1KAjpe/n3iJHNZQhL7Jzwauvtwf+M2 pLmv8Umy4vWPegbdw2wnmJ1NzLkOM2PeBQcIGlcbr/8KemdD+UCBEEoxiEuYZJK7Fb5ppVYDezLO N2x21mZUWJdz9u/UCjxKy6Rc3GSnnyod54DOFjGigHkhPARAcn9/cL2JRMOIr08j4weUUuZvihHz KjdCthjyy0vUZ5BVbpxgqGxrG0VIm7bGgjvL+w7Q52B7lh7qDEClzLXVmv/DqG9LPWnm1SfL+5E/ qCFIt4xIgwMoaGP1BYl+Xau+1sGXrk8RJlqJ859KS3z6VwRUT4NkkLJYMpA9cI2raY7TPxnwyvR6 hLIDyrruWEu+2D2yUYaoE3ghEPuue+N2zKyH11YwMGgJ3zReYlw2SvEGnKa8+A0mvcZWkpPNqU2e x64C5cSAcgQgWLmlmJ8tM/c3CsOVL4RQ7I1gcv/FSTA5uylDAKTI2qcv6iDtWRqk8QEBLi9GaQQu HVJRyEu0oe+lvDoYnQOCXdXER818+h1WV+8fdHuO1pbIWvqViyOywe/o9zzqcPXR1HJNUI25RK/t JDXc0pidDaUym8Xs1ZfKj60JNKY6PD85NTo7yGNLqPBFDAQWw1Kp9b//yoI1ZuW2USf3+DtzvOzz XCO3R5AZq+VR8kSi6CnkxzvY8eoKJIY3C8rSzKtmill7SZKzoIthixUQYR8ZECdrTgnXZ1xPvn4P di2jdlOyMEWysQCStxIGtCsTubL9yil7/x9+2MMwZsY4NutrlMdqR/xe7Jk3tRLhKDUsSWz/OrTf XwYHMFF25KWlwFzrazimQhlzE228ufa9NoxJGY/45hehf3nSlC+l7DSpD603Rf1x34JKNMlagMse M6W+mhjsEpyLxkU943Wce4JfTBGQGyvfojU+XP11gFnQnOLbr/BdgGf6dTyiXE77HR4mFmesBOUY iVNoQ2mqWFAhmxKr1T+a3rreXOLq4aTjD53HS2wpspZjwW3cuW5rGupWvBOtWseMviLjCRxv4WEX OkGPBBu3iZyWjy/Sga6pVbDOsWrKkYhAkNSOZjYnh2VCBU8a3FSyVlSsRcX+ilVraJuofmgT4Png TaUtCwLDKq07Jvq2n+NXDJI4cFGeIltM0l7ClBqg8zOWdumSBJNp5B3jKXRX4e9IB9jAmkp1EkNy uAkPKSbX0DVxSX0PFPvb2ZicHq931PfTOichX857NP44CPtmGfB/HxSnlrTBQOqJZFc8VJWcjXnW 5DQCPsz6XBDhGoCP65rKLMJFYv2LojRjwfkL+DcWSw2YKMuQgVa1lCWJSABJn6OpiuG41GsMdwP9 rFEcUPptcjeKal1bxWWvXdXuf1Rd4Lbg3SBLplMgHrOP/BhyuLZXO/B2Spa+wwwHCPrcdK3zd4tN DPnaR+xR37iJHPVRMvBLDZKBBuQJbSPhp8efEqBcTUoPMg2thvsZ1gJGe+U7cEsdSIf6Y7VG5Fcv Z+lOuGrnI2joqV4+AtjQ/TRe9O4kqX3WNgvWirUzjMjvLD+fc0fXOB84T0XOeM50IyEzwsN4S/f6 5x4A2o6VBR3XG4KGNpza7laGzS+UABLoci3NeA9OUIpkQZYEyTAcM/d/IwiOEvSuCZlw46oLaYNh rtTre0TWyrXq00dOvX4a9rmrFWN6BaK6X4VLMuGlxG44CePlR/QyeHxAc4OX3dAlzJMaMJmgALQW R3Xd4BXqq6DhZz+vay1UQqdZORoFD9XtHRQa1/Ku5GCnF0vyo9s9tiPq8dhORfRHxyAGDjOwy61+ y3USbhU7GRJwXyI8CnL6DXV0Xc8lFI2ZGlltm1qXgXr4pk1uB6S2SN5GGFFJIhloPWY9iMKCgc3c ZkegaD2EM8Tyg9juP9hgsAqpFKfRgB+oFUshkNBEQjL7QdFZpiw7rgA9bvhGCf+wDjIGg4HTDevD XT9pOoKkehIVF7rbpMo4BucRpqbuW+8leUeUZDYhZVoLkJB74pXq5gqif3Mu355xivNnPI9AlRBu fwOvSW6YWGlekGR8kWClSshBHleWH9tGmvjbjo0bacH6sTy3UcZ6jEBqCw/gyNkx61GOv51CZJDP 59R4bAxD8GZIM1WTi1FIMRVLpYcNhDpuCTc5VakbEPQ/dhrgfLHZZRmlNIphxR9B3vNEDbumzzWn Wm3oBExgQ1grm/38DA8qFoy1YOaS0jlLd5GOblm01IAJPwFThmv/8kwW8rJ8MVNxfr1rJAoJURN7 UPranoGBpH1V/QvNZ61LwyYc6Zs92CnZ+DHqtgQF2zIyQtUlIwrNHphOuqrFNPNWfhDCuyGdqJJP 7v+wzWmoE5lzgxc7+HHjoYI69A+usbq2S/NGrpEiL5ai9DNbIyShrhDV/Ju6VOQ1A8DaybUi5igD 9vh1sWKM36tCMhNYazYRcBKAFCphfjC1ox3MSZMga0Nt4eht8LvYPt8F0bADYYJWSfUZRSy9ImZ9 n4508eIy6y9IB3hs1cmJf9AYo3eXknkSJROyYmE5BpDhG1RgzsOiEL3y+N7GT4JCbtZg6rRpLebi RUJI+JRzEammIZXN3QWK+2HXyeDBWv8JmrTdSy9RJlyVO7VsHClBdVWj0om2eC5BUvqSXyreaNaL bjuYkn4fZ22c07F5Cnb5LWnUdjej4IO7Hnlq1n7UBQ79hibRaSUcuLtVrGIL/uq8+I2B/UYrXPjX USYTjDijq8qa+ZDaSm1ZTNh82WXlygK7IWBM1+aW07j8WqJf9tmiUe2T5443rkOTfmIzsSMpRdav MwqbUNYE9ke867f4L1xMPD8HwH0CV0gHDFc1ZZ8rEEF5RPjLhm8+zi8RQn4R5g2M9BXboklcvTk7 eEpyeUhCQXwYGbW/i69eE+lraJTdWzO+59mmLaRe1njKfmP/AGp5x9FyaL0yr/y06G3jFnyc+wgt ZYQ0q2G5ZIHUfkMbMm1OVQMxTvL0+rkOfIuYK6zMXWytIEFGXSrLKyeu7NUX7AeLmmuVhb7lENVQ xEKgsGi+h8FiEjxXFYm+38ujb+/mHTeM/AjsPYE2zF55uC5LEuf5C/KdSToaHoohALzFd0xj14Vw iGqtGRO3vSU0ZS8WPqQbCorYhbvvAg8CWdoWvYSPW+MaNHB548YKmpDqEelm6MUOs8PHNzV4nbDG Nc1dz/GEPaIx5bdEZZDPajq2OqcmTDVdiflV+Hr2TLDbEHLcvWR8xwRLjf9ftFas/sloeOESQ1Bl RIs14GrucX0qBTTs9kGihJ+3n3uJE+TF6GNOCJXi+lNYsp0M1hTgkghO7vMq3i2cr6ahk9AVsyxa lpFeoL7ZosO3KxdTI4kGiLUyDzRU/5fJhyvwcKjVnB4xl+6ueAYUWXxkdbUzyzpV6L+4z5E7TgL6 TMcOb3z+8NPaq+S5e2Z/IFOLVJRch4n5GhQANHtP0AvYU0AIZe7cqx20MxX2DcHqffHtnS1PdSsv J5oLipze01WE7ZYVTAlQIOx8AHXpnRbpcLfYtdIRSp48SxSCAGwbSYbESt3b3izBm92rH0hAlN3V phGH7iRZcVKhVTzaOOyGR0JJlDSeznfhBgS4BujutdfUW65q0mntHvDCqSbEvbZ3bdfCJ2dDtBaf wseXlN8LD3OrXfmNgSV14jMsmRFIfSB3YIkqq3+iDbbCESe3iQ/t+Mbh+Ch9ecQTGbiaTbMdok0D QpCaQofDkoTA+Rb6whKO2seJnzdG3gqKYPaZy+qqaXJPbFG3zWCn6PBt17JQSp+nbHufx0/Br0eT 0PW+WoWrYZth31YTpujNn5ISMFjMhoFC+wl6B1mTyWMA1W12SW7li5ib4LqM3ErXwpFB7q9+q5Jq sLyJ2pOlxJhzwppm8j366CJ4pWT03kwgbfuDeurCoxGgQyFKWiR0uZKO0hQWuxRRXqGo7lX01/Rr tUwttJQcyFPAx9x+VTKbvfAEnG83inUZwawFvPtqWGWD1blkUHWAlXViMD1INbilFWqSg3jVtSqj 2q52ik0DW1K2kUISDcisDCfS+CigdMMwd4qgm3hHTMvPxePT/nR1jprWXQJuw2R1oxKpw4R8OVYC DmpAfAEYjCmn9PR9Ane1NaPoC6fNhY3jqaPS/a/FaxUP6G3787e97H2RxXtOLtr9sq7EeDSJhxlY 3egbj5ZAuOnfPzA+IRKqHrO2c9f1iJXZMFEHascJCEHIXjMDZzHVRHixY2mJr/F30RMDLiolnV2O cFEMPPfEANIV8A0Bp/eDFiyXxFs17zTC+yd/o4/kC6eY5IKzPzZGzD7gCDZDmUJEljyNHpOdsfH/ IowEwcutPKG7+e/NN+SmlDzTSUrk1dsP8xrRfo1piD7cJd+oNxHkYs6nCf4sn3l1qS3pueW3c1Go t6aU3xhAsTFEZilaFa3RT/4m/e52N9pqczY0QC33GSz+9T8JnToSpu7r8H+j5FB0sVQQ72GhlWqF pxq7tCCUwnXukJBWKKz7AEnul+cIaXwY7IaNRnPmKPW1girUmry9apuJtf92wbh3MA0aHDFgAm71 MI0DAqxHHV/HSLnIV6xN+hENwyrJ+bjZTfmYga94TPqnVuSZ0WXNqlgz807JEXdMt1hffgElBsqK 1Bg+j/zXzRq3b4mk3JOH+eAldG3o5jIgu+Tl0IjxsXXniDDfBhHMtnl5RE/915FDMNJa2BaeuDn8 uRtFoTmgBjNPE8UiyCh7ZL2kA6cCCCIX5+nWbRu4kReQfhHAynNo99Bu5XfPie9/gwMrxcMvaTLm 5lEjarCr49rJ3BHzxH9HORrQ9+obuJ8uE5xtYN4sICRtaftRtpx6DZBOv1xpxbxbfb59msxV525N RIbIeWk0CRRGGNA+CTjIR949w2Uo+oMDRokXlDvEP0JpyC3xai3v98es4dCQaybvJHlW5ZHn1Txg XkfnHWXACXPNgImGkIgWj5lOg7hnFVKxNqPtBNlE8IMNTjYPGcartAA9GXQG6CRPsoaGN+GSXExh HXdnsw10XSeiXpDNKlOxZCXDQIlCUkz01DbkzkEz75p8AA+VBb364QNuxKPwoeSCbuFc+cXIodeg JKCHnvLfWGo6amHy3pNyAd6iOK/VrlT6GAXGLdYh/jPqoupH4Mp37NiKIfu8fGQKlbNkl5eCvLFs c1VtacVGuOF0LtznfZdZCuBUV9O58ZHPUJpfv0/QUHlrEJFiFGR/utoVy2LIb+NWZeq4RCcQOk/M k3ZE0gVzyJMniUI1GNoWhxTjFhJPVCYDnOJ653GJcuBiejjsQEEiJMaJXtoY3fWC6teVzECJjeG6 qHSW5+viSklOwKqdZOJNkzZ9a0BSO5VK3ohm4BXO9quuQGtyIV68NQOwh2gRXLHuWg7vbVS2/wUv 0zfvov0/Od53hGVszBwwibVJGZ3qooQNSRA9IFyrUD/Rmi1u0PxdYykzaJIUt3XZjR0wuaydpp6U 3cKZ5lcuR3Wy7e1yf0TKnRNZwr2IaqT42wtvVkB60mxWuCdyQJKyykZY0RdvrkBWyj/51s9GmlAM tvHGvaAKMcn71HiKT0IV3RP4hTk9r09YS+mkrLquKbew9/v5KGpBmQGFQoH2tqYFpZOdE3mPODoT eHc7hhIHYtZMsVyj/qHB8aL4Stn6aTw/kInlj2LbMYRS1HTTEIWIY4r6kKM6EJ7YHesFl39j6dwW sAUsAkEmL/YNmhCPXaWTgcPJ1nVWZuBLnCmkS/ghDy7XqLnzxFuUlxPrg4QvBfYQkA+EYHyom53h ABR693JF0l2zXZP5ciCvY6AYscLT/D/jrkEnT/liknu7UMGdEN3VJCW3ExzpqicBlxEPpEDkFs/u L/6ZsD6Lo7MRuE5bNFAzT+8rrh95ZrnDmeRAEUpzgswQsBZVjfIevhT6ibrbsmEHp+nXkC+rhF8I a8OuIVaacw/ZqemAm7+C/F+inzI3n26A/47HTab35f6vhR5a0ZQF8BhdlgLvynJI9q0mr6k6iV2A djyYxziPvkLklAgnlQpfFaN/kz8dfcFVrH1mouRqUnw4DWQHWTJt5BozJopeQC3A9fgD4KF87OPH dmKYkLskOjsM7sapoWWwQW8xx81gUBgkwbIM8jBzXcUPZW3zLJljN7RVEwd3+2ocROU6wwESS9uu NDEEFxhzHNBi33Stnm+z477KR4PSBNxbhPI7gSSxOWvR+RjbbxEjuvRyQQqAX5fJjUHnI4khH23K vgd5+00O0HmCLEgf8xjIgSIrFqyThMhxc3W6pHp9esCzk9dMhCMhtDyx2F5ZexYVu3riFN6Fs00P KONej+wxnf84+EwRKJUSSyuDLBYCuhP1xnSs7fDZv4UdfLVhT4var0UKV1lb5113FIAshjXsuhoQ zMDq056smswqxsCZ0kikeQSKk1hhK4pYl5foGAjoSHeQTsG06MX6f3kYik3vyYuQONh6hWQSBcjA KPxJTTsPUJjsmNwmsXCE9ZxSwyxqZmb9p4RtZWmDW1Xq4E0BOaee3dER8Vl+ZaBNVl8jZV1bpTir WXH2OFeWiBCaBSovzezJyMdDT6YeFa5D0n66sXv/lV4mGvI7as3ezpZf699x47pd+jLkwcaZNeXj eh4ViSTTE13+XlTzIQTvwUz0bmxHjv6ikXT8RviF3pNXOLMud9A4tdpPWAK2dRfR8lzmNfvDq0XZ AHIWMxmRn+XbQ9ZkrnHhXyu/NDor3iZMmcMUrBT5vuvCTtfKoGo+SrPgYdzYn1IFU4YmRUBBHSKE zY2KxXJRJEybnGltonShATYZC15Bmzg+vdwRU4sB8F5UxDcXNl4MqOjZyOgEdf0aaa4ZOAa4/qT6 1eyjp1umbIBDENiMVoWGn/fulDk/mZEWmKEyEXMScnDpu9xf7d4ZM2oZlii6WzguCtUG6nn/kwvC hvsKHZVXBeKCu1+trywTcnQYI2+5HYGVsRKCfFsxcJiHAxQOlGWlU2Hiz+Woyyfkqm3a940dAM5k ZXQsWAFGw/+CX2c2PuDvdQ2G3/d1afUcO7FH+mQbnn4tNgyd7y0ZwIEOyV340kCtO5K/p8U2jeMI KKKCO1HDAgrMfZVdLlYe+Co4ketiZDvQFXVtilczzH/KtFaCOD1SYrMs9gMsZ1xzKQ5sGLiSGR7+ CbIoD2iITPTAC4gzywz0QZLAjw9i3JfoI7dD6biChKI+V/W+IZ+o8B4LPjjLRTtHZV1vf8JznLNJ keBZBqTKxNpPBSOFwh4254jbxZWftisPOtc7LSFHrzIILGbqa3quPRwg18eYzCUygMwOxCdku2L6 qLuzMIrDlWVoNOn+doY/kIOaGVgJBuJKaiUJmjjT8TIK1nMbkEmk9HMw7CRsTdHo9WbkWgEy33XK aXB46Yb/kKMD+a7lPJ0bNypUUrlUI4wQPYT34GBiex1852qNY/UUVX8xwUZMfLsDYUvU8AIGdbCt K2OmpbEyXhX1TmhdlFnE0q2ZLDqHw5aQ9ybe9NZAMFNu3HFniGbGFBKSoT1S30mFzUioreoLgu8Q +8/XB6kVshajbymQmz9FjvPVxTBD0/VJHun3fHIsqPS3N0jwNR3xpp3ZgNyUWGoBUzzr3DJlyi54 unSKo0qzv3j3C317ltVoUeIRDrMzuYVWiUFznjeKzzT+8gSdl3BSv77JKyP+vC9sl1VrQQlHUcoC p5UCZK8SEnzEd8yOZO73G2EgrfMjE2+TvvV37k2OIsEZb9Go2sGiAGBjlFnE1FHTxoN5xb9/XTXC 3SvonD3PI3j47BnGl/rWXo5/Z7LrUUkTco1rJKLzeogl+pz6GCBQAMO4A8ju86wrFu1r12ZZwrsy CR7knPo0nKUUwZkvzAPCiynoQG7LQba9OCm4nw/cFMBGfWq3sZB9gci1MZKnwHxe3L0Pm+HZnqti Tk7+A1Jmij58mI4PopaTakBjIPrptYq0K8tOt0XQ0GYe8Es7GbSXhtiWYvFPtLi3w3hhLSc/ZaLe 5itGx1h3GNvSdqks8zvk1kU/pW/mnt9Jti2FuMoIbEMwtD3fAPBU+xqjxlWp12Gn2lXxFPfvDbO6 uCdE5NgX69dgrWJM27PXkcSmPh6KN8sjMImK0WdMy6QrGf3kW9aW7LN5xCyd4HSSf2dfgSTUrDp3 OWadmyb5JTxn1CEGI53cBDW9dJp0mgAIGhlE9jkjxDjWRwwdDhD/z1AW2/X5pfbbi54uOn3r+CJb jYKHqgbe/FbQtXv48cPhRzfLrbJc1WtOpvbiIYw+ZkXuuQUbnvyBtI7YbaRLypR038Oz6Y5wEz9n gdDKQeWqUbvf9Ljrz4xOvokXsyFgJXsARQyf5H5cX9Br1y9UVD0xnLhZnrCUXjesmj6HqLArqefA oCyXhMjimV2ISdWk5ZQjdIC6F8b93d1pGuwKvaw/4Sg+3U9ensddj1ZjExAJHNPi8+j6f/VdJ+J3 ZCl501ZRspsq7SkUWO0VytLLuWadtnQDfPDli7eI/xMK1mgfQl5w6rtUBAx0GPkmq11nuKaBxAeE JfIpNYLj8clUOGmNwEVKe0fAbLVPPaEKoMAjT1KjodTRRsQhaXJB66VVIaCZZBNAdy4KmibVUgKV EaIdcM140C9zbQUUY9y8o+l0tiH9omtk7sL7byIs8QAAfYXGhbuA4hVaGhtuYVfZv2lnb2Il6g8A 9yXdsAQhKWSo2VrbMFVW5w+soypEA+V9+gf4lGof5M9N/lOMoZDg2RtNsx3UW5beIMXONeSfFoCa QvznOSkAwlHVcPbFUsGDmBja/87RrZirHwhwMhgOLtyvbEJ/WV8XpzT8Crh7CTWwFHRQfdaxgEmZ 3awlCb87/7M8Pb10AjXaa58y8btubQ/xJ3pauV2KPp0GZDwebJNrhRi/KW+IXFvTfreTmyWO3IAR 7I2piUEOi0Mu3xH7F8kXwwi/2EAlsrbBcqx2W0zRx/p1GguDR+cNAxnDXEbDmdoQCCxKy8gm/yYO AI9QQDyUexXUNSYuMvUyXWAsO5H7qN+8YzUD+WDup4lPnNsUo3DThkNxVD634xKM2sLRo4EMcgvQ +h1Fh8LK/S7ehyjvJ7bjMf5fOdoDt+FBVn+aFtlE1ZxySXjBfRV/pZNxwytl2QExs6drtpL1E30V YvVypAwttDSIuWnFaDWVsRKdauU+Q4DWtihCTzEgH7qJIHjemmeni/elw1zsjJaOIpsXszyITet1 c1FfEaZg15kLb4xgHJQwHLEncjOWAwfyUrK1dMG8I5Ztnrm0Pwswibhw07MtVzcpgdrpOoGJKZip dkSyv4R+j7jQetbPtNR2+fzLMVKPtRK+q+OLnJaRHLwi+6FiJaaqcbB1L5KX6Gp5XDVAcH31l5vf w/zLZnaB2t6NTJF3BsNhEl7knXhVpA1ciJ3Ic70UNFoBPfOes6Ah/rh30icGy1KTyVZKjJBF/pty QHsW5ccCBx/+VQnXYgtC4X35tF4RgBPkO8txBJIPXyCqH1KzaafbHpGRfbyxALgzauCuZBsGehS8 UwIbc9isJb5MjTJ9MbSDxEFLnySc0iRH/4VH9Z8FMcWxOQ6QrvCt/PUGFhzbGYbEdpTiM8lPSkRb WttF4Sz1PGiVaAnpQO7cwRxACHWaFX5SW+o/2qXUKiHUd8/V/W9m0B9EhxXfmm0hyaRaVsmxXrci nXx8Ih4ow9yhOYLU1VGBRWxDQABgyNeBW1Vk2n9BR+OItC1rX44fmr1FLm8K3OUjOzBvdyf6QjR8 Z+ENR5tcsM3cSxK1BfzlE7qJKDRN08ujyuRspVq/Psom3uz/pNSNp/DiyOuSLdgRJElFvMpDYd1H FDFJiJ1B8/8YzYDfRbu2Oiz2PnHy80GQ72HIiDuMfJoMSMpnAuUsBXG9vUakx+LT188dJtPTxxmr ZL0nxrpep4kc+ofPmuGn13TEkUzuJgIORoVtqakRYVXO+BOq74MRY9PAY7OzDJ9fsx0FyYz+uNrz cIVR `protect end_protected
gpl-2.0
af3511c8225cfeebedac676d230a0a20
0.948633
1.825118
false
false
false
false
keith-epidev/VHDL-lib
top/lab_2/part_5/ip/dds/demo_tb/tb_dds.vhd
1
10,351
-------------------------------------------------------------------------------- -- (c) Copyright 2010 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the DDS Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the DDS Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated DDS Compiler core -- instance named "dds". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity tb_dds is end tb_dds; architecture tb of tb_dds is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT input signals ----------------------------------------------------------------------- -- General inputs signal aclk : std_logic := '0'; -- the master clock -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data master channel alias signals signal m_axis_data_tdata_cosine : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_sine : std_logic_vector(7 downto 0) := (others => '0'); -- Alias signals for each separate TDM channel (these are 1 cycle delayed relative to the above alias signals) signal m_axis_data_channel : integer := 0; -- indicates TDM channel number of data master channel outputs signal m_axis_data_tdata_cosine_c0 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_sine_c0 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_cosine_c1 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_sine_c1 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_cosine_c2 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_sine_c2 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_cosine_c3 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_sine_c3 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_cosine_c4 : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_data_tdata_sine_c4 : std_logic_vector(7 downto 0) := (others => '0'); signal end_of_simulation : boolean := false; begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.dds port map ( aclk => aclk ,m_axis_data_tvalid => m_axis_data_tvalid ,m_axis_data_tdata => m_axis_data_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; if (end_of_simulation) then wait; else wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end if; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Run for long enough to produce 5 periods of outputs wait for CLOCK_PERIOD * 64005; -- End of test end_of_simulation <= true; report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the data master channel: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_cosine <= m_axis_data_tdata(7 downto 0) when m_axis_data_tvalid = '1'; m_axis_data_tdata_sine <= m_axis_data_tdata(15 downto 8) when m_axis_data_tvalid = '1'; -- Data master channel alias signals for each TDM channel -- Note that these are one cycle later than the overall data master channel signals process (aclk) begin if rising_edge(aclk) then if m_axis_data_tvalid = '1' then if m_axis_data_channel = 4 then m_axis_data_channel <= 0; else m_axis_data_channel <= m_axis_data_channel + 1; end if; if m_axis_data_channel = 0 then m_axis_data_tdata_cosine_c0 <= m_axis_data_tdata(7 downto 0); m_axis_data_tdata_sine_c0 <= m_axis_data_tdata(15 downto 8); elsif m_axis_data_channel = 1 then m_axis_data_tdata_cosine_c1 <= m_axis_data_tdata(7 downto 0); m_axis_data_tdata_sine_c1 <= m_axis_data_tdata(15 downto 8); elsif m_axis_data_channel = 2 then m_axis_data_tdata_cosine_c2 <= m_axis_data_tdata(7 downto 0); m_axis_data_tdata_sine_c2 <= m_axis_data_tdata(15 downto 8); elsif m_axis_data_channel = 3 then m_axis_data_tdata_cosine_c3 <= m_axis_data_tdata(7 downto 0); m_axis_data_tdata_sine_c3 <= m_axis_data_tdata(15 downto 8); elsif m_axis_data_channel = 4 then m_axis_data_tdata_cosine_c4 <= m_axis_data_tdata(7 downto 0); m_axis_data_tdata_sine_c4 <= m_axis_data_tdata(15 downto 8); end if; end if; end if; end process; end tb;
gpl-2.0
17ea8834df7c0e2c38e713f7186b715a
0.57067
4.354649
false
false
false
false
UVVM/UVVM_All
bitvis_vip_gmii/src/vvc_context.vhd
1
1,788
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- context vvc_context is library bitvis_vip_gmii; use bitvis_vip_gmii.transaction_pkg.all; use bitvis_vip_gmii.vvc_methods_pkg.all; use bitvis_vip_gmii.td_vvc_framework_common_methods_pkg.all; use bitvis_vip_gmii.gmii_bfm_pkg.t_gmii_tx_if; use bitvis_vip_gmii.gmii_bfm_pkg.t_gmii_rx_if; use bitvis_vip_gmii.gmii_bfm_pkg.t_gmii_bfm_config; use bitvis_vip_gmii.gmii_bfm_pkg.C_GMII_BFM_CONFIG_DEFAULT; end context;
mit
057db5111de6e407ab2e482d31b125c8
0.516779
5.197674
false
true
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_recomb.vhd
2
13,695
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jj5bCvqUeOyt8q3dxSBx+K/EJAllTZdfpTSU4rIQgL0JGJNPPl6UJgm0VoHx1+EVpg3MqRlf2WBM ug8YIcG2ng== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block B+NMwzwj3QpnkvJS3sqn2HZqV0AqUX34O5E5k80zXDAxdoLKBrmcB2NRJ4PO0Ti6nPgacWzdjdBv a/aD0zn12CREjDxNqydd9tIRBEYqwyDDXV2VBQk7Gw2/ZvC5p8CbKBegsQPPhXCvC5iey0MkLbSL KSRWiUY7pFIkLee05k4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IEgVUqz5UkzksqTXDpX4t7AlnW56tITNE2tO4t3npXXH7DE/YBN1X/7SfFFHhm+gKls9n/sUg82v ZmbxREJBQRI0HW4xEkTmicO4xPQ9yS7ctevSbO42Tdx2DNNaoqvzBJzo0xamW8hwDHqJAwY47xDz 7KoAjMq1rugQa/NBC3WH357WgjY68CIoKe8fK/rqyavCbDyW/VuhZXgYDwd9VFE5u1Bu3eQp1rF0 JKXO5WpHCsrLj2qaCRZE4+T6EMl88ToKMFK+TxhGDz2kupChGZ9dta2BF3dF0IXxRMGLe76I/Mkb 1YJ4U2ykD/UrMjziC+RHRoUHiW51G+Ey0x1GJw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TtyrlTnusmPouSSqPNK64CTKKanl2jjttGNU1N1v5uJYR1+howz3sCWoRGNr3zKgj9duXo6DBe7e D5AtzWyuH/jKmvOAO5qVDgoU4OcudFE6/kTjyLsrm3Bo9qZR6Fv4LDmHrHeW3jZtREpojg5G8u3C mf2pBknrpKaFiPRXnCQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gpj+5suKlpkcqOl6k+2Ec8gmqMu6JCXmU4UmiNu4lI2odw4A5B0iYaryMdxrwBSL2jpUe2KBojVH BY+0+xfK1LNDTlUzO1fI1ApGbwYfATzFzTtpqAUiFo/y+66pPTs9+ysia5qKPfyQfefPBVwmjT3P ejBO/dVuuFLxXoBGKR8ozuJuOAHnZhat+dAHuGQ6pt92zHlLdKYjsjrJ0Bf7rV2CQZmwBTxsekX+ aFZW69s+02RK1y3PicCzUTBH4izquufrmHT5L1aJBSJN+9O8TqoI5c5tQd2kQrjdneU+IIkVY7MU IoF+A9EYKVJ63P/jSCRaR3P67SO7JMfNvv8aTg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8400) `protect data_block zQPN7gsLGRBSMmsIV7GruR+B5hkXa8de7W0NUhsMiR4RwzoUU1eH1tOPq0zjApDAaPB5lq5nQMVk nQi+xAi4CdIP44CYCjfTvxg3siCAr5PLIsG44A8V7tLTx2KiIQBkZ5UPabLs385Cv3ftg+IcXooU aLQCPK4N5hUi6b7Ty2karE6ljzL1vu7mkuH9iq3qIy8dwXOb8OFrOnR6Gm/PBGiXoBAEwXfe25UK UGBw2Jqqsyxl3DsREdIhV1/qH34yQG9SG5hqrEz3jDbHV1SUbGPCWEzeF9fN9izvLgVA7lfnoDBK Eh3PTnq86wF75xsWYB1jprBnyI8pPtdqQItTlm8yWlkPoTJCBGj2yyl8Ty8tgrcijv+8B6OMzxpF 3Sty0ygtiywF5x0Kz/j9Vt1nwG52lNBsWNEthEjsS0qla3/ec3ChcsnTB2YiEa1FOYFR7V1tfAZb sYHxoszyO7qvDXDoVmww0HCoKr6zaYopDVq89L5Hz3DhYsdUAbUVcmg9TBS/OlchHo4I6U99LAcL 0+7xXhesR+V08SVOYY/cQW3QYtbuSHFLj1X2BFcKSP+uo5mrUY3o+wZw4eQlw4WUX9mVY+ce+CDo aWITDoKZ7um+5H02GeahwS+oLKlFvtPLik+NqpiDsJCOEl+ul+a5aHxi02nki3ZzUQnLktVwodn+ A+n37O5aMSszDyj8mewtC5PtaIRY6n8X8s5SrbvtPATRMpE+Omwo9Yry6L6WrXrDClUtbwwWbcmV DahvYf6qBGir6R8WSPbmQ18hWkfbdKjLvpJNOC5LCoUplB0lT5Q5oO0TySZum8fQwYNhgGn4zoEq rJ9MqJqkaCX5C4JnTsB2x7CfNAj3P3yVpqnImUoDvY/Vs2Fn/U0RimzWkP/Nrwk+DDDsLFRAP1gH x5QvkGwVW03xmVmtCNBa0A4c+f8bY5+olaCXV1+KSyVw7z090kUF3gpnrGA1TLn8wK995ROXHBZl jKeZaVRc7yRbLEgmNEKqTR4YQpmBvQNT9YuRKS2v2/aWQb8JZelCFvjRZ2E8NzsG5EaaViID+RFb M8WXYoeLNYz+ymB09gH98MoEc7NhX2Ns8rldy+lhEgIHOmAeakIGQmCbI0VYUcwAKjR1MW+rmCdN ftolezoYqQXBkHXjsZYBlhGdzGDa7WHB8cobXgZwYndC7Hs/0Q6fAz7CxCVi7zM3jjkhDG+seIKS lpEU5vfHD/AW1f+7Ai2Vvkm2Ph7RmyfXAb+BNaPwZANZ/eo4+FbPrQs2f7Z5SgFZBwWpTiCQlohC Wv1Z7Flx6MDaxRHtiHFRs3KmZl0LwGEwDknpYslwNagLD8rNb6DSCO80mwqQ6pmFrzfT9bLnLVJ9 Nwmpx+wyIIfBd5BknDLJNzy6yrJyqcXfFPrX6WUookQ3GrmX+yMEWFux7Mq7fwyAb1kXJ/IEzIKk iPwD/OxnDrJr2+bPWEDLf8rTaPY/bD4V1c9xynxgD+sXDN9gPeL3stVDt7dx92JoGcraJbPz0Wlp GH8mCIBslE1ejlpMu//ok55ny9NeN9DZYuP3VjTCKq2wimxKjsSqhxHbPHHwbiBlPgClcr1bKEK0 IEim6KQYBFWqoEQvXWxfmso30GqXUPUS54KBZgLnYSVOpjW0GyV44yvb+qGPLTVqvqgJZiJzL0jz Hp54dUduYhgKxWZ3h6/Er9O8VzAeBWajyqDobW5r7XVBsqE/53QjwolfUNIyiPjQ2mZGIqGsdzKv NPHXxf9l93QSAPvu0XHcTMPatCNqiLZMrbukhLReLdXrXNOIVIfktY2uq6KAgLTJL4V+wqHmOHZD kIItMTD/6mq0FvYd2bvyBpqjrc3d8SjIcpQOgF4IXqsreoM2queNEU6ZSRjvb1l7Qsz2GbvDSS3Y lMDYTpSpMfdiJJb+4ecmyVqsSBfCGwyHlkjl9W/4csTfh6pSeSgKM2Ney9TTFtCPrMwZMuqY90tV AexvI1NRoaZAvjgxNHFGd/s7M8TFaLjrAbXrQskmiXLxNP0BR6UiChXTyE6LZJhpmaZJmiAftBx8 JhEkLC7DFt7fSOZpCo9wwB040p2fFbwtqfqlp7Vb3pxqK/vsMDgW6C7zvB84ed1n7vBwpanOt90r EyMrUnxdpd1guZrVm8FZ0XD3pKIOCvtixd0Jnx8VFxnYj+yP/Oj2KJ4Z4bhHb0u+2Ul8OX4Kx/Vw vNZwslCgEucM07n9izJYlswWbBOXgG6ajWcJoohiyB7ryRZ+9L8XtmTLdHTBGDwTIz+suYvnvXyl yymxk2egh/QCp50bhY7mqT/UOtVN73BjhBgvGoa2N672shKOhBW46fb34IQGRlgFxIuDGEKnwgf9 xvG+G13dzP+FaejyUlY1bwdA3c5YFn6KaKYajzS3KPA9sOZ/5OAvFaz63YykAI2ISCcw4PCHICi+ RbjiT1L/PCULTMiQJfe1jXJgaxj0TdO/QibJHSJa+5/FqXSXg+FqqML/aGxuNxjU7C0fQww2nD4Q 4xUEkozKH8qAcPDOzh5DwPq2hf9f2cYcOs0HKaWnHEqDv2TTdZlRlT5W/evtYpVUGp7vyN90zbMX 5S6WaoGOXaBl1nwX2cY66kK4bCN4rWNsfDt7egFNPhpfhk9A+HKJZXzQr70ofkenT4WvSULBh5fz 9rehf6CmI5I1lyZF6S2Oe6wJNqq4U09KHLomi4fIZ1ZyallJCL0PheEwLF/jJSurnr+FiH2s7Tcd 04DqokZtPKFn0r2pR0ip7IV0K1ztHXdlxIW7RKbTnEhppRPWiNX+HeBpP6d2ZlgUjqclf71mbkPL 4ZFdzUfigtS2nGn2sHHJGBfETRYgh7lAH7HV31+QUmwmPHcaIvTO9sjsheoo5kcpI+G28JcJE6DQ 4MyTcOZURmaMWNuXEy+rOvaRYU5CGa23a0KQ/7hFBXSnyuUOYoaHq25FKLI4cDl0KWd4GYIekL/Y T4/8l7HgEqOmYFX00t41JGMhiM5syBE0cpY2tgfpQbvnraTtBAl4qcj/QGldkETjR5TcVGirp7cm rCXu0f9G3AZHnJ9JNBivUu+UXFK9HSj+/GZFhhhtLvx5U9tPRTSLLFJg1OxKQGrVMG6vwz5z4X8b 8/BSbuCpR1fuY4ci2ncIAR1rk98Rlc9looIoo4TNsDOJ4qZv0oY5dGaMd/AaBSzVqWM+uKRCUP/z RAafaaTjoq5JFJMpGidnpZ0pf+MfWfc35j2s5FLotetyU+PQO8LmcornFu5FCXPcn3ssHECWuSjV aNBZyucfkKOR2PSQYHQt/DzYlo7NfbjAWTRtiNOJ+7tqCm3FxyAhBBJQUvEa5HLZmTaqfxXZEHhJ b2ZmOqzkf1ukHqq8DIUGKt3JYEertVvjPYRzQzp6qsFaz3/ObgUNFQIUPQNOJ8TLDzbnGpyrgYsI QxeisKwK0sDXb8iQvjryH77Pe+G7ljW+zZiwE8zTfzjB0mO+p065Hwk1m3D2tXHO+vp5vmPPTbqY voL85D5N7P2p0SpzyMcAkiCHc5ngsob1puIWYOxTFoGwrWhLKWJIqCwt7vKhFHSphUT8tXL7NKAf co6TYPPuOMWZbhLCF6tbCAvEqLrH35ZTLZDrthvlhohrTn1vGE9uUwoyzP3tmSQFjLnmDPyrfi56 TWLy9dLVHnjHMhHSva9MAgyKVMR3+qFemucNtNeoAx+keATLFoXIl8gjUsvMeO8DX3GMJ9iv3L7O F8hsCgADnTe3rePqes7btFyeu957+lJ3QWD7ugS2RkC4P7Mw8nMhx4A4as+K6YuufzOxdvndpf8f uKGVIoTNNB3GfnXUH9/6ShUnU8CDHhCmZUhOoRx08Pc+vgD8FXjMENzpAFY/WNF5uuCYAZIgKoAc wxhuiHenrxAT29Ou4Q9p/1F3KlTyf7+TFKtJJCK2Dpc0IsBZaF4IHeq0Ry2Ffv3uscBJvXwEie8R Q/Mye/L985nS9juIRAJVmJ4Dk4fJWjtGBQaY0PTNcU7r9r0IItF5qUu0qvsJwblld4MZhhKTnmba Nz05wiqL9nyZgdSc4a0VofUUlg7A//Sx4qrQ5DWzr5rscECNEyZriUNda3xmNo/u9KxhSA/USzbt DYV3ailNc9+3r1rX/Uc+XoO2nEte4TvsZI6RWuCdqXh/U5Xn3hO3jTMAqyP+pA2WjHJfZrSCDkGQ f8gfRCBrJwBQ7WDBmpMaLhIA4qkCbSsQZ11n5HVFb2h6VUXyBSFx5I9kyPM6R77ZgBS/dTNb6Zw1 ic4n5VJMycUC5pDwStpnP78FY1sg1MkBTxl52iqbYmPiLP+fIWln7dwG69lKxGjWhivSM5pc2HfZ bAlsqBs+xmjlnjU3f5ftECtWspn8sPPcrwdK/sGkecwmZORJ4s0bT1kVuaVzdk2nqFq5VzyKo8qT mjqYpD2Zuo+6Z5fR5lgsB71Q8DqPikCTMGGawWNu9sJNObqTiAI5/EqhQN6vok6jRtGwfWbrBkI6 xhf1HauJ+5WQ3Ze7p3lvKNExIwo2Ut6epuQXEtidcQTNWPUD2thAabyvIG7Wjxwon1IpUUsARY0Q zhqY0T9QH5PbiMQk4fy3gq+vMT+ntSgsjG+kG0UsJY6sytABjm4HnifZEq5gma1DUV9bFZaitkqZ c+sVeT9/3VrTgCq/7GAtulBHCBNkrXptu3qG8ewLqG0+ssVECQ8TIGIqIT1wN2cyCdPO03ipajjD hYEdMViZqDBBQC3/n33CRkcebDXBpq06HOcKRzHHx/o6Oo5syv1/kO3zV9AulIpXvTIr+OnIt5Fp rGb2noK7ODtFZp9MvgllxARUmZu8G15qLkdtM9V44C5mYoDIPYS6fSBarLerzKs/DCWwztlOVsXJ tBXueaoZaqcjZs/mD6Ra/1RwPG4PaCUUtpZUCGkc/X6+Ql43ciBqSwnxJr2Z76Yl3Hj6J5Eaf0gP BHczBkxT4hab16NfSkyyZGthxzY4VAssbUarB0VpDhqqjuGjAp1Iii42p1P9StJpB+l6IxPAE4hE GOgaLh5gshFHIQbnlYBjFNNn48HGMisMoNpPLn6QABacseWdlzzo3yaUwq9ev4YTBBZnYw12VERJ CQ9967CdlTAdgpigWsQvQGx/BqOAFAo2zvCX+NaPyPUq/NpyKNrBwEIBn4lq2VIXLLNvq8+66XJG NnkVleOG41WXoHev3SIDHOfEYJCz4mgekteBy5yPBFbdFA/bU+wcECAABeWS/wrv69GKQfSIBWTo Mi5Yz2Nn360BkUVWIqURCPuSKwqv3UG4+V72bS/SUNAa8/AnV5uww0/I9qSPum8Z+EfBU85b72Bt uWoST/fiZ1YEBP5pPjk4eHHBBcnKDFGFK+WmZ37thze8a3kaOHVuQnji5gPngwwL5A956vDqO1kG 0qM3ffWNHnNziUfMetaDxKpMnSpRmNUYotePN121jdgizcnt1q7v3HnWXcyT8rOVqBxVpMGpN8Fw r5FTgnVETT+mCtoEDYsGVhMwZKFLYeISYiog81IHf6jB7kBPsRaZ2gFYArLapQ2c52F6mMmEgF2P 7J7Rm0nFIZFB++AbvQrhCcfYVDPpYKWkqel1lNxzyn1yIHLgy/Y03+JMoN74nYc6GI3VDiJ2E59z lzOzbIA8R5XafzbcA+oMLhhNO9dBanuBVEHYXvLwHcg2ArbKRgOMAYcPkjDueS6qgnJ5HEt/cJLK 1UJKzxfRl5t4v4i0PM6AsaSTtbFzBjWXWfzOZVi3QhVpoxHSzoqzyMh2AY8PGT59lzB7f4b4yxKY bfZoz+Vj+0iqoKtkFNrFS68QbB41vISFHQpQr/hZYCavxfFgB8sI7iFdQElJIYjE6wkIK7OasFLN 3yIJG8oUynoqWLeHcFXcCMDxge9pGpJe+7JGdOV6Ib8S5DnyD8XztQbBOgHwaomQ372WN29LVofE Yad98cE/N8e/aEApHLPo0yyQ4IS1yJvLzzHryZYsViJ1o8E1Kg7Mr09hvxfD4A4Ztd9jofy0qxoi 4pP/9GFaHALFQnXdhPI/blTbLIRbTnYoLqxCUxXcqW0GYgSoi56rdaTqWsTbbESkODUrY/r+Qd2j aZhYziKxOdex78zFxxkVs1f6cAU+LhzukdFbNKnzVAboMwh0nhSKGUciyC91MJ5AxVzY24H8xlMq pqA5dU05vIJhNA5beMKP6ODNcNKqksreafP/ExRh7OHzMvsXdwR3mln6K49KiiI6PMgn+b9kNoxO JXyPHcqm8Wgau5PaoeQibnzMs9wCsJcR2lSckhk+5S9MtGrQdK9ZWjkEcfDkqOMLlhNFDAZGKs9l IS0oRpe+NDWCw9iyyuRnV2UTpw4u6l3AIt89gZgFXJVQ5jIvIHuQW54nVqIz5gjKx+aAnSc2ygEW wNDY9MgfGjhHepipGSW0Yh7cdpIY9csckiD16d51jkrXKsSJAWEjG8Mu9cEu8DWmf68DsxJCuQma gYeMoHdZTaL3BPw3WnskOkDSrfEwGZqO1DGk+dcwQvGE2gc4X63NrMzx1uad5jX94sTpWnoysgg3 Hc4or5dS2jU8mqHrrNtzxeNc7HobFgR7m7mIwv0dOrbA4j5wmcKgOnLsQs1Sx5QkyWqErkvaH63F 30tNsrDM0nmvH9G6rSK0EQK1ulQJy5KX6XS/ufBtlryyAYVhNWGUUGbABxCXoEDGMKo8fYx8LlFY 41KgPZ78ftK07yNMpD2lM17msI9I//2clvJpwqo68f750/wuGe+RtHKfYAZYKpRAeUVuPLi6Bzzx 3hoKF44PMWdapGlmGDsKHgxqLVB/hGlp0bbtEVzeDIjzPl1cjRLygMqQTWkiY8MgQjkNaY6qUQBx IT7HROuzVb2xTMVRSBVF59JL7L0ox35GI6PDBKbNvQkJbYO2swQXdvCwGG4QJMyLIbRMAmUyEsDW eEnLvJZomtRVEDsYiEd4vAeMaF9hx35SfyxyJIn0eXeBv4SKeEc8q/yLj+4pSgCjfekdrnUewd7K X31NrenR9+VcHHKV0cs401C23P+hhRusanGOj44Y+JZ3+AQkcEWEjNavjNNBen2WaejE7S1OO7dg k1NgNYBCJi07rdtrgmxCpQrRXQYZRdsZbKSFZw6obfPfcMqq7pvXm7XwccLf4u3XUY3Q/pTT28GB i/6HKi68tGYTgXMS7nkNdDDF4bNldEW0XMwQwzJj4QriOuAAo91prw59BaQ+6qWe6Lj3Ox+HMHXG u+yQ7oPur5/DKqJ3u1I2q2H4WM3Y4HAsGbI+fIlKeDFiIF+9wsKm2vU14ua+yUsB94YfGWPinrcb aekVHVm65CI1Ngg9yII2g3yfaOinfG5L6KBUNS51Z3ZEr3b+RjGMy6/PSdkf63s18ty/r8MWK8ik W9oce0HWVAsfewdBXWXf/UqoQvPL022vBQlaQn/4kjCsKs16wcckcGWQC523PqfBelplja4E6O8y API54DHm2pPotST4LIBGAkqmgyui1HxtARAuAicaFY1xuyFHPeAK2G8Xvt7wDBdiHZ5EXMTuf5zE r8oHZrqFjitDknvXP0Errk+fphmyDvG5N5mc8SouifPQvz9v/7VrEZalWpI3mX4/geIDJo95kIbW LgNFDe7FKljKDEp0kXTZDyZAnDOHI5JSXylSk6tclv7wa1ZqkonWM3u2t9yyC07m8BlhjNYZmxa3 M6w8Yoe+fbvZzfu99AinpYsFRkczTXOlzMpuSFgcygBy0VJILkvuacy9as8bgL9yWB2x4e/8LEI/ 2m+0ALRJP01HeNRH2LAbN0VxyjG7HGP9zJvUpyDa9dHNGHPHIryNup5ozo0tUOCziDUGzydgGDm5 Oyrq669rgYhcSpVgXthR50wUm2eFOv0W0E+VdEkdO3pHQ7J7s73cTGOxv03mNs0JfflzIgtIijvx 8QAWsre8a3mWY3bHu1UQRSyTGHnV0J8oYICwMWS+RXsR1oRQGFxFI6phOmXjawdVJBFazMXntVyp VxvsnT55l6Pg9rrBUh//dEfeG05K4nAADPvCo3jecXzfqp8OBtfFzcm0xaqe/GNyfRmghJDm6s+r a1tG1srnR7EmbT0LXQrzCccvx7nYftFhLt1ihOkdkje3HRI7WVbTQwa89UYKShPwoHu/YeeL3Tdn SwyAvX1OY7z9XBN4uywJquOyKROwI68r6zcFTSI8isX9UAV/e6M9sJ7CArl2WT0CW96EaVxa17qq QBnTk3G45mbiEV4I9Yb3ghN2z2Yg6qSMGnxUGNpeZfKk+zeJRabZVE1EimWCWvd69xEDOwPcEx4c 1kLiXtXco5cBbteIVd3VOPYZugNUY7ZUioXUqhGyn96oML3vo2arpQjPqHoVogyVYHD8bKzi7QEt wnEvw4TVmb4bezTghFKTgIRUcEV0vi5oMvVJROaa0aUARcEpyuHhlJv5BZmd3wxOM6rXWg9JnYQQ r+gqediNLBSem9DU758JaTQW+mKUfNEemo1ac+lSBdYy1wBmTlXGVHyFtqD7Htj2vgwPb8M/DLNC QPPMvThTNW2yvXdbpMUXA7bhWfZ0J31HlxYsZtg4mPBkj1zQrBiupZ+J5/AnylUfHKuyERKXZg7D hWYinKvaqSfCUPD0MCBe/Q/EACOL5RlgDfH5769oQuRLzAclubGauMKlysKNQxo0LXNDgqoNk2Qe AJ64ba3VMQrR/Naj9QAVGqFh8TAHNLuJVypK4/13XciY2/vMZDC8D8Gf21j1bC69i8yCU/kzUEEm gB02Eg9Og4Gxyxe3x6kxVJ7Bpo7X2qx5gd7DUFjZ1cSN5o683J1TAPYV/Y+JNqa2kZTxkvJiPWAR 7jzkoO8DhuiuS6rv4bo2f0yBOtcQ6tIaq5YO0Tn1v5FO0Ndu0fH+PW96ZtHpDEbhYljbcB6H352l myC7X1ZYepT/XMm9bc7GiL2Kp9O4EmKKbwczQIjWpjxf8EKhWDRCOKNMSS5yLMq09E+RgYfRw1Zs 9+JOaVU4+wRCr8uIMEaQ4obE1/A7CYAR11fZzzv9vHARHXXX3Bfw44kTQ5tCJ+NUQje5dLbEB0Lv 5lTgfVztoKi73VzWXp7ciLtU5Dp8lr7RVkUEtz+VGT+kpIXAUr8rblxPzomqJ6jyZezCwYO3D9uX pZMjDkBkTWZVMyG32UDGjcajtT8XHkBLdl5y59EMowfBbbAr37pOS3/Vy2YdsRhD6pqkQm5ZL/O/ NFXEQZk/8nkKqFqpDuCr0RJQuCOdJS2WAnwmoaXxkEoQnRSYcuEjy0Bau5PBontrFA3A5eWjwL7w 2Cwy4cPe4cjOP1XgjprcblnylpkApoSSXChSeXyYG1iK7EeQFmyQk5h8S+NhBuBp9sSI15HqQ5e5 S9iF9ZexvxooN0GHEi+eAOsRAezTnW8pBHM3NoDhs+X46PUTJY+sDjdQdfh+se8ccYZvlSPgz+Hz hIu+iotisHi7M/owr9B94SQSLXCuURo8AHSpQrGnC6wNW7aDWWnLy/Jg1L9j0Wp+IlOsTtneKVwz WGl8H/UeYFgFfWOD10S4relDvjS48k9jcK+kIh7N5LVyhjZqlZftE0AFnteSHjZ7IB5NczVpSXke tAN1Jk4b5czAru+t7xygkxx1gfCf4n4JgFY7hvAOMPp2WIrt5+ql6nuMYRDEnJuZl8iMG9uTxhXS 6+jezOoY3Unz7nVqvfDjXD37/r7oLktMP88AOZYDZhbt4LqQWabcFhRsZ9Poo14w6OO7Lepa5HVq bzMZ4sV9lvMYY7o64GS2OrAaSuse2car3bOoZaOqnPxUFqyCRlvc1by7aAYJA6hoy1OUQa30loI8 2McSr9E37rF0NODnHPmljcDp8jHRzBJvawOi7npAN8zWUCdsAVMZcuK0IFqqBpzSE9tyJqy59XLd K7GleZXV4FuHn3mRip1V9+nkpQEAhYELfHuMihDzkiZOoLQnXPtMlekmUHW2DGLEQZACh1HkfxQD GPYowYw1HwKWpBq9ENIJ5o5Mihh88C/8XxvIyNB9Wp8MX3h2ojiY/b/UOfabnFN9dG5Os5iuPLLa bLWK6c3RjO2Xbi/bXbMkXvtv2iDrrm/y+3VIZdjeth+Sc4j6rdy+PEgWJ+xV9vx9RErL8rBw0ueV xP3+K33Js9D8rZP3kkEEv2XAzCuJr8HlfuOVj+uIrm59pueZcvIB0I6shFw8pywABhkK7Gx4PM6/ bwODI9WYAmS920lwxNc9/uyTGYIu5r8CURCPnO6tlgKvbsU3/3foN3nrJROpm915xhID81q5NoKQ LjF77h3PxjDHUjiogYEltxiq8FsU7kJ0+ufLDiyN4JJlDyUKzTj/MgYo4uUrunSNn693674DmfNH 5Q0GpMotjcRZt8IXH8twmEFH3A+sBcNTLR0H2vYuWQ3u0Dvv7854TxbKQJZMomFXVpAVOEul68Mi jyscy/rQk3AqRuhR0OdIV7lanChiPiMy+c+2C9quEQRvzf1tMD9ljy/623S2O1B++sa8vhTHcf5Z ZT8DeFUwCMcxNJ39R1nmXZ9AeWwJPtm3pPs7qF89CKvziRWrSbP07dm3ridr4U8HQ0Ych5F9nygq l8gWr8wQT7jffaoXyX4G54RYpWez6Q/H4+2/WArbbeKybsFM9KAnjFa+6hovckbblCEgDwgpLrnD 4Nt3k9E0BCCIkrkBce9cgyjnFLUc3RJuTXdv2QaXQr25T+fZ5rHP6JjRnn93u8bTKHHYkInl2V6Q UjnQHDFN16UUHbkm8RpR8DtG2BMmN+OM+JuUjZxxxwPLz2FXbp2ii6bQi5qW/+S3s0x81Ig0UlC+ JLY7cCG2WJL1QYt6XdoF+nD9TdUJsZAf7pGeEw9FZ7r9poNyZoNffw68m0yLgFNZLyjYlOeD6noF EPqKnQ1cc9UvZSh0tIOYUlT22wkdLdOGXTDRouB9GjCgR5kKcIAz6U2wfYsEK6NTk2itPq1Hq7Y2 zF9RHmObtIfeX37qZn/60EhLPpfv1drHCPruSwcofu3vKuoFlHCgOcfOsRuVldUQIlVuLMk25vxN XWZVTpFmgfLa8huXn87SdkRxSBEz07HjeRVFP00ILdLWSJvYyu47uDqmZiiHc/iFGMujgAGWq0io uMAv99JkFtMXMwE4XWPJIQGMjTeuI+Fohko+I9DFVX2/fgMYJ/Cmq3kmeAWxLXEDNFPlhy04nVwM bcx3kcnHmL4P4o0pz7qmo43OrO0r `protect end_protected
gpl-2.0
7247639f869541d619c9cb1105ed2ccc
0.935597
1.880665
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/flt_mult.vhd
2
21,866
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ccbJd4RcrcLL82SSmiMUZQYjVv6I/MTxHGNzASrbEyJROBnDlCfxDtzhA1oJPvnOnvrFLlmGv4yM 7l2GM/ELFA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block h3LSeaRSWaojj+rZXUS4JvVmDxmxNRj/t+e2XxSuFAnvZ8r4UErkSXPeFae2NYlnMH0aamxxDi9B P86s8UxMbYjcVb5YOK/dQqR+6n8/1FZGVZEzQ+/Lc1LlbSGf/BuBRl+4tl0L7RjHEBUimoSOfpdW GvTXFzcyeDu3vX+BJ/8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uxxws2WCHSyRJyWotdqymR7uz/omw1tiArbb9C5uvkBs3mGDgEk+qbGPj838QNzHLrGo8ZeFQtQT hbnIu75N4Np5QpYAmkyuEVi1VdvbN/kPcYFlmCi50ouMSEwtEyeewG5N6oOsP9o/7kF31kIldVaZ ZpbJKWeAhXCYm1LQ8YgoHcSPo0VNE3SSKbjYRTiL5V1BX05UHxICQOYg6Q0WHI78VBS24UBA5zJB d2wkvyXnNShSLTK4JLX6YPSBh4M7LuOIKEyWVc4HqPZBnPERNbf2TaSyf5BBb1hvmV7sOW5OfTNK 9HZGhP1B9TgSF0wbBOOqCleuvz23TWpNV4Zbtw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JPgExZXDs7qaBiGNTzLtcX4CHUy6R81YL7qjoTZV7pxrDu4gRdp7vDsN5CD5W+s0E/26Y4w3pabq F1Xg18A4AJZYEF/muh8rGRXXi5rWVl/dsm5+au2YQ0fgdaQesj+y+tysWkxnORYyFW3CKY5EjnPF nVH0nNV5GQ7UZw/wS08= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OAMzZqqyhob+hiiVwN1tpHEsjfJ3qiQD3VFeQK425nNjncGz+haP/SOAzuTTXjEm6+Bci5h74mL+ uC8DgADh+0xKIWQWRzUIshMfSSoYuNQs6DoW0i2PI+wck5t+SB8NXW+S19gO5xztvv0hTfV6NZqi ZJ3vUisx+skEIStI2s8lXJNwsJ/pQKsihzUem2Pzu6y3V4kATmbWrEc9uTri7ZicAlk6MUIGso+Q GfLbjEUcdQni05RpVTHFSdkvwI9YHdlfFA9virl2UYpbmSD4ojyhu9uyjD4LgyJlBtgBckyZwZcN 3X1TXFdj0vzrJyGDt2vMmu3/ppa+8fPOAX47tQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14448) `protect data_block 5Ho9uyX+0BTw4hxLFvfhZKcgw36BVFIWigG78/ZXA10gg9lrhiGBUBNIXAVmh0dy1N6kdDMCB9uM 0TJ6XFnmIaSwUuxO8FOAhuxC1xwmUJw27iQUSm9kxiQcRZ7WtR9i0l0Xi4blf0j+30kxzXnHEwh2 dteTGsDaKfWIsVo+Vyjuk3oQ59/dcBRTqXrKGK2Z3PN2BDDo6O67RCYC+fCFAeATqbWwvFa2AKpW xzyLXkHdpCdrl4u4A75gTK2mG1FX3XkZWbmLNEixyZ+XGqbRgG1uybo1xs1gH6EVEy52/zl9NwFD VCmK1IRjTiZzMju9oDRhdjJgfOgefaQf1ZwCisEqqcf+Yt8GShZg8A+BGXPptJo4uUR2WEicYvB7 h1ZPuukXMpQ3IHtMKJ6X/bp0RDOPxKFA2Q73IoK8R7nTGc3L6+dI93b9WcCiBS6k3XOvhiZWGrxo XnyEs6aUz8X5gLMQDxtLS9+gZuS2yItcN/FV53zwC7jvHBm39hAhV57fOvNaXD099ODS2WjUygns 3xGO+vWVBG4kTBZlEaZ+a/za0LMdRibbOeVquuEtfHOEMuwpHNgQ8U+JypJZpq1x2EGKeljnxDHd k0kdCXEhx6SpUex0Im8htpIwORMk2QiYzayrqWtfOSnu6MsXb7khKZR2vz8OvaeHoFxMy1RKUBwr 2nx0ipMb8qlzBwiV2mDB6c35QWGgmatNyPJkrngmuLShvwQxfZOEja0MKvhdeTrbeJ51SoeFXtrF VZE1tEM8959UqGCm+eq/cX5BcRPOVnP+tFo45mdUYAcl4M+/Xpw5oBmu2fP03kWnptbpZEJpud8Y 7hRdMfXxiDq/ChYzoxcQGXHVRH1f2/foX3axoQ9MRDzbEHVg3PBoRsFOoVizxzMQQc06GLwGbfjq KWUlJ8M6ZpxPJGtJX1bgdmfAlsw5MGYNo3CQu9lufE6F6LzUi2pbelnk7fWjR8Hc6qWQc6H3i6GN ksV2gU83N8dhn7b6FLiaPfpSJy7vVrBo0ynJTvrAXgmkt/mSc4wTwLPeAnFXiwcE5vovlFgOh8YJ InOPqheV4GAB3tKZdlO9CHPEotERQl5Bta6EcckzXXP/AzIPmt3xwav2fGKArUVAhOEoPK5fJl4R tyTTvc1hsYAOEeNKlhomFyOPAzaUbYh/NzchcKH/vIiQEnUpc7QVstR+FuHDNljI1YtLtBTh5gFG YE1umkGTqt5Ja9+IX7j6U8B7d2gksQaa55E8rVwA8mgYAZPQrgoDnnT7DHoF6CU+4NZuHxMNaNki 0JgJnc9/iD5gr/uJK7m/PQatlis3CVyitWYS5eGHvZqTArPIaDh5x4v1gFQ8WYoybPVjeK+kgsr4 IxvSKlSv8l3sfkxg6PBv9wK1CJ4C7wZ15E192F2dtpxphgzOYxFXpNWX2bX4ZqSawy6pmHqvkU/C RGeDtrJVp0XmGBxvSSAG4HEjcbxhBhgV8UDXfte2REW2MtfMeNGJBLZpBPf/NUQb9ZYpJMpXOAKk E6KUM38hd+ielUV6vNvypdamseW508MlTgQrUo0ESLX7cuIYyGk1tiqYXK+ZCXlH9fd2tPzJUA6N iOM4EI81FLt9WkfGlp6HNsYz5CCrgkHsVBHWLnGrmGfa/gZvHi4i0D9NgYmEhj5O/iZmkgU31lub 0fGjFEbRuT5WXnRBikruLbzXsdA7ab76NtjO8P+ROf06XbMnycmkfPu/3fTIXN6gC3T3UG0XJjz0 uQMS5b+YlowGN6vJgcxCxUZ5CeBIUuUZ7mkFdCQzhLeE152q6865im8+5bVMLWP3tUNSmiUQNYW/ 1oH7UL7dcAiQxkvn9WWWRGNEOxqxwSC468TIn7GxwYA+IS5J5JKxDvBf4hPjzZscfnCxMCDfbGTU Vyv1qnl54S6IcStbDaSilgU+pLB9OI84gOHaWxqodoCkfDM59AtAHCgueqzoMRNNhDoklpUfBRQd /Giwn9KwLFqX5sBTQBSIMEuchmNoTemZiiAXkY230S/9oUZPl+xoYZ7OjUEhqJ5c4NT+sw5uSRw+ bR4gImigLY+Q1+9JMpgL85gCtX/sl8wTA942dsiDrcjx1jdjsyS3XHOv+tDf3fRNgWEnmsEXya2z EVIcvVEecVQa9yMSASb6KGc/dLPEFDEOGP+mX65jWhCU3IdiaGmcnwtTt5g/CXJ7MjUx5htCe1Su 8ffLgcZQzWdkQB0KH364lhJUFZ5tUHGPuFCJuVTg1/0TYwki3dy1KNKN9uj0Tq1N6jcsBGJP08ge m07T1fWoRB+D0xWOZz7shjRpKolaWcPnmGl1wwxJ/eDCGX+GVPiIN6xg7yShfwlF7Dp9hfMDQiDM JbW1qOIiUGJ2ngK6GHYpVqF7Gnjx4A8WK8ofRjchSGdbrHgY0MbWZhOTBHMCtdCmaL52Hpc+o/MY gO4WTEkAYtAh0BcHJafio7fEg+H2Ov938lu0DODVdmV2s+sH1nwtY+om2cT5NviEDNjVqLAOTDUs OSxMYeQs9cC2McyVqx6+X7aXN2mOHA/GIeV5YruqJ8xYeUmMpLV2jBf52k33i7JzlFRzJ6Imai56 ssPhFLdKoIbnK9DPLkyfpisB836sdplZ8uiWPCDnDOI1tQbwS5j67I946vxauMTy2R8gMN14LA2J 1psWCvvuX0ZrCTIIyDrBmvjO4K4FXZmZm8xhooKDh04YWUT7/MUaznPTvsIZkW2ZvvDhLJk0vpNv sbcyqQKO9BKNen56mjIeki9mN95b22EihSt/YfY0qFnS7T1ywzaDZZ1ENL1NPJikHkTNLSrkTT1N fVdr4Qax3ecCSANhlg9tuM+VgIecQIKkOaRZR6Mg0JpsjLQNE0yk2XqHmlvKxQf+3Dy16Y3FNkqj lgYGMux3tRyPTSdFDzELrWRPY328znc74Dt51VmB6ae2OpVY8R7qqhabkONpUsImMiFdGu7gNENm qtNa+116dGzuziLC091HCTzN3f8dtsQlWzZoRbs9NumhnAU+ou3qBTrSn2zvP0mJYkYm8KzhGoIV uDHV7u2rKVQ2bnDYYxZRVCVzxQKBXL2HzZmNqT8jtVRsyOu5lRh7NBFwP2ReoP5WttolPd1PGd5h BCq2cIxxaoS28NvznH/3oxFrz3IM+zN6ZWk0ESjWPD8AixlxrIFS353DjvZKie+ZdEghmPVefjZQ HsQTuRh4/1F7UpAaqThzR2duXrLgGXxmZLbPLzpDBb9LEyyIJulB6lNROrr1u5XD85liCqeYLyn6 jr2E4YCMfa+hv1y51bbYp9D48tZm2z6tvddkF82L99Se/zI1QzyQBguUuixBZ3v02AFX4xjoQkSJ 1sgk2cWgApJfxcbpB6JSLyMuaf5vrGSqE1wtf9EsbNO31kEmzebYT9Ef+pBHaDXldyPOg/fvmZ80 kRuV4RSwUCS0pjhaD7Pej/oRseVCP637U9r1TSHkmHWHGj1WEBAwzJhUZNKudRcssq6DjAgeClrT 2ADAR6PNExgEcvfSDhJZnzcYth/pNLMmvvb/mME/ZhrpARwlyMzmLlihSIfwAAqWFt/gnhdnNzSp FrcYIfGTawufkks1OWhhFRfs3qHgXTzPw6i0ds4SRPd5JXu3ipG0dqFN6SsdaL1ISMGA7/BPyJ8j Y9LFmnbSq+476YcLKUhtUHFaCHCRQg6tGiIjyjDPUAb862z6u0hTO5t/3eP1n/D28qwYMT7hUAnj dS6Le5aO5PxuYMCrw5Dk5De1Sy6dDu3Uoqm/1D9hyHtu+/2lSJwgVHxWjQGLgHrumIEJq8nYDOLZ fA1kuWrCXv9qa171SgoAuyL5RNY/lcx4X2rvy9obDKSG8d8wV5cHdLc01YfPKMH/TpAa1ZLLFes3 Y5ZXPJBhsEvitMo/XsWHQV4778TlsKpbbueukdEy4ZAsk7h+ZSYTChBI6D21Luss8yAnQidy9mcA +yb931R2riAB3LgaITkynofctrUir1wgda5/XPdf/vfpOrO47kDUuRINODiHMTwGWYSP6tC6k3fY 1F2vF/0l0rfAdEqzLoLjJvNaEL1sdgQ9eLMx9fYZMlIQgy3tJx8tUZ27uIs3YS/m0REqJ+XqSfyS SDKsvOGgCoCiZP9hoLPOFCVJ7aIVhenSqAvvH8iPkxTAwqrDHDx+6gChWIpc9DB1FrFLeVrJSgtu Zfgqro2c/yn8W4phrTN7VyrM3nAe81RXfwmz6OjkT5BQfaCFejw5xCJnLbh5nNqTME/3TFAMnci8 ZLLmwEgGfK5jknB8VwAIR2TKqo2EY03sje8Id+q7bDMzsNi7JwRfijleH+0W3E7Z9k0Ht9f3mHfp G1MA9KBlpyf3MKtz5SHqsPn6mO4zMs3EIwafFf7TgHKujOt8Sbyp/v1gqqg4+6MEyy3Q4J08HpNZ wXkBZiM4gyCPFzUegE7Bg+Xc2v/Sncq4DKjo9sIQ3lvRgnAa3wkoG28jYqZaCEidgVPOE5jUpT/F Lg/DvejFmfW/7jSPxmk4Wd/fz3jcqonaolMWiw7KTG966N86UD2xFeMxYYNn1H+qaLlbAusFzGjy 80mD3supwoNbueBa88jTCuhOrhnIj0L6Hyayk8ZqHKRGFgkSeLK6Vv6ZNuWsPDddtlDAmP/RRIXK pp+o8WQD4lIBZqJuY2XC1GtKFkoSqiDqjfZWkU3yjTcXUDcMRRQRRIseCso8nBvlRzitoDhcPTv6 +Fg6gF2c2GP7b9zZvqL3uXDJ5HY4kTKQNBD5KYKEbUI1T7ASbN/V6+LKKdC0RdakNJJSTj4R+SIQ 91tEaoVmGvj/fKOgdQI467AeJCzwwxK4tzfEDOp6IYhveYIciasAUHvHCYlcipFW5LpEJIFyhTOb vWHSFHG1Y/liz1uWjpxhuNaXyqf+4UnDkcXRRRDwQoTrO3BXd3xWHw3ACC/yyzCs7phIifQBUz+H sGxxxjrx2HpmTRqVvm/uIjYHzcKHwIWcTKRZXlXjndDLgcDCWoXgCZ5K/4HsiIS5QyFlLyC1v0wj 2Gv4p+W+qTgcYi4KSs0GvrLHhqIUHyN8EJAzgBtiwDwb5RkM70RS7mjrykciRBjgX+jfl6o9MTzd 0owFby7aCS1ysrxhFs64lRF2J6hmVTr8/+ZoWWkLB4nc9vrdnO9W/AcGvVCzu++qyNYdRytiqrym cctre0fopRn93jLqcWwl0T24cV5TaSTA6ry11puDkptw+I6Q+UrjSjnCXMiAH3eqXkQTjWpfLYuS 41pE/C7wX4z7hEBUUpxVdhECq52iPY6gKlK7zJ7tH6jUZ1lz8tKCKxKHtkC3QTm+i6mFnLO+3F2J NS7EJOBc+OowAj6xbcUoiqFetQNhUKo7WM5CtZGjhX77ITvXo1lYf/JiPI/ZfQHnR2IcW5T8oTjy 1XEOA+UJzJj0CGvc8dL74DhcLrqp/bAMvjSJygzOgbLMJPMWnr51ST8wuFIDf7cCqxDgg9GhkdHO 74USIAltOHuRtiCE2XX67ov6Q/O27/Bq30NXW1Lqc6pa6KlVnG6lSQUBXJcOyAo3E0ANEvFTPCRa fvRvAY9m+5hN8ey4lyWYou2pN4d8NWdV+dpV/clTocNJI5GSDslvxdQDrxMxK6E2GhFOUp0PaiYa c2r91kX/Sgaw64ZaEFk0PmHhtOWUy2fPesat8bEQWvx9bEcBjGFE5WShbdV6QoOm0ORxJ9KDHXs5 INnh5XCdnAYv2if6eGtiyi1b0yn5m0hjcI5qvfuBebi49PR0o1KHY2AxoFd0z9gfeGUZBKzniwjD /IwiJ3I7b1PBmDsTQMcWw/NQ+NlPS1eeTlyahpbP8N03NN0xiYLU9cHA5DfqNSP5XOuf/AXOE2pd R2uNOtszhWseLtHAw83/7KrKDzEB4auGyCSoHueSvtscxA6bFX/e22d+QCfuA2pV76PIVUn7KXPh wE2J6XlKc5rEbR2XogAfRjIq5RvulzQBOCrAf2zN3Sa3kbMeAC+KrAMHs9DsgQR7k/ywXYqib5QX JuU4hujk5Bq1UoOUUR+8028rnmJaA5qYsbSF1NXZPqc3Ke28ML8o0tXo4XT/2QiRMQKPw+FnyY36 7joRQMToWnFccajACFtjsCWvXxn3T9y1UQcX/lqbkmZEVMOqgENktx+YpUtBp5InCDfokMUQSQbq 5XhP1qwxdplEwdDgrifHTfSMVBDyZFVBUZXC1gozVk1sehLUo1Fh1tgtC0yXMB3OrtRQbYsizSCW JNczqKK4jAW4hV3araOcEzASf+3jJvUAeyQiHCOmPNZ2DUWpjYjn+If5KTf/c/wwyF/lT9nM98Gt Vs9Ikz1UbK3RXKJqISmQH62zce6XAxKTgYfVbeSmxqDEXzbaxSVdd6v/6BZpbYLC8YXdNKCFCqcm 6iwQNHTHd82REM25EG6uX0anc/MO1Ricxe6k4l2i3zYxmLXyPabhxQ5hXXFlq6eM6W2vgGPjKhod ApF4gQS8McwoKEQZPE8P1adgdgul3e2yxtGpWc33gEPKtQhvwW5FcDak92kYqJNPeG1AeYAiTgig +2XL0PnKEnyzWt76RvWvJCjCbZB4YJNuS46YlZMtFG7UxZR3mvGXRQOIguEE7ynW5PFmIvVzos13 NubVNAVK5652gaE4olB4bPC3t9KNWL4jkZKcCrjMTVvIEDiaU9Dgp+G3Ln/DlP1pquFXhFWa3p6+ /vUwyW4tEdGyLYY4muqhxyQZJ4bgPV81671YGXAXZVzR1XRgNv42vHS/IKMsqSNiGr8XlaN5UvNo TZHENrvxUwj74s5YYp7ftFhz42O+b8uqZWRN8UtoHNkl72vkorE+cuOdUrFOQobRAQkg72Dq4+P+ 0EZy3xtWo+zEntnIrR4OPHydgcGBEP2UwmkLi15HKrTZzk64ohoZ5w9+3udbwRvg4szetZTD4H8n WAJFzkG3IQRoeGTgYmvTLxbmHGdAbQ7+JHvgvEpszvu/2+7NpexvSddBN+LkQuo0+9DPOS9K9s9M dHjbpGKG2CwxzE2o4OBEkIbh6w3chI+xgOsAZzGKd5kCCLscqEv1bUZPQp1lsp8hfpbLC9/bM28c 3IDC4Z0UM6Y3h/i0p8ustUOr4o46whyk2oJaI+KWZPOSX3EQsowkod35oPijhat80jdpYDIPh8lm 9BWnSnDjU8ePIcLPd9MDrXAclgYrpsJYKYSEm/7Ese8J8tAS7r++5quAlrTu2Y84DzG7QjPzbdrq BUSA+Hoq1LnkyujYteoge0ruBE+9rGUh0o0m7j3TcQ0kjhvch6w3/oarSh1FjWmCKZQO4mFsLcoi SkUSBZ9uQZsF0WcTtC8PKDVJzdr7mLgFa9U8kbapK5JgE/2wvkQBb4kkKZUpv3LV+Wn53U0nScEi YN02lthtqPyzNujnEaCebNHaZanenkHPLrngefHe0BTBKzRd3Kud2Zc7HYGzDZCuTnsug5pLsb9L CT1GD+O1cTZpArgDlq9K8y8Sjn3GSnDyz6XLZnrXGQgssk7VnJfTDIUxrI2UrcUTIzmSWxRAP67A 7/PU/TaBov250YGycNwJs20iJ38sc9tuYjikURt7dsRpcqvPW0ELv1a5dhgCdBQrclwggBnjr60O cLlxmlqgT5gBpGrUqrlc4P6EyAQRyMEvzf+ahNDDV5mgRSmbefbgqFJLjgUKUPn46mlq7v1F8FI6 UK9dfqWeGIAz8J+OIcK56vih51TymE20kT0fExuyhbOts1boUISsD5OMdAGc+8MIWSOEtEXi4qNA 8TXQLWrrPKcPPJRtiCq2jke9Fw7vAirU2dT2apfUB4gvcerLHUhjJGaM+IqyPje5PjoImTd7FSmL fLlFwFOqrH17m0kPZoIBs9JvCFYCIDNONu/XLcWpPaalBaA8i6NiRWUqK1LzU8UT6UcnpWIWhyMq L6AjeJmQdZ9lZi3B3bpszhnIfKy6UjjcTovQ+biH3h5cpBkYSoR4b5O0LE0cN2H3kM3LHDzwZKH8 vLl2hYIY9Al6Kgxogj+Uf+BFleA0PfcPt4jm5sMUyT7dds/5F3uxtx3WJ/NPqe+3eSLmBUsjeXTB UqvnbAxvuEJ3iqKOeqAa0YGNyl7JEGlkZPzmfsrTl8kmylphELKliQTj5SBiyWlfwPZOA3lMl7cb jFfaGW1jd9wsppc1AmcCGRJi6vtd+erN4O+IN3Mab048B5WMyVBceT6H/cxQTAP+6w/HdfbAlxvZ sKHSr7W/3fknEtZ4dOhtLR1Rp+h9P9PcsD5Oe7amGd9bZBVSdYS5WFkvdBFXm5ehfy4quIKeUku3 QaU3vYwhNO+31pdjFAoRkP24dtAHp7DGclELB7jKU3xMgmIbDZgeKXNAnUY48p1e7TJhF8theA69 7iUeDOoSKXo38tXauNIbuvHDSQ26PoukXh49fV2AGF55SMbzsDB1cF6J8hVj6Tq3e80esWfQdOT1 ABCLQAa3EABaZeqt3qZ9yXDQ8hnQE7NGhQzh7u29SsGtmKCLjuqQAKN3typ5TiWkLbX8ctm2WW2s PiX4VvWTVhjbLDNQK6KDtymTWzxcs+ct4Uti/z2D1Hv8CKoztCgHhrQEPnw/oiTaoDcOf6eU1MLe lk6HVqDFbUW4oacsWgBwGT/BuGjjfcjI+hM6W9rkfcyEN4S+rWE3ctDF6TRY4AfR6nGmTOMOeXsg uw8wy5LeHIfQ+ei52EJx0Zo9B3VsJliCZzRc9SilJgUauwAH3t/8+BeIiJbJpdWimxKeDUwObqWd FVPqf0T6Y/1EuGxpqOtHOgsDSHf1ywFWnGEElk287ESIXzsN03qgXo8q7cfJZzGnE4t1VY6xN7cE GORQAxSUoJERik+INHHpDKo633bcodC1M0A3WLkwC528UXX5v33OYSYOxF0EWJO0L8wdhJQ+tQAa 8zyAdBiKpdzdVocR3rqEShP/Pw/Fy7juN2uhBdV1Vz3CUuMlDt/a7eLUt3oEfYePHRDbFA5Jbatr lyHW5jqUZos7B2uYF68VijK/nzozgSsR6ifk7YJCmccUjBWHFIkDNzeZ9a8wFAijdBTI0mOvXpnd OeOfr79Ol2KToepeJRVhJyETPImj0LJFzA3I1YyoB5FltBylLu4+VbHTsNzZbRx6lo2+ieo+/Ym+ ++OHyJmPKE3jgv3fbE/sYKKnCGjlEAOe0KgN52g1PGFoC+12kx6FTdMQbK4koaNJbOPpuOfIQ8EH Zh2fLbA97PR/HCgmQ2QXUDI6B71Om5vEsiPSQy/DnF/ra/Wj85QeEUUXTKfmrWQe64CVfyebfGJf QZeE2F8eTgzZEamkCHW5QwCbKMAsVHsfhznCweYQ3Yky0079HWU/wFIkDYPW5OyoqdO+P5I5AhYd hVrm+NYAyTbkIEg+cr+sBokINWC7ynS6cBGP0iwe2U9BUVPwZ2TrQ054fkTJ8gAIsVZyMuCE6/ma 9h/Vo1KcynUlEEzhk4S/8yNM5omk/Ci+TwXMES4297kXyeDUL/zWYcNJLQ5+zCyPMP4aF2b+TtgA fJaJk/A6kmMXvVJ9I6svMzTS2/1hTvFfX39mVxqrvy4NIwoCCiQxsDlFYw0m/OlIJqxu5W8mOH1G NguG/KSWVtY+SRGGALEPDfE98UL2fuecUJRPZ9pXrjWXgp1Jvbpv39R5bA9FdGLL+YqG4scVdMC9 +MSywGxiCQ/2i94G5RUJBJ4j4/OJTiqpaJslvyQBcbK07JwnEgywCoJy3TGDjyQY3Fceg8yRt/XG A3Yvo8eRlvjjbqNpwCdzQauXo6dKLsjAE0C6XtTX4+M2AkUn3cNmQ9m/48mRcsFLFYoFz/la+aYp kbZZkOLLessYqQdok4goV5i/uVZcvJ27P/nQK6ElIg1+g61/teTs0MzMilJs0clkjooq3vDi9tNR aWw5O2Z1vrWNkPz+iDj2XI46BK6BC2I8gDXmTU//wM9ReNv7HjUHRXnsGMMvRAxG6haFamWVPnIE hlaqTtyJtNJYCabF6ntKPWujRow6+PBBpdeYdbAMI7BwAtzrvwEIAiLfwJgrMNynfzbjDa0u0G5x 0RKZkxjtL3kR5BZyapfpEXgmEkj6HqDSlC0qVXQnWgbVNm3dK4Glsc+VptwoMifR+HeSYJjoX5EI zjz8JMK3fPqAC5mjWUgrOm0nVUgY3zECyT2RAGElF9Inc6nHLvpm4NY4he6xxUsFm+zD834bRiq7 rD5OODtSWoJb4YlPbnAW/O6O4/wjJgFf8lm9YnH/xmMByxjKtnUoo/g3frK2FOdAPs2bIxCNJkgO j9G4X2njh2pIu7tJdiHlSANiS8bo1sVY580SgjyE+0pB9HNkD82rUMiOg7WEr5sroWFYtXHH1dMQ vjlew7tNnpKexdvEeu38LgDPDAriVzRRKnxWYZ0XQebCwQggYjrUHHiGreNwO3Nk7XGEXmaiho8b uSquq2U1vnGO5t1J1ZCrTKZMQECkG+OdpUQ+qUl8oZ9d3M6hvGQyazFLHXH0wEVhc6OTKXQXd3oN O1riRhajwk68ZA7zyBkFGRsVtXlBI4yMUw7ykJnnB8ACwa8Juan+9+vyNWWU+/Zso1/883juQILZ 54Mbxr0YGKxrZCRoFvICf3xuU2LWDSw/eP2Z+8UeglZHv6Uh1UjRDxK55VHUQ508g63ePzbJptvO B5gOe/91d+GBiwv/HJ8yEnLEr77Vd7YUu6Cx+eZhRiSKG0tQtQHfWjKaPg+XV2fpXd9PcuZ+UFiH YtvEd/vxDNHD1UwemDCqqBrgiMxYjfAgaJKqJ1ivt7wkaOsRgoWJajBRkAQe8wL2daQRzbxM2dlJ 2LvNFNgGW6He/IlqcTjPlvNbXyNIWpUEJg9Nh/cNVyNtSaaEQZ7jdKQjoi3KO6yi5lEwmiHfulYe ysnvL7mX8VZYE0Xht+s97XzJZBL82xYG+Qi0ylDsshXfG1hLt1M0cb2ddBjsCR/n8hRXXGT3aCpR Vrw9NZdWPPFqtdWtdooFlJ95MMG+h543mwh26+nyAcrwjn6nf38qxgVGjfarKJzwE2lRoCfDFdUl 8iPznNph05YE0dSuIJuyYEjTbrRlE0jFsXewQdVdc155M2M97FXl1AoAMsAUVV+7Qnhj8O1cW2rx 6J+LQgRVr1+3K+VnfdeutbWRWyKOTdPDFRvcisPBHIroxrjRb8JNr9y+Ymz1/KVH+traghcJQxw3 fhPL9q8DdcGReLC0q7UJ2MktMa7qdK2safjEZZFRU9YmO2gvbrWnC2nCMc1tX8KgPEzV+FVi+lUh xDjJHt04I2Pfk7tTvBDY9HS3WLt7rcw8ljbNsD+Kvt+r20tmLXTWOsMamrCvykCXC3eGMcQ3+Pck OJ/9TyrfibWwKTlAw7UccI8Ls67cwPwdH7gMcxewBw0ju3MkPvouQLR+LmAoUt4/KpVsIt3l/1B6 ddJuOc6SwXekzbFZmm1OGgF7isk1cJBlehr/Vp/T4pl6fm2FKrLs/4PdKF6jUq1gWlgXuX2OIvbN pIhYfBnIHSHyvSdxJu9wJ3xIKevhVs0b/d84T90umdjvVF7q734VrhJGF7yylgcu5TAbT5WUAMtO ryCrhAbNKulRklPfLa/65Wv4nIg8xkuBcjcoZYHTOVacgnNxv5IwryS42mlUobU7GT7Ytb6vXNbO i1h9pvRvhLOwg+BTln14nE+SQrCg7g17xHYdPDokjUSO4A51JGkwDVm8VHOOy5JkC0BKDOVEVOA1 3/Soaj6tYNTWf0EOrGwCVu2laSn8mg/s3lavVsNB2obNOAIYwiou07FiTyjSOEeiZR0U+L/Ii+++ nSqPcyyXeysVNmGpVT5gP5dYwie3IxQLHY8gwPIoCWKM2nHfHV9FcguKnP/I6wcVDcXuGcBM6wAq D1Z9qCoQpF7MCb+pnLrn34hbD7VWtgKm1xe046ijcKbt5HL4eeu4aOofd+kEjj+XBEhzNEUVg6ya MGSuQy9H/6mJlV+dxwdHbWWKjEFKADoQWZB4+ul4zXeNpXNuGWjTOK20rU4Q6iSCLURcAGMT/89e xJbO/aHU9G9j2W6Tp+1xVXjCuGJBvw4UPZx3o6V+7MiADNxxngqkGenmEEgpUV1QeMbYciGq8Lv2 CJjutBIykg3Oupld7WXnsofY/ZsXSodb40xdve5HoCaNwh2URWaS2HvYrm1NxVo1eE9xbZlnYYE0 brtm8S90VUcgood6wvQ97mtBufzCGDR0ukeJdE+oMo5KL0Iv5Ocx+OK8frAZrQRADB+TTRag3lzG 99lQgi3Q5MZuA78nN8Eo7bACDw+BdZYhpU18L2JIJ4vv3dNfuoh5tJ2td/0QsqyV/kNqNAV/1awg Xr7BVlRvJHolfJJXVkStThlzkzX6mJ29lL0eB4Oi/uoobr/9Yi77fmV/VZxxfiRdXdojcar5p2bF Ic2Hbp9ciSTDaqy4OXCb58Q+80yD2D4fouhlCvCFD7NxDNtWCmOIy3f1D2zaXWWAufSgf8FhKewo //wSIBUmNsW9fnhZYBUFLmCvwtMfFd27/K3tSGuY+RJV3RnJGRFo+vdEgyQfvEg5pYOy4vgwi3Kr 83q8cMXCoN1FU9LUZtfr1TUBxYx74zA690yQSLOrfKAbE8Kv7L49cYqE9ZcwD5ROm3Raw9uDRjMe 2tKkn9bPMioEcqnkJwxwJ2FCnmiJV1ccK6ysJIOPZoOzbEtC6dixbxc0l3efqLANO0iG1NfK+feQ vkXRKSdbl6aiQN9OmmD070spsSfPviVQC6/qvACXBUcd/LKgMJJhltsFYlbqnDLDTUU83TtE9PXQ D8DHOPgQuNHSAu4tQ3wZTtfWg6Z28qjol84zfVBHnGuFMgl+7bd+U1SBYbUbucr1EnX02uRh+6DE EkeuYBX7F0IOMzeSrheiynKxu8hDuaO1sWfNqAAqs8ThJLs3atAbToxjgzZlzTcwvm1Bnih9ZvkF tzAUJRwP/WJ28HRJhNEYUlM2lZSaKRBWTIUYDs2pszyDkoFH5aCEGFxewChLkKA/jKUV9V48vrEF cNtk31rW4lSHorEytH3JXqi6RM3hgQI8LC6a1smDWybIHRglVzt3qeGKdHMWnKpvr2nJbgEahH0a vzDtixVRi3T+ZUm8oCEyTmhgDeIzF8mUk4Hg1G/fwNx3zGLr0TUTWYy3R1l++dvogXBgUbQn4SkO 3E2DuWiQc1VJtCEeYXUx1FtwCbkRZCU/QLFdsAcqoLhYvo733ycWmOROG29xu24Aem2/ioS7Io7p pEheB2jTwlzpz3xlRHb4xJisfDEt/eWR6xp9zgL2kmLIslTSnibhMZ9zEjS8WNy3PNFWGMaS9qx6 9kSdYoibe6yuvbpMFWeL+c3SyFMeIEigDUWz+E2LpiabHhdy5nT5Md4spy4+tNbvjsLDPi5loh2M tnw0SZKU4lzI90NifgTrhBFiJDWWtTX7BfglLOkqn5sXt+cZ4lX9pHllcYKmln8Xs8jX1X4W5RsX Fw+7AN4pA/vVEPnrIEe1EnHZbVkKwVI85XZgyFn2aBByI85sz7CunaNtWHVAO1yYh75mOcmcJaX1 pXhhv3pnza2NeiAAbgCDL1E6BAxgD2XEKZZT5Mdzo9GAjJU8a3zXxZRy1cLv9lAUdgDEfPifrB3j TaO6nx1fZ/kCFJ64CKUJ2F238Dgt1Z+DigJreB98Bp4mplyoaZBcxpgRnMP2Bw5jrkFtKYKryJL5 axKNG97vasyb/FWyHhCoBx4xib2jEG3fq/FznrhF4xq9UGvEVcBzsxtJuFHiEM3ZkDFGb6tFKLo8 uFnIjRpp8Srq5Tzy4ZEhlytrRtGBKJeJhHL2BcKAjiAJh7k/tcIpJdBuG8U9ij+GlDhywMYjg2Me bLiTAMVWcaxHPpr5Lii6o0x3qTFMxuPlFHtuYBICB9M8nvMGEcuS2ykfvbnChPxG9AbOvET6oRTB eBAsiEYribTVPTbDZ1IDgm5ohg2C/Ywv55gD+jDTN93h9ITmmv6/v07/Ha1xHrx6dSq82hjxM4Sk adZrndvVE9gTtaRX2PeQKuKI1eD4OsXSbpOBsoADagJcwRA1mSt8yjeUEDKkxfwC8UVtn0BDI5BC tjEEUTTPKjw6gcK8Bs1YBUqMq9MAfWeFfPUl6HnSDTddpfPQkIcjF7Yqiz7yXuNe0fAr7wsLLEGn QELSdlfauRm3vomGJlBbaZf5lC+ur7zaZqEM4Rfm4ZipqyqJHmHPBE/sc4FgoisNLmT6MxMzjuTF Z0d85bpDKzBN4/TJyeq6Pzy397lAPCVaBweNiUG+7VHtOtm8Dgh/VjbHwBkq/l2CmKvYXxqSc9ed PjESAmVgt/5Zh1APZKg6CwrqO0kuMaUWiWRQjZIGuyoJXFowzZZOlDf7wuPUASDIfD/lJ9hX8BMG fGnP3USHvFFobeGqoSvWCuVGkgkzHVlLoD/EDdTwrpgW5sfHMrytvmCLP5/ZYefdDzeiXYNkF4my hL/TdWM+QKPWkGaPm1KgRMfeYr2CPAuUns8MWY5e8SE48/c9qFXcIca/S5j5m6IZgi80maCVQslU 05xLnfhoDONSB8isNqVB6PoXh5xU/Pvqm62/NTqWV9XoVrxxbWDHcNq3a2RkD5ac66ujl84sl6S8 yBxxDFl+2g/39h16OheIAD0LILPd97rI/IQ6N+sgyAXmRVmpJprDKujCnLJRIDyzmWHXiVaszvx/ LASYTgPTNoxiz5DMoIRUDDeh4EWKZ+weoHvxzQuXL5K7Ey4PJ/ut5CwX/gfyUWe1bmLyQBxNBew9 Ki8hBh6Ea40648+HPFYUoh915VhVEffsJPs0PfgQwIgGO2jR8DUEah4RPpn8Gd7A2r+5WolKD4U2 TvdbHB7mRFu6OYs0UPE9vOo8Wetqs4WSfjI8ZXcAYpEwklTsLq1BA8+S2WrcjWLaaRbV37kXc82e 27Wn86IDnSuCMZ7eAlDhCzKuScLM4zPmLj88Yxa/EST6OD9tgJCBzTPQkc7TB0CMJOJTRMJyS8qi 0qHHU2mfbs9XhNdIfyKsMQsAVoSSBqtOTuk5FeB7enPzApLMdr3CBX9UjXIfNfvaiJZOXtPepwU7 SPWNYklfDAUJGaCiWnQ4+pe2jxXE6UlwnKzWpuVhAT/x8zA5nbyb4Y/LpZuQ0z60H93Coiu2xoHO WifLkd8iCUO1PKMFAhc/R2c2rjXprWPzknA4mgHNn5RIfIuSxSlrmfXinGEui5XMLQLDq3va5cYo /FWu4MmlFx0scqxtHP8qlF+YmuJTGkeOfohjjkZdlfWTOotPm1q7+T5Cb43+awZALMdRHQIh50Xn ok9Kh/9jOvXCDx6NrHwekVzame7ubiNy4nF6HS2cAkfEb3pES+eoI84cAPOI1A1EBgvRzh6Hit+x K4XzpcKwwW+oNIPmienF3+NSsWxfl1OiAdAgkORRhxow5T32dv9e/OaBnH/ciDTY537QyNWZE25t Eap6T/Y4lszEx84OYNyCa6PBNzhyZkLr02RWl+LaEE2mTp7eYQUt8ifwZE1Yn96FZyU+0sPHp+PE rhZOcSXzedYjcTsPRdjmpvvtr/jZV+YkYzsKmuJQ8krFsh4F73Gdpl0BNq6LhhhGihqnEPlSKvju I8Z5Gvs39fIxYSxWDWdjX9kPVPPb6vJru4Xc5YKc/fb0akrE9tKh0phFFk8D2k4MZlhG8pxz6RDY tj2lyA5HSJxYspVdc2jzEQV8HT5tH9P9yI2gFS+8iSpM64aKwzrvXmRdHXdEJOZUNXcujumc2Kj5 iV6n/cNq7BodDTlfot1H2hI0JmzPTIncqMmNrymnNqzueHdQiZOSnH5ZxPDyxR034SfbiS9SJIpy TCFvEDVHVBvzIcK6yiWhrMii9m24boU7MfOr9O0qQtXnyMclI97GaF+u1DuF3YloEPM7cbhOMF6H pJlZ6QSzisajRCb5B4WzZ+gRFKGntBBtd9+Hic865lS0uzlUQ+wYemaRRJ3ZN4yJe+MarrGB8X2y 2LoHBwEMmK26r7T0yFuO3LIOx9iYE2tl7cFV5IhLXIN3r+ANGFX/kvPk0g158mSGJPzr8IwoKM06 r7Oc5bsjw9L5CTJI/oBLY4BSOOfIv4kGCfLDsyMBXvf2RKnPyVC/0tuDE6H82F+vIVzRLqtA66/P caFYTs2HGCJ6zrCSApY5R63Zy81+3Ru14+0aXRGjtcKQmmHXWRqheL9I5IwmI9jvp1o3E82iWFkp zxyaF1GSSNk9UD77PD+OCAGjQPf50Hli2rLuIWIRR6Ur8Sbg8PR10MkhcNrJSPxY2XYWj6oLMv+W p3RFM1xtNkBR9fmGOFU2JTnWIKNrM9RB1gP5x47+lzmha7Bx7a5Cq7hgyjkiRB0sPeEYMcJMllFT 9AreS1fHJIWpSa5PQwZ33J3oxKC6gv+vgaHId/EH+b0I9cMI4c8krezWpdmtLNEFAAW2ZLE34yoR v+VRaESGjriIKBPo1CvAWWgpL7hRcnXYoOKWNrxaB193Nt+zXgWlhH6ExwK0S4GocpTWF0FcAisg wawbhbpvE5oeS9TeizvaHnlWgQs5Wu25U6Pl2Zu3uR4Ke2DCWFUcl0FHi0R4FETU2ctPoXv5nVIB 0A9tZXBFgF9uDA/2/qwf48VPsz5we7pGsuEXLyLS+NNLqR2QoyhvDYUiJjMgsTW/ic6NmJpIpXcV MrC965NUoPFggzCcTv+3fjs6KgTwp3sRHb3vg3KxzAOArKjJ9VITbg0vsUBadEh3VI4ArcdbXlvQ axVAYjMYoYpxKaa8AHF+lLABVAAouFKTclB48ZW8AENlLs34u5JZKSz1rIYPzvr+tg35xmloKiBW 3EoS4GYRJoaCwJTFUdZdPMzFRNpEbfYHT8rz87ojfWfLRsA2mFLA9iXKCDNBevxi/5i70HCRrRv0 ssNESSNq6aoAKvN9wB8SP4W9W1J6Mh/Ygn5jcNfArDlZn6DYg3jx2QL5j61EjpfrWjifVAHT7Nd6 CLBlFoyx0ebo3Zycmemq5z8DodnnCW6UpjyqsI6y8cvWqBZxxsns/oU16IAIw3t1RKMJJBuk85n2 ICp8zJBKy5Bkmdsyr+LVnEOlThINRKwX3brFveXNlYC73fKi/pWpUKMHx0qciLxkuEkMYOXxmdjz r1gvey/TjmNZl/jyU1W1MRXqEtBRNS0Vpm/C4VUCBZ1HfNwSSvj11+QCzdbGBxUp71YRHRbPuYqt 84f9mQD68QBGkyO1re3Q2qvKj6+DJue5LjTNhBxyUbzBdTIFwkumXP6HP7psnHnIBCQ/fNIcQKWM CsMOwqQ3iMxttFICiiSvC0qaPBGZ9+HqAI3X9nrwFSB09UZVmWuYjIoAMgTRJ+wfP8JKev43FLTL FaJG+NUgsogUmMy6+cJIAn0qjR7HUcSyOxU47kGOjeh2YEmzQCKXfdHCKRkLcpQ6AQlb16x+c6Am WUxBolIDjONW9gVjvHRZwTA6hx8gqMtvLu+qcq9epPovKTu90NQyIUNVIlKkTePOuiWA3v0hhlUK mfqxZ6ZUywbf1HldAt5UHqruns4OVuM7sElFv3NBBu4AF2mo0lPGkNxkKuQgMVxNte82JaqLW+4a GAZsYi4ZQuyqk/z/PgiOpxemlh68l9fR3MrhwYykTLfyqZxG0PdRn4RFnbKvKVWPxoq2UfjE83T7 UNoeqStg6ynllHrPt79HUt9S4zlLdF+TGgQi02zV9g5teC1JV/whUzVnv1fSkhOerSDdfn73d/c3 hZQ65vbXWWoJmWaSH3jW50+49VLDjntRsg+a5vBWjovjaQDxEw4aDAMpBk10KXlqMAyn6zwSovPO VDyiDOGu2wBbklEGp9KxFDBThwUgItQFlq6qI51W4AK7T5Y61MI0EpQxUos4N3X+ZkG8OyPGrNrn mTZGkRqek6qkk9/iBSlvc2STXujxlFTNalWaRxvELUUwK2j+IVBgor66m9w4P+ky1+4LP7D5WThB Ya3/RvJ9lKC0BHlBZ31Pq4x4kj/WzIhKfHriSl2dfUAJyC32rulI21zvKhvDBwFcAYvkQPqPNlQo H5eFCdXFBOqM/IUtncc0zuOzmx+qukvkE3oo8jTOMIznjbghoFF6y2WRdFPI5m68TdiG241PjQV0 ZwlwKs2NIxdcwhB8eTapfOLbsOm4k9YniiFmlQLUheQc6IFBZnCKullL0eVeAHRsYOk15N/Yx+H/ WxveQ00waR2TLi8eIyfu73mOLugmPhslUum3du1wCgefyJkFACXqJpyteXcFjdAZdknG4hCzEIw5 GTN7iTHWEDHYOtKMMmnZVc9QYspWWzHuKeYkDS/p5tQMKaM19udXq7GGU5hBSwD5yOL5dyrVpd9e dgp3bBERT67jQeVa7rKodkXp8MKfeaTtSp8sIJsbmcXFrPgeIm2OlE2wzOmq2I1eRnrrU01tGenA XivBVmOXjadzMeGV4mtu+516uCAMCsB4lT9wCN3iZ0QZc3SuHH9Vea2qi36WJXnX0Mb1L+UqzBDY Y5uY9RFgGcOoD2q1QL1BLJLRTxDLdUcMiBXIx2bG16PZ91FlB6KLrL/81gjtwD8uig0wl2nGRrNB EO2VE4kfLn1/1JJgfc7P5OSLetUtYvA62iIAY40NVjMPNXWx4GMYpDk7bHU7D7YbSa1CLIRSJgm6 BLAyr88zC8NHlPxwReCnp8MNaiyh83hAr7hawphIP+TNsxNsgqb5xMqGIyG/8FigZe0e2+Rv8XM3 7E86u5KYpDUMPaw4KoIm4JdzxIMl9BfikSrtoH/9rpmW0/eV1L03ffYrpZMTt4Z6oZUFJWLxa/IK nghgDNjZ68BvaheRJxckWb5YeqRcph1x3h9dQCfWCgno6eGVLMxQRArHzhxxDWExQsn6hbVQ4W61 S/T5QnROl4Im1rJHqPIWPdhb8cJ2Safy7G80udhMsNa8xRH+R9M+85mHJ5OoPxO617TgR7IOoJ9q Ta+7XjlqlgTz8ZEtXG9zU+F4AjoMCQlvI2THIDWrg0gfhbFR1TVORbElwC9o7yL8j1cHQvCyr56J HGal6cXcUQt3VnA8i58Pr2HWB4uDkBgsD0mFgO4ZjhInmhEbg+CRX6c5463lhWsHZYHHzf5cgLKr y+PrZECiONEKwNgoL3OesIyWMdyW2mD08e3xttRhPLwIqKvzZ/gw2DDfP+0sSWquiEUD/7Y/bs4v KBlHbsElqWbFHuxAlB01kM+DrZ0rK8f83mMHQVXyBSibEsq2dpgHWZKm6ES3zYb6w7fVDTDTAHgA n8zpWMEss2PBZkBBR4olvJo8Epxi21i1OkUy `protect end_protected
gpl-2.0
56185b21514501ea0eb7e9de3272f1cc
0.943703
1.862045
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_exp.vhd
3
23,487
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block azD5eIiRgoCYPrXed120p68FBuCKmc8eGfLaBIP0iis45/MWBvE2jaLzUtMnL6jQYU0a27qb1J1Y a7jgOxl3lw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HCm5CQZjk2BDOKdWlMjX3d+4VDyC9lz8vTEbPwwFfGXSjEdSNcvsWQzH6Ox3PsmFOYkKi74vV94s ZWIj/5Sh4YSotf0uW8Ab1eqPpVFQwekvPhykWaub0glAcf/YRoWpZn8lBan1qMXT4Ls/WARTX+1C 0hkkPUOvDcqozggnNY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sDEdKwBoZio4HICGj/oTbkJXGF+fgqbxky8q0DgGqLe4+l3bB3vWJiE8q34gFOr1CgKHb6pslDUZ qCwO+6VLrYgSm0AZulamEdB+kyTXttLHLvvgmkXl+4e4/JMnd/Sm4ta6O3Dyb3O5TP9O+LvbryNG lt9q1P35+bNEWg4vXQGxk5Jr/v8qOqZqpjwlHTuei6SU9J5p3oWyLe3gZVr3lo8pyFhqYS6pz6ci Rx6BkMzff+MfblMLhxqqrwYKRdRemnYs4F2aTybGv/OMzTe+CwrV+OsozZTgodPAth9z5PFpwPVo PaFKYtujb79YR0SCTxdYPZGXlPmH0evw2G8jIQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wJJpiumQZCGn7V4Czf1rLnrDtJ8WfMGyIyZTDCgoEZ23WsQzT+j3WS2d6K1zfN89GHyslXWGE6Jt DgMA5o+gd3MCLa/RcgtWOx/hnbkuak4xKfaZenrBWopGaY6cYEyj0OIqu8HIJCzh1uxvG7a2G5kx l8kCHHB0UTH04WVzVIE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block N+gHEuKOd3zCwZtw4nr4SnR+ABBfMPq4Jz1u9dV7D4X1S0PpwMZqBA03d+ebqXFarjj8Tg8Qhj6H ePlSw59c7a6ottCJi5EO/6I8+gzTqd3Edp/H8l8vgoD0kh3lQVwm1lRI7CbTdMsggR81rtNxHDL5 YQJ4IytovQVL+tYqHWDweQUR6zcy0ABjEPdzgZ2OgR4+gfjnW8NdXVzB92MXXpvYkqu6pSI7Iw/I +D2SVFT55BiV3r5R5z4vBlv2f1VwE2AUqsKJrZjzCrVbRQElq4IjRQ7tir2lF2PW2zhIcFUihJek aERlp/PfR70QvqnOp3GpHCObp+nwIYQFyAYhaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15648) `protect data_block fjy46U0O5ZaaGdbT+SdkHtLQzOH4HTjXS/0KC1ta9GtyQ2EVn4FvQZ5NXIIifoUUok6weuRq9U9N qy3wotBR8+n0P82toWD7Wh/azSq6vN9xHQmMtVVHv9z413wMVt1W3g9yJVBw1f4XrgQ1EHGiuAuj xKP+AR0i3Oumt5dp4eBmMhzT5pp4EVuqmMdvh888eI+BNnKLeAwFJ8xpQxCR4dpKMKUqhr4gKF3A g1ECqdvQ38wgspCIlvCU7pSVhvYDMGCZtn6fYKUb9aieS7iCxRQzGULFYdszlwPfFBupOZlAviLc zW4CEMVZ0ACNSqGmiVd46trb9P7jvX1CQH9i7jRTd0xViQafhVkTzc4L0Wef+8KZNrOpwDZwu+iQ Ko0uEvCBH9b46ZL5ANQ/GPATTZjpzSa8zz4c3YWeEP4kDwcOWbcRdD988fbq+vbdh2IuJXcNLtrZ 5w8mzQNCGlNnwrxam1fsgACDo6MC0M7sFA1SXJVguxz8FLKEnQjTY4CFp6uTMgVwHh6cqZ6ZlFFO gA+AcymRIxpvEPLPsQH1gcL+VJaVoru8ZhfiSAO9bVrhnvFDz+bpqqbx9OoAVLTzkH3/xV59Qxc/ sK8dKZhEJCpJQFQdo0GhwH5UXHskAcq/LxaW7vafkLiaYMAnN594g6mQ+InqahFHa6/GEdLakFMt ST3gYYcpqoj6CtEA6IFbuI28aP+RWktOeO5/Gqpn4QQFLju41mqKYsnjCx95tZX64lJb4ZeG6AL1 00I+RNdSY9Rwx6LVwVA8SGxuU2PFXLi4WBz3j2HjteYeB+bolQHWyd2vZKRSq+tAuGRPFE45qR+i GtCrQVJzDBdBsUxvOW5YM99uckU2+6lLymJjW0lErFQcBMqTGWSPe4zokINvrmbjePmQ3LLKQzW8 fs894a6O86YFAFL37e75ACDlpCXtShd+d9T6/WVuOEqb17e8vtVKh1BEIMqU1ZOPt8uS5MEtH5gh 0gPHzZYugVkAdVnYvQgk9tBpmXnvO5pX5MuK6IQ7Bda7O9q8xk0yipMqRnLUQWIRf8lS4c5kGxLC Q5QXvPs1YiuEjk9PubzDRmCarfLKXXRgimRO3AKS2iXn48//svsEGl1RJcetzFVw5DsDfl/PEUt0 SDXDcwKuEzV0EtmJ3cV2iVOK9zPxbtgxWTlzGZcR/fvD7WV1AbcgUomYWh6bCJkHQHup60sWd2Nz LlPIt7jQUyleRlZHh5FjZdExFaMpPogTytOBYExKhP4GMSjQg8LPhBvGW9qUVzGvC1TjcA5P4K6R 3lWqwSBdmtmsruvY0IBnXMgx89zOc4Kw69GTtpj7bH0hZbORavTMeCMpiFJl4V+WXLCosBvclBpc HF0O5460Xmp6mrXqu4YN/QvhyfGROPTXLHnndx3IMrBSQY9M85MLPyr8FkiuOoCtLAHsaIjIz5yD ZtE9V7oIC0LWLWDdUColyN0BVvlDLbJloVFVCXAlYW3wI+4R7nhMHC9ImXMObZpGbuSyLTt6hArv pRXtNSorH/Iu/8TEE3eKCsshHuZ2VltR3IXY/+hYnMMK6RlYFoGGcahNpSZtiB0FjDhHd9diVzPB DTTga19J1HAhpWeF17XNO8Hs7TPcecZ1HJdDZ/kHgaC2VDt4ZpeBM+gfs9/TgKFKOFEDNzjZGhA3 F0AfnF62t6HLe5Qpcgp4RNBg4bNSfaegu1SbmMEW10ix2qBTGWtIZpa2Qq9UN5dwTCnIFSAzxEsg eVVKI8Bo85yQMc0nvjS+xeq06xCt2RVdedPKs3OE/1KGGOWl2Ff/V/YiJ/9jzofdXbOR34gibEh6 P6yfEGi7GAahpFk9ybphEYEExVjjqTGV6xgT6C4Tnsf+eIadJrtBRbE31FqUl9CldHKp8PEISlTz 5/o5tT9OfyZe3tQ7s79AIgPjOkMXnRQh8QA72Kzw9WFITBiRjhdxfm/lpAZCv2iX3YqxVav6wh+R CSD6NIjIlTdT39GYLh4QXUZtO9O0Li+e7GO71bvc+nCLSPrrU66OXxpZx1XNPRbdnEHR+zleqgnX VMEiOSK8gFWcR2wHUlMFq0cANx6NKXrwgr03EgcTEC8HxeBymQHYwOZUXr5NpV9XADq+/fxFiIlL 4K0JRg+3XYt8fMfU1/GsodZqgZUpnxrR5e6VLBJ+cFcTCpW77K32BkTN6ALgTEu7H6n9LAQWEqfW VoeNr5fCu877ciTkw2g3q2Emx0asjIzG7XAKR2YwPcn0ag17jOu76Zn6TX0tTWb8TqKj88QlaS8W n5MQPHyBRCcFBsZaSRq6gFbQ8e7jXzAAuYPtMLGtbQy2LpIQek8g9uHVRpg7PqIqb/dpT3hRQARU IkD4ge8VoE9Ud1SyD0opltbi2cGEZA1tbvi2jdOv4uO44MP588iadYgh/KzxVZnQ+UF6KsMQW1kF dxoFZl+Bq94mtdutPm7uSZ3V7D++tcsxSmElvpNB5k2UXOXSGatuWriEaaQOPVNVuHCMtTjKvpGJ ilImeharr37m8TRm44oaDIwku8li1uFmPLgy5X5rnZ7GuWynLKfngFnnWxPe3/oC+w8fypFrOaVb juy2d4Kiwl7ZTLxc8nx5cQj62hRaginldVQv2C7nDn9VExKHA0UfKpnbn/dAIxM0k5kLzrW6c4mt 2btXVBXlYGKHqIUZBaM4OfMoZHbjyl6fYxwO+kFzqnDqiq5q3Y4hBFIg2gkjM+wD9oluJdRziNwX rBgLVQ2f7+51Wvkccp5+v5htqETl0LPG/xYRGZ+bv+XRFsnDULa5cHwC4eJF7gJ9okCQBbqic6jM F4a3X/dlF6CFNkjuP7VuZVPOc5S5UgCWza1xhV9x/JJwNPW8m+vPCP8tVdEekBowHR5YUEYeIhCD JDKu2fn+7VJ870JzU9a+3njDkb7ctYlV9gmkeMAfEj+QWrQdFTCGMvTPZmMX3kYBR9PqpRX2wx+Z rDbD+NLaPJk5S3xF+GAOZNz1n9wqAHm6tBgQQ4BDykcRl+c+K9pHNKq2LZbFukZG5/CaoYPqBRHk cip0tA/J7hMmvud6JdKmLvTGPe73NcJYrnOr5Ak5ih3V51ChX1bh0dlxLTWaINEgD/C4DPJuJk/x 4hWlOX/bnYoKVMl4um1rERc3DvbIBztkz1SJ/6XJplCkwrpXFUKoogKlKeb7ygBtyPdzlwKwsbO2 YjMyVc9UN0W3A/WKcPxyxX9BP/IHavXABuLJTVr/vfdLf1DPQWa76UMeEKV5F6LX4UKIfPlPOkQj zFiG7rBSFVIlk6tnzfOjhe465jTaXGEZnnKuhHtDN4pbi+vMFFppAuCs1GqLA+WABqjKJsxEBf1O hn92iCA6fSzwEzutWl0WRjDPt5Pp9CVIPTdU18h5d+pWOzCbT95AHKfVJTRQDidScCuckh1z7zQ3 24sfjQFX6tTbQJGRbUFIXp//GwBx6V54/Fya6p22ChANp7tsUxthYlkOHTa0qs1X/Hvxw8sBwa6l zo+66hJiagVFrZZH5or96kmbBbtSwhNC+Xf5rxlaFRIS9sNkh/Ky1eHXSD+PqEjicLvrUAx+cRaY xruGVLUfumrYEyeJsjGx0kEMvNXXLC7OL7AfXpBLPETdYFMRl6aCE9pFTXk57FuiWBbmWkmg5z48 dijG0TTWGMz4/SL98KJl/87HyWQ5hAK9iVbNAbU1xnO3PDNznT+J/YIxhMdVVpSqiNXF5RtYnL7W WlWdhrCwgKbfdDNKDpCkxyqm30cpyOJRjHk+l6gvEuhow2njPx27U4qOZ44QAdY4p3arHyDUHqZ2 ACuKI5fQGUQm1Unr+e/kUT7EBXH56IuXL3JB7bxJpchJb5uZVfxyX98sh9k8V6jVGjo2l4/3MmGq ncyVpIxb13h6XpUHYaq6JIB48b5msiicyxsFHNr3GF1kGGrwuq3r57sQvTlLy+6aIZSZUAqJiz5C C1IBUjx4Zdb5qI+VwDggQt3MG+/B2Q2CDdzpZs9YffzaVpbCOHApU/mzN/uRv3QcRYDkGEoztWag /CXPe6DPrTM6rNlH7udx/D1kQV9VjDsK/EuhYz2I7NCoc3otXnUpOO7p5TWBoN+stSAuoqGE9peg J3UMWCS0yatlmwfPttUaXGJ5BEkckhFxVn0AmtFBTeBxqjqPkpm7X1LshOrszfkfOQxtpNrdwvtW dHhjs3Ofd1SRKTc2c5f25bEkLnH8+d4H6uYsg9Def4Slsm8wAbfvuzL3USJXtyDX8oUkcsObL3aX vTB++7V3LlH79VKRNUdEK2DnN64yWsQPG56VbM8ai6x+J8i33WCPkkyHoUrZaUxZ+oToWcNE6m0z BjlpmmvpZUVSSTFv07mDJ4bhIWdzEDQucB9DRXRywxX543t4sO9miHAjmAAABi1tIgOfB6g3FKDN ZK6OAxCNEjSse4HW58oLg/AuXTbsgPGY27+XO8Qy4lbD5sEmTYFYzNn6lowU+4+4/iRprH2mT203 Z5H1TqzIDIVOy2VXBCW4v/EDkabCuEJR23ZmLcG5nBt/KMV9JyBiFepqd2qc4qOLhm8/D3ulb5Gd ZtmZcSsCIEO33bh1ZJHaIaUzK4KM1yxKTa0rPSZpbt134XzpIILCy88qjAP2gdRfW2Hvoo3llDdh yJevOSDtHMrMlvrfBGEncvjwJv+7hRQR7KSV8NSyDzAj2e5xkwEwxhUIw8c41rNiQH8LnSJSAhBv VnK+/Zc7gaiMiAsTdDQLtfquVQ0fuCPSbBPgNwpBu9E5mdToaTE1+Mwwg0HSf+AApM6gxpCsF1Iu a5ZD+mDcb5XY6k9luq/R10QPotskKxNBx3aHY1cThuY+3mb78b3GBw0GGqJ9BW9QPTrJqvXJInXd kO+a/1D1nExJDXBuTJrmDDpH0ak/EoFy3iyehVXHdajMsLtp6w8ILUA+TO9WQIdX04isOIeYbQws RyDY64eHZmvgi0notO5IXNBx/lUOrCkKqX1j8nrbZXnyyRxCTXhfHFt7ySE6h2fvi/QlpX/aElVS y58II8/9x24IUm4BmNYNpWH3m+FI/beBcK3UVlNALRDYRRP6xXN6qNZQm8ythTwpOSnXQiEN7KYp bPNgIILFfkduz20rqxPDBET0DtfZ3/qME1Xb+zkFfuaAD7AOYt+W1fQLrvclg6F2lXB8wQpxju3J f5nxbpK/l6V7OnsQbm1J5CiXsDHGW0LLGTX+XTdZexdaRntWFHKMP4nQVmFKDI3icjKKwJU3TXbe +keLP8E9IO496p9o72LE5Uh5eJQk36fEXKvAtPzLaOn3cRHC3TQRW7OpkbiqpojU/+UU60yUWhzy hGLiQ+CXhS8gAg07lZeF1/rs9Z04TUBNzKnvqjFRKjpbCW6HgN2TqiwYHwbr2XxvSYg8XGCLXkmO h7Bxd343IUP5hCKXFZoNDkd3a+925EoMiDGRLXMfwkd7JAw7zLmxXodQlNJrVbD/0HU8DOLSFNaP xOw68h6XVFEK1iuwu+b4wrB+jcPqIIrTZfg48RDrk4U8f7DSTvdOSJr73pIdZRTDfNmHScolDjSa 2RFzScO9XH5lNN8qnnaK1tBfs4w+qrcqyPUkADvGCT763MNwAgsTFUIzzpeB8Kk8qnZ/gES2WWVt yXkvcKtmC8OIEiFQrva9RLEStV+SEe8KPGVV0Tbgc+IYP5g8GAFmuwGfsyPsEqS1BNr1I+8ITm/+ vXDjfg6r8udkT/tIkRy3DYkglIcMY8uB9g/7K+OTietN981/dpDX0T9C49/jWoK6gwJlsxeZb78U /fTxG2MbQe5yyQAOjEB2x14ycuVXmtaLnP1S9BXDBFgthv3f7xOJZUPFLjgw2bkP9iHZ3p6U8BYU TzCMaf4gTAkg0yfmMxCpqu7ZU30rPLYjx7sB6S2/lOQTHdWK0TtjyH9ffiQmQAo9VIkCvyMHxswT ehpYzz32CnzBDxe3fxZBl4+02e2MyVKwoK4vYehBAZpcGL1SV6HYQ8pDDvM7fwrCAuryPY0QkD56 Gho/XSxEjy1EjjXhv0aV07vfBR7DJTv/VtgsSHnh6yEn50mV7Se/e9nKNpP9p8h8tF5WonMmv2PR P7sq2OzZO/0ggpVER0/WAtJAzcci79VQ3c7ujuJ35VyiS2dOEOAkh7b5HM3m4dywoztW9D8jbjUd 1qo2yT+D/nKSaQkbdEb5MY+jTJiLqSnE8GFB8s1jG21vXlUT+A4RAfulYyT580UvvDdoqy9oJ1ka 8TOhrsHDtuAuoPvIiK3A8Xv1ph0ZeQ0zW0gQ8BweqC735RYtowFPqFyhJ1IXFdxMOsscDs2CoBDH LOia0+JDs4S0EbXZlieNys0g7RkB851xdXoT/Tx7LlmK1wdfc6mlKDARR+B+WUnoWYgMI7QYPZ3M XOlb2NBu8YMcH+5B0lrLgKtu/86vLFX266khLQ0Rm796aTpNH+yMWyxmoBsvbtYYytAtRTXVt0wH la0XgNsW7KSHL+mC2Yga60uXf2cJ2GWnK90mg67yrn4Qk4xsN9aCtslrq/w4DCZ4E84t+0cbYqn4 mMlwnRmI4YRkyesSw8n6DsseeKR0cWtwLnAI64XWOAt/sWFv7qCg6yLeQkN/U5MJhhgoq5N8Nig4 w1e3p7QNHMqmy6md31JlFv+BA7QbwS8BtNEjwsy77220/erWmjwgRn+x38iMoHPZjjlJGDCDYNGh k66gXa+J3Tt7IQ9zCur7gu2Gdd3lEEoAiaUmSASV1k82xVdxxnwBQS7il6aVLhL69+E77XV3kjht Om2VJB/1BVonj6IxFVhCxLUW+3ccTi0ENKb8or+vahquF83cNiGhrjIvdpEcWf61sosfxr/kmgr4 7XXaK1y9y7CreTGwR5QCs5T9QBcHvH+r3bL59K23A/nPYnCOKQuOBsuWMZvyv6ffp18RqutWtua7 VV59M8ToVVa1DvbJwgOyRGZupF4rhWx/LO7kIbqAqOAt96qyibRtPafaPCotOyprroWdxIRuiyww +9tSrRAmhd7Tybj5rKkhpampWTK76P9qikieSw/pWRW6EtZq8jH/WmZFpw4QCHsyN8DRVOlDBgwv 1AYEwwD6PZgrNAeD0kI0KblIuMoMB0+7Yj3zLAavaSBk1f56KgQI5RrWxmDbHg085kr0GlOvn6Z/ prB6c2sNKyo0RMsZzLNrsbpNQR0mQIe6F2e9nxk2yU9UoKFLiTQu04laIbKlw8TzfsjgwgDYigjZ hi3hJvXx3qmG137Vmye++GoupvdxnIjR+POo5YhakDEYymD2JQAqGFFJmRNxekrlCmtpns2RNI8+ OYj1/XdZ4d/GiFcVdq/+2gvMamjSamdNB2ytlPIpNRXsSisk8hh7bMBbn5z+VIgMcVynj4+fb9dA vzw/F/Z7XN/JaVbJDs/i52QegBrLGi+6KuPB/4kf77D8g8K+9jNkPj54SM9EyCRmoSpojYYX2tpu rVgImJZEh/qrBqGkuhddI6KGCttUuwHSpyBNiaTawi89848X01kzPwCL84654TEa5Y1f0sWtB6gP Jt9+NWCtyZQ9OsoQN/qx3j1sakZ3yYRyIF9nFt1wa98UX9vxcjxKjVzN5o/FG73SQBOKHWl6ojVW hJoFgpcLRaBs3fUg4V6Fqa5k8XFsvWrTcZmX+nfFAuuuCsgKwisvCjslz6J1P8QIwjz/exaXeIFs VSergEOTrmget6zltmthizdDhvSZJkSDMehQ2btB/joD6RpGV2xnz8s/eEsSZPiSOmiobRdaYz1e 58ddOpT83/ff2R9ElanSd4fpPl3GX67G5/DQmdNgFQryA6eGZYLcXZJyt1RU+JQX1zPy/bPUQ4q+ GRiCX1e0vgOykjbdcDCEQDdlx5k9/CICm+3FZsRTAvKlB5cWmLRC4NgOB4Bk8UX5LLm89sf4V8ok ckaukfLr6Y194W6qiQsI78kRt/RVrs9Ljcf7pUiJ2CzucPeA8FCEuyoVUC62TOC4v0ymwGwEBhz4 UHy0SvmfZ/c2O9I+AEAqvGZ6YxpKdVbJE+HMyfpWRQnpOH+/PvqLEmtVCUOmuaEymGXGzXNew02W gw17XriD462hBq5a4lpbetI0/vmJBs0/R2LmRLxpNp6iG+esXutD2/81a7D8itHLyGhacDWuE5+n R4ivz+x8BKVZ4oXjWzVIk/VnEvt9x1KrCD/w4Z9K/5JKRXt21tIrJsLtH+9x74VbWkxKmUGhz/rI 1g5rknpWbHiBKl7TYne+xnYH31EY/b8LE9h1e0fwRIsQAUwOtZCYKfxZ8lALywlIts6P1+Ev+H9a 0oD07m/6DXNubQBu3jtfmlFWOxVhl1KHUA2xfZeDic2v5th7MFaxagLsLLg/tfUvuJnMwBkuWWk6 1cwExG0TdTTsg8ngzoooVQIag+OSbiio5tqsOFM8vvivHGkt6RzrsTDt9QA+hV3O5YbkvbWT3THt zm6pcbTIlAnnWDCQNCcZeIieB//f8mupOQhBBXzP4NodbG3cfGLUHG0pg+FmIDR1ZM+tMqBVOmCw DAXVmwSpZ8pBZIin1t7QT/dmZzGJ/XoX1pWlIbOEVWXA+hQjrJ8emh9YEbQiLYmIO9ycBKzD6JBG Hyi/nKrSglKwhGpiO9ab/N0Lbuw+BvsvDPdA45Sua7cHHLAVXS8n+PqXpJb7m27c/MCp9wmA4HXq uztz98wOv6i1uVYi1I8vi/yO8fW2eBaipNSwB3fNBv7Bi85akSE+npDGzKdZ3RymaSIMIfH3Zsl+ 6B0NUP3AD31PlWEVqn2uYMxS8gZeinW8R1OnFrQOs00wnj+eMhYzN5g7cKfakk9+Z8GTutLgW8hy v67XramkqnjWTwt6JeWxOw5IUIGVi/mPnhuP4dvrbie+J7n2S3icWP4gpg+8fwRCydhpyWZc0aEP OlxrvFHED3C5OKIkfBrIZMi2+xr9pPuqc47NNUgLRSdy1p3DUZHL9OcAxtRUAdaUy9uoSx01cl7f 0LjIiOL+mH8MnBBZgu86G+IpqbUHUWEC4lHYjz+AeFEdua2NsECp1Ub1kV/ab++BJKhQfsVQeb1u xkBCz8yoS23VACmncmZc3PfRfpAvBuTaFm/rHvA4Ea06KRMM+mdtZstPYsR2oEq7AY4O4OzuDQFi 6t22EytK8VacQ1nU0c8EUZeH8CS3bHwn+w0kQhTF1idyykUiaz8OcBVC69S7mlk/RzfNWKR70sd3 fxKaTbGiJwgaBCCHrkOVTXQaOWawWVYB+jdUhN5XfEXEOkpt0OWvuUqKz5/Ngd1GSHq9s1BMpDlk 4xLlvY7xImpwIUYe6QBFXtqw8jEjY9W9aSlI4voygDX8c1VkYmXfVzr9nShe1Fb1+JKGIqvBQADA jGSgNV4YUtz/dOjZsXV043cjzLmcsrDRLm4jaUMpD9u3kVvUr9SJZBA4KPMZlwB6pTNagr1Lyy96 zDeDhgwbTGZ1f7SMY2l+C2e0m3Mj7bp4Gh+U8EGRatRJu5/yX/aRyJ+8QhjgysHkZ1H1nZx2Z7cK DHxs451B4Upe0R0dg5RVVejRfFz5B6pPxLFfwmoh3GOlvxb9ekA2dDShSWr97oiDSeCGOChgoXAN 4f0888/y8EUOV0TtqaW1DQ7Vl55Tnj9lIM6paFu8+xfkNw0mdXU793rrJd0GuyNnTwBMt/5cLbNh lIO/bw5h5QAfqSovHUo4bIzqqUbYYZgAuR0z15NTX2EFAmwyoR7b8xqfSnsKVcieCVARF+T6Xi40 fQnb4oL3Dbj4Pa0BmoV1bWsRCcWsgaZ67tDNcIuEVtcR53dOAHJAk8hXz/6zwnKQIjtYIH4z/3ff qJ81EAgA/x9mRJSgsNlqUNbnMoi9QcykPcSPKnCsoZwrqcVAZ3Xu90L2NxBjjR1yyojP7x/BEZhz K6xRdzOqCags9F4FLlslPTiYUM5Zbk/IiCVSwllULz2cIp36SK1TqGAwkmEp3Sm4Gjcjx7+SP8DS heJ8f411JnRNTEwgqc6yWTqLBdjWUzw/oLlbPl0E+QKcXksLhXGmenYHp9xpCMaLEX7qeFyHMTYy OQ2BiGA7gDCiFuKTRyF5Q/V4GXUj5K+3NBxZE84xsw0Eac8J17fXtpRbWrGDJZULY8ZtFov1zSK+ rJonomWAoXk6PI3uJauh2rmL3Fz8koWWcCr0wpZgwzLHQjyURM3GcFi1NW66Z8HJ17NY5/QaLf+P LVJB+eiySiZgxcfQPAL+InkLNo3wO1zfSqXRBc3hjWufI4jdZfPPJg1a961BqiiRoY7ZU4m1v7Kw ldQ4S3yBar1BtnCtYC+CHF3cCwvN7nUrq+z73kfB+O/qGK4qrnbWW3TDoSkXGuFkN76eWL9FUM/+ 96IeWD0oLe8OjZyItRKikCG+wswIIoDlhCbQb7Bz9lGiTE3IhWFX3AomV76yMmEEcFzfGy6xom1V PbZWUNRuH0FBJ2hTn9/ZHodxZBQkgnSPB2Pk/DLXs20dc1Ly05G0Kt+oKpYYiQxc8cjVQJeYBxuq 2HuEUWG6OEgVJmyCDa9o8O5QooA+JI88IEV0gtI5KlSKCh32y9fM0uF3hb6nh7QTFMdeptKM6t7P rrC6I32KvAR0NMjky5V/AmOXnQu2EIY66+lvKHc3OEFz4Z6wEVsf/TlMgINILViiHK40hfHHECV2 6jm3TMs4dTQq7Gm1/oESSdeOcz3u/X7FT0A14xv1zIuGHMYl4hSxxhIrYTj6AyiUZZ8wmLTqH2LW gMjFvnrTrD8HN+Ci4WJNHhfmf4GkRJ8RdxGqrQmS4h7mSywtybu6jJaQbwnX1irrdoxUdveX7aa5 Gtd8cU1OpPbRwV+XvLWnpoohoNCO+lnRTs/jDVxUnp7hqIbgfhl35aX1cEx9KC9BEXKdnjHI+afy /lklgt1Na/s28zv8EC4Fj0bAPaLXAIO/Va95oHgtAhiTzqoyojlCIqxj1dD/zupSqWj5fxpbH4c+ frncUGTDeDvfY7MnvPUgyvwo+B3PUbYgGPnYI5x/qELJL+Vt7A1ipTm4NAqI/XSXRaShPf7JseM5 ZvQccUFV++iOA6pJ+4ElUflHY2x9d1HeFnYuyBYevlzFz6ukar94S+gd6wNFIUsmoKHAte8kt7dY gC3LmweT8xU/ul4ebEX6ds9CYxNScnnnvplv8KhFnnIH8CA83M8LMGE7RAYBClEECUq9MdLzOxIP LIBDLJcqCwF6XBCdq8C/DAD4feiKqZ78IGaPy/r0tnGVs2NcS8RD3wbDS02rfO6cSKl/8WJO4JKF 4CevOIR+mi/4YssrAgVPqlZGU74lvIo8VSWABNVPCJ4bXmdO9+0N0m3EsUSVAhMc5pwIonFt0OMz mHIbipQ9WgKCldS6OgBgMvKVeF9OONAb1wwhqVwS7/eL1xCoH9ldDtn++iOPvIlmUoKkVU8RO6LR +L8K8miDZu54bWNVr6WtyDbdEdMPZdkBMbAaKidRrvff0RJHiTH3MXN+lom/ivAdnkkOoknGMX5h xNJ9W4AhlpJOjPJnahfCN6RTVajgIa9XygBHkKBkNSuPwaET08wCTKukEU5yoPZvM56uDzHByodr A7EXxAui5HnO+XSf6MjPyt8BI8cBSsbiUAEqHZFvkXTPaosq4AEi9tS6TJBHf6c3twOP8P92fKxl kbgFWA0oU4S5zY/T8x8WQpGXzTQ3Oh2qzCdldwHKHLm7InRstKgzrV34jRuSzChttfo6GCabdpg6 +NbFcBPircA4rSDvYsSsH5+adxEBpGmy+A+XBfuqjZpr30OlC+GI/sX1oG0ll4eK1YPPNnkZYvx8 2a5QeS0kak3hKWSUE/crl32NWljWbUjBh6+QhmdjOvBlpR0s1NG1bdo3g96Pe2D+/2nUgOishHJ/ 5IYphViga40TDxxvkD0VIGx3wqGBc7CVgz5qclXl8pfukuy5r7QsKcyiDnsghkYtg709XyxgDLbl YEVTU3csiu/aeYLa/+JTthJTBY6R4Hu4IIjWMoHPqw/Y7XevhrAA+gLUH2flvY6NubtwAtc4vqJQ m3jkafomNKP/r02ftmqSbrcCMZrRYdZL6rhG2+wrnRPgohmKohQQ5dvGWSK28B+laoC4nMdRq0cK TTwjOW5Qd2zlPUi4dFhOFIgZwo8ByZ8AxGVy7Ubkxa61AjGvE0fJMtXakdSICdPPEuRaK+0lNAhz Pb5Phrn2qEo4/hwB14L7l9fYKC+l644UKeQQw83TDFwCii7wutK5Y3PBhZkbYXdjsJm3E2XYuTF/ J4oMd+n6sq0qpsg3AZwxMRLx/7jNCo0JcNtFgrufsYwpChLJrjI+m34+1M6HaMSUurotCz2sslzz hx8iPhZpVjlf58p1FlZSr1Lf97hFUOGbsvBBXbTiU62p/Etn3EdRSDFZKREsN7gU25MLG8H/m+oP GnxIzZ8ne39ofiNA7Au1oRrwHnzdIDrSfxYAl91bE27OM51nI6h2xnc9xCvPePQJ0TgtoAhb3CxQ bb2mdv7dR+1JHGSp3NxSeq63dDl3+24979DpqceSjRtsAQe+l3iMmMcv8brzHjq822YiK2fx65fS ogL3xRXyZQ+NgkwTSTvgwT7TPb2yG+ZVgJ2nYTfVvk6Of4GdAZ4XcILwROSl5S49/vKHo9E4ju1K wAjnYNZlaq/amCqF5C3REmDNTbn8/2HHHxRLrlS57m+sv75cGz5SEQ8iApOBvRIdfZha9ZbfjQNG 6tpmabU+zUo0va4Yqj+VUNDjDpxuZJuEoCVPrZvH5d4+mk4Tj8tnc95V4Yd6bqhPEpgu8lwYnA2I yR2ln/i7VW88aNKWtmoZKGkALSriiVsBfLTnwIuI7Jx9Xihm0Fs4iIedxCjNLEOU6svpxJNvD1qA WtIkroT5Ym397yAHjrS8A/JgbruRw0809tgmhzdjumGgH2tYucrggrsKHk8SOeuRnfGcO0bfyh3y +HPwM4LbV57Gk3NTUTT5PZzovlN8rjpXOHfv+flUEITyXBKFNxQ4k2suDhhrg9NmmmuuGAt1q912 3oW88Yw+XXDBV2oGxSWCxE84IKSRe+sM1w+orAD/OR4pMdJ5bDcifdQjVT8fw/ZiKykDCWEWtwik CZrArvPfqNZmkN2ejHIBDH2ZK15la3TLgtq9vdmhdYmTV0qJKRuOri20nRC1VFDRhvr8OykeqCr8 MTVDMLBSo+0zr0Z4lCEOsuFBVaPeTim8s6HqEYvhGoCAgDvzqwNUHcwPBUageV5XNy2SvVdnBcdg A2aLZouRZcPhc79U5tyADEVuBWoyubsvfCLPAgmngqNPxgeLnHSV5V4Ruxf6FMVAtBxo5RSzOJFc LjVPNibuv7wRIuPMAah8b55uAh9+0yVEMg2xFjktnYNS5JPUfmoyQh5xzaYWMB+A588MnVIUnJpA u8R7o2kkpFBomstH4rlOH6VotQ4U0TPFloRd/Yw208PoWN+shJP3N2/lec5SDgQiJGTRXFmCSBoX K5gjcgQaI7ZdYs+mpH1NCaihNDMGMxlY9qjE8gl831RxwRiGVBCaVxRDvJ3yUaEI26RY2w6A3Vbg 7xNAcfuNufIzIVEixxmZ/iXvTVcrIQuD1/MTQGAkEjqPY+j6jbDv9qq1O6hUMzphCP2ytkOQJ9b5 THYiZgJgTT1J0t3V5c14aTv8jsaGgPIQrup88uObunObJDzpvaWNeLiUfQHAZkDMr5J/c5d3IKIo tNsYpQOR/QoOdMWhM1RA/ji4cRIw9cpkS6KenT7uMOZjo/C9s6MTSNKddgnKSYlA6GKRId9iftXH H8VYngHg10U9MzpwFJXN0B02cHG8sKzLVY1bomPAwug0Gq9H7bBXB8Zy5HlqK90AvBaD3hzmQV3W qEYMUrJ28PPjqgeUcDqxQkqhNywL2ZriUF/WkMa7U+Fa25gY6tI57fAi5RF+ws6Ot9RzzkJcI3hl RfATvCGShjNKd185pDdlCh8Kx4SQwYl2K0GHLN+KIB36HI8/Yl2tMDDQhjBV4LI8W11VRYNmffoa muMueH9LGE/ae6Y4B8x7ylQlMO+3UfVzSKweBveUz0FVMUFVAasbu20UP4XTegopjt7N61OSDDTC 59w0OKZ142hUnBmvE7Hk8j5DsI2LxDG+DrCp6RsW0ziBbkDUdZsNPtlvJtMMjdu6d6+Hn6ZQpojn zmDpn4VlW64JctIMVtjM14OGZsE8nnjS4XMC/sgC65GPckcUJEWnN2Tkd+VwAAO4r4+dXyBp12xP +mdtc2k/lq0uGey7AfiGHx5TuVnANNo6MeRmCjcq8dZKifizKLEC1Me6byK8OPM1xqd9+KeTW7fo SULdpTrSvl7jwt8A54t2USd9R35cIJErz1WmNEJHdX250wNBSrICMR2pG9CRtVo3QsOysV5e+X4m nCXzTRsHVmK2b0MRsBPjyBfPeWUWCQtHSJ+u0s3oP8nQROD4c8Jxt6ju4hc/0w+mR3D6r8uHVSCw pIzRs6NPgaaoCxVI2tzqnBqZ/vKqvLU71v9Cq8q3GQwbfLp/+h/q8JqwfeoYawKza5R91ABCM0SM 4+4gjcBCu1WFdJZQezFuIIE7cmM4I7t3kyGvukECRBaE1fAWpu3jG+eKkE4tOsB8b0tM76Fd5sxk g7y3sJ/6LFfCzzDoZDuzhgwe93gf67yVIrr/qObvFZxg5IlTO0uaO2dy6mJk4cq7hWw0x0wWXciC pDYgqCJvEjQArI9QqfXG+VIfqkBFuavWjOMWi1dy1WBZNJ8SaOSSy/HPGpcQ2EDRt64RX4zAnq+Z KvSGo36efz+ZaF/8UZcHeSuASiY0A2ReXdRwTZ9+CbNlL7O3WuGfNr1B+bFTGEXoQiUkrLd+83oi semWQIU43Q0Gxo0MbQF10rRtXlghi3HCwsu+EJcQSxM5JaSnWileFdwAqk+kf+YRfQtALk6tQKJc ipe6eCPZHQ00ndTZP4xcEvIV8yDnt3I2eaWytTbA3oRQ6fKPfuDcmDLfIQ0WoN8uOT2HhtLcPsjk LRaJLdtvlxNga+N7xN7Bae/vnOgQF++d+uRceQC0z4dpRai8GSlXkkbRhjxV9yg8idMwNXaucCXO jqdqBrqQnaMIlSNnmFC/ATHffroj7+lcgurrbZ4VBd/I4l3oPxHA3GAVe7nRkuxrIBA53I3DdQMd Om98ZmtZVKY8ohWGu0AVkDM1oAUMgXS522efi0+P+kGVf7sq29nDKjeWp6vFyQRZCplVYbV+Oo/n CIQ+Jbj+jAA0D11x10/JAzGf5/aGQH5YZ1wKGSYKw5YUon/6onjhOvf42YbvBJoPvKT9bBQpS97J ajCk7MzSS1S7q4nTlbel7Gih0waxoSNQ0/NiT1AsUOnIClKLCba5R+ORkp5zdMXH4QQ1gkic1SX8 DP8kR9C2fWOHR+YtLFZNOHaO2w7MbPJL/zETScd/qWhI4ERkLw0bDeptke6m9c//a/YKYES2wIpv HqWTW2pvoUmYJgwF67XYbFt1qVpjtVAJwl9pMWqdssmyWfc07NZ4Ty2pTyNCIlU4l0Lp4bSyxUva kOEzowUO7FnVEGHe5fsApOrCTaLXS1qPPONAriFfbvwctoqZ1xcTxxWr0Q+UhGGKOv9QJrbkgFrp 69N6iwFf9WXIYfS7U5QBBPhyaTfjNOF/i5FjUtTkq1Ap62WfqoZwKuVNiDwoguKuJxTHwXV6DhuU 3KHL7fu4EF7ed2GHZ9MPprxXcPHOsSCNG5N12Y95Wmo0VHuY1ENwrwIDTrOXF8gjbEBiMWdItNQc 6AZKkQW6+mU9h+0M3+acCNhZlujS+FKpDc+YcVqkcJa8nJye6I/xoGKJhENeBfvaA8Y44t73tFxd yiMYTkZNWkr/GTflHm8qnX5+kbUu+MvUqEvPVgRQP5DPo3T9wqBKXlFnxfgLCzPW1GHDI4IYCWk+ +EhrLcgIIVBRZOLe8/BZuDa6aBTPTs4gQeTwF9M/gFAvt2z3ei+xJ/JP0fmwEKagFKntgNCbUjPr lCVx83ZltnjMpsozZs7CoM6z14naUlI5eAB/V3QpQH7j45sbOoqZVOqmTOcSTb7eSf0OmtCKq6ut ofaP/F1X8NWxWm1NLGGMe3hcrwFaPj9ycp/IPfh165VlTOplKh0yCAjp37/rBaPdtuDlWVjzarnG 12IJVYP36iAtsrG6SgTRSxxXI25DE0/fuQ3XYw5723zf/LaDTMVIJCTBoTHPW9JWPZ9w53TKNtD8 SkLZf/fPFLnAw5Y9TrYXsB7TpDEXadUjtldH/LfSJfF0tCADYVHx0gEQSSwQZCJ1dBeF7mLZyIH1 wD9KDI65xL60l76pjdP/7iOYtKuyz0HYFxRmv3vX8rBXAhwECXGsQIMuc9vE3TP5cNldaCSq4yHS en1vPOcnZF+wi8/hKkhgXYnEt45i/bTgf4CPmvd0MpXxfUKrs/y0ViAu0OCmS1yfhFH88keKUoga vfZ0FvIatGmpxeIrLYjvJwbXqibp+qVRWBzkPNWyM8Ks3gFt24L5fpMfhxbVC2a+jOlW/J5nxJ18 l3V8iVtvoB6QM8LrcYuP6ldZVLQdPmLtZsc8yRv7ITgfru0Cb0PWw4Xlh0X05LPaQOgiAEfi5T4+ 6P/HR8kuwTrzJh38uTjWm7PyNRMdDSbujMgqAq0aC5CgRKY+pgyaJo7nLbMEB5Y7nuVdiLr53fW4 S1/tzyQCiEFtIvTRCTj4xJzA15fnibTjjTb3JtuAgpt7o06M9exRy6C+0xmo6/cVUvA4Y6eeqoW6 aW+ISVoJzZt79kEYkocxN+y76tKeX79+F+eK6Z36MIK7G9gDDtuwvJEtmBvTEFm2f8T0va1X0Fap 09UAQ1967phx2Xmr14uMQUmsH+9HD8Nt5okJex6iaOAaYz2u4r7v8Sw0PPrzfElZFP+ey8Zmz27L HO5bSbAtEjnF98lo7frgIgTBwXdjWzvEZJAXC4q57/K6LwVkHfCgwP9lHPEhlRzxSNiQUBHpC6E+ SDGUvkMdqJ+nFmXNTfsgMNChYy3SDW5qrRn9B2FilgZ4BiO2eeuzglysr78R/05pejCm4GSrs2Cm nHkcQeqUa2VuoC2sScYIBNAEJ35gtHwmdcA6wynJY7sztbYylqJcnyxfTsV1kLtxH/hzff6pRwC/ 68mN0xdJYQfKsZRShjy0poWwY9SaP3qTgLYQ9gBMrmYwhquEC2Grg5q4WOLRlpFvr5Xglbc76gO8 mNpcu53eX5qe+4gns5+XtiBgWaIOzENhtEwpOnFbuYZrDvuNIM7kqquc0hJci3Gsbp6zt+ZPLPeT nAe7Nvr2ZOg90Im8mMoa5Lk9MJx8VleGoHEqYIzkuU4pspWmazs3NlBkMgA3nkMSkmcJNkKVH6IV A0F+p4THM2VoP9W6OzvyBP+S0fjT5bJXGr72HW2XRXfsYw/SdbnfUU1gr2O/st1DxDAU9GR5GZRe Y0y4byWJQkSLSI1CARXKBNclYjmiT9KnvuzaxQWlpRzIKCZWxV+I8O7UU9FmygmlcLFWxU6vd2/l 8sGkqWez5CCu/aONgVopARHM4N4GxgPbnqG6ejH+RJTaBGso/Nyvu+PVi65XJcE0LX32f/8PiJhe 0f4LpB1uHLNy3HGemkby8rnlfFiMN1dBTYgAQM2a8Z69xsrPvgTSOFiefOw/qEkbYoRbW+J1XLgC sYQNA+aSHWQs560SqlCBXPY1OnbixcFY1eEDf8Y89G2VgxuEOVfKwDw4Lc2LfQJ0sMPiSzQ5JlWU lue/IA6Qhy7XaeMpPSP6tg0/RNEXWAsOC37L7fxTsuoXnT74Kgrlri/TplybJJ9ZAhIDSV9wRcBc ZsICYZu7thw8x0UTJrRlGNsimlyROSPn8xSHna67J9yqcHFQpoE9Jr/CgH49Z8mVVRtd93puKKJf /Ua0G6wDTlzMmTzZrpmiqvC4P3deeiGn/F1IlBhmm/vMLNKdWOBWw7CD3KnPsBgI3HuQwB4USbgi WPWg4L4bayy+E1UUo9JW4gOWv1XZRVJB6A4m1VGK6AY1tvBHohaVuBGgcaV+IRyH8PI1xGEDcm1W LpwNauNRk1wkloPuL4t7JvuSy3FMGknZ74T7trrVQqyK9/38QiT+xMcw3egefSTwGPWLFFxe0gOt Kjc2nIOnbZrrBpFzluFOFBq/CRneKxC7LGKeEAeeNfT8+u7QlrD3uLt3p7NqzINzDKxcBqweXZMb RqIUPGTHfjpO4d+/83A1InVOu/ixVg4H4qzEgT9c8iFKZ3w4cfeBO1h3JYp9RFYPRN731pFabWwO 6vWFukd7rwtVLjU9MIWrlzlAw3NvlCZyFAEh1fncWw+YLmT0GAlevXfa1XKyiUE/Gd5XC7GzW+Cx LjOuokFvXb0rqcDwPjBXM5lsDhK2upaclVA5J6iinXORwVf7uqD+ea2wkM4PmDPQYc+C6hh8fV61 FVabjvG8Dq3OsWZunu2kwFHwBQP49RkJiBf+sJQc0Lej1AQFQig4CqW5mhuJYHi6iNuvVWoY6H2f SakY5kIOCX4QtQ3mx/q4Fy1+v1aCEdtQAoOvvnmXNMOG5zgnChlgcYzVwaFCqKB6iEIs5SxifFZx Ha0icE7clx+ifJGQ3mKQ7uxiT09Zba9m4aDYTeJhlDFi8N4vAcd172yv8MopJ/FvmSiFAgxF0NWv phd6bWQjxiTluraHCKLHY7NIHLIg88L+Em/AZz6boz2cJPV2n3SdeJpPhCJoddKFQgoedHlNmLyu fQb+wLsrlbxpx1DvntECK1iBbb9zZwYsn3RwnRhhXrv7eYd6r4eFTQdn6ImbsNFPxUWUAGNj2z4b U+BaEmp8LX45/Y9WUw6NOAWCD+npmJA5d+/MXJErQaxGQABVxFKLhJbu6QYyWD5B4pDxEHKuEgUf Bj2mq7G+DmI7ug4zR3wRMmt+M+GrfO8qurfOL1jj434jo8TqpYMihptkzZklg0P9J+e3XMDb8oxC +PoBaNu6d6AP17F9LgmWOWY7bse0gYkdjR/7osY1eJcyi/5p+LYras+5crNscYMFHdqCmQac+HFq t9SL2uOljqQLxDSXbrjplMq7bsocXRkdGOWJEUqd+RgS8GG6mUgeahNxeDYM+MNpeM6XjjYeMrJ/ ZEKLutzH/YK/9AhhH2R9N5D5oYg5/LOoQ6fxtXngX1dWFSTYpmXQC2p3DrSQaIjEeYloGyMLGC8P C8Vu/+tqGIU+qAUug/nFURDUHnpNqvJsIUx/iTfqp8Claimrt9zBvurhmdBckWJ4K/MNUDKnjO2C THUvoiUuxAnPCHm8JyRuRMEDj7RDkH84BGPChEuoX7/S3k/XMnZdu1F3jZ+qYqvCVY1KJHXecDRQ AT8LtocTxJWFjZ0tRt56XftXSS3W6Cu+D1E6eGXtOd+nDiFlqkUQwdm6xxYtLZdykLS8YcVTEZMZ iN104qE8/cYCoNaO2//x2qUZd/1qzhqI80VFQlk3rdLwL+9lUEUgBG/fg7nqD00z18CzpQ8v6O4a lTwSu54FAUEjDtbHfWyE+P/HCg7vXhEmi+nFdiLP9XEWUS1HAqB7w0TvlIO9YhJdR/YxSb8XJj2o RFdcVwXXvn0GdPVWO3eFUgjKYOHzlRn3godhbTXUxdd/yefd6DhS0m4bSy3IO17Zzy/103RYq5D5 b9Johy46pb7Cx21vVq0LcxBjCdOfJkxj1cLha3oWisLWJmQtEyKG+FUPHu/IuRLq0iwr1IPp2RND jZzfwNbSwO67QCg8qGV0MPopDsqA0GoaPaT5uHR9s8vk89oNJ/6zci0RMf670F0EqouUcEMmO/rd iykMqmn1IgJ7tH3eCgRbDEdLrY1+CzIW53v23kU0hjw4n4Xo1HsNozOwBoiVrWF7aNrfXwaF3hlu QKoEQHdQB8HcAAEfy/FzRRRTQPW+5IF5oSMBokeFZ3DRFzIxKtNQVKrb0uRsPO8MoHy7mSZ1Y0un jfiXncYBALj9AsZ8V59Nu0Qv1CVGcena5slO8rD9Vs3plUmxRcYhEaDIG94cYXecah8ZdECtmEFT I975s2TJLghgdlrEZXOgzWfmxhQ7SnYwKA9Cw5+ij1yYSvd3CGRo2ZKDI4pRKgPGu8N/Y3e+3qUj adQruzLXo7tnNQexE4hxpn0+QEU6xYxzUvtukaNYV4me03YE9LiieNFfY3bfmWVLZp8TpGj8ZhaM wtQSp1I5cFKMsFc1KrE9MzoWf09xiQBoAorh1ds+dzocTik3MVmr6x16l9jlaQ/d2WIW5kC/dPQw r5dhZMRPyA0rAZAyMORUNtM2hRyLZ/PvCv2gelXIshzb7jTP4DPG7+BFcRHJ2DSqf/7Ek4xbmj/f v7RUQ8oux1dFiJ/w6u6Vf9s33je+0Fy2wjHtKscMFEzmxres+GLkft2z4NsOakqVQv39lfJySdnW 2gU/pjPLYTbo4BL6X1qzJ/Y/cbP6132F3nykwLrH++m+O+dnUWHYeBBe2ZKncpcrVbfsTat2aegu sFJpINQjlvXROZQ5R5HmzGt6wm7IFELIESU5hwLaUtOurfYnED+qGkebW5wRfIeQpJzejg0j/a/t /nIYNcmJJRQbbzgoXzuYFrRNUWH8lprlxq7K9nC6l5il3+nkMDFLjmm2Hh2G79Z0ptJ3qlCItcxH r1tuULMt+iEg+QvIOLrgtK8M9eJjp7zgfA1idp8m+yRGN2wvxnL2PwupzTqj9OAg+IrlthJm2DQm xu2ty9rhx2Mt1UzNM7PRK5tAVdFadI6pkccaB8NDA93/z8TD2xquT0kYtsMdvfVrnaOIarLdezuf 2VUh5n6TNnTKwOoux3+8fzERNxJJeC4taP1fvivpn8RkcJZRAoX0zCD/bKoV+/sLU27bFo4gjtTD xgZSfgYe991BCji2NykeSgf89u0J6REjCAjmfCYz `protect end_protected
gpl-2.0
21bdd6a22b3b7ffb5207d0a060fa86c6
0.940691
1.829348
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/sim/dds.vhd
2
9,028
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dds_compiler:6.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dds_compiler_v6_0; USE dds_compiler_v6_0.dds_compiler_v6_0; ENTITY dds IS PORT ( aclk : IN STD_LOGIC; s_axis_phase_tvalid : IN STD_LOGIC; s_axis_phase_tdata : IN STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_phase_tvalid : OUT STD_LOGIC; m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0) ); END dds; ARCHITECTURE dds_arch OF dds IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dds_arch: ARCHITECTURE IS "yes"; COMPONENT dds_compiler_v6_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_MODE_OF_OPERATION : INTEGER; C_MODULUS : INTEGER; C_ACCUMULATOR_WIDTH : INTEGER; C_CHANNELS : INTEGER; C_HAS_PHASE_OUT : INTEGER; C_HAS_PHASEGEN : INTEGER; C_HAS_SINCOS : INTEGER; C_LATENCY : INTEGER; C_MEM_TYPE : INTEGER; C_NEGATIVE_COSINE : INTEGER; C_NEGATIVE_SINE : INTEGER; C_NOISE_SHAPING : INTEGER; C_OUTPUTS_REQUIRED : INTEGER; C_OUTPUT_FORM : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_PHASE_ANGLE_WIDTH : INTEGER; C_PHASE_INCREMENT : INTEGER; C_PHASE_INCREMENT_VALUE : STRING; C_RESYNC : INTEGER; C_PHASE_OFFSET : INTEGER; C_PHASE_OFFSET_VALUE : STRING; C_OPTIMISE_GOAL : INTEGER; C_USE_DSP48 : INTEGER; C_POR_MODE : INTEGER; C_AMPLITUDE : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_HAS_TLAST : INTEGER; C_HAS_TREADY : INTEGER; C_HAS_S_PHASE : INTEGER; C_S_PHASE_TDATA_WIDTH : INTEGER; C_S_PHASE_HAS_TUSER : INTEGER; C_S_PHASE_TUSER_WIDTH : INTEGER; C_HAS_S_CONFIG : INTEGER; C_S_CONFIG_SYNC_MODE : INTEGER; C_S_CONFIG_TDATA_WIDTH : INTEGER; C_HAS_M_DATA : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_M_PHASE : INTEGER; C_M_PHASE_TDATA_WIDTH : INTEGER; C_M_PHASE_HAS_TUSER : INTEGER; C_M_PHASE_TUSER_WIDTH : INTEGER; C_DEBUG_INTERFACE : INTEGER; C_CHAN_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_phase_tvalid : IN STD_LOGIC; s_axis_phase_tready : OUT STD_LOGIC; s_axis_phase_tdata : IN STD_LOGIC_VECTOR(39 DOWNTO 0); s_axis_phase_tlast : IN STD_LOGIC; s_axis_phase_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_config_tlast : IN STD_LOGIC; m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_phase_tvalid : OUT STD_LOGIC; m_axis_phase_tready : IN STD_LOGIC; m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_phase_tlast : OUT STD_LOGIC; m_axis_phase_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); event_pinc_invalid : OUT STD_LOGIC; event_poff_invalid : OUT STD_LOGIC; event_phase_in_invalid : OUT STD_LOGIC; event_s_phase_tlast_missing : OUT STD_LOGIC; event_s_phase_tlast_unexpected : OUT STD_LOGIC; event_s_phase_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT dds_compiler_v6_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_phase_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_PHASE TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_phase_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_PHASE TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_phase_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_PHASE TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_phase_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_PHASE TDATA"; BEGIN U0 : dds_compiler_v6_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_MODE_OF_OPERATION => 0, C_MODULUS => 9, C_ACCUMULATOR_WIDTH => 38, C_CHANNELS => 1, C_HAS_PHASE_OUT => 1, C_HAS_PHASEGEN => 1, C_HAS_SINCOS => 1, C_LATENCY => 7, C_MEM_TYPE => 1, C_NEGATIVE_COSINE => 0, C_NEGATIVE_SINE => 0, C_NOISE_SHAPING => 0, C_OUTPUTS_REQUIRED => 2, C_OUTPUT_FORM => 0, C_OUTPUT_WIDTH => 16, C_PHASE_ANGLE_WIDTH => 16, C_PHASE_INCREMENT => 3, C_PHASE_INCREMENT_VALUE => "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0", C_RESYNC => 0, C_PHASE_OFFSET => 0, C_PHASE_OFFSET_VALUE => "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0", C_OPTIMISE_GOAL => 0, C_USE_DSP48 => 0, C_POR_MODE => 0, C_AMPLITUDE => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_HAS_TLAST => 0, C_HAS_TREADY => 0, C_HAS_S_PHASE => 1, C_S_PHASE_TDATA_WIDTH => 40, C_S_PHASE_HAS_TUSER => 0, C_S_PHASE_TUSER_WIDTH => 1, C_HAS_S_CONFIG => 0, C_S_CONFIG_SYNC_MODE => 0, C_S_CONFIG_TDATA_WIDTH => 1, C_HAS_M_DATA => 1, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TUSER_WIDTH => 1, C_HAS_M_PHASE => 1, C_M_PHASE_TDATA_WIDTH => 40, C_M_PHASE_HAS_TUSER => 0, C_M_PHASE_TUSER_WIDTH => 1, C_DEBUG_INTERFACE => 0, C_CHAN_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_phase_tvalid => s_axis_phase_tvalid, s_axis_phase_tdata => s_axis_phase_tdata, s_axis_phase_tlast => '0', s_axis_phase_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_config_tvalid => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_config_tlast => '0', m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '0', m_axis_data_tdata => m_axis_data_tdata, m_axis_phase_tvalid => m_axis_phase_tvalid, m_axis_phase_tready => '0', m_axis_phase_tdata => m_axis_phase_tdata ); END dds_arch;
gpl-2.0
55da9d68dbdca02898e1b4408fedc061
0.648649
3.299708
false
true
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dsp48_wrap.vhd
6
13,760
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dbE3mpgJ9W+XpMWlrux9rvGUpd+HnvEnS3w2MNxh9Db54WPjk/O5jAql2SIMpmRyPcJYCsAQLWbZ 6ztNpOWBeQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mFt9xp/ykoq18FeHRHic6oWASF2clEQPl7wyrABvZl2osDTIk9vXG7zKyetzTshHz+xKciNT8nGl StTXCsx1auq+gxXL7c9/KbxWbF2dpvvbj8Q2u7gdMAunwm2vQ45FntnIq+PeoKuNnpLCghsYkHpZ upOsU1U+RMDwFuVTKf4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mfTNsZFeesfEZ6JRJ5Oh25wJYy2x56Lr0Cj0xOjgDDhEj/sDfXwBFn5r8HUuuf2FxCmKm4sV8bzR XnMxDpnj7ExFZoMrjWlvbTZLBHB3PPNGbnhwWUo3z3ytENBLq3ioO6Bu7h5jg3agQ0h7zvvWbGX4 w+xjRQghCCfiLGylmbVwELzBobnMjU1RGcCHFPvXvmT88zZ5XpXwXJktEKfsj95sSxhm2Tuule59 sVDODhb09ThJLApClpTqFPm+A4FyeWvD3KGghU8KFrueiRlkUQYBaSHDHpDYXmBfx/+/9d7OVn3F 8B940DzgkdTNQanKFU/k4G0UQd5wCZbuH+tB8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block v5JRHBW8SxxgEY8E2UzSNpXzI6hIxhsi16InRJcq9VYmnhK9/+1snZYsuPSPnyLyi8l50eiLDvQS nmqv3XwVEUP5vjstsY3rry/LTKXKIt2TPof7aocCCsie6JKIpiXkA4ecSf/m2M1rddUJ/fQADtr3 d7WZc2HWoRrEPqEozcs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m33Z5YHA5P64X3Pm/Mqw4q4+4EmDmY0Iz2PDM+yd+HHD0aUTgYN2kWf6TPXoNcZ3GteYL9JpH3Zx IPlVV71KldjIoky6E0iEwwkvBAojCUdyPBi1GjPVCvuWXaJdI98cG/YZhCyLnMgL0slN8tJ40F+8 IV3JOC2/dhgAjm2DJIsUDxMj2VVTlEN/XojglnECFtiEXJBy20uObFrUM4BemJwuvkJrBO+1Tks1 QwZswGS2D8tF0DDKTSrW+4TfLC1lSEE0ux21vGtV2S5z4cLYEdgDNKH9PV663CHLvXX6wB6qu6MT /yQ27Ep1vSUF9rE6bo33URppbqRQZC1ZWs1sIg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8448) `protect data_block Nq1UJZcQ8/IxXtyOeSHcQDkf61CP7QwjkGfUAWaj8/d+9YzrqYuFHBee4xj+Wm0WthvA9Qk8X0ym HVLYaLF438BwTbkyQYtVzgoqP0pwnh7/kfAg0pwuL63fq3ZUD3Fykhstue7hVlaY4RrfsK/lSCvv F1VE2ZTwvHhM81bw62QZoo9i7oaSbOQ3rM4HyssJXV6cuDCyRqWGZYhKM+sS2QdiHMF9kqewDUJU nLUR0DI2abk+SYlUrmZBOqcKzrbUmk1TFzb7wPpfWRSuiSFhF96adPmXSc4knp8CQOSK79Sf1yF0 JDn6SPMITpB7sh+NOhQbM/oh/qUrl8rfyy7p8+AndhIp7yxLcMYpIZj62B/4T9R7sM4FtToOpHgw bGkmpoOzAJxoFTBmgplZdTCQFg645YjifAoMH3DF8Ak4PlAplFLmiaphmykmuLRCMUiSlNrO2jcu JOfZhXXXdfH1dldQ5grd4L1h1w+wBWIgDmnoAyKnWZ6DcqNiwaHR/n6S0HTQ0N8kGweYM9n9r2kx q1Vatr6pjb+ChPjT+39LzmS+u4NnjWm4xzILNMh6y/nFTUzBX2tfmLhIdrKCiyf9GWcKeylnvgk0 Edqfpq7bObyJ+kLbhvdODv9o0qDjnd9zv/a3l0GoL/4vFGnMhMYPQZOC5HZExPMl+Yijwm9lw9ee MX9/2BYtpk4manp+V0ettMPfQCgEgYxTHhUqqTO26N6pYoYM5HHGpTFm6NBsEYQAco0tjI++Nky5 G2W3osyXEfK3Hsjqt50ollPn3/Ce/lD5i8uGgvnqgZprITKoqm/c0409ucJkKpOPL1Sg4d40BLlD m/9RlsJvppIYE9ZnVeL05mlPx2MNnAzZohMBTKkku146LxAM1OeROHNlYZGJ9oH/4d2HIhcwfyBE MhNOqKxsamGbqJY88/4O5uG3+M5itG4kXzh7XAbDZcl528XLrdGV4Dp4zm8YkF3l3+SYp+WgAzYD 5hWApd7YrfCN3svt/lNI/NTGuhUzj0SSbU5d0BfyIr2qmlkLFzr/xdmaL/yfXnQAFJ3Ggf7Cs6dY 5EBeUoGh0JDvMJS9ArSnOCLAWdN4SUqDK2WEGanYw0yTkveizvRtjRbvgKUM+UxNDgOdTBuDJ3Ht /NecrWjGXrwpYOJVUQoNk0Eh2VgvBOIya4cEv2mDFdfRSwcAPbxIKE1wsb8GrwmdwRfTvksqpuzO Ajarul/JVkn/Zsqyh3h7YVt59weWQOaQTCvUozw2d4nFEPiePiR5/9FdYldmjMFhxuYE+8pg1vsS 0M1zIHQZIWFlkLGf6Y0VUZnVQQiBso+QuzzpfjNi0krLDs7iJ0JcHRwEPaf5pcx8F0qEawt/3XRi lEFAvbH/1GHH14vyiNIb/F7f5AkLp0xktwjn4NBU8JJoVpWCgVAhy3f+RjMO4BxqJT6UVQNAqKeI I5vLP4oyBfrT1DLvkhCKs5W1hATsq3f7O70jg3iUDIta07wSoxZWzYn6FPeUpHT7kvcxESDTBJe6 rNymAMklcKxT53yncPgcm6f6E9+dk1mvgk6HjYsaobgVpoY85t95AdtKu+zPGHRzH7B9QRicgHvq j9lmQ7U0dzgP/fYFyvfNv2WQdBJEfF9DX8k0/1sPt3dnxyGnOJuznwA4dC8Cg/6xdPANzjId/oDF FSkKm1wQIVQS0jIENTpUDExrRHrCbO9yUzrriKbVDxOdyKRdfBvwvEszuAf0REEuXKGLw0cSnPxM vR+rteBlpQboiXVLOVFfGXmqCuuK00AGGedQYtMIiyiBCTeO9n3YdIiXnoBLyvYRH5e4agdzm13n gIza8opJOqmfeBE0EP6GMNFBD/Sn9hvhlLImCppAFEO2KEjnMT6CMLolG3Z+lc2ajasUySMKoQK+ Kek+6vRgs0UyWVIJcHnDONFAi/HWgYgH9QtGm45gbw8lZ0mh3yLiZLk/Lz5VAO1FIX102o3vMNOw zuFdiSQifRXwObE/DG/2GE2RMndkagJeRPbySDbi+WNCrFlTg2Gl7wEscQv7Tho0PqjsYWS0qPFv WA6UgvYinWelkeVuZG8qB81Z1I+GNA1wPZJnv2tL0Tyf8O2ZznEpM6q/9Y6gu92OpViYieGlu+M2 1H6lZjVIJk/1x3I26ypnusU/mfxdj2kgJderUOHOytwZPRwmejSxGlDy7Q0XF6K3v65+6fJEXKEz y6EleSZAyH5DeZ0HYZ2NW8iweqN4Ss5dFNzm1hliSKCIHyS81R+x6F9SUHyCYoxTXgRTMbmQOO6j r5ENRAT34DaFnP8BRamon1U/25h0LYSgLAJbuYE/kUCOya6x4WeGQ3D3WtTd/cFLBkN4VdAvQcpQ o65dQEDDOeRxrIfcnWs24Ly6gTl2I6/zYmegZEdZJvjorpfGQzYSIzi6snSh+Gp5Gc+Q266i06fs WzbCEiIzRap5TQegJGK9KvrMf3cCMus9qcuja0fw7XSv47vcTqh5/QPS1t9yd/oUebfXRYucpW+S ycpZM4nPyZuSikDg3gwhzaGHBMHFmCFmCc7OzArScGvvq6lRWg4/jhziqMPK98QGR2HwuGUJDJlL qcHso3/E8pKy3kPD+1dd8QcKZfLaEqbUVZKQqK7JW+XMkqD3yYpOq3bWIC/Pel84I2CGledJJwUz 72dJ/dKSqos6Z2XS2aygduJmU0rGqVktqQhv/JM0OvnoaKpYj175TsQYa9hzXIJXWHJrYri7wdmM BdHag2CAvWjUipYZH4vknoEE8zqzUkl+Ymhh7yBrauy46SF5BrcroBk0cJtj5ymSwd9LjsMSSKBl 1hI7sET/CwVc0xOHnG3wWkVXv/L+UrBSiobTB7a+ahC7aNIO+a4G7wBjCNkuMulJ32HrypebgxDP ty4hwq/fCG3b6J0cM5MOTrTMTSbn6UQuHXXqx+dn5XSIO4P5BnEEzgSRtPWCD16DfqiuTbrySWjQ lNFabyf0OOgmdec5JfeXLbn2k87pECBqFB9x2iJ/CA3W2//q8zWEPrat/VsgX+dauipKU/zqWTUD zfMDwXtftAWqh3TV+cvX1Z0mCopMVbK8coYXOxQDsZ7hCL2xuJDtAwF9CZHZjCjW3Eh8dfIs8tSg 3/NUAZxK68Z/8JFvaq9qhY9ldE6R42qRLYQ37IJwXuG6wtkY4JfVdSbTcgw7EZCIR7D7DLAfSeHo M8pEV88o/U3rzXyuW8hE6ehEP9L2CeNidWEIBvqiJumWjUy+PSU+9GRjMOka5AA74W041HryGoWP pDjcFIiK6Rcl7vpQbxfv+TVP9I8aRuB4t2QgcT6abL8Mh/XWZ4lqw8u+bcyyBPyuzjo1xrQXsN3b 73nvLO55Wdj0b2IiozgUYSyRtm0LxSAuBRn1AozKKdrvLUQTR29XyucbEA66Aw8vHHLD1mUMa6Te N3JkUuc/DO4THfQB0bJ+9ryn8IMZEGup0arFHVjihFn+GA8aERWqb78fKltqEMesUSu31dsQq0Gr NfaCCefraTskLZa/6/qXnOIKmVh0AnCiQyKoWwIP/IiKDjfn/lC+QN92AtDHVB8VvNr0e9CQ9fGs UDNPr8DQjhqNBuAT3ovWW+1xLYakm3NTdiR1/yc/UUHRQA0725nPoL4NtZuCQOpNj0NsogyxFEoo ymwZUZYT7mByfG4QVf7NBQokQowoyw9OYQ1YVdrUAiYgKwBfAyh7RvwDtPqBvWkrl0NXvMOMxEvu 9dec5YLiBM69Dy65hDbzbaWK1od1wJILBXiF0kYuTdi9Q0o9Isti/UKn4h9YysG+N/VyOXUMpygc OX1rHiQzfk+8rDElLF5RzAI2Vs7BVKmI8VBqc3U+jtnl/CKJX+QUe97j59qQHClS//T31rZGggt1 N47AI6RUa3p99RlzbBGASS1pz5SXdtQByXKXYoOvz3/5SmYBKmNHX2PoCGjYJgTPZRhLhU64rhaj QRMpmc1rLQPGRETO15KV8XsHfLRKNCJMPTpNfOH6m5y8Q6BYJ9+kF8vh8in6sJQjdFnjPcAoldjC XKnnbQX8w5H9bHPiShAFYimRtzYqISiube2IFbKCphDUJRyvunLtr86mxeF/R4Fub+Iw2W06egEp TILImdMTiWK8v2Na1U/d6PWh8y1V+lQuv5qg32CsUIySNGAM3YfIFzbviHnyTWljRPUbXiT31G0P d1LaODeMSONXrbXXVJG+yIneAImUnKdkiIz92TfX8pTXWWMFdlgSBfjMwqqYERYu4BD5zZ13ADRH y/DJh8pTSaLAwcg3sE53nkkVtsGuksWSbVtzHyjVxzOlntcV4N+2KKi1wA1+WNiM9DdxQ3s+KG3G /y/v/gFAcstSxWZWo7tkeEY+Fc/cMwxVtSIBxj1KwFBVslYQPZDy/W+bK6ppFQKfjrjGqTn/k/AS 75cORVdGoUpWZNA3stzYhb3fmBs6FtNzdBtIUl9DyDArVqtXa88dsqMla4TxRr+CwKpX9ukv7KHy +qKmXVUZR/B57Y33Iuef8EzbC0n1xzpUNIO7zZY9lddo6Gyjq7M00TqPX/98/W3iu0GDDsh++gDp HEtLDvqnv6N59SY3CfInpZPovZJCNe1WYSaoBhVNo5FRsb1YVsNNav8XgiNNoQ4+4W/r6tBGrwcR LpMHmOdSyaccHGdo+xxePHpxJE3ZkYpa5PB82LU+PYfK4As+6nSzp3uIrrKOOLQWDx6EjYo+Edo0 zwqzCsZTGMHra+CRaslaMMPWAgBnbBPvpCkcbUTxewkZH/JtkBTKmbhFYJkmuV7/7OH3l05kHrrW U7SpqHS9o+Vkn0abvdlPT0l7BPMNuveHPpfB+J66QNzqX3KEZ1g9SV/xwHWpBDC+e20pJBt+tqzu odKZV/DkdVHar0d/UPQFb4l/KUb1QO+TYSCREVjDEmwXd5HqlSLCS71sFKWttoHqFlUze6XYjCrW raM8V+7GZLZG8GNq6oYJ5NepwrVTtPx4xR/m/fN9XwuoYTeREzqT5NzrCU+FsjIfu4bLBI/niiFk kqaYO9oEVoPkX01qLttHJTSsh15R/HdDeimzW6tsZTjiry8kjsPv24L5KbH4OrrXb+Qi3KyR6LEQ VnLh5q1C9wxZhrw3chkXHXQyNeQ+REpAkQyqX9QNAJly+otTsFsO9b5Sho0u4Tjraaku/XLS1BjG HK4CjGuiTJyUNVGSDZWbD3VsSSvREyeTqsGxMC3a/asfiT5zIJ5Kht+fQ5KMABz2hYoFMTlQw6lw YQGOkWNSciJMVKLrh1BLkwHyAhtY5s+NhOJDbEjkFF01nPxDNB9pYaMFHVvoN015MMViHYZc/NSL yyeHHN6Ez5dpL29ODs9AHqCloq1o5i2pRkiyqs5pAT4aDzMO2FrrYDF8/UyAfmuz4tUsbUJj/Gb8 ysNleLTVQDF/Ye1Og8ekoN9vTReteHdw3frqeLlNcwnKz2nGywrkm86BNQta9B+RCcoSO8NE4Kr0 AwCS/SYv6+DVFx1ignXoXC/VWCK4xcv25TDHeVgQJeZYnXlAhYtu68CfP5OSx+uEdG4YaBipO3Fe SwZGjgmdGHS1L5SnczQ4fmS4Huo17MhtdyZ5cqkeyrQk6oSdtPXYJdMGMeOgj3PlaDXQkn0vcWfB EXYrC+c/690uoGBTuqiRiQb4lfhxvHDBaWxIrAupbmoZkKr3M2d58BQ53gxKBcE9+JOJm1jSbZF2 QTcNYkmri3ySFN17kthvMFnT0BAbIkrSy3ynx7q54uKc5KmMctx1N+6JnkaDJ2EXNteecFzOz8AE f9kBHD1rSsZWQN8dBhQr9AVxJbE8XBH2FsSzyQy3LoxdZRqalv3QiQXy+1ICS4wwmYdnT14+JDhc GiXCm5qE8jKcwo55AztDmKRBAZt6ftGh2+qTfzWnqx/NLWyV+DJ2WpkXnVKuYcnFUuyVc5PKWtxT yVoRrRJiTQlbksWi3WxyaEruNgiGPPx2M9tCbSGWNinEyyIcoze4iW/ovQTsxBb42c3eFnA0QjRF qVa1ImJUrEYn2L/YxkFKaVKs2zbXY8mwClZ4nfJ6+R8T5JvWw+D7E4QkpTdNp/yFjPf+yo0nJnK3 PS2Ix7xGJhAzNOGoNAMhNtAVx93ZMvDmIE8AZubpawSHCx1s2GplIT8YWzwS5+++1nSpgqMV6+Mg 0Qbz6O/6mUZKl63kDUAxNeIddlwePftRHcmv56aKoSvwFScR+BxUEUbqjbpPDt4Q2vS7MvUoP8Gi DQTOTuYmpp0y7nd3xZ/WcIAdCnIOZ2tUbXkhDIY4PV7u3+6/fFpJDdFwg/oPbz2WA0tQdBQCfzbW /PmCnO9w8qJtd7eeWP4SHp5uGCtaEAfobTikv+xbJk0XzOqKHT+cTUbB0XuhpF427KuBSXM9oXtB z0EeaKegiHATY/tgJUvm6YdJY0GnDdZT0VWk6cHSzkoBIWRhLb+4a3xIVhp3o68zQMLQftf7Dkpk o4DQvZZbpgAFDX+aPSK876KdhSpNyrTLs5xipLnKGvWHwmN1ch1+rp7sIgZIUHyXRkrv63u9kQXv dF2Y8xIeLII19f7qfs22bbLR7uxvwMGhgCHF9TVsxjhCE9AqfPPDAralUD0Lx/t9mzudSJ7J/JTz gG9UNbhpIY7oi0droCT+nLnOLht1O5VMcJV0f5FKjCyHnLMhVxivI6qK4HAlxB1qieuC144Xtv64 l94xTsy+M+NkAhAwEdvLsByUAmeT1vGPuvJlphjs4GUkrO1buCRiUQarCOeJ2hIKEBW0SDbUjfkC tm6qFGQwhA66Rhl94HuKxDgGZ+cFJyZOi/7+EvtFIFRifbJlTertRHk969UYG4EALbHS+eqMvNxs j/JS7rkvwag7WhFJ1DZhrvjInPFepeYdu7vbAlBW45T58q6wN/RcjbAQ8Obna/T9DqmoKD2VtXns XnqRYDG/uBhIlqEIkZV1+ZuDIpDOzoG2jSZfQNgNSQ7dPoD3KWWGkk1cQXY/O7qU4B+Jy925C2Ze mhL9BRzdamLPAA7Sml3Pj+VrxBduiKajyyp8rwG+KrkpjN+954PFFLaIsoujZeUcq2lYIBGDWpWW luf0aD4qSYpj0jS3Y6qyLVZ2LHfUn+tXFCI3uXg7mc+oA6D0s9kdZGVddYIqNbctQdN5BeVuMQc1 pNa7t+CDIFC+v8NXE8xwgqpGjwcxqHogLrNmwzWX45X8LThgToonjlnBLIHaTcTq4Bunhjsp2+rR rLl63pb0BtUWh8F6hjsoSJFNxuEHW+rw3a9MCSFRJ86bgnKxei6W4kXgjJ9rssHngrb19RwhMP50 9Wf3SiuEtq2nx8nlyeKkxz3Gyd0ScITojhmLS/HEM59m6qKDlEnidu6+4TmR/SJJjMwvmGwrgPs+ BbUBEBiuMGZuZvStBnSOowdM+Ah+LTvZXyt5giMV1Fu0uRRmQaS69vSGK1LB8bsMgr7c6rljqMxb mY8qrrG5RHrkjyq9UUUaT85CXs2mMatviD/l3/T3ISKaj8gUgqPR7nn1bDEIoz3gDTqQWWusjioC Yh5Ngo/w0Ju+VkOohJ6+Xtkg0DLCS1LYt1SiUXtNK6xL0dhGsIevP5pExszAelh9fhMvR4ZhCJrQ 2N8BBZaXjHzGIwzbrWhdS2OQoG8atu1cjptJ+NwrZY2QFcVSrXOwfcI5K5mE+3gjgsm+ajgZGR2L I90g5zgIGq11cwgg8qbVn2vjhg2vRqLCaJjwtU1vTmnBYDkvCMdvGtwk7+AIivKYbVHa9ldV+/w+ 4GFQT3iUFiZfjHKXsGq1UCP65GbEWmzIROKP+i48Gnavz5I/zsFP0HoMghjLflSmC+NyKODq/hrL Jsm38MPs0DJsv85wav2btNStzvSSKMIhZFMbfYU8JjeheWcFUgwshVoGrLw9+zqUj5LxRLpbWhQM G2bVed+r2SXIguZpQidg1QIDf8AA5bbp9aT0IeqVImzo1Ut1Us9cUyplcyzjEWMy1Kkcx7jt3Rzf XmKIhAImKQadLNKLCBHPk3TqVeFRNDfR0s8mwODOnI1PU3fos2WR0TYY+SQB4bZKyrl+ahiTQEnt /+4NAJyRewsWjen6e5DwIOM6M7IZK/5tT2hzdOEEGqBP9NO4ssBOSYqobBu7fcagyFDASzJCE5I4 dwHv/yID9JtBe/RPdaEA/Qx8+Y9jeZl+BEM1C6tDy8Mfsbm6RTT5o8ytlEzF2plEijgHfJgqLzyb 22W4s6YCJc2gnzVGqPkh8cE5h97idxjrgeaArOVERlMrSmVA+jDmdlx+0G4TQwSCl+CNFMhYg4hO j+ncDj8lN5AcjUwvP63AsT5fRbUk0kwYuM/Dv/nYOJ9H3/1dV9dbKHbbPwWNpUbJJ0/Qb+Rpna8O uhSNgn6g7V/SPTYD2+3KvANfi5uHCkaK1hsYbEmg6h4Y3B4il9vPgQSQNNAXGLSP+pcymziHheNl Fb9jX1oFr1hXADv+HKj6AGRGRx/oeaLXIgdbikxFzgQBCl8ZZdtdofYPACHhkQA0b6iC7ScOtjQG XR7y1xPsAVevH7hnqZh0z9xOw6ud84GGYABSFqRTUTLSu3UupYivY7pBOHZWgACcQ927R4HKxK0G J2WGK5IBGk17AE6PNGU/Ff+/dVfSVjf53ZHKP3ED+mCJtWGVs1aa8bLDkpLOOqthF2h1DxmH/Ccq 50YLKZa53IE5ss0TIMbdyB3aQ4ynElvBY8fZ1Oau2WJsIjbpJj9U8PdNDDyiDObOsd6x6kf5B7Ug vqKtxkTNMsZRZazmHffa3XadCY21wq7Py26t45uoUEdOQb683vQ9Wtjoqa5ORfT5uj3LRnXd3Bj6 6Ys1CBMS3Uf0sGDkVUeb+KBN3xtjErcRWVZZyKB8l+UtESELSvBpP/KNXVBRAHVdnEbKV6QBCrBy t+pG53IPBBiVdxpV+r41V++3wJC/D5YPSwVWMgeVMdvAg41kiCUlef09a6fKsmXobZNsjnl4h58R S9wHVbC052+sFGuUjUVRz11cIlXcew6fmUoiFJDivdmJgopwsd1xUk4wKClEcpWfvY5M5aOL2Eu0 sDykxKphRxR0rD8Iad1zUcFmuTD2aTXOqWVaITHsc3AztB3KzxDUoLbW0aH5fSDWE4Looa0mL9m1 ZFIBBbBGIh14fhHMWkdqsYT/LWoMKuTQbAABIi9EtgBXa3Yt+Q/kFv1Jukub5mH3h24/m51fldwM XdHKX/NtYqcxdgpt8gBjcHFW9BMZwX0xY94taZZk/sjCz3XTpa+S+ykJNKsLIg2yjqCGwsD9x9rG OCZH2zrOvtLwGKF+EOR7VsIZsbKj37H0Ogesd5rttcvK057HrDoD0RrXAL3PgD2guJGmeDNgUqnr a6PC8/XLoQpr+KQGqEAjZlG6FxPKi4OIuy0C+ain1fEOHAh8qP46SnElP7C0iP81OjrhzulgNHm/ jUA62rpErg0tC/P1dnSh1mutH5GcQkSEh6RnjncOu5hwlYCobmVOR/Sq0jBtHwBsGGYscZUfgfk4 0zTGf+mPG+oJ6ocePKCmb8D399mDredTWxkdhXAz/UHDhRXnV9zILkNfPknm9Ut7gWFzPPU4gaJj WdmqNfJQ6tzfpMFCNzvp9GqzMvaN2bDsgQpqsLOY9h6pa4X7U/pNZjjVWrIZL/reetrAT0++TZrD XD4y+TYrdUtrqyFy2zvlP7Gv28kGib2Kvn1y6Dn4I1kIomYdvAQNWvSbnbd748yXpfJroT3/fSAd 7i1r5RpeWG5idsBqyBw8Ud4Rv/cxgJsGINvR2wX2B/JA+xvIMshX2hCrHY6FBJXwWn8+LriMBxAW Rf0rdIKFiRACLtDoTduTDuldNdYUh8wLm88pIdFqpvLSPCWY182MMGqft5T1gUNQldCN678sTGnI PAmNqD8dexe9knZMg8sdd1zTnqgwce3vyguVts75Qdbke4mLwWWy7OoY9I94Ya2X5xlUp0NRKQJi sGm1qcGgb2oopDiBqsk6+XDZ7NZg8C6W0hDzC6//8tSZINQ+371GVGdWkrC76DVh9bL8uwvbPSwl TdFOGI34b8VClDCOrig9RSesg9NeEocDCb8lTpGb8hlA41PFomkwgLb3JFCrtI5WGBG6NGUI0T7I b8XaM/QDHGLkWimO1Hs0V10018wPI0r8Vd3fArRCPLXFYLrZgphttDoJo0CUIdsKQ2El0i5fepFs RSAobOZrf4CXdlHvY80zktavdkcIFq8vKKIj0/QLDAE/b08RRuT7xkTnVvPzBvkOmskOClyKdNkz fYDlPu1N+kKIeheB1g0ypk0qA4JjNrT8+bJ8KdV9xCpPAeHYhuPPCJst6aaSavsta3n6FTQfdvzf nkTJUcbCad8xeV5Y87xSZqGwCleZK2fkpcFCGdYDKLqOCvGB18fWYQU8gVrraEZqHHYfKLNXC+0i /Gx14Bv6G5SQ5RM4av6xWVXHSUNRWFZai6hn38v8Cpz7uM5cA09e2CSEI7hzGZi5at9t39Br4bql 3ym83xQ9LIi1MTN2OytB/DJN4wiGg/dLH6tDObNRKh2gDyI96SYZrGjRjOzbmK/ugeXz//jVzV2P R6Kbfe2DDiqD8sfPS78X98iBe9SmFTldpzOZ1hagvnmnLftPhjg6TprQxcEZtBW3Hf/sWJMRXMwu dZh9WhFlH6ppn4VxrH4c8I9v5XpCkl+yPXKmIglwl75Ejhj0GPXguIk/qLYTb7d/rNeNdrgQeF8k /f5uBEyFjVi+xjrijN7BM751Ml7EDjAEEBpc5UaCFv17HPDP7ZJtt5WlBB7MRJNkXh2Ml2g4J1BC EU+SU2JmePOsP/l2iJ2o53wi0QNrlrGZ0ZJA7Yi+Ce4fsRsvPe+A8qGW7dS6HgXy3l7sV3rjnkDE 3iTj53rJTsQvV8SRRqHkQT0zoJrYrq1zx73NGAFiSrqGyU7qPFI+kh8EVlgJkoQLe1Dpjj1g9LYD QMxC5cYejxXgJRm4oDQHwN/hB6Re3OR0AR6ogf0awntGoI5Yp0mYHGrtcb86Q00TCZrMOCee3uM/ qGnDleYVBGpwDMnMMir95Q6KL4S3wPBV8ksSn18tgBgl8rxidt0FuHhZywjVY+kSlAynHr/qX1sa FzyJwD9wB4/daEYoQ+pw/RnLmIEfpbNwbsXeanHmIH9ml95pOrEBQ4JslPrmSdEnMtztUHw13WbH o0YKnR/AM1JmgsTeNsZb63J11LaAIKBDICJrH2kqGINAzNPvGJDKfPqV+wUqW0pYHNgXhNpL9g+P hbGUmJMMKQ1odD26 `protect end_protected
gpl-2.0
5cf112ccab416a62bc1072941594c032
0.931105
1.878242
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_hdl_comps.vhd
6
15,036
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k8deGaR8z7NycwZjXgma/b48nGjxfnTqNFnzMPFPrS9HWQhMl527ChhoNtsNjLP8iWpRHLGR2PQJ TtaVQzn+IA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jk7/bS1s/szUyFN8hki/17HvcLDAjp2p8CdXSaYW+AV3RF8hoUq28B99NOvMTP4jlOrEJOBagY+l gtBlzf8FZ+pGQ+1kw17aT4AkQHlOzglov4PnaUSkficWr1dZ2JBeUqvPuD0IE7QLFFqRp5/kALki RX3OYPqfXEqofNwjt9k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block f2AZix7D1ATWf2SLpSL/+aMSHwqJ6HzKQM2t1j4JH4j1qRS5ee9CMHyunFddpBr/Cbw25gsG8nYM ARHaoThd2dLh8XKe2nAQqW9f3n/LEUJ02ZpmmTSfy6j9w942PNM7jhEJYT8XGs3v5zX3a2drxbX8 qOoxZb6rLD+0sjHbkgAeB54KaP2zDyJyNhyUUS8Qezl7awx5F9VzcWESSLZfStMwJcpeQfJChDKs qp6435rnLjnklVXwaShwK61bcs6IoDzkQBobUi5efw6ppzQZstX9hqgzk9+jLy0/PX/GoUPMfAhB BsldaL306S+HdXyvIadw9ywoyZhiMcgcyv3RxQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P85dwQgKtKEYLbVfP3NFBNEK04cYkNPFGN2C54O9KjRzMFiM9kawrlymNoPdSpWLsCi5G8osI5bG E/cPDUcz2XR9+BQ9uM0xin8LFt/j/HBN0p41FfFoyLu7kGhRn1k2BJaohAxgwdBXnE6gFNIrStMF em4B/ucXY8jshdFOtxc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Aa7qEvuSWAXpNw5mPbTaeqAL0SuoSTZQ+QjExEfP96AgNEo6vVJyNv+Qqo4jITJHsDnXFaGf1jxz D3aFcA5hRKcInOHkD2uO1TIH85YccilTaI8QOMmt/u+L+LL8oWzA3ms8P2mAp4aIF2c8nkc+1hKH tbcISjaZ1gUpy5VPyRP3wfTfwt/TV6W7C52U0ZeWnweuVsTz1RyrYKg9wCgFUCDRhdDiXZLioHK8 Ez4XYiYb5zIWhgloBDk5W78WugBZNpLSaOinDnqCstjRoF+bmsToD04SiIvozkY5W2L5+DUITN8D g7i0Ky+nCRd54ygRDxs2T5g/DSoIFy5nrW/pHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block ClXiB2r9o3DJTNCoNdxr/T5Vb4lInmWYO7s59Ufk5LOX23OwgK8taVT0Yf88saQYgidqaNtcEuDt 6LX7HvGBR2yHPDpZ60yev0BIRqfXDgvPWsulR7eYVVa8kIktAFbrAQKoyhiBSNH97BrtzwgzzDPy 01bWpZJ0k9/CBdcN0IJaJhR+DtFdaVSIoJtULvCXfrcpnvB3XnW2/odZ99rJd5vg3ndoN2/uRFaL Sm+ZjDpnvkfr4moVLG24GAA2WO1rG0vZ8zz44HTHJ6OFt3ZOUE5wim65QTKeykJmsQuuTisu0Y22 nXirjFvrIvLrhXFfni8cvkb1aGVK4eU6PJiPRfifQWeGD/wLppxdhcwb6lJzFQyViM7uh+8Z0XNT lzlCb+1g/985g6guFBIcz1DqAvzJZcrQKfA+WZJxLTQ3lUABLJWtxtoljS+Lr+wTaeud1amBUTpf zZJARHH+Nn8+1y/8EzhXf5PXCw3NxZAHxQDykTucjAj1vmd/omKmH3BLRR3L11bSaomBjoyxWyrr hUW67mKbsKNbhLEbRP0kBqLKl8VGPDU1B72w3G1U3lr4eIwpJJov4+kYsN0KR5zCv2K3jX8AQtam SvsUWrD6NULNMitl7/MyN4EiKY6kdhMMr0ZPgkfpDFDOy/MuLraT2YtuNSAXcDelUwW6umGYHQxk pq/C4Opc1fBfnevIlXnwl1LdPKA4d6bIC2FqunMQWNmcRPFASpe1CgKHZeu3kNg/+VUHip34fchQ D82rSjXpjVW4fXTJAubN9hbnMv4ahI7KfiidyjtbuA8QVOOUf1QXFIu+xDyIaljQ7rYjf0R5VUnh PfjnLlbvZR+jm6PKVFcgAM9L9yIekKdZzoSZpRyT024ZehHthXYZvBQ66MzqfEwa2UB59R+EPJNA GLv5FOMqdTbMOmprkaSwE1hb0KV1DSu52NRvaWNSWtvW2/X9u0fZm8bkAzla+Gch35MI7HCXMhIi PVPS1VW1KiuGOAnmeaN9+o2S6+uCgHjOYGySfgBgKUjHcIh8d1AWMmtBTRT0YHbF0kJTOaxnGzM4 +8cohnQJsZ/UlytHx3bxoH+o2vXX4Q3kpoqmJq03UeM8vIqOmWSnGeL7NxuJZyMpJFvP5HbSeKSR FDJjEAP+usgyXcuTI4iJMAiena07MCTrkGiNsm441Q34a06Y0gFOGzEWyhLvItCcf8BkswqMT9M0 vGPZVLSDWN//T7AwuVz61TuLsxobaqecCxee4pePECrNn7qSt9XjtN6b/e0vfiOQas7sPAJ4mdmS Lie0Lagdxcl+8wCf0mxTMZKKneZtJw3XbD1uNcyQ0hty1yZnS2aAyc6ORAqOUieawJdHKJYAnDmS HQt1NTTaZ7+yc/xjX5J+wnfZYet5tqhyEY7Dl871UxuLYoBvL/11x4Lh97c6SQfTGLbLpvXZWBM4 bAW3W0d6OY7cbgz+i+VSPSDZ+jygy54SC/vj9nDYxu8NZCaTUOGv84TlyvBMUb+0MWK+VtW/yabp ROF2kJyd33Owjtk72eelLHKx/soL77Sb1+swVNQ+hde37HK/+S6wJe9GQH0EM4VA0eqoiADzgHyn i4zZU224hvTeFgJPYndf64XsUSpwxJYfsrO7bzdSGk/tPeyXMEVBQFwysw3MZBTIOAHFmMorYBfq i4x16Ev0zCc4u7c0wzhfoxk7QzK1pIUyFwMW8w92azOtIVw4a1xF8hj6RZUzHFmIU0a2AdxH3D6+ KdstcbGg/dDDkgZLexn/1ensMtDTetHV1IYX1a/qay8r3Ra+LFSoMnF78UmaVhs8/zJoEYnITTY+ p477HUwDxVcO2ZA5kIK3JuMl94RSOVCVgJ5nfGAnaeYMpQrDzhzKL4hGouZRmdel6ol3MrR52rhV qey/kLgqrEpmt678XBdz1P8/UHrqtUyPosajokauVEKqJEHgCmXew3V4SgV7RFn5Ml+ttZuNuivP XXiZyUgQnKuuPMA8O6UN8a7WwoYIcjtvC/i5MomaJCqv7Co/cC56jK/OjbphBRCY0IuVnWVlDgZ6 /fcXJmnm6iRyiDstJYWprLUuwdQia9LPd0UJLHqpo7Xppg2XQQ/goMIsM9G2rspbZVZJS6n+rd8o nuEwIucizCdKFy95QUoGZ6ecTzZ690w+iuRi29yndrGCDeOx98D5jHYtZvfTW8At0mVWQDyOcOMx /00RdouNmiCN0H5IsbFxJ1e9FtqffidSy8wNMhwAZOM7m5zWgYdtkcRM3nCshPRkFVWoVZcN0Q0m K7MCMNQerlr4RrG68DAKg8MhAm3/MzdVR9iBevNsXilNsINgcjNZ9PxqNZIszOHgBMpzq0aM1TS3 sg9pZ25IcbSE5F2mjYaUVtd9NeNEoo+SqTd/nsW+JIvqBJi6gsY3T9o8SY47coaoBwW+u/9dRn78 rXeR88BkTgKEEvR3ZUtDe4Oj8504NMaqDRLh75+RONrT82RGP5FVGc18FpKt8rO2Pkv6AJPaM1qr Bt80Ub9klAU/tMMDMqYJOoII++hok5/yNfj3KgtDiGohyirmtyplL5cqYV8mz1tEnuhZeamNBtG/ 1BFS4yD2qZvDh27LA+ZjwDe3gzzlJQAmkDV7X6ADsjlCBcoC3b+j3UaqxuVmdNoMw1GjtXIXw+Hh 919eGmTowASDNYo0dPWpRtwptsV+s8ytL1a/XevdqmvFP8LjbQOoL5a0AHpnnXizpXZQjLoI5joN ppgff5biRkjS4ul455NGmorUzCWwCvauU9rGCr8VYu5w2hWY9R/NvnqRu71H/QEB6wae7o76Su48 E6F8RQ6u7sdEBooAYk7NuJiLQW2BLV3W97lck8PnH2uFnJFbr541i7W2s/xI13yNgdYLWYVZcc/s +SXXNDtCyDTf9JwmV0eH8bT7B/N4RD5yH+MNuIUOVB7cn8vbXmXvWnPJDe8b7oxh0GLwQBTCbilL hJmVdGoX3zx5xJMxxDRLvHHz4mGHu5xR5Tj/sEKIGLX1GX172Kd6g9/HIHNAyFywpJANTWXqOjJG TsirVAlR9vTJZRC6cwM+rDSo4SGpP0ZGK5/e39BdmWdD/vbuOfOFFWqK00lW/dvTqTEhyNMWn09O aahl2ko99TliYaLhU6vb6oCsz+Es/KkrfG/AEdSgYYqrwZB/YctLMcC+7d3nOt0dRF/T/AzL57hw lj3gxIv9yQ32yQRn4R7StSANypHeDUFsBSJYfwlNLK2AYIf2CZ9E9OWoixWOENP2z7tY5ssrusHI 1dxQLvUamfngupWnYGfixTwdVcPTh9fuI0wAB0MXmUXOWRuIbjdBleAFx/l31UkTE05UncRXX+BW uu6Y78I8UTFUUZ13rHsyCNBBfiZfWdUVauTlYMqSgReIbgGqOXdsUTaQ8Nmy582E9LRzyg/TvDRa kldl0Asho6XBbOXL2Q3egS3HxE5/KFRuYA5oFA5qpS/BklyGaXnxgDaOvTr4d4pYhrLvfKmP9Uwg y5OgOYAECrdGzrV4LjCUQbGKSpK0jzvshCReWN+39EEZK8JhI3aeAdIP2pxWWNBkOjJK8XG1PhXK a2S2uQjtcbG1Eqjy+GPifngr1gkePpar08TVOEpozNXJ+lZHYgm6z2Yh+xw8RskbdN1QvIZoveiq 7MGKsqPtxg70ICASt3XWB0DhQ9tfwLdOz92gwgJCMC+11Lgw+1ay1Ac3GcoSHFIfcyNAGqlbqTQr ie2zFq4w03fT0RqIWPZ9vbEKJtjgZIw2Gtd3qE0t7qyO9WqYLa6ro8XibM8c6n79QON1dPQZBb57 PCzFQTBsxwTCFSgFDk7qgnPHl2l7uZM3raGihGDxZSfXBI6uTUWVlrAkiyuYbmW4HtiwxKKVU83t 5r/6f7ye1YnH32RE9cIiOZVdwRSJvO2Ac4eNrTTv6i/t25ztoisvs36ZS1zKcGYLLvJ+GJN6FQK+ PiF+EV4Z8NFXkpXqXhn6QnuleDFNXiPIZ57XpQNyM5BqI0K6bWWzLSanMb8jeruAB/AHZinGBwEp JlYKUqTe0CGMQgoDwxA9xLWJQPVC5vQ0fNsh5SvswIe4xK18m2SnNM/nUsFfw+dO8BG+49apCXcr UOal66/JOJNGzrcL9w76iaaFKPMOob3XZgknD/xKDyWAc+m56C+3HE4QWamUymIdHlhFDiLCSHjA jK5CBrIKPMbnzNBVlVQaLNScfFO07pG9sMQNz2PqT6OGb/auL6vjjCTMhx2aFwkG6phhIAXSQcW9 F0nouz8wfFm1rMaMFTDjjrz00s11M10c3ezTf2HC3Lpq/BgCmfVgOL7ufofvDEyQZuYshhDw6BbD pDoZafDGO2PYZcQVDterxpubfDKBkfDmrSqoGdfaSadHpSG1jSy+jjYY0FmaLL7pGlkChL3qcdot 6iD0cBcNpE07qYZPAQRsfH6g6EwS4IcV6ekIkPpCFXQa57s4HIpu+K/zG5DNj0RaIJDpkxbPx5dH hAmtlLmQchdl58KdVfdOx4XEbiO4LADuyxQrcjFEoRgUxngvMIScH/GzTk4MiaXbALtJuDdVvhjn OWvgHD0nM7lDk9g0m3+ZcIIsTSffqgkfEVHHSiy8fhtYhJ8tcnONgJJvn1qepXcNddHTBWWaiDWd 079LV5YEcs2v8BhoF/Q+wvv8cYmwP6kmmk14XKifQYEnyf0f+WnF8uMru1+7h0KVtcr2UPlT+jIf HjNlzbuwaR+2ydpdoM52rCOY4GrpAglpCdoL7rWKrFPIS5aNLulNPEwYPdIA0XUY7vr+UONrAXuC 1/QQE4JBqT/tVzTYcqKttbRclrjgGSev84+TznruikB6Zy8jOtkJBy1eYdPBHbzli6bdzlgQ+Zh7 EAcGY35YaHnRkQtcODEdf1wjrRlxaB6SE46/iprPu4XAbLgonHlQmvJX+Xbo7oDmSFTxSgvv+n7j kT/eJh9W0xRrZcQ7JSZbuOV6YyBsMCw92MDPg61vDB/ey9H/FZB/2tIJK09O0+Lkn3frnMAPfQh0 k+7ghqopkd3fkQv44n+CJJr5/q5ADJE2M9lw1zPpEKWjYHNm456RAFHaVDnujLqQXuuNNHJ62pNR vDrHdHbIkW38MrFmqnlFYdZ4uOxUtdbdVR3U1sMieaiWq5kS2n6R6vBXIVV1wR6AD6WyOZwd4vvs ZriH4j3tJbTCcFX/yd3edlDYMIH6pElOPhiAe5VqzrHiPVMxsw6/ytblsR28jjVJ+V1ZZx/ZBxzY yXzkpVJLplOJRVWVd0XvDY6cEwz5nbJIK+K/t1Kp6Q0nDeXGasqcbFcsWo1Z37/ABbhHSVUijXSM qv5pVyB6t+U7D4RvEF9betp4uzEbzXWwJHbFXcWyfIkUUeTJACqLdnSOaysM7kwqSdRSwYlkOHPF HaXZdvCUR++V3A+72L2zA7K2/wFfld5HWzCJpHJrVwdMWcXf78Q8/TBaE20Xh79XmHuAXeOAjzwg FsD1355n03wdJll+cenD7CHzKCrLToHVNgv2LO3yRJVDDMayddTPomuBAzTYXpIkPgzRqmAJRcmD NLXCnE23rc2cwBdd8z0bcQuKnMmHMV0P+am1WbKBF1EaMfjFZzS+Bh8nAdswS2WdteEgbCcxcmeS CP1Sdf43eaE6G+5yhpu7RN+fYTJwNRHDs2eoTDyLrgCI6I4KD6h3yws2nqcUYCymZpY0Zy3sSm4W vFFGfsiLvUVCj81i+OTHFmtHsd50tfWz00SPMWXq1NOBefgZ9e03jHIoeS5q543TkOKC0KP0ZNfV eQeni/8vO9m/4iVK1rjdw9grU8R32BrhBD6xtkby4XuMpd9BYW8J+w/zC8olGt+jooOWcS2hXrJb Rbou6YCTmEAiigk4HHjcWfMW13LXN5vvjcsz86JHpsU2FmAiLXx4HJqJBNKTJQG12xojUYPUgesR wkgmlkpKWFjiBOCeOxnG/0fpShoCIZIDT5+e0YoQ3wSeCXC5eFG/Ucs7oBBB9mMrW+N20PQW3TkU bD8uQ9qAF91tcp31ydVQf5q3ad/P4yrdeYJkLkznXAY691rY5G6Gq/xO3qyB9n2rlQU4YZ/pjNTl ONQAJDaOV7cShZflnSwv9Un3dLusGJ4u5G/lLklpIlH4oFocI93j7+b2Z79FncqHMEjPrs2g8qaD vm2Za7xvgNiwU0Ad7H0Fa7gVymHSk/Cmcb98Ch243sKVqTVjeA79vtrdj/lruJGuchqkEXBCx19Q xFwo1MmV+28jBnYxZIUxZ4eXJBMz7G0QwmLbv7PGuUOh3YkqPTKbvqQ2xxd/TmVsa0xm2DTF5MB/ dxVYQnB4Wqs1mg+XKXiYlcXWZfaopT7ErBG32r0EA+i+XfbEqzaqcj9YEDSvxg5ec5pWLmM/u5E3 7y1Bgwe6ABWzBrd7MMAqOOQXuniXm6DhBthG8BiwPP3P46vaqSUMh+zlit/gjDY+9Xf3AOtwZF8J mNjpr+2SKzI4UR6cIvo3VGTlaBhnfPh3cMRkS5guIXCLrPE2kiCpFic1eW3beYAwZPJfII/2Tijj inBmP2y+hDnU5BJYsKkxpV8Zjhl5uHqppzAFQl6sYYN38LnPLvboQMlbLiB6OEtV+mMYmoyAbuLF AC0H1yz1oZOCwgz/eVay3JVw3ZLjQ6PducG63YwuqyT4ZtWKCDuMA8i++GlDCmn/CBDw4QH8dc7J LNObI4XMhwzB6KHA93NhKHqKpiGcCCrUJTcjLxQPLlWKWYAViI8N8cEtrOdoH4AcAL5bH0crFQq+ n+sD+lkAo9Kbt6S03nBxcpSnB0QLRk/NYIId6pcUStkctPd22Mv9+kYYpwFEAUbqiLkyRKbHsjv3 CpJEh7ywUdUN7QvjyeYg1WxOPFeCXMSOaJ23BY8VGscSwCuuTKDL2Im4JX1zFYTe0YyQNcXe/l6C fMngbVtvyC5l97u/NFgDjOFxLNn+rerCTTGj3LFPHilbbcojSpM/kd/hzr/ApbeAX3auWfSORx93 iDzn67GMy+l51N2TdFdbYNqGATJ+3tEaQO++56pRbmuMZ8A2emI26Zrtl1LK9aoGnCa0dgMLhr/B cH4S5j3+plN664gJ+iSNarKDsCgNHgTZMohmH6c28lJTUlevwiRTSO4GGXDF4uajSE8GpfbfpD+I /2Sl0IsjhEXXbkeducvpSfVz6xDzLFixXwcDVdbKACx6/hn6u5LDQ4N7DX5VMbFvKLdTWjYyAdp1 CqX0qA4xMuy03h4v9o9iWa3peu6oYM5n0c6qutBMr9oz2mmLToYH1zkkzgjUzbs120dsMjKEyKa/ jP9HN03FzNNGfE1HXz2FcymFoVoRkQGmVOxrk3HiyJBDi5Ol5peoFF1zqBAVzI6sqrl/qPOmYcmK odVDXvLAo6G1bKeliSXOMJAqRtQoXz6XLUBbkE2f+SdRHL340vnv4RyOiVrfa8Zih6EUmKKuaZVN RFScEox8AX6BuUW0YiRoOQQEIVPPVhmTBf56aztdv0oomxVMEjuZJsY5Bm1kkZYYwyy9j2rOJc+n x+BBr7L+cjdtSfTxo+oB/GeRJzVdkIQo6q/nMFcaocF6Xb1EBxPghLdS6jBFI4zzfzyR79ptwJK+ 15hdu8wZaSVs7mBYC4bkO4oxmV9KvSaXVZvHFXYvB30aNAjeptEiuwAOaBbJDVFT9QW/bx9aTJ5h 2v/d2SK3YzDB/1egxBX8feaUyD68gpZ/WxdIJ00hdW1FyL8G8K2vIKy1AOuipSLcanAKa5XBHR1I 7378NGmvzO1LFoD00B3WOZqM8IVVdbURrmE9auEQuJR9bb2LF0pbs949I2jbKTfuCp6sm+bwBGrH 4pU/Afh2T7zm3udB8r1NQuD+x+MaPEO2JvoIiCZc/67pHsWdRs667vldqUscEfpgMX/LgZ4LT5oa uQEIFScfryoLUWnL6GO6/HJ4+d4j8VtfZDOM+HKwQTS5YfC76es1FQF+xs6xvcfonP18uRXz7Epf V+iESxFQe8/a/Oy+ooUiHYMxFwIYISmc2Zrx7aOdmuf45QhvInqwuxx9+jnsDdR4JHhiw1V4n/me JHYyFlV3dhsISdAS6wehHLjGaHb3pUMZFt/rdChngnGDeVI6CGb6fuu73emUsVF9Jr+ncbr9BlT8 AhjqjEKjl+uRI1LsSvWezCROuRYbvE9yeakXzLA1ZUeeC7VK2AK4oO9ZQ668sFb+9WyVhZOI4gTJ OD+foP2zX0DsVlAfVih7uMQ9MBXv6sarWqqwvoaNqVnV56EEh0vgb77PwVhoKz3mQ1Xgd3pU0i2V +3FcQzsZ2JfBylARcsT6PfDm4CnVLQdaO9l4tMrgeGqCeSj2qJ7oKuU5NFyCUkatD8tak26o4fOW FMpySIGTscqnzp4KTWEY3M55bJu/Kl4/uxK+oaY1jFzXvUb0PQJjGveJGEu36tkzpmkdsztmqb4Z 0ygXhQRXn4EKh1Ec5gPqPwL+xsK1BLVCg2GCLX4ijkN0Z799sDL9cExpy70Z/KEIsNJZb5bvhI9+ zkde2xfpriK9UKh8gSVlMI7I36nBJowuobyqkyhNxu680RsMCKxFA+rXxSfRj2LKJ/AlVe0vHdam pe40ype6lPTouZLveEmf8iDaWgYa7YRY0oCPGJb4DtkzTwu5AK819DvCoCmq0cw36h2rVec2SeEO +M+6nmUwM5/cHG5Dpq8tdmYLnxRjdolo3pr91hKtWx9jkKcDfEAXP/PP2tZnpGN94W8413PuPsdK U2IkT8h4Ubz2c1lMtd+9rjsvQGtQALQg/9Zf0QJaEVo56R7Nd88RS+nxQR9p9d1sx2bV7e8v3jSw yXwiZmj2Mhp+qOFZvyJI+b8NjPicub1rSz4mJS70wS5vs9d5JsYBa7PhXQcn1epiWcUZATnGmL/y T/sqwUcWVJL8WRpj/SRbhnvRo5hS3mhU/Q3xjvK7jHLF4FFzLOHnLHRTCPWA5bHB8wH4M91gllS6 GZEYhoDCNA1P7w9MNax8YiRZojpUpkJktnZgc2RK43DMHfJW03J0CI8n81jvFbSJaXHB4rEn/bQe 4NXU75fXAWLlwNi9Tx++pHmwTasOvqb40lUTKkZLq8+ANo9F97p5Oht2bruh9wc3pFv4OdWWltym sIlzTTBYho9+IiySH3HySFog9OyKRBHkUH0p+b4MTWyWIChanIJ0HSHLRYWnqXxbXZg7Xiq+Bqb+ 1tOlNQhKVplLdZTDUOYx5Jjb2BqjdNj0LueFsqiOJhkpCs3svaqcDdDaBFgfudHO4iBD7REzEMh+ kFQ+kgtBeuvAy8DyPGSvjpMtcIb/LV/VS7mKUWx29cQQw9L2bx9AHeh3hQ/ivVJGksLge7YkIdej TrGe5TPL58eIDyKnh13tk4JX5MLEsY1NpwCL7dDGqJWVbKXQ0efJPm4DQDuArJTBLZuBCkr4wOwz Pe+SJzlMg8QJNLzBi9HG6reMc9D3YijK6hqzvSInbGR4wRIlnhsaaAsOaTJE3K5sCubrOWznsQg8 DK9pMHO1jhGe6SzzavXc78GEEHCC1LdMqj0+2Q4904TIaDRs7baX5OQRYIeuLRJfZvdOh2kpq8nz u1NWAsLANFrhhPWczJuU8hClVTM2Z9iImBdIGVi4PJ2Cns7g+5cQbBqpXHUI8bnYOtgbwvgDFq4M LtIl8oDd36ZMiz4IKSr93Oz54h3LEJFTM5/nqTFqN6Q6Y9D+pS0o6L0k5CsCpEo3neizIPUpEGnU Y4bnLe9ran5j+1wjpK+sJiHyrWjwJDh7tyewHRNv4QChTm2Qrj78iRc+toyeXt01aCFzmhs518rB k0cKR+rAPhyWoBrSLOGADGS/vwZ4xCZsyGbER3ogzCWjs5NTk2FUIBxx7DlSy9yDLqwiCN+ighi2 sLh5fTYQ7eSIopCyF/KaNfgwBiFpjXHeg07K40cb2+kxLymP48mnRxVW5xe6Hqzl/GrnvLOwPEKF IPa9OVcIwF65lBfg8wPtNfoxfGnC/323zVmrPcjqdX6uVEkIoQtTbGmk/rcK5ADq9PBOk38OSvET niFXIoEpFPIc3ui9WWn6tz3NXGk5blOOGScS8izfYsAGte5jy54lfLnuA0YuqwavQOxvCTr6ToSD bdRXG1FVxE0HsHUJuRL/NX6ygRc8Fu5lvje87SAstc3tHwZE8jOOwk4F7wyONPfktfO76hF1RZFZ xNbhjigUzNxxKnza9IhwXxg+y/1vinBaNdiplDx7iww28oqlxI7qGDZueRJmRtZf0yLDy8NrOt2j N7+MctloeJ1eZxHR3IQUY7dQA86QLDRDxsG7EESFCEZzFoxBcGCeL4UqfppYZSN68jezljUFjAj7 zYA2XnB6QDVSQ825QoGzjmqEsXaiOhIY12BExxazcYB+wG1OOgjSPqQ4rv75Ly9M86sf6l/tDnaS 4EJCzpJQU03QKL7eKexT+t4/2BxhnVmknI2GhYs9bIp7HrtaGwItrhM3/WAr62TX9DuReuLapUxm pVYSeVXXMZ6nRznDN8spibGbhOLcaKcGFOZftndXl06qODWeuNpvfp8UN6tZmo4WGiHuyzpElnkW C9yUGr7tb+hKE2vwjqYHLqKK3MIL3oziPKduCFknD1iOkwd6bIOEpwQAYhrIbvD3X6y2OQG+DNCR Xb9E92Id0XMbvXoeS51I2AGieODiQVpZizzqiaSESlbvakt2PnkVWupdSCkdW5TMWEFTuwFYi7Te 3lATYtQD+1V62d28Ew6fuRHMU+Lc8mDOX7TAplK6t5Zf/KnKYzB8tt+KOgDeoD3l6nu3nRiI77Eq s3yNiiv4O6ZwAvmiOrPssYQuRCuAWcOeOHg/1vn7DW6Y5zhu5yLA3TEZNSW1pcNUhll5A2qesH6N A8YuIz72HQ7LbJlI4k0vFUqibI2QWcbdngayd6BLuLEhYrrjBECN46eYRmyKX3EqYwFs0HZ/SJWH u8WXSXQDhqKYYaO8lNfrp28KfRPOvYx93ImYY8DGyP4tUEoes8Fylp7iLLvS8a+5qMbvjGWKhmij nd6eNDGKjP2ESAt5/8eRsRfUk2KpMOgDJgmls9Ep8XqEn1105H25p6jkteN/0wZIZczTeTJb95N7 oVlSS2g9bWtHV+x9yH2W+1G+Xg73U581nEzARvYxNiYStpHdpyYAi0sbYRdv8gWnBiyauK1uPMpm E9l4EiqK6wYzSUJpEWODppleC6Kc/D8BHay3T8DcKFi9TdAD7LbrTgII+NNBeaSywmxotXiKmfBZ IVp+iRkFj+Rj7Fv+69Q14r3XIIK8rxaMQ8IBj5cStm1kUaO8xiCOVxPh2BEjtQhNEIxUEQYphQOe NuiSWNspr1ivBiGjj03eDpDLx9I+t5ayx0GAbHEY3gwC6JuWebbxcTi5hPQyV1fvOQ3Cuv32UmcP V7vj2xVRmogM186xYYNOzl+wh1B+MWqsHwB7AptLNwo12HBauIvkfHC9wH5IqOThs6UecajE8sDC Rmh7BvqlW/RzypTSqwiht6ZopXcd2l4lt7cPGUGpUGPKQsBiF/lRhm+Rc4FYtNK+Q/59z6L3yfrS eQS3Q+3XdoHt8ayY1EOalnPOtpRiS5RFSLP/LxaeVt6cYQwOgH9vzO1ZjlJBTPD2p7fzgUXOdt12 KcYM9y9DlaTHvANOXPqp/JefU909TroLZiSiwQVhO2Wm9NNU9+BwNjOxlDJ5OoHleGhVEUixnREn oi1IijbtEZGPklzDTJzZjxmlq2iHsvureA0RZZNgahsRgYqAbuelVz4YsEUEMsD+TA9FdYpG/3nF JvWJH7wQT0pSGXPmvvF0Y7dvrWcoHWFHBcwQzXsvn4EzJefrzeS4fC8InVoJjvbitC7wfTqOnnN9 QGY2KylGNAGIOcE8AeipaInq3zO7gfCOxiOhObnJwJ6MIvvUcwPBcDEPoaNNa1Qp/lTdjOqD5rk4 Dy3FLcRunk2ZDrC3FSHifVJep5N5Itn3NalStqHkNa0JfKQVHE8JFRRtow5f9PYWT2c6TBxMFtA3 p9lK0DF0WVtKN5SWQbRzYnQnn9TC/J28c4W6WpFApc/pWhRNJS1M1AyRXAxEJrl7H6YXj16DBfTK IIVtpdsdwBO08h8XofUyGEziipQUB+d8CswVVimjfY32ToLHY8Pgln1xvX4WqRuXET/9oZjjPLJw Ux5p84cLtVrQBrqXqFbfLNhvn5M7OpmNqarCFAeBzJSEZI0ylJzBVflVJq+WeQHKJudGBxVytAgf UVEVE6n1kWKov05uvf6p2YUZoz5bpKmL9JlDsPg0q+rhROU8VkA6csf0NUm3hx7DxnH+y0mgTGvx FQ8gJ8SripSZhiL2PZeoK8KD3YUc2VfvwrguSDmX1PS/xHj3rObPLsQXQnEmLpxCew1whvt47cMl AOMRexarQZc+WQecIxfe497ho5x18+GnntOxUEyLBbGZ9u+GveELgwsrdcUVA3IvgeS6m4aVFqC0 sMxgUCaSHaL9ZWlW8rUnoGi9VGIG9CADtiLKu66OqA+ljyw/fw2fRX1Wfc8= `protect end_protected
gpl-2.0
04ee1fee00f734d41a3d6e2bb915b9b0
0.935954
1.877388
false
false
false
false
amerryfellow/dlx
alu/adder/carrygenerator/tree.vhd
1
6,934
library ieee; library std; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use WORK.alu_types.all; use std.textio.all; -- Entity entity TREE is generic( N: integer := NSUMG; LOGN: integer := LOG(NSUMG) -- The LOG function is in the P4ADDER_constants file ); port( A: in std_logic_vector(N-1 downto 0); -- N bit input B: in std_logic_vector(N-1 downto 0); -- N bit input Cin: in std_logic; C: out std_logic_vector(N/4-1 downto 0) -- Generate a carry every fourth bit ); end TREE; -- Architectures architecture STRUCTURAL of TREE is -- Every internal signal is stored into a SignalVector. To try to increase the density of the array, -- the first part of the matrix is contiguous in the rows: The first N elements are the members of the -- first row, the following N/2 elements are of the second row, the following N/4 are of the third row, -- and so on. In order to increase the understandability and lower the complexity of the code, however, -- the signals related to the G/PG part of the tree are stored in a fixed-length fashion, where any -- hole ( short-circuit ) in the matrix is saved as a direct connection. -- -- The GETINDEX function handles the array, returning, given the element location ( row, column ), the -- corresponding index in the array. function GETINDEX(row : integer; col : integer) return integer is variable result : integer; begin if row <= 2 then -- report string'("case <= 3"); result := 2*N - 2 ** (LOGN + 1 - row ) + col; -- This returns the number of the column else -- in which takes the input for the next row(PG or G group). -- report string'("case > 3"); result := 7*N/4 + (row-3) * N/4 + col; end if; -- report integer'image(row) & string'(" - ") & integer'image(col) & string'(" => ") & integer'image(result); return result; end GETINDEX; component INIT_PG port( A: in std_logic; B: in std_logic; PG: out std_logic_vector(1 downto 0) -- PG(0) = propagate; PG(1) = generate; ); end component; component TREE_G -- Gi:j = Gi:k + Pi:k * Gk-1:j; port( PG: in std_logic_vector(1 downto 0);-- PG(1) = Gi:k ; PG(0) = Pi:k; GI: in std_logic; --GI = Gk-1:j; GO: out std_logic ); end component; component TREE_PG -- Gi:j = Gi:k + Pi:k * Gk-1:j; Pi:j=Pi:k *Pk-1:j port( PG0: in std_logic_vector(1 downto 0); -- PG0(0) = Pi:k // PG0(1) = Gi:k PG1: in std_logic_vector(1 downto 0); -- PG1(0) = Pk-1:j // PG1(1) = Gk-1:j PGO: out std_logic_vector(1 downto 0) -- PGO(0) = Gi:j // PGO(1) = Pi:j ); end component; -- IC is an array of PG signals, which in turn are a couple of signals ( one for the -- propagate bit ( index 0 ), and one for the generate one ( index 1 ). type SignalVector is array (GETINDEX(LOGN, N/4) downto 0) of std_logic_vector(1 downto 0); signal IC: SignalVector; signal propagate_cin: std_logic_vector(1 downto 0); begin -- INIT_PG -- The first row generates the p_i and g_i bits for all the a_i and -- b_i bits. Their outputs are connected to the first N signals in IC. GEN_INIT_PG: for col in 1 to N-1 generate INIT_PGX: INIT_PG port map(A => A(col), B => B(col), PG => IC(col)); end generate; INIT_Cin: INIT_PG port map(A(0),B(0),propagate_cin); CinPropagate: TREE_G port map(propagate_cin, Cin , IC(0)(1)); -- Main Tree -- Being this a radix-2 sparse tree, this stage aggregates every four PG into a single signal. -- It thus reduces the number of columns from N to N/4. ROW_GEN: for row in 1 to 2 generate COL_GEN: for col in 0 to N-1 generate -- Current element -> G(row, col) -- The first element is a TREE_G component. -- i.e row = 1 and col = 0 takes as input propagate, generate of a(0) b(0) -- generate of a(1),b(1) - output G1:0 COLUMN_0 : if col = 0 generate TREE_GX: TREE_G port map(IC(GETINDEX(row-1, 1)), IC(GETINDEX(row-1, 0))(1), IC(GETINDEX(row, col))(1)); end generate COLUMN_0; -- Elsewise it's a TREE_PG component. -- i.e row = 1 and col = 1 takes as input propagate,generate of a(3),b(3) generate -- of a(2),b(2) - output P3:2 G3:2. -- i.e row = 2 and col = 1 takes as input G1:0,P3:2 and G3:2 - output G3:0=carry4 -- as shown in the text figure(instead of starting from 1 for a and b we start from 0). COLUMN_N : if col > 0 and col < (N/(2**row)) generate TREE_PGX: TREE_PG port map(IC(GETINDEX(row-1, (2*col+1))), IC(GETINDEX(row-1, 2*col)), IC(GETINDEX(row, col))); end generate COLUMN_N; end generate; end generate; -- G/PG Network -- This represents the final stage of the tree, where the number of consecutive blocks increases, -- specifically in an exponential way ( 2^row ). This algorithm takes care of the proper generation -- of the blocks and the relative connections between its parts. -- -- The term ((col - ( col mod (2**row) ))/(2**row)) evaluates the group number for a column in a row, -- being a group the consecutive instantiation of components of the same type. This is useful to know -- because it allows us to know the type of the component to generate by only knowing its position ( -- row and column ) in the matrix/tree. Specifically: even groups are made of wires ( connection between -- vertically ( same column ) adjacent cells ), while odd groups are a TREE_G ( if the group number is -- 1 ) or a TREE_PG. RED_ROW: for row in 0 to (LOGN-3) generate RED_COL: for col in 0 to N/4-1 generate -- Group number is even ( X mod 2 = 0 ) -> Connection RED_BUF: if ((col - ( col mod (2**row) ))/(2**row)) mod 2 = 0 generate IC(GETINDEX(row+3, col)) <= IC(GETINDEX(row+3-1, col)); end generate RED_BUF; -- Group number is 1 ( X = 1 ) -> Generate RED_G: if((col - ( col mod (2**row) ))/(2**row)) = 1 generate RED_G_GX: TREE_G port map( IC(GETINDEX(row+3-1, col)), IC(GETINDEX(row+3-1, (2**row)-1))(1), IC(GETINDEX(row+3, col))(1) ); end generate RED_G; -- Group number is odd and different from 1 ( X mod 2 != 0 and X != 1) -> Propagate / Generate RED_PG: if ((col - ( col mod (2**row) ))/(2**row)) mod 2 /= 0 and ((col - ( col mod (2**row) ))/(2**row)) /= 1 generate RED_PG_GX: TREE_PG port map( IC(GETINDEX(row+3-1, col)), IC(GETINDEX(row+3-1, (col - col mod (2**row))-1)), IC(GETINDEX(row+3, col)) ); end generate RED_PG; end generate RED_COL; end generate RED_ROW; -- COUT -- The last row of the matrix/tree is made of TREE_G blocks, or connections leading to the corresponding -- TREE_G block. We can just attach its G bit ( index 1 ) to the output vector. COUT_GEN: for col in 0 to N/4-1 generate C(col) <= IC(GETINDEX(LOGN, col))(1); end generate COUT_GEN; end STRUCTURAL;
gpl-3.0
2530970d705061d434a2b8b905c560cb
0.626478
2.925738
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Shado_Reg_tb.vhd
2
3,308
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:19:44 04/12/2016 -- Design Name: -- Module Name: /home/tj/Desktop/UMD_RISC-16G5/ProjectLab2/HardwareTestPart2/Lab04/Shado_Reg_tb.vhd -- Project Name: Lab04 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Shadow_Reg -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Shado_Reg_tb IS END Shado_Reg_tb; ARCHITECTURE behavior OF Shado_Reg_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Shadow_Reg PORT( RAddr : IN std_logic_vector(1 downto 0); -- RBddr : IN std_logic_vector(1 downto 0); -- RWddr : IN std_logic_vector(1 downto 0); -- DATAIN : IN std_logic_vector(15 downto 0); CLK : IN std_logic; RST : IN std_logic; R : IN std_logic; W : IN std_logic; RAout : OUT std_logic_vector(15 downto 0)); -- RBout : OUT std_logic_vector(15 downto 0) -- ); END COMPONENT; --Inputs signal RAddr : std_logic_vector(1 downto 0) := (others => '0'); -- signal RBddr : std_logic_vector(1 downto 0) := (others => '0'); -- signal RWddr : std_logic_vector(1 downto 0) := (others => '0'); -- signal DATAIN : std_logic_vector(15 downto 0) := (others => '0'); signal CLK : std_logic := '0'; signal RST : std_logic := '1'; signal R : std_logic := '0'; signal W : std_logic := '0'; --Outputs signal RAout : std_logic_vector(15 downto 0); signal RBout : std_logic_vector(15 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Shadow_Reg PORT MAP ( RAddr => RAddr, -- RBddr => RBddr, -- RWddr => RWddr, -- DATAIN => DATAIN, CLK => CLK, R => R, W => W, RAout => RAout); -- RBout => RBout -- ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here assert (RAddr <= "00"); wait for clk_period*10; assert (RAddr <= "01"); wait for clk_period*10; assert (RAddr <= "10"); wait for clk_period*10; assert (RAddr <= "11"); wait for clk_period*10; wait; end process; END;
gpl-3.0
8faa2848ac8c6090a685e91de0f1aff5
0.571342
3.515409
false
true
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_viv_comp.vhd
6
8,897
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lC5BCxtOeT5qiIiMy1SW0rMZoewVYGFn4+jgwnYTj6o6cUaXyalyR/KQv+5hz8Lkh7LNFjYGCnJ8 3jjbkkn5BA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block URYesOipzaNj9gJuCzNdUVuvnmZeTKbDuFf/WdVmwl6UF8hPSNR/WI+c0mz4oW0oapDqdbJT6pf8 bHP2GPRjNwiJUAYxUE7NLjdl9meUeoreaDaOxQk3X9Gjx4wEdh08HEup9tqo/dI6W2z8dsBhp5+4 pmaBbazXGoO/Vo674FQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JD58xfalbvsHj7B0UT5ZlaVTdHhjlGqvJ/quRggMqtKmlwpxVuz/gk2HnIxieFY2Ojp+9SLwYpVp 4RawzDj3Cj9BiTzTnNgkH3A3KwH0vzig5XDZPeYL+RsSjloklaxS2aNQMOuTmmW8C62I3qSvis+4 XfOOVywikrsPDJsa9wQU32W2BaeY/TANQPU5w7bcOz++M5fVXwhVpZ2mmllgWpQXf7ahXDozDz9T 3G4P3UdskmaPoyKyPIZyhPSbKTfftYJSqTjHyCzU+zIYnbwURYKSJlX4CUa9aWNgJpM2Q7cViQZI S1SUk1IKyaq7rn2bWKM2MImKpNW5aHHQOz0Pag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LRVD20xiyzUdYX74VnTN+TE+nPuervm0njyJDYWHkVaDl5ofby3RYI/d+lytZvxJ9r1xB0s6FAGK htGeNv6EoBqVpQ2lt+Bev2+ZeuESde3qotqbiS6ouY+7UdJLqr5yCDXVT1QLcP+/tEVVm+FYPmU0 vOY3YF4DgqEwH+pRMAE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HaqSdxcs5wIotZbToRvMVSpN0ZqfpjYZL4BIbk8M5EYXoYNc37/DYjGo1Ito4x5cqdzzEVz8a8X8 OQwYgJxHvHnUjWpvOXtc2of1aq7tfhUpgT8hnm0fANaFeKV49tommKJ31jTr6iMR4liXgTFCNwzP MSMlWzgPpch/xOr9vnJjj5BmIhgleljpK8JOOkhYavjZ3GyQhC86U9qQxZ2o6cQKaOPBBdcGWpK8 kMkIYWB/7RI7u4pXvg6YTPR/SAz0aD7H8hBG6Y5XA24BjkEzaSi2cniQV+66Y8x0cEBnG2ZpVYFC ezScd9dRqs6gYleMjZ9fct4Ew6jsnqCt4wYJiw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4848) `protect data_block 67gqL1qcnV2esbYZr9rw0Fmgum6rUSyacYeZcf9e0ZUlA1Nxy4g4Bc653Sv4y695VnnBZLLYyEkI QWPtlJezYdB3r+GagVYxLqMMRm+qhn3ZAnqKMedOKoABHv6QVxCyeM2RHHbFc2dPenKGqrjOti3+ cyaHUVHIYvvBNWM5bTxdnt/4pFTIbfu/JgHWsBqpIut6dH82AKR8iY5BPYbK+C8iNmgzxD4+L7FT CHbhHmsbzEMpefbfaPAgXe5/O3ZAwAltSWrF9zNjs8QMRXWfKsYGLNQWQ0UDQ79QxYmDLD0o9Zvf Djs4+4RL4U0+K7/L7GGuscwpR/CmumomObIe+rwTe3PZzLx1roSLm0eHJ5C+RSN7gTQt0tHD3uWM p9WVwjtmoEBLEh9t+FD4TCm1lNIfnYZeTT+7m0SG+SSZhaWZUnSzuOgAv3uPRe2tce8f7BqZ90ke G/psVMBKxofhGZ5E0/m3gzD3Z+RMLjE0Ov+Yy3n0CPcXpBcYaLLBRM6LFpeoPrfv3mdWSJSNb7GR YWYr+NC2S7MnyvT95uZwB6rcTChdqQnuPN231iw62gzUUzxg+AqA8d/Qrm0WSLr6ny7yNF/+I9Im ubk/D9UNyumpInlgNMdFU5tGaqGpIGFYi2c1TX8UH+RzbR1/9x3SqbISDP74WV0s2TGlS4QOA2Vx OtppYxRonGskzwotDrWaFpexGc7c3PEuHQNQpomY1EUnz1HmAXGy4ignoVZHkOxDPefrpvaHAFQD hnUxo9WsIeHEh5rawObBpRyR+d5fDtf/RpQglAT8DUh4DnOdUDGNWitAxndFVdwXEfHN5ShXj6L9 xs3nHFkwwc6D/K1l2ofo4IzDKB3IdhMc8ouFIGWnF3quHdwoHJJ5qCiWrGMHklQtmJW4ehWE5xHc 2mfIV8OQELPiO4MQlUv9/eBQ5cUIOzhMmjnBjerlSXwlf9cVtcyc1JErN5O+3TlbdsHaFPdfEKgN ocpqw8XXxvT5sC3T1z3g8L/V3K8EJ66o+v1n6XjXMjh6J3w5N/DyjWaS3g+k2RpIh5R3De49ULBl ME1MeY8LzD5yLkVe3DK8FK5m1f5YRTzjZZZHOGFb9QF7lzPHhuz2wi3ZG5pUnrw4MzJ6F7jK1DLn 5YVU/V7p/Tvf/Lxjtz0Psf5t2MHXHBFIg/6wGJ4wIfBQQwhk8sVP32WxCxCFEGPNmx/sgH5jKhJV AeJQrCv7/t73YLJFvmKQfoPoyMu5PtDdnwTracl5rOKNldh/GZShQ+zni6ULQlwmVsqEux/EfMzO g1hN1fL4d78+Eb/BvojJYGmsumc/f7Ij53bTC4Twq+hMNErKR33SYKF8Mq4u55TOeASMVcLwikUz rD94DW+PCL6sp3maVJSxKCbFbZJ+NYj2tm4vf3sae6wccnMyH5JJYXEFb+haX9P1oHN3hWWmDBAO ftu8ur7o50UwrlnPSsCyKjKvbHm0H/ZgoxOyKMjWXfWtbmZvGHIfbPItreCfYKxOqIJkOjIaSa8I n74DyAmAFPyCzNA4pIm2GyW2pu9APuqHDL3uE3TMql+/UFehyNBZdaqkg8foRNgoMOpu00Kvf5nQ Dwfqx1iL4+hpduaCg6FqGstbTRmUwSqJ3J8eUGwtAUdQbZscXTWvR6VGdCBfnwgCE4gz3zDRLXYW eWiCUmK/hTHVjVEcrarXOD8er96qQIwyK9jFDFier5rXClIs3ZwkmwDTrM+M1I/9C3/Y2uhCY0LC TJ8+/zNkRqw6gWY5v2wu2CWsIVsnm1mqoxzvfAbK0AEkdmqFhgnw/EZGOznfbKdGjroY0LPdMEV3 /YELBzbodWuyRQjZGs6R2Fe92Ne6JU/VN4mRFUsm2d7mHWckdxQnVqM4feb2C2SyP/i6gRuzhsnx CUq+IRgPlwK8Rzj0pkVVvBZuX+d7uTKWYj6gjqD6cghOgGWH4sbIIk92hTLD62XGlrMG1foBkOGA 0y4nqjN/krSSRTr4YbHvl/G2vVBXPiUVui5BT9sGNO2d0JOvCG1DmBI6/jJDWRZjUjq9vP6qx8sj n0dQuDQHcXP9Kp7pJHmH6i+hzMqIwFq447ND+9b46Yv0+J5ZHiYq26goi6mpkjOX/9RbGP9JIIl2 j+GTrpii8zGOsmoVzZG+l7i1smcBKdGceDoHShWKWQ0PmfNhxQ4O61gIOogluKb+5rj4uZF2BUT+ /yDL8Npm7I/ZHBowsJ+NOWYCjgwTEABvXY1tvWRDjSE2733cFp/Sogxi2eLh08zJm6/W4pKn8IPA dCy/GlNAuirLd45OVmeeNTb/FB5hE2s8cIZlC3WCrnrgxDPR63HCoUgzPj4pDCk1DNEqHqPz8VfC Popyn+Uzd0jZrjVvuVBHCy9SWh628xo0cq5vlriQghkTgnPlTziC91x2nB2S2XdyAqAtp5ktl8dH zrkcoqnK5AYin7Po/cLx8rAvNoQc9Xa0JVGwFMvuo+02z9Nky+4uR2hNmDRfmr0bDTWf1pS6CaoJ 0VXs02OCvLpR9VPC2KzMdgmgOznYJbZIDdLwB5v8xqwz8r/eYbwJj3YAYYcE28qKBzxP0Fn+/3e0 c9c8jIx6EPr/aHqZ4KfyemsFjL/GUF5Yzk/VHSs4LtL3jnl1bUOx1etWXcif+TK0yYlgTeYRIx4j JGnKXJayhNlzwlRNyRG0+kcnCkBdXbhiH+rAwj3iwrDzI9BFuqkQCVOmUguyMslKjTQxIqqnZDts nNw2FFbbmlCSDnFIDTkwklcJ/ueFW3vMpmwFKaLlru0FFuZCuxSLN/d7XaU+RlKBVW4krO9qFafC xSBBQoCsELycGulvzU76KY+63WDHMzrAt9cMmfz+h2VxTagd45Pagi3ziUfwybMR3Uoa/bgKi87W OyRX/2a6o/8jDkS86ZhjrEXYRIquzeiMXlM7gn3kFgq9XRwjiGPOXq7Vk5LwPBPKxlMp2dDirp7o GA9w4/geUbJJtGrFMQNTRDU861X/y5y0YlDYQiwa2ic57kWRZyyXEMFrY+bYF0Qz0mxYKRpUiDHT QZkgvhxY4yEdUIVF4ajsZWG/B7ydouy04E64Rh/UdpSnYkCB8INbDfZ2k4C88KPe2moYoq/sN67u 1+gkA8rlLoaZJXHxncMK9xqCiIpwb4UAJEa8/0OAV2G1UAILYxQRwdvlUyqkxeuhIAAU0nmGESFW jjifucmlOgQUA8l3kSx9w/5gnXrx2SIHMXCy7yqRUTtr9C1lqM4qe3ayrYfEOYxfVoWiOQO1YZ7e 1FmCArON+3wuwiyN3c7xtlP2pWclE1JOoKoOZ1kYC0fnOYQN7lCaMPCkzlgary1pd9qDyeIrnYWG udXt1hyznyAZypaD5ghtuO/M5448GR8y5ftizaJSVXCU2iv8pa0cleo0MG5JxcOrHInFWGh6mYnn PiM6IwXd8vCBiMwXJ+KvzSiCH7deiR3p0t4pfU4KkS3xxYOJjdZcNTkNe8gNaSLEnltWD81K+Moy EhUjUVIfvJed8MBI13IUlI4LHszWCtlmW1dZlCpoYoE211YIPfkR0HEMjWYx1eJfsd0/3pVeW8BE X4DsJpWhR5WEr3ZrSpmMMY4LIaLuYyBhDZeVLUcrU0l19YfH0Zv/x0m8Ze/e9y1+80yImc2Gj0sy 1EL0z09FYPlFKhoPzFn89B467F1d2DcfLandC7/G16z6ZkQelcdx72JjxF95AFB31i2nT9dlvZRz 1vwVsnp56SfJX1FnKem4szFc/+G6tF6NtD6VJCtyZV+V7dzq66E/Ge3x7EHQkuFEKwJSA6p9sb36 ELkc2++qSvJj9Gh6QxZ7jY1HGnNwqCGsA6w7R8h7BURPGccLjTwnQ4SbZIAgKAYAN4nWXJ2LSjHc CIshcOXsnoxGyRQJBAqYwdrffsW8h6mFcGS3RkqGYtXytDB+GOBW0mlQWv0HYT/XY2Nq446kmcWp lHCTQcD/C3wqA4sfe9gKM2i//KG5Fg6QpbBfMOXjVDg6wsu8qJ2ea+j55+q2ObX+R+Yw5gyqTLKS 5gSxnZm//tfBiBaPa2i7Nsk7p2o5tyzpwePmj80P9I5i1mRUluly+dsXinWzGuNy281Ye8RBhgbE TCumNv725fQxOzXlCgJoJMhYUVE8iYVkak7/6T8hGTkjYOOwTmTYVufLU1Pa92HpVNO648nK3WnB 7/y8+6kfR1N3BOKKEIRBVTVtzEHnai082luLD/UOLZ9zhnG9ceY+AiWAPL8FZjtauv24rPNC6RKR sV4HK2ApUcrUyYwfS/KHuXhOpLqRPtI+dmHucD2gdoLXT1wlYxNIqbqbVJw7euNArf/Wy49KxwHk t1pedQ8dCezt+G8q5cYvOGwuoVPMz+PxcJKQm8E8w8RlF537H/7KGdNoJ3XFYsui3YTElSTs5yCs Ov1rjPWtBdKDvC5gGrijeuPqGloQdq9JvtJbkw44HTL9l6RUhVMq/Gh1iay+codRq21j1cYGk374 oEKr2BRFyantTC9pqWzq2lsgUlD3BZU60xXWxaN4NYHI/P2tJkZ38u8xMsA/maSxmPTTTJKFGN8T qoG52qxS9sEhrMCw6lZnuJOlo5Ldjzfgimuxzg/bx30iwRIZjxP1e5gxF5Yklx/IbyC+h4YKq8xX R6zDhJHUS1/vWGKV7N+5iwsGP6cslvdFFrNTr+1h5icWMTNzfQrDu3wgeRS8JRvQSinR9a9yy0Tl CB6sG8+BwTvmT3v85Gtqjk/cETmO7hG+7RzkUYV2Bt/3ZyXVw+xbm7oPrk16y3NHm8oCDqUijpKL RGYdEKqAbqseeYFARG+7Vqtb7cXXgOTHXmu3xaT9hzr8O9vGHD4ZMIp2DI1eJDjpeUsEFkhy913t +BtGntENGto3LkV6P+7UAjK6xbQdh8EIJDObbZ5vWG06XOUa80v3uP29t3i+BD7xoRpgo31YjFRH KGyS3K+KdzqFaMyvG6MKWlWQfeAdX4QzDUvZJPkFKF8VK0rCzlbHh+lhLrjZi7DqrixEN1roYeRJ 3Run2G0QrgD7U4q4mtIYyFTnk5fUMfpYvtNGSXMpPVDIwsl8sdtjc6dIS+4PW210dI2wwAZp/YWH qdmGl2JqJ+LG9lLvX7XDBx7SvHid5PC4zu2QnqrZYB3j0SwxpBIg01h3IjMoEWpAD41IEene7OfW BZd3cWErXIRkpwFGWoSaaPGheYaOYTJomJYluZpa5NW8YXG/egmZU1halccZVmHYJFRw8E5e/isq aZHNsnH6MbsgkFRjhqUBSCN4UkPSnexyKKzqJqcaXysXTrer/n4x/aEnN1Vtpr/yKaD4Va4wRVpx ExLvvRKkJrsbNZmkT1lvXXZ2CrEgRRBFTpQ4B9yMo2+K/+Q/uMdrf8/XzdEvovU7JhoUSxP1Tdmt FU80lDQNKx/uz0HxgSTKUa2/FPwXzca5qsAB09IaKGcqHE1bhCsXCWJgGWnBO2+L2zztZutQkJex bR8+w/hE1kYsbP6H5ZVIYkALdTYZjL53+6TN3EdSAbluJVqmvylAhE/tZCx+kFCrXcw5JMLQGD/q 8QMA7Ty0Ily6k5AQckqEPKGDC0+St68Wj0Yjg7VE0kMSGZrzRyuDII86ap7jjBU6WPLgi/Uk8t8E tcbhMla+fl3gCZclOi7hmzCti1QHAyItpsujfvIXRZRpxIk6OTXZuZezNHNBOet92Q0XCUvmFXLl K3dOpBwAaIfX/RogUFF6hckyDMbJvwt8ehMfJtgkksTphYw3LceyPd7SS5GjU+LVU2kn081LwUDp ESvamfqiOZg2h6TfTnjbUiwnRPMqf2PZpPkL4+gu//b8zyei+Al5UhLFsNDZAN9SoS0SA1D7Vpsi QnVb/ORY1xCs2ZCVe5HVDv+pzEDtPq6RJ/+4P7oAXyaMMj0cwyW5nZ/d++98Cp+ox5Rde5QwQXzs 6lH56aQlbZdpD1S5vmMrR9z+xRHJh9MkhjgVlmQycBAnpa/ksZ5NTLXQTLhy0sjTWnQWRpACqUT3 nBParuy2BOg+ot/1eNp4x25L6j0uzw0EQUoE9BjYrhor1v+XZkNjhVHhHicd9va7ybtLZfuKETVw hP0XR9N3qvy+lZUhoSRPRefS/t7LjC4OkK2J1ixw9tdggXpwuSc2gok6zxaIiGCXxqPJtDqq99PG vGylgHDfOcdE1N1ecp6cccey9Oeg4hgBY6h3XSoMivKYc8dVvKihYlRFr58aZ2qGVIWgurfaM1Bc q8wnlipUQSx+PzDZzq5Y/jSFtZMfLEpfvJQlJc8H1N98Ko1BAijd54myjZl7FvOsyHcEsDD2eryM vy1AiV8ckdFDWoGXIKuKCzZyqks17sMaMSeIsOI+mUDKrW6uDBuuMM3BGsH5u7VGJwaHm/7ex3aq cuportqa4eBynF0JbK1PCoacRT2OTXNfy0cmY8ra95YUGLNnmadiDZZoWgGtbyTvBJABK8YDddaP Nfke `protect end_protected
gpl-2.0
09700541be139ce4b57ffbbe35e94c14
0.918062
1.901068
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_hdl_pkg.vhd
12
10,453
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block q0JRSBI6K/erst4ec7E1gBkk/sWBoLMapXFfn+qmQF7kx1qpDSZ8VnrlcR+hMW8ziQC0Kupa0wcW nfmRHMd3cA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eooqCjb0IA78gqMw6qB1kV6DmvBfEGYJw+6IkPeKaXbNlhvCOpPNqk6EqIAF+yWOXbq09g+w/OH2 p3xrIyEvCEjtc4YaKXZDQQCQF+hgL5wOi34WFFLE18XPsOzJQvLmN5XcafupAsBnJ1sbC5eXYxdO sykJOcMKSYqe7yHKcIM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gxcn1XvhWV/wy5gu2OwtEJF2KTfIAFGSvvgZH0bIEWleYZilZnyuiWKrn+K0Tl3an1fzyEiUBZdU U3RISdexp9IWLgzy1CM3nXrX4B3+0IeXT6ilQBcY0UVkebxKUak+Y/V6Ux4s6nOhZuqPm4TRQpcs 3CuvH4/4FT8DUBkQjA5SvlRx+KxXgGeZFpRBbxXD5jcaIpBCIZl1jtKTXMXL/CFDr/tEdlLsQ2+q ZIGkgiNFywU35oWgZmpj947Pt5JKsGVNlf7qRkKpXptmUa03sLPUsCwTjKx0xgYIt30T4YLQKqdM VNAjd+McXBWjQAVzvQrw5LjbrEvI/nmU7Pedfw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block O0ZNqL/UKP82D4/Xmt1WR8Rknfsk+l0wFaq01Qo1Qa7BJuS4IsrkV8RAOp3i4qtiWvOKL/B08XYG CJXvbFguQPKAZBN/xFUKhDPmxBRZNqtjJfS4IMrq5CBmp9ue6SEMCeJoFbRiqQ3iT9nDtENsb1Sl 1i0ki3aW7xPBgURIkBQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VRxrxSeeLTidTIuLNKQufFOocP+TKsQWZYkUG7bpZtRcWH/9FuJ4VwolbWtONsJSbqrFxsDvjvkh hf4IASyHbwdXytTTHOEZAw64mQ30FiYPpbDzjGnkD6DTNvHXVvCZby30FTMoq1KeUsCg5I4WODZx D9eLXSFCBSwRE/LI6yWsZL+TSCkQzNn3MdjVyunsxom1s5kSp4pZ+AgAtY/cHk+qobuFHJUBMC0S +fJzj49HCo8pD3o4t8Ll4t3uME9OxUdoVVg38zv0hsT2cpZ3eDmaWNgszDL6EzSgxgI+d3ky77ke XGft3AOiWWKZGiS+7Cwq3JETr/4KlefHXaeqpA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6000) `protect data_block yrC2BEUWMVTvMVAZNWEy7I6FEnG3qvZF6Yy4Km8POtYzPJsql454mzxuuNL4Uww0ia8C41JSpzeL hbZdBA3oVjJ+Oks6OgFcEfUf/a+EglAf/Ktc5RVqupDXlZGeDVrLLT8GkrccCUNkpV2HJbA7p3DT cHjIp8fDlWZ+mOKVqUngHW6q5oK2wicylYvLO62tuf57wUG7aCvtkmSCz/zHwj743+S+X9gT+e4j eKZGvPWiIRRkplVmA9FCwNAixZjHCdO5VXk/l7TOyZx1vFh7JIzBE49BBPww27zf1yLDY0b1PYtJ A7Jf+01beVRoi3hGJuq4JmJtqGt2J6vHQ6uC6T5XrzvC8nENohwYIalOL/IvE5e8CMcwOKtbJRcw INCsvR9JYBOzQcou+OhkLt2L3ieDb6uM47Cz4G/AMc7Ay2aDhGM/Kngp6dDWjp2JbcpPHO+qW3AO l2P4tOpiv3WcgTI3yYW8y+Y8w8p9B9dnrtjpa3YZbkyOCw6FNUBzi+n6YyXT1pJKbs+7pFhwv7lI SoCTlVDf+BaaDHYjKYcqOwSXB5heeZbEfwGvLH8IcQC6nh4JaolhEHIOSeBP2E0bsYSuoSJsibJQ v41Z6fqcNxrgXC39nMo5u0taWpSNrXSMQeliVovpQPkjEUBIq/n10TfGjlKUs34+5VMHuNqv020t 5ceosZjxTSuzJvQmEnDUOqbzMLSJIgDCNezvaEQGDq/+ZsBxYZ6wKXE69Lslqyz+hN/FcKAsUdd7 AFkCVB7hF+mJ/49qXhFICpSy0rlevEmPdZkZ+Jf1IxmsxcXPtilqZYLvKqLAky/8l0XlllVMKk7m 4dRTMsZQvqbWbr3ksXCNDpYWmXB3FTgN+ibU7f6qEiNxo74qoPk9V/Ct4rW/+Ha/HUf7L6u2k1HO t6Tww16qyoF/+AMIqDKUqExYLPT4Fx0vbsfhvvl1ynineZccUu3UjakECkI8neXsRg99pbxse/I2 CU0tWQHfdGlvfo0bsFBv1AD2JE7WVhdOigdEWnEg9pfMGzTjeF1Q5epSdVIWy2ia49pbgDZyQWuv P38wJ3LQPp4Kl90e98uty7zCXMUp87B+XpjIoJ2kzgBCF1xod50g8sDoZ3wPj7DZdqx3d0qWpLeM cf6lOtLtxiZ9INd1vOkY5EyLPxGhBg8XZK+siCmwXNHINWJKFWjg0H9uvqs2mJHlJbU/2MM2fw13 MdQwV/BUFmME+HElVG/e8eh2JQdHf+i4Sh8lXrc3Pfhf61damdyEhskDYTksBEfggr2BUBJ4WwtK I24tyCZ4Ch8T9nR2didYztDSRmdH/ayMs+Uu0viQQx9nQhxeg2MkAgIFL8aPNEvgBUctWTllOxbE 8Jekg0EHXvpz0lEWIC0MWNvjn17zJPnDV5ujEfiDbsOE2LQOQx2YiWybYSHH6bsdM77pIlB7AkkJ nqiKlO68vNcyEZULRCWr29EmrpcdEG+rnrRVZ0olZgDVHDwnHDMK9Iht6lBnRRcx3JMwLIMxiXzR 7MFbDz/EPYIAF515xVQ2e5/T0I+HRbbkQ0xY/rQQIdn9XNDnBXElwU6/G4lNlH7yNoZXzuwCCSSo Gg7KmT/rLh9aWinnt4NLlC1rNfB0oErZby48EtvU5oRVH2/nTbNQwFXeW8OI86H6AZUsD1Vb7BoW nj0fQ9g5DD+9Sn8F8h/j94iF/Ezd+lB0qKilWxrISG3xavM7IgqfuyydHgREkYuNP49W0oUiMU9d p3+Ny3Ns8XTIbpJ7RtQ2IybcpcnAt+CqkftCYoMaVAbJTrBZOFxtpmGCl4J+JlH4+N+hGXLYvc3X sr1FW75VLwtcmfXiL0OnCS2G5fYgQqgwyb1FdhpRoX/p7oHU4K9pj+O3La3iKkDuLGYqTrIujyA9 zI0jlEpGLWaqKaeInTUrnnZmt5eCp+PZ7q0xwe7FHyIfl7izChzAMEs/jpjSs8k5Can/Cp5mzQbY yBvsnCLvOpFRsAcd7Qga3zOQ0k/wtiw/gEUdegpasduAGoLPoEzAOMkXnTnTRTuo171Pf00pVmyq UwhyaIOR0CT7oidJA1MF8RB3tDBC6HWBCSseJgolzCs3prwVkSA1cN68ODrNRMCBYF06/ATA7fdA giuUjdXZjIvfXyxBvEVU/eQI6ET0ty2bICN9VFGHlDdTJ3qkDjF3yxR699+IUVhSaOy63ZN1aapX DjhCf9SkxGqZ3GO/Y2MQr4A91WJbpePVm2xw7bsO6rIkbnJSMfNiU93AdG6VaViz0j8EdhSwSsyI LocE/ST4JxYzeUQkfLtvuu+V2l43XuiNXxBHTZG8razI0LakUYL2M58qQXcI7+DPBQbTrHTVmZFZ cjty4I4+J8KmO0TwzeZwgtukdZz/j5qOEBqZxptxSIZtcA1aWQWrJyaWceE7O2zn6gp8DHDk+BQw 70NrYtIRMyac4m9pqrsKyM46BMp6b3lUcUvVvEy2zgw+fQno7Zd+cejzZapL/J4ccpr2dIdJdXKi bkDR3G6cj6dk0UgkuWiNWM+k0d0rY7o4z0tEqVpHcsdq+dmaP6f3Wx6KaLpd50Y5kYbakib4GYT4 nps56qOoKocoL+9vuXxloixQkocURt7O1Z+vHtgqPTvmmx5G/FfHqQlMWwK7/kAv4yAEKFscaEtk HjGY8mxsKdkyZoutcB2BJjXzoFSp6jB+ztz7nyPsMxUOurjH1HcrsxzFQJnB98hfb48p8Vz76j/3 tz9BL91c/ynMt9fpyisn8/0AyUl9YCUsaLA0ifGRMr9D0KDbevKjiEJRLSkNdrjL9iJZriqCch9+ CLbqBWPLrBRj1P8cdB6uaTnwNBbjJEiHrJDEspX1SvGxdLT51Nd9cs42hXjB5KV2ZRL80zi1I2cB aFyEyGFjjQPuIp9Cp03YlJdOGN83AtiNqHWd7/nEvR8l++Vj/Taziat5ogXggx2TajVGwqa4SPNk IcXs48MFvf4pC28HR+z0PmZH/U0jldtomMb4rRFTJVfTapXsqSdB9XPW8sPheRU5E4EuFhGumqFI D1/YIC4gu6P9v2E1F48fUV72Lf1QbeqJAPB0fXUnmWhqGf+J1Vey//hGJSM/F92gbkkRSKuBwU+3 MPsmvsgZBgbEIw+0skP0sYRcFgU5UoHyuXOoCM3bsxvFfNsWPNHFeQT25NRGXoQSxeKZPfY7YCJs KWKKySChO5Vy6tzROCpxx4OgvuP5cZstbUpvhuB1rWhYxx7hGudJU4GvA7+Z76xtX9VDWifRP2Ic 9gjPkFT7Iy0ud4Lcx7oS3kbNCAOQgSpohrrL4wJGScGwtFegB4t+mv6UuOtG3nn3QBwfqShXRRrS xl3O9ADDo4aBgcwE1S53GoMkZQ90PMK4eUslZsiAaTgWLSZGVtmkZ4e80eoZVhfM7hWoJywIwRBl EplV6Ba9awS/u5PII4boMFwGL1/L50+7mUqbHnrs2DAAYMyiZHcnPOFGdqtzdy48/4O49oq2wqJW oA9GyvGImy+hTpBj4N9lKnrfn+Y1P3Fr5i41FrtEAayYCod7Ek9c7PqMODB60fWQ1OlSZCJrUztA m5Lm9si+R0+aP9VhArHXs4x87gqXi8f+sE4wa0wx++FwpzFTtOVNMjhRSLP9M/7nyI8qtwKSHXWy 5piG8RfohYGLnSsdxyXhedOii8c+aq6PLIjlkv69ExG4GWnAUQI2QsOFWzbuXY7X0Q5rvlUnL60U MW19cvtAxispgtGSF2Yjg847VHn5sZXhupV7m1qvoqWWaIji8pNn7vzwE3mJoFCqMGmIpx2mTxOn Qjg/goU1pIBK95Cybl2kz7/uLStuCdtGOlHX/QN58WG8Ykt/+Psz75HYqXnjqYSmlQ/MhdV4nT/v ARSeX1qU2/luLZIN5OKE6T+0URuB0ZjU0dIcKyc8bNlWpy9r/CSOc7ASS5tTHDJ/LhLIw7zII2UR iHNUl5iZucU/XZ+l+OSKdDHVV2gviSoKagdZH0hSSAiM4bRhZrbpgp0uBL1yb6+M7XQsFW/PIo6F JTuDBax3gKAbM8QnEaW8UXJV0d/oZ416kn1Q1zsxoS5AABRXj56cykn8zl8VVw/sfYyxF1IqCemP ZHZOdiB7ZbiWNV/WVacKKmzAcCc8583GvcweJSN+HlIq4FhhxmKru6eWhhYzor6q+/YuRRFjw1Nk s3jv5IRzWLdbVjIfLfTKPieNo51yu5ScwUZrZhQ2e0OghhytQINtBIQ/amhbskXl4STjc6D8UdiZ b3sbIlpADAoH9q6bqZhnqadjn2KTt5/oTnv7ijL5mv/ORmqV03l7shV2hwFAa/3s5d5XnuBffCQl NxJjXx7WRaetTkIQW267OQEY6kkf85qkTBMmRR9aCaRAbHGFuZN991z3uLlRVFmPyh6QUB7l1dil pqVtheK5SFONuqT0oIhR5//01O5hmAVjykjO971qcj8gFW+3TkD2YaHb2hZHzyBLAxahzaBvjs1c Pnhx1WIzJKGIkSvf/6cIqL3Pj9ZrBhyk1Br4gb1iwhriRpIlnHAb+qA6nWLO7GaSq27Q3dsLvs7o Ss2O0jURtHoS95ErUgL14m7aw8+yE1fmW3ewjkHoBi8O9vcSbjxH/NbwmTQ6qTZSNY8OPjI2SqXK 2cwgkWsnZLzDzfVR0FMEEnS3FrcCeYFIyIlMNHamdFogAJMwSUOyj5rEUi9MhUm7OlQGjcwUp9Vh DEAdGn+sOuveDZYIO93yudlG/cO/p46gLBc9rc1W7jEKBpQSQ9A7e7nTOJsxybY7+uL/p19BM1GD Y2TuwJ7g70vW0df03M66k4l17DhcfwIS0UNNuAxe8HcBoUyfABz/JlS5+Mr+oy4prctUddn2vK+g 0paJEBO6+sto2VPz4WCuMUtOy8123CGWtaqtn+FYKN3zBWkjnMW0JsrvhaHcFJDP8p15OeEQCgPe bIyb+Y+q24pRjKljvmcHZVKpNRgWu0pgqSWJ9HmJRPemOgMgOd0PoY1F//vX0wJ7PHINlO1iRSty o2uQ80er5+3/jHE+bWnYy6/YXvXDaW2AuBFXdDfVOMXJ7l9c6H6/mVY+Vxnqidm4qdXS45qpNIOC 52pdq2MkNmGFFBrbtWqblDYBFZOeljwraxD3U0qszs6tM81RA9JApY3IlZDV55rHY5nQjpcVZQNj +sYM1su2j05kh5G0P5ucps2VrRT9ii2oXBixZ8oby8SNXX3H10+pG/w+zSa9oI01GXLyNGNyOrwM YImUoYyRi4n+/4MHZR/kWG+V34AVCJ7gcPR19yFoMe0GMpIu5sgbfBihgzACQO+QVA/jNU1SUQh9 cQKjdYJsrFBkqIKFF4U2cR2C2C12VKoAdC79QM9vbWDOLlGn5N2r6o7qyyC3LyGcaCRVfGp4K+Rx GBDQO49RXIQ6F1U2XvCoyx3iAevSbhU71jPR33Sl0MKg8vBw+D7jpbmnAe09RvehN+4SVBgXzIqw w3fg/y2UDoYhu6PkWtWrp6YQgR9aEE/O+o5Sjyv6ysxpvMBj5RUD2OC9xvjIXgD4K7A3YdYaT9e9 kljCQ+nAIPpencxwWaXPtFi1pYm06kiDtOL5kH9u8dgZf7jpcV9ZqP0H5F9hi5w3jaxKSakhK8GG YAa7foKt9JaESNZvkgduUgVSu24TT+TX+VldhkKtKzDFeBbEWmn4CYEOLBpJK/1Bfh97g3SjgGiF faqv3sB0938eDRmqGTlF6n+xjt6K2a5GKaiVWXtQMPjQVvf9fhEoBTWWxECvu/pygMZKWf9CcxQO g6xi9dW3N3LbCl7icIyQu+OGQbmoPEUrF/ChMu9j+GFRREYGzOVDH2ZwPNLOoWUmn+THuSZUbPKK sxoQhtW9RS8DKMGzBBurFjWtDxj3LAh3d9aV05J9RA/RYrDFta9V7o0vyR9gT5kUYSMhVK5B7/11 WQs9k8UiWn4IY1PWhWtvUbTCG085xIFwP+qBeOwhgN/mGe2xk0nlxAReR908CJJNTHl7DL87lE94 uRsekvvtFZ15Rvg//dimNzsgqs9Bhwcxddh1ULLbzYUaw7vqSbUNpMU2OuDm/ZcxIAL+HrUzcvRS zhKnVATKvjMZ8DcZ6p1hZX9YPy09R9farqzD/8Jydcee8XXDs9NJzjyMxLiGgdouEKxO4IbkKVMw R8jTBcEPJszXOjy2m2oACzMBPWVagwsdnzboJV4aX7qRH0zMbtfT5LeBnfDz7Qa0nZMdmXSqHAkR HnRnM8dXxDEEqrT+hKAdgc2AAQPja705QtCVeFnZB0lmfIwrnnqlnmxrF6UiP3garDoQBa6s6LkP jSB9mAltrU1MtYrbryy1ot2tg7Civ2BD9KZ97ztDd1Xi3ho56mfS1yd5pFvry4+93Mz93a5PCwT5 lhHobCn/XpVLWon69UnVR0io92n6VkWkve47xMt3Sk/LtdohqwNCCmDiELOGVYS4QsRtjGOBiBnL RNJNjDDthmAtMO5HTbuV/DrTFy1Hmf00gz/zasdS0hW5Z3mqsVOqtVLhtQb5M+2q7gUJOQ9S84dC +uitO6RWiKKmH2zBbOlykheKU3uJN5rtF1jhFEtwtt73LnfB4hP3mwT48kRKXxLl2+ejHEZ4PZfh LSzb6gIDGR4XjMAetNS3WF+GvD+UXLmxU4gkCOAVReCbdNuYRl+P75KJ6sHc5PM1ypvwGH9fOG2m ZGIEj32jreZUgjVKu0+ZBJrvUTyCy085YEGoQNqcQVF+YT7ml5eA8WXQrC4dzMoPZvp/C3ZFikj3 Y3tzC0q9w/b7XzCY8uCNw9aIUPSSseAipLOH6iBjCzdEykhsbJ+JnLCSOSsnXFF3Q5T0+qyY1z+1 +Wv01oXj0/ZTAgzLSUkOWwWqlq2hYM3y5kd0x7aiE65kzZIOkYyrpgc2z7DmUQZkoFKpLGH4KOtJ sVwupjxA946lHXhtWw/G6WcLehvizGDX0FE8HD6JyNiDI8TfV47xbsRorlzn5ZKrY+D6HVrELj1O caTlKnTU9msdMaNDu0JbL+8b9mUE0dDxVJRF+ykYLQ9Off9RQi4+q1IByMIIb+cwvewo7ukr8Mj0 gg36cBnBNqjkVWq6fcMBOtcN7QUeuX+wzihV2GJVTippLbw2xqtEYzCb1iOBlL9M/WyzgFXrfbMA yMKBCelvnEtSV8SLg3cswMYSZ6e+G0BqP7bJ+Uh/b7tmSKYMOeusibirTxVnvTfdq4Sye1id0DYq Ejcw+gDXeRcp6SOieu+eeFVz0LeKF6g7utCBVUXy+xue0yREhiItAhHYLJDYVIgVDgCR0P+a8z2u fE7oWdIB3bBs8oqKsZYkYYbJRxlikyPpya2rT/UBdZnEurDqpEDf1H9Siz5UYI4PexMaFj+YMDvZ Cc1VRCtQxgn0tEpw1TZeDSSX7ruhkD33AqPxfmrZ1eqUMQUpriQK7sLMpfalRGQ2zE3YXEP+lX8V yUKkQKet5EKsfD9tthj7Z2r+KzEmtH+rEptNEIHnUViADZJ7EPCmjBSKUi9yW7amfPjiaOhIO86i wudNIxnF8GtJoTvKSSz+P+ZmNFpjDYiNf2sJdPulp1I7mruhADZBSG1epeNGcXgW3l7A2K7VDJEY J9LagGiXOcY4eCGKvBG7kcZA1+Ha9HTPFLRv2pAA2nuOYMpqtxnEjWulrxfANSKnm3ic5q+EFnNo nsWTKLBtAJcYh6Os0twaypfzqzXS/4Xko0NcWmxDIEzWpppvsfQtSzn25wZ+Vheoo+xZ8J3veAbu DJijRw889qKKXibarewQ9WKOyrsRJA2ibvIvjQOkE9iE7DgrcXMuVl3mgjYemr2TDpOzDJyHxssw 0XtgJ3jCuR3TxPEVCQa99qE597D8vkKzoPI5tZzzPJC+d1IOSvPmyC8a7o9j+Dbc71X50r9AycSS keUKTA1YsIqIQ7yHVOFhJUnbN3RyC+0iMqlECnUJsgMUWAcPJVaU28fuI9hLGDfuHZdNtgN/L67b K3U9hvNCaU6N/3LU976E `protect end_protected
gpl-2.0
f3276fddcebb7ee3403c03c675a007f3
0.924424
1.887505
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_special_detect.vhd
3
15,835
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gsGnVgTAhvZnHu72GW3kSYiiIrbw3R1+ssUYiI1Y4YG2pxEvl7u3dRZl6JERKvc24QUd+ZgW7j9T b+YrPciVww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hiLTKUdLi37jbNPvHFAJr4pm5/EmCvi9Pb7jDSMXDgrlfQffDhnaHVmorIweVtaeHfUND8M3kCGZ mX7yNQzOM7qDnFGdYKFFckZivT84yZHglJhX9f5e7FYa/DgIMBGMsoHsyuVVO6GP5g7i/PEN4zZ7 f6GfIWpc2kOLPSAzLtg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qop9fu4IR4cJGCKz+cNjS8dZ9MrU8IfA7PWhD6vKMc+Mpk8rY9hLH6QlpG7fS1XQ7vxfzXrvL18l 23hxILO2hV6iRZFfn0WSw0ZZAx32a5zyVS/Gbq2MJAcVFgAvrU3Hn04VNOspmoV4UeJ2jh3n6nP4 7aqjECww+TFy+LwS6LDBHlmryzUq8+lEGq1nLjWQYb14GMzOd6jT60XOrcrymW3mVmaSuN9GaBG5 xl7aQYLss86K3v08bilfSAcD0rI+ZFLnkptzlIwSW0sS7X9bQuIS76CRRtIuUHNaPxN5lspXwinU QCdupFJQ7zX6CkrjR4/Nr4mDVgwhKh4FcHCsow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aYUsD0wG+4B25T/8IbZ4ptcAJoQ3NiIFe5UbNmeh75IGxRQpln1+qD0nwLZxPE/zarFTRd9WiZcc wDK/QmedI1yK+cy620qndIdTbPrR9XVXPTW5obZ4U9tNfpZK7cjiGo2zOIKJc+X+y/MhlelNsNun GJVuoB1BXZVKLhdlGHw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block U0lRRhVyWZVGvepVWeSezSk+TFXhqnAB5ZwJjtQPOg6RGL8SbSFuPFHTt8XUbL8ubDzZIn8Pnmnn 9C5s57Uh1YPM2bDl9iYi8YTCRbztvDEFqolCpAol8Pu8JyEkgtccu9SgEYRoINGr58yEV0ay63Lk AsUjjWxzi44tlLMVK/LJreXy0P5FSzQ5EIZ4I4Hq55iIN3DvQw57+WwZthMIws1oi6OprWTNPsIL FGoz8fqjN+CVI/4dVltQX1dbS3DztUGRsJhhepFy7RyZSt0nY1bK12WOBtrr291QoSuZs5Tbgy6K A4V+ZCSZUdBofocFZXN2eNLDk8QdEyfvMcaZHg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9984) `protect data_block Suny8zEjmoyLnG9GeVOtkUeqwdCz6XSWTfqfNT/8l+aSZEwJtrnokua8nlAdZV6G9egKhYFnqx4A YQAgmG3UdLfWq7pfx7YN9lJZ5l7KGpAzT/TJk0EQjHNrLTiL9afeZJ685g6yxcVuOArkDv20Q79U QfHKQRC6QVbH6U9scYjjp21rli/neLpj5sSqTd0crqZ/LL60huKMVV4bLOJs1ghDZjf3AdPPmY4b ti9WWIWFl/QaHyHYd4wxBpQ8PUvtpxmjPKDfbVFwFu5mfijTOcdNEx3fe8ZLwwUpUHbtl6gM8msq XV0COfCkFgrhf+tcZhCZwOAiJa+guamgTNesUVF7Wmi9c9g1R+3RzT2BRFbV2n+zTlI3zu0KnMN9 IvVpfxKgzS9Rejvyjxhx/MH7Ts9AlEjQc1BTuFfbX3cjp20yN+0Cdt0mkEKCqUoqRoqNirCHM+4r 2XFA+RLQ/oo6IGVkvox3B9osYfHS/x1wIi8c5I0uptpYGtCZw8V22opn6IOKBlX6dsuAz1noPcsk lQgs99u+iRMMIk51XeFRDyz990frlFuZDos5ENk9vOFffmGY4RYM9JjpzWn07j9d68zQsAyleiCY iolNZ/u+ULt01g2cOgFSxfP8zRZBqgfzvWnk+eDnvzfZHAVg8nz5N+9oeW07ENEYLkffi9100buv WfuF2KMrkZUWuHDv58GXEd/b8Pu8wj8SsZxqf2UJuXo6rPVzE+sGK1efyQOCUxgBPwjOaK9giIQz ydCSIOwo/3DG6A1R2laEoezAnzf1rm/xzAfcyJqzX4G7WJ1B7qig6oaO4yftyHUSpN5mC0PgbJ7x 70lR0d7niHZAUX3za0vKNH78i90bF4B6h18T0WRaxkg3zExEI7GlJC35760T7/EXLwS9dCqZa7+l PhBuY5tDq/C0GlS7XGOah4F35sseY/fvEZSerIx/gJnuG4NESPQLLQngUikhU3vjV4VhfcZwsPdz HukSS1KPKKUZPFL5352q6s5BeqFgwPJ+4zUc+tdvbY2cszgEiMfbd2RMjIiMP04X7nCGevHniXbw XcR6cCCYKg8L1wr6C25HPn2sYj8T4oA8cTjq6DqRBu7Ldm44qK0GkT2zD+ch9kMBqswBfsJ6NKyc lGd1KUGgsSiDS/8y1gjFhfQNHhFnetSdRhBOV1hrLQnYhZV2BphASoSXAD4HYwSMNgHpV7z2E9QL U57B9ERSxeJJ525jkxoRMZiPc5c1Riuo4RFBmYkIswErl0BIG8RRqq5eRcH+bUjjJHusqvxoPDlV Tb6oyPtTpu1ira/wMufQ2plAEtO9sMTo61Hmx+i1KYSU2anDSl9NyqPv1mrIBKIyoHP4QbsrVaeK o2uyzrDcJKUK0R44fEtGtYtJnOhpCapkFzmx73ei/eHtb74TWaSOarzA5pQfu+u2RFlnKawHBg8Y EsCWrN7u5RtNyeqKAPTF5eSCSjV2HpQsG27gKNvOY5SWjD1qIII2O2JqDl1y2ddeWvMNxWAZ+xZH kOkGPJRM+zdr8ystHvqROFCuJYb0f+LHMCH2hT3JyHVVPdGqhvTd+z+LE4c9a62tkb+DlwsjL/n8 EJnlzcZPwDpeqCY8j3rd0Vt/yZS8bM0uNMkZ6BM2vjKZwaJKUX7ObKugZ6GJeTmyfmSgmhzfhR6X npYH1c6bSwW6TxF3wsb8hpfpA9jCsMwSB9YRiYIPU9qm8AXV6mQQ4h7kLId7OLcj2EqeXDzIc/wz NeLOK0NfWV3V1vEEFNa6eWbwpMBRTQi3EYoJcX7oD6+3zis5NxPUBfF//mhxZ3DebgD4QoshyznD /9UQwQg3vc63xqXMtOHPrCQHTETbL2qsQ9EGph0q7ht2o1C9unNm10VCyMTo1vOGaw3agtOcIUHa ijv/7fStxylOtM/C/V1q6h1XTG4PZCVbxOAx4+qyX3rWWGr1gJwq++2PH8uo3HeWJpYsY3V03sd2 OGDORAtaSKYYm7u8TWTYu12DBx2PpjLGLgghtpN7oHLhk+znSoprJJ3aRbNx97mIMoKVk+cKOu+V yuB8faNqagr2zAXFjFgDkqQOK+sPZQG9pYwLFE8h78adr1VHzlaW28svJVEoZz9jy2QPKodhWIkL Ra9Ib7gFTKChizbq1t79YVSyOMMQo4vGJc+4YXz5knTvoxOfLuuoGODHGcEjKlAMMvJmZwnbyiaC EMdFDfi8a3YF+UqLKn3DTvqrD2E30eq8vyMElVsubYu2lbwWyTQ7HfMD5fpWJqpDROTe/Uft18Uy pUW3/nMPUVZJp/YwmvZ+s4IMX4ykGjBx2GFEJj3+osqHx4dx7Y3DSUQSc4hHsO9Qb2u8czE76kIH dIEnsQ2cPIYUkOyi0goWveM73GPtybJ/jFUpnjl3jMolm1fwTAPQlii4SJBKVJzcPS3I6zy0EUk8 0e+qaaqKBk6EHoC7ZSvrX7tPRbdYG73KxuUHRxIj2QPh6zlS+lFcjHpVz5YIfpMBJjNS3TkVCGzm gxyOM8XivvKEh86am/8hvEnLesnwnsbNgc7QmbQZ0RYfeeLGlsxlAIgKvaOAxojYAoCy3tUhnzf7 lUQuOn/r+WdS/wgK3jyJ2pn4XJx5RTWVwwuqrfnqRh6uJS5HhK/h//56nnw90AKJ0YPE+iip4B2v teIC9B34/GlDSziGin009tQqgIcsKv2EEdtkgrp2Z/XNMBThjz6O0pMRdgDqR/X+cau8Yr7KoNCz dsInNZfcjKGN6ap3DAGVLZoMSf4ThMZxPCUXSVHcR/enkTs3M6Y33q1Q4qf1gGa85YpywvsWMBCq X9D8yZOLKtyRPlKQTG/MgRXQrnRJTLDyLo9RKA5NRlToXn7wTzp+FLNEMzOTYid4jfZTmonG++/v HvxFLKl/lkmx2yTbhcFa7dn62QqPthHUc7SMFPdOUtyWSt4TrV48R19L1p/TqPoJNhsPGcGbBcJ+ duMCyr/RxzsnTQBlrO/fM5FyHxhg3VmDwQ8GuQO7el51TRr6xu0fc1uUD3Zo9xlxy3OV1fGbKhFn CGq1BJTWquPmLRfufshoAzs/Ns4RyB5uItpou9oHzbzjCAX4z/fX+o5e1PHE2mGVI5iI/Vz5/k7H 6sO3LyQXAkRopQJpO9LnaHjDvXIkyWnKsh0Q3pcwVd5SICGuovkMUUrEvPhiqjM0S59CUiayposR ekTjAGw4yDglq0xKBi8wS3bubdfQuuK6DWxixVprYHEZI5yUREfMIPyo+J4DCTrYLKkMB8oo+Szf OsX+SxgHoCEK8h8HH6ek14mvFvIu8ox7wMdhtLnJYDXronS25dXqY+lWy9RKEYlQMG0n9YiTt0J6 a+DAFgMArEbOePrEI4GmkKXxk8NyYOjqThCFPYV4O+HZSYlbwKbOzwxAxYm6V+xOlL/wlRNo2Lc9 r1gCaMFKqdPr2QnnsD5mWu/SD4izReBfH5SVNpi6mLkzob8BBbs/Bw+GQSinxq6OcQnqr/s/tSB6 yT/TRnod1GgXLN2o4p5AHGXFjGU9X3b/z9J44iWN2N/pHAdEYIN35vllVzDB+ZdlFTYw66EmOBIC q8OXRuCtiCsmSpgcxpHjWo/n1C0Ld6Utp3oTctgpoj4xPTYSidB2SLfrnbbZU9zssGfSqB68CuYq MohJtF7JwRFDGh8bRSovTrz9wMvQnSWsc/BAs0cjjc3waIP9jHT0W+kniBRpI0KmNy5JXYbDf98j x3d1C3JsRhobmTw+/lMcOno3JMaDYyRweC5RhtKuA5nFZpUenJLCIXvY/szpHRhuX66L3vbe19+g Yle/OS4AAPCl0Xy6T2DLxIUrI2gJsigTWafJY31o916J4lvRyHz/r3RXRJTkXAeJECizuzD1gf2O QmEti6FeaUs9O+cs7tma0570G0PKf5veoyTUo6ebHvS7e+gvisE6577MjDkW+1QZG6lxX89jS/G4 bGl4SQy6apULmU+WJB8REeplm6vPdE0oWa5NCeapMNl2FX58R6eK/k0rrAlFV/uA47KtbAvTU/fq 8gN+DT+KrUvrTGFXobzxFOdwyW5eNLc9kzDzh1lLNSyXCrvDWTg0+AL2hWPj13C1Ku+qTe+UnSXk ZF3v8gh2q7l7J1uGr1rMYFtRFMIPUUGnOh3mOvcPA3rqi0LeelyWYpekfUpsXzHUcI10dI1a58rQ uzB/vd01e7jPENVAmqdM0GvkBrTeNCVP/gHcSFtN4hkNasvVtLs0aA7kuXrZRTqpLByhs0bgrbTO 180IXJl8ouBx3d2Cm1srCmUVWUA7c/QbQsl7LdyQvxoV80iz5ihyHPoB1RCK6VaAAeg7oVwpKDJ0 JHrlIhE2+r9llU/Fzy1TM8DBVBrP+sk1358JbOe5LY6wdfI3x4lKDzfDu3KNGdgur368iDCYZzHz eeiK9lyX+dGOcuWIbi6XUbGl3m+wNpL+ZNltSmkZasRrwzpKDIB7I4wQhDfM76SkGFm3Yom332PW 7U950myKt6r7HCIqrfCZWEV7kc5NOtrACdmI7YdP9aZoXWG5CYvtOnJkAB/cZGdXRsg49cv5vP3S KFXEOr4cwB/UBo0i4n4/bCFsuP0IluqcUlJokUWsYThkFkO5NHjAvVByPtIbtILS39ufc3f+s6gS /wFMpf/EqP5yHh6vaTt9Ac2Yij/CcIJOtPVt93rtuI0hLgwB2VxMYDB97nweDZiAOH5aWTKbRyj9 pJtbHdYkikfJ0yLsdheCkXLVvUwts1Omd+k2vqPdGLNtO18YQU55kBjJAEkMbK2o8WComGmJzZpU eSuoHd+e4HSyNBlqHZsbLe7ZDp4r2YgC7dBCMMIeNJTbWbsvNy7bNd2JIKyBi9e1HEiNGub+0HAE QN1bXr8RHSDBsltp3jZDeWHcpgTUacumGQn9wdCnOd6cNRC2+93+v3quIGnOsevvqwXSdMyNc92y IhG2dAYxR9bwt/LP7NkIMrwOLNcTg7/ReR0ey8CVmAG3eGbWjij9KHRq2F2wpVvugI8ljtRCEwTI dohBwg6t5LB+7T5NpKnRewsWBdCMWS+ChevrBBd5ETbV8hfxRIdOs9iDI9rwHCd/odE26atGjQGC gvxti2AOJfNt6qPBKOpKE7O0n+rmj4wPznnSxq6kK0OzhpyWn/zGAVReqVXjkDL6V7fOCTKBWjCU H9NiofhP9QvuPDBExXjhF+2RsRREE0d5wU4xa0zt5Sjl1lFfz5P3VCSW8Jm6i+HqF1RxSs6FMDgD mG6O7z9HFjyIL0bJCacJ0WLjgEXp9+a0+aT7SWDa/eI49jJ1eHLatHi46UBWENMiReTic1Y/qLEi DCx5bCtWqvJBJuvhNrOk3xwOQjW/EtnK09hYzlQNyV3wERvIoxfxegLAqKEDJ3Q0ywM030OlEbH5 aCpmla2SNlHBxA+ImhUlZ6ADkSIKeO8ZBt4X9mK95yFmmGHLgkAMQEUir6snaEnE1KEvQpSzhuLI azom0M7V2P8LZi8enQ0S3OgUzVEUyGwndLbpduRoxhtRfZFdRk3VEPAPcnjMTJlfaPs+orP9xurq w7TNsMyTiTKr3s4rzPRiBp5Tsl5496UFYCxSfD1aPKpxv53KusgHnCLPuad1q60Sbbzmve6bzXsk bbVCLdk1Q6dpbJfYYpCFPyiTiym51up2Uphdgwm4gQJGYGRJzr5/cnuMm+MJcEFgJPGnc2p4DEJ5 hjBhpQB2eS+Qnvtu+5LD9tKiEX9rsyCkb9QmqVAbbF8h9Cw0m4xmGQgsqD2u2yGLT0zcw8sBH6pc ZMPvf/XxHwnltvRCEJlRPH1DhWGMWmCIAMVwgQinwRegwbkD0Q+gLeojaBTU9mMEm6v+5tAlpRPx hwh0S/T+axu4BsElO6LnetIprECPqp3bB1hjH3S+PuPBND6V8MO6FN1vxXreTUF3eT0/lnQ0QjMH ZMgV5dUlgRZD+Qq49/DjjgeeyWTfoezH56lI3C6EWOGV634nySi+kF0rqcgQ6MP5uzOLlBWXoJ7O uPZuVPnyZV6t6jBTw/uwn5DSZOh2J3RmEPjaPPrrRs5l47d4Vo/3YwhdxKbV827HHxPd18fmjy3Y Ohttw8JRUgqKaS0CFTPbhc269TrxwLS4zZ6d+VLcC21Q7KPA8pOQA9wKWnpo/j8ABhzDyu6B3dnY uoReN+KoS8ec+yWNNYTGhPH5y9x2hItwACtx789HRLy6Rxf3WlAW99CK9KsiGl/aThvj4ofDUmzh rmOyh2STzuI9e6EKGhe0jXKGItCgc1mRg2bkqViKt/x939msZvNVuctWZJY1ScDk4BSMDOb4yNc3 KUCKMem6CaRn5WsG03m9cJuA0KLI/NZVKuvSZ9VPktl0/C11d98TS07IcodXb9bnzSU29zpfDpBo u8t9GSsdu7SzU7ldo9dN+ekDq2tAlyOIvOTN0DEy7brloOxr6432fVst1csHhPWEKW1U63gzA6lR fIif5cpVZvVCe1tYXCjoApLy4kGZ37H5QjyUnj4+Hp3HJp/sUoCV7qT6sMGtpmCi2hOSSOO33FK6 /gK6YTsBSWU6b2v1fTQlVt+774uZrxlQbtR9TbzFEKTqz5GXg9KkE2FVTTtCIMZp3CBMi2T8W20C /v2rGw1Z9TlFfdekMK2L8YwjZhdIZM0y53UglnAuLqxW8hneFMOBHhMFaUTqROvKyZc9PupZrWZu sVqmCar7X4ihvAA9/aCe9G0NvpJ4vfGm16Cuf1JBjXWNpOoN3N/gy474isC+fFFTwZU33EfMlfMq BK9fyvxolBm/hv123wHMWVNGuCIKMzcZFXNDRVE2ExLbwM/LIYOWNx42akMYpT6iPUuiy+yMKyL8 Mc8yiSUoyILxyl+jGz6qSq01wASRFMKq/zsSKfLCTmMjQK0v3xEhIG3aJBszkNPVztDmfDPHxIOA PxZ0ou50LC1wAcN0XYxHA8B4GipFCpJVJmgr/iOWrOnoDhhA995sBqi/v9puNXeN7fOT0aZblt63 tdysTdtuaycxHxifDpLKUXxbzCwNrsK8h2insw6/MJOngOofTTIUYgvanCHsNYumjLlII6o8/jTC iPiqa3BjH0aXwZT8f0+DQ41mScvaxCqnwBGH1i2LcodBCkJyWm/NE/btDmZpYFe+YiViunjlEl2m 2LXyjM/2kgRPf5zQRtSmAG9r9XQ/on+FqLhD5GDrD6eWvnjL9VQG19a0JUAxVu8KJzoXgLzNlVMI yw3FZAr5zTeE7ctW6RNRoBWIctLo0FNKqpKbIWacPMHeVJMnI5ZjKGwNcNxa7/i27IP+bwuvQSlZ DOTCGncd9HCiUloCVJRTcbjoFIMpun3aTSPxbziO2ydhcf6f5HJ5uuqWltEw+FMVeH0mX2ogizYz wtZQCcI/Xt7lN+eHcH/yZG7RXpJEpr2RfVV7HPR+0tB6BIsbBjrbTmfzjenUT3C6BbhjdrLjxNdJ VP6PBOXupx9lyu2iYXrxkULCR+HGoxp/XPBbmDci4COQmZX8fKRT+bCU+Z0BeQc1B+2lqVZ7V2Yd ymucriCc7gyB+LcHhGb0NWaxQSTC5/qv2bW2Y0iOLYCD3XNX0aYoiVLZvLEpll1h4IMEZosGe+C6 Gt6dHTf7XQtem2ffzksFxcRfnsU5ftfg8wy3jLx2yS5vOqVcRqI7tbyKotvx4SNLXlswsQUWKQP7 o/ujKl97SSfM6IdIK2wUJ4OCGXyxo0Gpa1XpiNZoe7pr7O91v9tv64o8/vSR8701nj/6rX7fld+k l4zRzXfhnanWhWMVl/Tw687qMiKma92YbiAeO9Mlj/pcmXY4wnej+ERcuL16awTfv7KQF3a9qaXP 509NS8uKdSSrdN4pM3YlG1em5KBM+/Lw1Ujku4+o89kxzLUb64YIZX7ibX9Hu73E3jfOlSSr6+lp PjqMxJIgrTjIFwTzr66n1m0A93laQ9nceVi9b3rTgtkVQTK+3ZRDg3hddgEE9Jk9mtNyKRVm3oCR fpy2bDRxrJeH27FzvcaXCXoUoZIWVgY/NBSd0eDgd0tRiG7oPPOuBBV2dsgHgb/ulDzWlULlQWe3 01z+beEdKPjwyvokLiwWrdn+j0rqgbY12qtEWpAcirhOx3b9DgqMjOkxoG8MO0tImfqWtX3adzP6 ZoOxPAzAFbnnSVDFcHiS2ONRKYwQhJL+qCdNV30gh1vtCv9t8mw9HCZ2pZp/j0Du8ydPg6000JyB 2SqTtee4kFuliBBRYxuiwWAFOtTagtYXJpOMXEg2/7ro7ZYBRNYOQSOpdeeMwbdV/osiumyRZ/gi xwg7/baqmAszAmihMvKe2EIFRBTYHxk5jCWhA6WZhM7UDWVwQoj6fanHOT4zsAezLcp5i/1A6Jk0 xF6iobyOIsFwbyWsZfOVQL8f/Bytr62DCnCaG9UzxZCCaVAW+NQg26ldOnFu8C2Nr3i/3pPONNS0 95OhxwU4ouMVTKoc8gIbgiW4ewoXzS795wF/v1ezy/xuPmXy1TGLjeQfBFYCKr6OZr4veQoX5AaT 2XOzIlFML5cpgOVilyvXQgWg+96XDhO9l2cpWWwPdijgN4bRIwc6NSqvsX1juPqnUJlMVhgC2ILG PMhrLxU/vnRpAI+wkB79/U0SxJlOTVqf3j+XH++KnG33Rhf9sBCMhIRGfU8NaFO82OqDEBX5V5P4 XkncrdsDoBrbKf/41TG/o0Xku8KYRmjiJw5GvUYv2X3qtKKeKeYVDSgju6wqb047kP1GtvIP6B3K eWjyWJAzEgBky/CUWuud8Gg+/Z1jPQyi7m2HrLC1UeiH+bL03kP/oY/MzDDuVzo4fNFTlPCvjtg9 4OE0lzrNfjtb8eOn9rbFG3nBXqAyQQTszg0Gv2MRgIrisbKlm/OrEcCR4BGsBxOpee7d9GsFVVXR 3DtVWt1fvx370VYgku1PDrk9hs9k8F5ufqnNfa6pN9Qojnai+pP0sU87i5uzd3l+Ujm13Aqyhvo6 kYWmfQMvevTGezkA+W77U3GWdbn+UCavd4KY6+WC3kZvIxA9HDYR57YDCQugsVii3WJlKqfHoiXm uGSHJnk20YY5x4+kZJ0HuqGY1XhBhI3BOysSS/nKfDY7gsCQmMWEIbyyZXn+A1gyupAAKT7zbFEC DSFqKj/HS2IktyT/owz3CLUUcq7xzsRM/dQGTcQ05bAxudTeNnoo6X3XzhtxTnNYftPHAHQ8nrs6 zwN/8k2/yAgRZL3eI2AoyPp5h0jAT2n1iawDsajhmZPSm1NFf901ay7QIICORlF2FY3aCO0YaL3h OGRPDAZC+qpyaKJkKNW3zqWLMXMNFiMIDUz6NBfZIUj93ca3RdXk8ZLWzlKnT4OjUPlAbpmVUYn5 PefLAeJH5COQzvT/+1nx+5HOhBMvW/MYA1YHWm9F8YTPqYkryXfVlA+mJwB+nIgU5Nkncq8xBPXB o7l/uN/wWTvmKwyKAftDKSoCyGX+D23mKYwgTrJNOEZ5aBZg0Np2e9riOb3zKoI4mvJkvHbOby28 kfs4kLQ43I1EpJ/86bkcPoRl075/2Oy103JncD2X9UnVaR+/ROz2u9ttyw9lEscP0bk44lKuawUz uARZ5QIqPGxpvh8pWmVZ3MlULhth1uq1lIxBgRk3FfAkjlWFrgawnCwVwqma6P2vGGRgFmc3qnys +LCGXSMdrdGn66TOfcM30LkNXVVehw89C3py99zhR9qsulK6r1MQ+k1dHbkh9gCtewxlUJxj8zHx +el8J4FDMVSMCPPcEPUjpwIwgH+QO/5MEMurEkfiRiaCtkh0rTPKUxOnUFgPswsQpJWSNrAAxXDn qqO819/BygeGGHXdMJQBKr9V8ydJ77fQMMUehriMRsoprbOeu/KVbpd69sqbTk/K9gy0Gae5nd9b q9H6eGllJnosz+r8rutAC361Gv96rVSkbFYJL0pyR/VGTCba4xFf1h0ypytpHj4oYHRqxG0VXRUV PK17WRrhE42NI6xRgcnjPIHXq4FAI2OwfxXItYdliYy7mWv4arWVI5YJDRtDDrp5nnRL+CLm4TfZ iFAKLFvU6x2fFHUsEaCq2mm6SM8AbRSmNAqm1Z6zK18v56p7ZqZVbuHWOysTgvTGOMLRr139ZEbW Izx7tWAzpRnPXIeauEyBzROVqFvPYlEYenm9vDG12ceXrBbzo5kOh7901X1hmpQ//75wv7MrdE1w TisQTblO4FC9aNc3kVCZRiZ3MCw0ch5mC75mx4reWaiYobS6JY87q9c0gDzAUgfPpjGZL1+ynESK mBhC0c9XZYYGicgGTSXXtIZuXdYPVbN0UDb7/BJmLvD26IrpYW8Ysx3AJ/Mx1aQDM5l9cFjCq96Y zOqg1cj5U4QCSbW5dfvwaTIT0/4SCVEXR+MrgyrLTkTNK0ErE8ceYFGc6/LbuIkBxQsvQDzs2QpM vFrq02V/dTemQqz2v3ZSVSlrDkQPGZBhpsDpMuHkP4ZE4euQyXOW+8S9Ag5FrmQu5yg9xF2BYe3K y6ngJ2NrmkWlVZrq7x/8QUHd25zlFl8ZilY5ZBxgrVbbNzbS1yVFzltsKE+Cirk57Xbst9/rY5AP JXR8OEy9UvD2VB+VmOTdOotVuufvTURBq/sy8/uDd4vFYWDc3PpsHe76ZtyzVWllSTHYY1eNQl47 GGF3uTBhi2i8KMuKO0q263M5kZTUJPyIN4RqMfI32lKW7JYMQlqftsNTDCXblKRjeUg+HPyMJ5Gx dQIgjipUyRxl2/o5NabVH0Webw7fkVRiPf5AIFdP3NKK8jhvB+IsPZ0MJ1NJkzJQnRAoXHoXaCa+ GNDadun2iqbvjNqZ6PYaPxGAl7qh+opsrceqSjD5jMoVvibkYuAG6zEKP59AokhnBks75n/S0p2S w3/9CNO7E+fEYtwgPtRrcMn7ky8K3A1Ikm6swe4GvyDMLVU8CVPEE6sEsNWmh5h1AuZjzWZL8GQ+ JfbmeN5oMVSU+RmUU5l7IcAIIe2DZWXJUVNz4vu86PsU55vD7lXs36N9QCIK8vLWIbYrMP0o9Crt T3D24lyIC7wczB7FuuoSBYm9gW1RK7XKoF5oG6gUua12DsiaQJC0WUwGk0qvaVl/eCvrzfEkyV33 c6+GvHfCh/BTrZ1sNJX+TuuT6JtywcxoGTFqEYW7apNzoS7hQbZ3VQtqlqw2rand/pQWuZHJ6CNh 12IWFR7xcvzyMBRzhiGOMyc/0eOyjLaJgxTcYMUElnfpmLxWQcYdpbRqcqrnH8aVXL3V5CHnXN0j KfddWCg/bu0Z26sTFOBEU8/5xhQzKpBpW2zK/Ctqqz8kZDNG8FkfSMVWW+ZDiXbBB5XKCcUhj1hl i83XFmBgBwddTyvfZFCC+7h1x/MXC0pFNOB72eMjZcX1eAJYrwDSc2RuNHF3qUJXMV5T3E9W1SpD AVM7eZ/uZN0VZrBmO84on6fXf3RGxNVTBFR4WN+2M6xzEjeyfOiljd4eV4yvE6Q34Vf5arfGNoZl tpF43+W04U9rPyK+5dlkoG5UvLebYcqfrajoy7DXi7L9BL3VdY2FNAxjGJScIzSqOWUT4WCF4xWi bWzCTBMWMGj7ReuhETbBu5Puj0Qc8HKEZgvsZc4r9PRvGQT57YLvxrbBtutupNG/DfKcqPFqby0p UyBEHob/TzaW/7YB8Nr6gF8sGgkOGDdmsdNKulic2UJYoDhsb9WDRmAboz+leB7MhAAZq21BXAbS anuvkmzFMSqpUHAw/d+O/1ydUVSlZl2/BQZaVm9WztCu7GQgolGfrvWUBJsZI78G4buhZnF4FUEQ gseRXEoy0aaHAasmbuRG/B9BEuUp2I8hshAZq2b7H3S2FTZS+Ul/dwGrREQN0lrah6u9+pDfMR5r cVaH8vfTm1eZVoCope8bpaRtna7cu+YTkDievuhgZobIeDFAY9EFxfkJrULlbWr2sS8o+p6OTN2Y eQNx/7sFoJfoq7HmxvKWKp9JqZLD0FyT4aqvxvi1zP6lgvbJHaavo16cEbAFOOKZXARZ9dDIk4Nz S62fg0zqjQHwdk2N5K4p+YUSLAngZ5z47s+IVMffr8BiuJUoyP/HvF07PIe2pM7O2iZmb/cRRzJF Hy0oUKe1wsviz/XqSAvtVHXBS3VacqeQBTKCG1WFf0zpypza55d+Vt6bkWEpJBqsKErvcH90p5wZ J701detDYvqhw4qIc4pys2hzDbhXGIoOHqE1xCzWQRxpiShrMg8xulWOTa29KAYXMvEpBA9aYlr4 6aivdxlA+yPdLlVNi5qab8i2NY7e3V06wag9JD26lyBHEqRgwZl/8qTzXq6Hg6xTcbTCO30NKXSr WEhyoRO5EGLC7txJ2lDVP6B0TuJCQN3P1Aw0G1kJ/sJf9iyMFjTfezvHAdTSodNDior03/b55ZCH LQ2PEEXgOZtIMGjI92m2CwshGfpDdJAgrve7qqCVa6NvCVCTxFmHAajkbBJojM+EkdNI6BvImVLT ecHk21wBaM5Mf+jYGkjMyokuhPbgjUcxJ+LHU4rDoBdg1Yt3ZXeeKKf/FsFjvaMKVNkL31XdCV2S ZtqbZ/AGoXRngrb37r1fIYVPfBo101B82u/tvC+0IANWMD4EjeTxdq15UrhEvPl+PrwVjbA/Shl6 gKfcHk7rajQ7XAfEMNeRTOR+zUSReQdlsESMCp03xLrp3OQjgf5TUorpafi6VGHIHCd4eD8rMNUu 2g6VYUYfjzDZ782UK8rSfwT2+fizcuAKEX5lAc7JstbOPmCo7MoxlwzHHrj2U8zDc372n5+SRYul QaUKYKKMRtnEQlCT1ZbfYN04gpm72MaOT1lXixREZtd3SXI4I7848VkWkzcYmj6Xnk3GFV/s3pSN FUIvn8rq29HunObk/Z8Smo0VIHCWlt+KujvcGeAUOAoMtIzJVMj1GFB6bzDOM0vgxgSvsFYb7K/z 7ocN/9p5Cb2D0xfe6X0YLF6iyCeVdXblKbLO1y7S51He+Ysr+bKkart5XZpHtA1L9dgPtcavkMFS 5Acja6DcLsIpgssvdU7mtq9Ti2d1ag2iXP7/aT3352PTwY01Ygmc7uWsiuuJ9Vhf7yfs/UnTcei0 0NFYr3PFeU6n+3jnoHoqBq7i0lluyzsS480V5WyLotAazusU3wNWlkflQDUmgWK1jgI83aPMrH5i 6ZePNopa2CXEBpCQwg9VeiMg2+F1XFl9xvCyhINKr8mvyv+bWlT1rs/edY/NZoXy5oUUtwjD+gJx QTt9G2JJrMV1Q/ZcKVvdsG0Li9K6mo072jZuKZ4FXrJ414QLx0Pt6xHjlnSiNOreBFrov0vbJ1He aQVs+gD/nGkp `protect end_protected
gpl-2.0
80739a610d8ad45740a1da57ff2f2cbc
0.93647
1.862941
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/norm_zero_det.vhd
3
26,125
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eWD+zKWyKzARKj9Cwj3AYBzObXXUN13gU/Vz+2xmSWXEo143IZglNELcovGm/Cs/SzKY4OQttpMl mfs61I+/0Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TxvctxcuGo6LMHmGR83Q+nnj0gW9JnpLU8grzCyoV6rzRgOpYvltH+81nGe7QArN1zt6oeWyrD2U 250M9z/RPwfbtSzZdtJXX8X6+OMKAs9lW8PraShQCT6BVW8OsGoxbRN/Pi5sHWwR7HB2iQh32Iyh LWy1PIn6AgFc5n3M5yg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gD4Wg2hdvV1rYoAlyM8ha/FdD2G9J3sjFnkGuc/Cn7Q96C+Ypyi1oD8gDqM1Y0nSJMTC0fmkGgUv HKT6mINNNV6Dg6/A4Rc9669gleTlbigIFoxaTp2iKFYCjwuQnnvcMyt4pUFlk7o9OFMCIqWeF6fU 75i/gpsGKwbT214tlDXU71+PVnL1s/10waKlMHDQzYO+xIwCUephe6PmcBT0S6u6UAjBFSL6d5oL RgrHEztZBImi/gxQ+p92hWF4fQ8H5G8bZQA7sIcPciEnzNJnzM90k/fabW9SCOuhbXEFcNG5dmMJ lMJpMWg2kcHY/Xn+1FBCZLQAVogDjX7lv4yzqg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oHKZW2YukK+8DJ5yy2AgeZnHwPPkPdW1FqrdMysP600nDLtarzgxGSAHVe+Xtsa9mw+VI09jSnjW S9h0MwGVc1ZXFIS/QJ35rCwTe7QhoTfKFOH8Ds4ymsynJuclWNgV1aN8CrrO8kHxoCqlluEICKpD w9m6dgvI9H7DbWPXOHI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BCWgn2u+YThjsYL5hsnvTruO/M0pQSJhIW4stllqWWdd/150seC8muRgCVPZrYvS+MlzGLq2Doe+ H0YFzUcDYJ45+1rwZrcGFX/ayjjw4JQRAIxi+smKj0LqwRlWSU6vtks3tSq+BBAL8I7m2LSd7Xwo FX2pGzQuxQgPgJygY8WDTEymSWqDAVMszPFGeaZ9dA5aW07BxtBW7iVvPjrIVszsnl5lSWkhx7en JA0sOmkxM6js/TE/bxJUnvPFeV2a0+hf+PA1tickhszQM1uZJbaz0dDen3yhYxdvVLV+boyGa4Xm qaeY5iLc1bzFa9+y5/FBFxtRcQcELNhsVcucjQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17600) `protect data_block P4PSYFpWbTJJxSHNlNTaxqvbLvpbkiMiQyyTpdNDMPxXws2oef5MTWNdQWKCXKxUsM7t0NjQX6Sj 2WsG3R4lCVd8HTmTam1jOz5ZKC6xR+EBFet2nC84oQDBrYvNBGl2vfpQFBHY8dJowl7IpTIzXBsq qaL9zT27muJEzgYGVBfait+9QMGsgD3hbUkY0lVgoLv//GbFZW97hkPWZlKGB3/HI+x6qvsfwFkA UiPJaa4hQCg2TDmp1hXyJEJOKpBsUMwW77jqz+0EFEDUqT6hAMpneeCGbbhqLO3gtiz2UgalxqIF QL4V6h++Hz+hnxSp3vlo87Jjzhblnp2DIxdUzgONf0qihuhONBQuBtp4dGMVBXOHblTH6jm+WK8F wyQMYf4EHhQyCLveTUQ1HDwr0zJ3Xd/fmW+eTQDBXKtQCnVeK+Uq3INzCaRHpgrr2TyYxemTh9cW QNDIZVuM7BD7J3NblRuKgllXX8qQrZv/r8FDdBwDr9ZWkaJpdjA2K1Dg/OiGJNdjQzIBrEQmmskP dYAppsZyr0Z+hMUFHWqYaTZboke6MZAYvOhhaHYUjWXidmrCifX+vtFDG3KLQE9w6j7OrzVMxZkG n4oz8B3bgaUuGPB05VMHgqRRX+d4hwvx4eSCX32HJmJBWA95U+5jchmBMGEckmWC6gjvbUJem2ro KKO6FbknULke9aCI5FtQIxIoF46BS5Z5TQT+WWN46WxAbQYjVcD3xn5qPr2DppRjWkBbTK3jEd6S GDcnBMF+LzTgs9DSd1i/wgKtBN+aO3NT4Vy8euoNhPmNurmgGajgaQ567436ydZNA3Wz39VhfuFV V+YfvTM/K2FLKRcZnPee8DHXu8CE1uGI1s20EeB0WdNesS8FEBEtlAECs1ntGtReYgokpDR42J39 vLW5qp0oqFPgjflvtL9KpBN5YxSkPN8jxI+YKKjlUrctpRG2zrJYeCqUF3a3nD9OfbZggY1cEeG/ rKXxpMmjEMk5MJ2VqhBl4BzTMFxcVzhJC4jq/phsyZaE2gaGANTaID5knHpCTX+DLZ1IXnZYEDXa jAwFaAfORsJaXjZYO89nhu/qT06Ky0C4vOx/QgMtk2tP5k3VoNwwOEoSYUvBxO62cNZdj2tOncle yB9m94fxPEyJktMjgIbxFRZ2qolE6YzBLoej+ZefAcMAcwNCeu208RyUkXMgygM9Z+B/Y8SQIzd9 Uiz4hLZH6QCmkVQ4NwOIYVo+xs69iwwqE//1T8v/Fw+82FjXZ6GE14gDgv/Mx9EaTO4MbIQ+0C+C ltLdjioBp+RfWabUawLRSGZVFNr0gQpdFB1Us5bj+qlkUFiyH89avXkuNGc4axQh/dq73DhH+8jm R7oQTh08uAVC4tc0/Ex3xF3iJfUfDlKowoxks/0+Mvo8+YA9HFSgRTo2gJa8oy1LaNzob0WppHvY 3qmaMerpjnk/g9uXOtaKwISwoXpoVIKV1psouUBq33BAlTF8G6nBhyBVA5dNjhSqnN/v1U63BpKe njq3z1R1JNvwK/gmf/6dJqWWTSWyygQWEes0ojg5CDCPD6ERGafdhyZUL8iqZT0mmRiygpDB13Yq 7NrpZ0MLWugnV1NzARKKEC9OvckN6T9SAesELF2Gz5wAuK3kejzaLkpIDaR9Hu4UM4SjceGNxnco WE2u5YzYBTA0SWa1z60+UxMIPkAaLfHV9f0r96AeHRsXPpQGYeSd38KcelD0o2fnJ5l4cFcsskzI WT8syvfNPOpQSL7bUoa5Qf+xAUqkTM94gENKthv15NyhWjYXPYITzBH1AHYPaUKt2vPAYjoMVu0y XgC5cLD597JQvjsxwzHIbvEkZWLZuCrtaZR9atsS5oWsVkWA3jfDkAQy4XYL5wnyRdMetnxGnM05 as0ZT72CC8q4svR7mbxLYBVDZN/DCj6DyBhoW8QUd+A2LoxZiwrKieHigkZEU6iXlXE+Dc0SBUzK DB+1KukrDLi43IBr/PWNI21dh6/MfOdc18Fcw4qgeVOU2Ju7cZAJ7lWIcdSff06jQnSQDFBabg5i wi5V3xviuq9X9L05BK0/oDrXk9pPAnRAVX3M/FwMIQobp4jsphUw/vYswcO1RXkbRK0s3zBWhA5b q7aKTvONljAZFzsDPV0tpKQ/Hnw0o9aPy0lLQbjbyN6gPplFr9P+R5OYiN2m8QFz1AqXgzJd8LAV jq5Qu1gtmuJ26v/xSMV/zKsb4hFGRI70M6YTEv9WqoQ42Yi+mIaewIt/9WXStE5idmMUK9/O8K3g E3DJJvGzZ4XvmIBwbLHvN2qt3Aqb57D2pbXPpoL+WBnrjjajadm2+gvF3hrqKaqRC38qJNcxPHAA k2f7WRU3JkITCR3oQ6JvpJKd+Th0jziKCt5KIre/P/xwAB9+WAbqhnopVw4Pd84iDLvo2IIaIcez V4yrihinveWztROuH16MRaHcS4dqj4YP4Z4QZW1UCJo3mgA6XQVgWc96g5mKCcdN+ToooSnkT5gR bA4kDsVUVYcfYf83cxgCb2FQ/2v+5vs1G+zKoiSD3Go/SLEKMk8ViI3O39YnFnmx6E6NMZ+MKB3p dsctWuZBcFG/Ai6ngURxANc6GbxjVh+LbPGshD0KpIe9hpAbSvyHLoJJz7Qa+Y2EW+o9JahmmK/g SLRI69DP0xohujPxZ19Ll5eDbLZhDcATVmvIgJRWUKkt9/Am37HYH+Q7U/4WMEzxyJz7a19gbh2X vf+9Iu1sNdnmKU4+xduBkPIyxlmYtuoVDnSQvf1HikBdFXjg/RcR5atCd92dMvFM5ygshSqeMzYX Msi9pVngF4+ogY/j4ZIarYq+Maw+CmOW7gIznFAgIkYkoIUHPqLtn7kZfdPfQTc4rvyc5IkU9pM7 V2EV167XacPYB1mec/yZvL5PrURdwIA+PpdpZLsPN6o9Ry++7pYkTzcGiWv+/uY1sSUxfBjBAmkP Z6wgWTv8j+dR4RvM+gWhQcgadi9a40n3QjDqKfFJd6Xocl3EK1chKqruV/Cb465PB61lypy0ltRk n2Uk2rGTlq67NEeNajfHzCx1yiRyf3ySlo0qmElSCufJ290ebLPvt/1g6rsiMD4MzZPrQ8Qbx8Yq 7EfcANz/a1y8ndTppEh8I6H/Nwnb39s+jYlwZCzlwdPeIak6+M3jfvcTqOGR4Z508I+nmbZsuSiC JqHf9NMtpfzk2IMU0Lm7VaUANGNqIqbChGA3DHWsw26JPLkcZB0xv1TzGtQhIB6NocMODUxqfpRH rxX69hxRfLDgOQLGFX/3LQzjJH9dGQZZeO2Ro86uaaUqo3eaiHCnFizVlBTkoV0IS1btgpXE8oo2 1ZG+NDSOPp4bOkHKNf4r56JIkT8KkLjl+9z0iDDxTox7s53wfTLnKA8h4HQ33n7c7alH9lsJueFO pynrpnjJI2ibl5yUkUBHVqkpnHXuFRFP4NRo9cWhC1ja81JbU9/0GHDbHP+0/xEDQiqAsm+ITNuh s+gWZvv1IUZqU+7KNQu61qcfn2f5Csqfnt6j/q1pFRNIqeJ9iuKXx1R6dhXqLfSekoThO5xuR2/w Uo4N1ihc7cgJj2lLRku14y+wnqP2gpKTZCOx3cX/APFMQIC5VkL25Kk0IL6LVNa7sFRuwG0Snz+J iblmXe0OJNBtBgm86ytvSY5yjf9s+pO7gOkw1ihxZLdCVCIToq8LaCvf64WD5vor4YrmMhKIQ3di b4ZOugRJ5Lq27fR14l6BoUuU79uFN8SUIA7v4cX/1CWdlDYZxu50NSVree73khhwz7sQE0r7Jtyx hS4q+ZXMaFcXDjzkbe5W5ocWOWhx18jFFzITmii1ePDhy6W53KFEvW4CfArDgNJoTZYduf4guinh NjVHcbYcfsBHli2uYYuQz0fKaMqJxanF3Jx+xcD1HD9ZaJCngn3PokUTHZR/9+C90YBYmlF+OL8C unj+Aay/7vftSKmrXgDSUkdGSwL5/nYS4OitUYFGb+557LB3AOMzwsXlsggd/dMem+nbbipy8oT9 pB2i0iRpeA6gEzq5PWPhngz2s/rWUN1k+iqzan4WokQ3eNHHxsWUboue3vLgmkF3fHvVwavygBn9 zt7JQ6Jgfl4QEYMXq7TvfSIs9DBnDmqJlV9q6Y7Lqh/IcG6qQgw/A2d+QQRN3ktrVEEZi+RX7IVm 7SjEpBVWsHI//p85ZRyCmasy98dr2DP1+qFJD/VwC4CBFYOJt1/pAKh6luSZR8mu8smWqMbkfvW3 oMyx662XatEf5pfNGbmwZamS8c9cyqpadaXCpCmc2T3EkOSmSdpzI3QnZaghZfX2of6ePwiMlhIA CiB2CzNTaB9F7UEmsKjp0l38R8UVJe7LMEjNinLB6tOoZBnn24YbcsMBXCgedFFY/vfI1u3ZbESR 1NyNDNVGuBHI2oZ7qPprv9Q6eAkydccHD8ETjdhn2LOOSaErR4nKhHPBMkqMDemfwHGXy5itwvJn EUeNF5JOJykgfE4tl5Uy0ZUmppuGpRVz4uY2L3mwEIq+BIbETo7vMBKV7Z6nrdFWO4UqQughzyma sgPfxYqxKX6G9OUBAEemte7UnUl0RK1xDNdaE7pzfmrnvTeH6pOQJTqS/+yDxQyqcdHc7dabaouy OH+7uZSCNTE5qxD/C2nFMzhEpfsR81Sc3z4MzvyKv8C5b2OnS0qpd28mUirCqq2WpoK7ZU/uuPdt MzuYtOz0TnCd17sZXj3Gt2ywJvuLwbl7Nk7JM5XDaPHUDqt+yESb3DkZa2VU80Ev8sb2L+dEQoXC ksmbzL1VIEd9JkfvsbTaDM04uvYCKfbV+2YWhxMSnQi2HubkbA62lLJyULr4lmh6k3BoFZAXpajQ RhEivvb3SLD6F8vIwmIBcNqS0LkntTP+apaoAfcx6Qwailz6Z0OPREfVkz07s3N73DY7ooEDdg9N +NJYIQVADhMtHf6Zim+Gruq81dOTo+FWCobuGDA3Vn8nUzZfGnU8iMzW332mQV0WVet7kLUeqZTl wNYZaJROyChnI/h+Jmb8bLqTZB3L/FS+AFcomPrPirjLUOMnW+9AWueI8sar4ptyWfkJ5Ppv2lIv e+FiEevQYlEvLLu3NzI5qMCXqke8pVgoBxHPblUwX14gHmA/Cxzdi4jlc4Ah0qR9o2ooIb/AQafK 97VJ/QAUmDBl7zDHOU4VYw6XZynsVbNIBwvV3620PpxElh+7fnEdXU1Depfs/ZdVsg0UURGsyvcU DN7ygkC7KR4Is4Iu6i57AS7i0k4v6GLdhCN1YCXSTeawgazsKfnb89lZgGoQDAwfl6ZlpQtSrZr0 ki0CuqbkuURagE6Mk6ywuhIkknksrFvEUsqmGdh+X4sqWIKnN8iWZL9/Z/QMC8CKhZ5ymMELugfv L1IULmtbDJTrj88u+qkdB+76JTp7uVne0Cgdl8gPu5MW/xYYOQhA19zW+klDPttVhSbaynFhG0FW X7rF7D07wlpmQnRKtaz7tkm6woJOy9sJTpp30WEe8uJ4TybE4XIJXxJTEyzbtdSIQc3SeRwGt3SL khks/Yu/Vkzve2oNyT6BX6AIpQCU+/Uz51UbmBSqhu1JCoJj+zoGdee8iGiWoJjhDkQ8W6lwqYoN 61BKGi1afwFYrSw1K2iNKS4seQBX91I0qR03Ghxwwob5rwZLzeGosx0vbaMo46hkv64I0tjW24w1 rr5g02mD+LsFWo3r/Mp7trESCob9wBOgtxgOz04DHucasu52Wg7b9dvk6DHdFf/rolc/AsfC0A2g HLsFAXll2CBL88XVoUtSV76n+pWe39Q/KZq0qLG7AkoSQb/eW+pgaCnvpT1pky+RTaBg1VHGFbNz JzspcQhwCI9gAFhmNHyNy99Zo8xAoHdMrausyF6peIFha7iN4F7WwDYAus8M0xanl6FK/2LjhwhW +qcci3DlH9sbh5L43g4SwN+C3Nghs02W+ZFuVE9rK1EnLpHPr3467X86poxzD4FsrAXU1QJ7Fvy9 IJqkEOf6Qhyyiy+Sw15HmWivaH1V+9c3M+uN6bn1C+FfGnLoRUK5pz4yNAhs7I4oNTQeV6rvnERT MpGwc/iNz357ZttXNhjlz/LH1XF3j8gq1EiMwLYMhNqy1Dv8IymVW2RzczTRQB+gnf4b9JVmAXsb DEGBK3S4o+77K0QbP7Djw8dZxWTtwnH/VHsl+lHNk5Dn9jRwVuhmE0pRNqcae599RGKMhdh+0h3/ JZm071ssI9thGs+B7I4g5MTll+iYqBcgATOdaLrBlAqHBVvuBB3q2UOXnh428s9B8GCcs5Smp3r/ I0ZVkfqN3XNJzbBfIJgZLyUMVyofx6C36+e5D6YxMAFaRiqfF/Pc651ip+BmXJAPMefSgomijFjW qfr6zr1dboxnDV/8HmN+eUubTzDrx1x1mXyXAR1nVTFr1pJV+YnkYS3ANxMQrGdNb9zCdoAoB3re rJoudaQtzqZq00CBGuGFnC1rFVKcV4+5zDa651t0B3eECceIuX9jN/FPKbBvkc69aVWCO/t6hPs3 AsDC70kErjLtWyJnakQSF9b55vFYbVv6oHycV99SUn9AUs4YQK6v+SoA4x/s3Six7bZ5S55wnCck a495VWxAvlSusC5wMKdV/LPqYOxW/2qaZ8VchGmwBOCozEEWBsCVZr+LYNrVpycm1lioKXbtGVC9 twOqdEDOCTqZpkd+128TnbAje2hpYobYJiNUAcbC8ytcsuf5t6Vomt5zzCIf8mipqPr8zYp5J1s9 99EQz7P0Px5DmKr3xP6pk0JRJdnjwGFw7x5YNwb/wME89LdDNW53JvmVwTbGQhQ4u2Ld1+Cr9q69 0YZUXaTIl11ZGdqv1vLQhUEZ1D/LVX3uPANyB5cvPM7zurGMWFolZRZMiTxF2xw9HqchSaNm43aG VKxphWBAWXCi1emzuODMn/bzsGoByp7XRCMyXLOH0gw0Abqo9hMv13+/IsAjG0iyr1bNNml3XfK+ 8zPuk+04OHZziOof2DBpG1RMiuhZwGTeo1xkzEtldYIVkSOBffsAbFOeA1PGCnZTZuLjVxEI3F6h 93+LfO1Za4+RYnqp6saC8DWibRJPhhqjRjqu4qHaWl1In8If2v23I4pf5aIvlDEQ2AOYmXNa/H6U zuQjiGmRlpJFL9QzRJrjN4bS9Shf8eNSH9vPXwS40Skk5GGMSKuX5c3512XUB/3vFgljBm9WanGw eMb6VqI+WaSNN4jE6CBeBZ1aA/kfSMENE1xn5p6sEZqvNLbfuKSg/ZQjVCpV1Lby4rMc3NqC6W3d lcpui8kE7S4ogurglNCZSHoI0q7CozpEJNbVyxN3rg+29H/LuO78Qi6g+4PMRGLRKkqmg7tWD7k7 eCVZF1Cxww5TICo46W9/e1xiIeEP6Qg+LYbb2DmmSodSWZSoREQguynGSMH+1PRvyZy7Pwdu8uj2 BTkiRUaG/9Q8Y2GPkWI1yVQeHqyO4MC+SJuuZ6zkngoYXcUAKF3w0AJVJmD/mdd1Bk1vcnh8A7IF 6rYNjirAdoIRWwq8CmjZMn5FSmaRi2j00hIS7rnlZ/Z/5h9jjY7Qr6tDspOkFdNRz8qW4rR5qoih q8wLo7oAe9Xtton4GVKWEGWORDkBk9T09P6rXTpW9qw7Y2oew42pnl7/5AX0TgaZsfBHo6EbK2CT 0pzxg4CChA4638yL64udaFpcDcAV4G253uP84Wkn8SaKIb0CW1XHtwW72vleKJibhUDiBygf4AWD tVRRuVwFx3olw6SZqIpsLVEyvf+b0wczxA7iLY9pz6pz0KCIMIDS1rTvDKmj0E7VtVYEhAZtSnK3 Q77jqfHimDYBwxYPzVSpvPJmBbJ3H2sPz3hp+6hfhuAHDFX+s+eA2rYmMViLYkbWqfq3BY2/f/Fa qywKWAFggBbQvFA8tbaytWcMHqAytjvIxHm800v10F2rEq2R+cY4GuAf9CfDAjFWuUpgAI5+YX7Y c0eXoBFolFT60TrUuXGmKHczpR6RE3X/OPnC29JIisWD3Ep4Ap4wij61Zx4CpqoqZRxv4va3TxxY IMzSBpASN40ljjuC4RRFRudA+5boK6QInjmxT/me8NyhoFKrV+jjf+15cF0AnBUEWnWkjNDtFS/s CG5wqbpfBNoSZspEv7Qn/lSZClKfmx7h8SYk/YtrxKETvvOfr03IdGEW+HXdUr71E2BX7sRtZuj8 dt+3dXUAttxzQ78hogDy96cDe37WREuDnJH+OwmwHSlRQDHUKkV/qXyIrONNDse5uGxjeLhXxVAO kLXI5o44evBplMQFm3UjHKi/yQaKI5di148vPtqqvXPcc5MZuBjEkzSP8VM6GjZrMZ+/N17gfZa0 ZB0TA8oU1KOFsyIiTsw9dxPP0LBgCGpwmO828CisSJO/XN8RprSb55Fi+8nVqlmM/yfSGwcZKGGk mY1vOfXe0Xc04WhmnGNZNTKfO80FQ3BxAzRUYuREhxo15vkPB47BgkeYd5tATCd7RvEwgtzRhNLW 4Wiv5oZZhafNLD7salrP6bcFsmlRHbFbs1d4BTI0PkHtn2vqS6VG9URldVisT+H9m6a68/0MoZ7x we5eScBep1ogkM+YhBooJKIo6J1JzftPRbFDZWhPbdrF5brD7e7Bxy5fcNShyVKvMZn71UgodnUN 5uxQ0TFbdeOg+w2kG0wR6UM7DKPzvm+cayd/3rceGjm5S3NTYeSCvjRUSOOtHOtKLII9qtr2ySen dlF/Y1ryvqPcZB8VbaXYv4GEIo6IOSSWH7lhlOGCiDueDQ92BwmYmkaxPmlMXI1Jj1fFFNqo/mz+ N5etDp9jizKX/9msvg+bOuT3HRyGpnotHCb/C21Eyh4FatwNaYWuY3GMUM6ORc8+9yfBl+K4yqAg VotjXyqC8oVq3VxV/6VW41WsOLcHaAvJcIOT9gRL+Zr5FsSADLrZDHD6LIJftX+J0b+R3daZyMLZ rprL/NsSXCZVqsRxolrs8kJofIh1d+ZxcNNaf5uJPHcQ9bpQo/PZxhN7fOdsXAQWrumGxIrkEjcX g3laDpgvuuc8+KqOv0Toh6FHqM/kzuSAt+poAyUPvUtBxofE9Jt+5eGzho0FhOEIA+1fH7w2h3H9 TG98wGdH7iWQQpDE7i9EqYgyzpcob1eDecP8gnv6fy62nGG3xpu0AX2k+jQYfPLnvuQH0lpAl5MF P87ZnecCoju3aSqzm/TxaJToZhxBMlDyBQN7AbviwRjSIjItjz48nGAe0anqPw/+o1AXtWZq/S/5 zsGg9r/ctnWzSJurN+OBJExZtWde98Oq77volJF7m/Q3pFmTvI2oD446+9oKajoxejc4+gu+Tj1Z GDH87nEkV6pU7ekXtpsri+ynO/xSYIsGPNV75eBDn7iXoxxfGTGq+Z8Co3gEBG7PF18yBk+yS8Ho ybZs50TU1oBHMSflg3zjvJrJqpPHOwWUlHLxLAKSXt+i5OZWdMXQ4CYNXidHGPn4XfRi0Mf7d83Y oMk3gj3F37biFkJKB2J1m+X0Xk+8RRanHrxTMVBONd9S43fnI4H+ymVanhvi93cHyIzyGJpHo+WI XW0oT0JerpY8faBvJOJkKfKOQ/G41W29k5CzMGzyoL1gXpxRCN7bnIldPm7/PwP+LRcgcoFq8MxS 2EZtUIX/J2qrgoIKfosa6u7RX4RbAnQbLOFHDcuLggvkczeq8lEU14fJ6FxAanmOzle2/u0qzDfD c9CySZ2svKNiYoL/Xtw0dMPiIVTjZ8JC804+XUqKJIp60tCgWXO/D11kflvcAU7PV2VV3bfzkzxq PVaCdebjx7f4htgD9yd+NyFiv2dHt0qDPxDxIGElKya0mcvrb1HBjQhZHZsYQ1YUaziKwq8TDQCp HSJqINgGCloOT+GzOGFy3ZyasvJXPNhl1UufRgPhuKvbNXUY2qSOgZkJyN7FX/NnlYrauhFVFNep +0DNPIGzuQGpr+1bCdybm3SAjPSvc62ElBWrc6w68fF29ZUY8aPIZuJAr6YDH5u0V3euJ8C1ARTN OEvtgLQPxAoVEWmublzNBhwL715SXBq97ePnl/7pGts23XcYjsnaWjAEC2uzH4gJ78xDflbVFfh+ PPQd6anVYv+tTDy202Ci4qgzwveN+2ZQGalgvcdQxm3uhQloj8E5dOEt+ULL+OAH2U9X0Wfg1uAd ucP93cSdFZGp459eBpJHyjPXvrNhPFEHKjjAsm1fr54Mb3Ya2dj8pXIDJ7QzEBe7O/UPwCzEB8TS bqCRLFYRxbG/8et8RzGX9o0uqmWF9AKYvIdotBpDNFwB1tVZMCIL0/3ny+hyimHHOEBwMboUiTcF Ef8vjAXqIqgxNuB1AFgukINsYr7q+T1Jfo/OBFyQe6okuxIlaezg0+4eZz5gVYW1cwRooOTDyG9F k+XaZmVIwlXVRsQD1BKz3dOQsjQvZgLEE/PlyUzceLzkIIymTlJOYW0fcqNZShefl+hLuU7AQDuU 7tI64z8ZiNlOFpsZbeUGUIo9JQOkX4wOZPOg7AQYZK1618W1UnvB2VRsALV1X6XDdJ01kEnFO2vl hyGdncwOGllUGN7osxfSrDetmjmweKNNLRG4/NUP6uOz3XBzrG7mEG2G2p6C65QqdcI4OHiRD2ZX JC0KCP6TwgyME135D/BeekLehQkkNH8rvq5YswvDRi+wqXfXWcIbGEgl6rvrjQsrv+x7BtXnVrp9 QNojiiI2KT3Iq3rdoLHWnuHb48ckREGGzJF/Bt0fakLTX15Ooo6q5x+Z3ZC7QQ9oMEylfpk+JWAZ Y8uX6r1WLngsTTWz1VLalYegIBhE4SpIBmbBETXkHa6UdhtYRPwcKThOzuiBWdeex/EPj8ZdhJ+w Dx//zCGUEH/sXlDirwZ7rHCU2BGZIIoFwUrRiH8mVNiDeYg8J0anxkjvzS81BzGKa8PdJx3eBi2u PJPKyART6HVMjGA7JyilL3vWdOJZEXiPKFE57t+3f29a7b5sAsV8D0lm7OTk3IJf9CupBR24MpKI mYOtizpQa6XGTTIIzpydVdAC0AJVDsDEcwWJgw2sNkW/2sAkLkScY7yZLj26ZJyQU5xy5k19Hj7X GY8FjxkhrzVf3sWqOkoEarcniilLRrQCTIRqlMbA2aw0JrCTO4hBgqFruF4SXMOrahhcmOhJ83Gl 0numa9WWvgMySqfWjhhEtwixjjVkqEBxtAUQRtwReq9rZH9NZcpmTbHwC8ol5JsV854Zwlrszah2 lXofMNQ0gmKGYGNfwZUZtpPJag0mCO+R0GzRijkegpbOEAxr8LnGJKl7RfZM7K/e9s5nK+lH8+7W UMOWIetxP8q6wD3/1Pbcs0dUhiL2QpTMULM7+roqyGzm+QR8sKRx6zmZJNenxGCtfGLX3rzIxNfZ uy6YIM9fq76/zwjmoP2HOUXLVT2jxCIjoudCMHU0op8aN9XZeyGsNbk0dd5PPB7hkeivJEPNygIX F/68mUTG0VaGO0jEOmOdKvTRmC5BDaA8W4zQg3S8J67TAdi5cx2Hb8zWmPjhwwkaJ/+IKqWjl/wR 5/siXqxnQsZGYkct+gO0UM7zGm64tvnQGrhei96GiIbCCxAWiPi5CQNOdxA/3saQgQnOTj97aTQU vRvxqq2pJE6aqR1O5VIzpNd/P/4ytGsb229E9BklU7byrRe4bfxNJQfwnibzs2UeurfTH5Q+C89w oA3YRr8ucShzxBo2f1JcXvmillUMOyYiE81qMovbtdO2L8sBDxFyOKLrKYJgAO3LFYv+GgDvRIw8 eiPoHoMRJ0u6B7mSTMlrGsWYTN6RmpP8h8ayHIZrZOldID42PJQ4lj+IiKlk+ggTFXWGrS5wcVDs E6xDDrYtsStZfcz4k+zq7p2vLUXT1jlCmI4gUGYHvUoAmr7jsBhfQPP66719IrLjoFwOd+tHSmXc ZdSWOXJ8zAxHmDXyC7UV+VZbQpYu3zCx6U0DniTIGNG4Rvd09WQf7mazrqqKUnqITmgdwq2CUNCI /7o0fxJZxLmECHnvn0bIruCu1MKxHfHVpUiw9Ao5WNudzAbltZeOTexBVSFlI0VekS0+ek+xxzaJ YRrjzOdy54HJRvc/i15gW7Az9MSk01lLrRhcnk3aGtHqf2JlJ31aIWhubQavTyS9J79qmKlj/csS d/ZM+jy3v8GYvbZG9tRhtU3bdvj+W5UeqhBMsQC72u0MemrODUTtuABtdk4WDgiys0PwuqAfhp+x HZmb0jo6a7BPmmIfmh1vYRUdtfdDfp+k498zsFwkdE2o0HhGCSo9OqF14DP4l6sEyrN5otndgGmZ STYuK76yl4iEgp4h8Cezw7kzWRvPXz6xNusBBkSQKe8Gp3E419r+68lTvs6zi/CBJbnmeYC63eHE uBFwXqi+km6dkw3/nlPdpYjZHWYFcNM+ywjCIbqev2uwkGbgSX6BnzeaIjJMcKt+SpeMk9dByAgM ckzUjHZkERQ361hve7AejOHjmYYydDThVinwtqmggdxu7lPNrYzv25gHinJ7gf7CIOMOd+GN0q9p KaydBgdfl4iKfr+OTwk4RPCVomzMKz01Dw65VAVpBTFt7VvyBUhj0BjL+yPnGNdVjjKOKASa680r 6QosMsl811uSHZyL3pVFyuVYdy+JRD9VDFHTpglLSaVPj2AqTFIc9ju5zWETNHIPEjQPEquBiqiy 17fqkgczfhy64eKqLW9/dkTND0qC1JCfIirFbXBEfl4usL0IO8h58oW7yxFo6K0Ww5OduM7uENRO OrLu0L7YVRKf7SoBLA0o82h+wMnGgsVm9ZRJ4EjO+Hvm5sBL2NO5utSWD4+dYvdeHupKpyiHu94Z 2u8+sw6cSryp1UeM5+9wp00jc/I4qJcDwf9aZ3Gdui4RkeToK3qQFfi2ipW4KPCQgF8j6xntEK8X mwEmEPq56zD3LR67NRx4q05CIEFtZm2TrwZefA1wXPQX5gU/2oc2Uu0z2hP5ki5vB6g89ZfJtbtQ eNtX4RcZP5aGsk+N1HREolGAD2LKyUM/04Br09OXIps1Fs6e+suVVrKqctPp8el2BxwDkl9X/nqd nQz/gWJ94XTQ4H+AyO5J8ilLDLFBG4FcpY3MNHSeisIAhnP/l1ZNSm82g6rARQwuNVzOlsnnUi5N Mwk0mEt3Weu7m9rBOSqWix9rBWEwH6N3QJQ0c7hxUJdnB7ezwgb8jWBKjL0rnZpc6Xy0Xfc5RQ/r U0F6d5uAbkAfVv2KhcNbgHupOcMyJpLFvtXAITEUuuWHPBKfDmV6e2H7HyjClbXVOrhHjtlwlZmg A+LwqyRIVXNx0X9LCa3NvZFRLGLrrvlZ6gyB/Zu8V47mmCqB8ZT1R7EFgKuO2N5YuqtUXxxDIeFY e5JSJFK3dtbVXJO1TGreF0Is8l7p68F+sh7oziBmx0jdXfwvgI9lQcHNyNmgrGH63wT4Yr7+2R9w YAmtFmoS4DMsFaKTWJPziSlahG+11LJJImCnAfnLzLcm7KISVNVe4HliHCTkmp4uTi8FSl0vUzlM 3Ivh7KmuuG+3s9YxddwWuuTWMegIl5k1qu7KIAwn0cs2+9diekQOetOJPLIfkDA5A3o0m/Agcqbi rdv5RcFvBw9q81fLcFxmbukNGgxO9TiNbHrcpR9B54IH5SycJDyJvD7UjcLmp8CCvPdgLwLW3WLm t8T7+PeHOlfK0cMHYdsi/CU01mJcFYvOEA0M35yQKvLUt6RSEd/cN9zSG53XrtHbIA/qcBZt6pw6 GJZaZhsEEULxp1N+Q58qvqPBiRo4KtCyPvwrdI9ypr1Ca/HowQ8KvMwUrS2kAIAsu6DN+SVTLMsL 5/jNn2MIThesMZKaDamkBv5lgw4rvcnZccNppFNWU7x8r2cBg26VND48w7MPtJqzxhwATLWZfrrH mOtbxqQtPX8wrsy2OqOQsBtM4ZvXvZZkBLztq3PbKBoQKZKXbGdNeeDri1dJYDEj7uBk7b80X9zb NebOLsxi5FcmLsM49km312Gou30V/fN308FVUH7Vj7HLunivxdPrAaVB02u/2DBumzEiym6z2x9u zgW9SC/eD3e7HggDndNuH/Fc1Y/o6QOoV0rPVIX8oG8w7W1bayEfannovH8blf/R/zaPbqtylU6G RUG4S8kKJPulGcNvL5innADRwtrmimXTchd3b+IuHLzWqyMREom/5CEP2jnUlqCBw+KBoyJL83L/ N+v/Po17gU9p32NM5GZ0MOmMnSGe/mbeusReTwdzWVJfExLF78qy0UyOaTg4ThFTOKHYO7E4l8YI 78DX5CbG8toVW1kJM81aVF/kEZUd368Ouo7VC7BrOCL7Y5FOXyN7m8PNtvq/4tq+p1bEZtJXL4bU xZlapxHqBDykRKzzYGCJDn0vPg0NceWN+CjGAtJDKqPUnY7xAmwn0lhKsKCcOOOtoQ8DzF7MM0yt ISDcipZYe4dZRAI21KEkQwoEp+kG0YVCgxfSSWCn3uaROXfXyLO7HTnxygdwrBf1LVsJBlUOgY+P fEEFMi/SQo8xFJ+WoHhfKnG34rmyYFh3hiIG5AlaHXJcSLBLFhgKvZpAUaeqnWQNVLh1IyD6V5BN eiEsVlOKMKHl2Z3ylQsi7DmEmlPLB2ZaHP86sbbRAaV1SUj60ofcBkDEUwiU8UtEVaX73RsJeTCW LalIE1PvtHDBkCWvRhk5Q7GntB96hUKCCr2rPOwL9CDN5EK84skT4ftEqcaiXAWV8mXRunmE0qlH AfVF2rzTogn7QyzE2o12N1KAX8D9RTCNEMb7KjsMpcrPbhYssvIjuTTBAg8VmjCbCVtMwZgqbYrg uLULstJ/Q3CYJ4KvbS+6f9vU/0PFBWtV+sAyzsq4HzWBw6WJLoWQgUu8DcFhKv+HIEZ5KyonOrcz 3G+MFOlFXPeL3cW7AsD9XWKrDiGozKlp9w90bqhWQKvzcamUmNlXArVHlwa9ISmYoA0MmYJ4cEID QhVL9KbSJZyU9CDqbuxWZSy/oa7QC/qer1Cea+7GMxHRiagG4X0c0Zwk+cTpzhv3DYwe2Elj+N9e ThzgnU0OXOPmzntEHcY3ScI+2vyuSgGjcO0ntfTqzNLY6EJ8RPE6aDtZarCAyMQ0foUocOx2yxmS ow5L1mF+sw1ctXZjvwpuMtm15oZ3ys78ypGl5MQrDSTShHPMd5SnZmlLSFY5zbWNe/ZNFG5y/tXu bDDy5yAtAbA6d19GN5H7Cu68U9M8QXLVHU2lqMlqOwH4f875wIaXOflJkk884M58jyUbmIgPf1yj bIV9ZLNe6pwrvSfxjg6EF9avi3ijk5TP17w22BvgCuJyQaDu8Kvr3lrMnn+raD3vNh3pwKscOz0z /b8o9hJA+LtxrNmJ3RK3mdR5TvcEAZT7M8/o9kHyLjM/8ROaHtKbkk0965bdN9euMXepTxO0XHzQ 5GbAzsPwesOVY8arMgRHuIRF1Q4vbLX2zM1h9esHBapGjfgCOA1UldymoXTy42rfNSraAYcVKQcE qgueGKencNqEknY3S/Il0vnzwmTo4eBnxz1hcH3iSNilX/pEO6Tc7mqbnAtYz34ETk3CIPeY4I9x Evc3vKgKw8LBlO5aOXEvqca3tapqZQOuP+CQLMpOd+f9kRS3jLE7PEGD/LyJW9RYnSshpfYh7rVN i/AK+1o6eW57FQRWOZZhiJsdGgsSzh1HxYlkHZyRzojfYjIHhnyspcTBL5pPW2kyTJ+/VUNdNz3w rra7IMSJm/NJYTjNDdVGQqnN725WTXhw7rHZUgBy4ovNgOK5Jf0/xeo5IXVk/2CN4Xpb0Ln4uNWS IQ49lhO00KZvrlIGA8f4mGwiI72fiQSFef8fMCexXAUvTDWZklVPDS4Iydqx0UK3Wkmo+yFQy6jM y+DMmEsUFZ93N6z/IUyBq7cW81ye/+VNucRny0w4rlYyzrbN8dEfCfxsnwPuPbxx3Y/K/FG4gw9w myZOL9oEvUA8LNt+JR9ozunG6eyiweX2EjouAf4Zw9nCoqA1RK3pvHsKDbeYffQGnnox98wlPNBa lFB1j5DxWn5gRzO6TMm20nMuJlfRP1M6XbelbIKOlW/UExE9PjkVA0OsmjizPfDmyFS0tCV7SFXk MutdizvGiyEfJdsfp9vMe1So+prv0Td6mcyeRI6pgDbDSTcoHSuiXX3HlIoz39gAeC9gpVzi57T9 /QGRlt0F2gAo7l62ss+io1YLQXCJuCaT5jaGlT6YE333hsZNao3nzy25UUJ/OU9r0EJ7tXAvn7do fLgUl196EuFI1kiDiMVSzWArYTfSbuAhq/hKYfp9Z+LfHwjwnpPTdn4tAzg/3tHFnrOmv/x76oIc yrBkQcI6OodTj3K1EUat6QKZCynMcAJ1KLrXTYiAhCNGQP32dTdNIcN18aw5Ux8kDMkFKH1pH2ro 9KpMOZ+0m2CdbIid6qdueIr+zgjFDhBejPLVXQT6mm6q2CXxkH9wgt86U+0E2twFCzPvAiWlxNMQ bwDTPbzc2x3ATe8v+b/TquKz+qGNS+2tYh4viKjFuIn1hEIuyt4e5Tn6tPZfjPeNiTdWk+ih/DIZ ijfhzSyMbOBBuP9LLrwwF+02iRX+STOy9IufgRqUFKDCV95MuEofBsMAKvPZujkUNHaOZcdSPkWG LfQGLY09prPZWy+9wmFVLPNZYBLYoxshtVzWMp1/RexwEbgiQt9o5TfVAxZqByI2JdJeGYmf4D6s OyNEM6kn520yshWZQjSdvDNwySh1mZjqZb7//NYhKNnWRh99UY1Wmwpn476hBa9O2TvDj4Mts3d+ mbqklfcVCApEhKf4HGgw+vjLHQVWnR/G4qrr8m4gMHTs+o24wTu1e+Q30L0xM2xa6aKQSauE4Niv 80qlPBBO4lM+tCMmxZdtTk/dUBOFtjt8anMcWrTxzg8TCw+0iu4YuztZTBKra5Pq4qdZ+1pi3GL8 tQWIxO3nnjrwoatA9+DBSAB24Vx8Y/gQgmXTA25TdhS+vwbCR96SH5BcAJJyn7bn3DjLLz8GOYEi 0oY7pZyDASudxudNtgwi58/3bQ3dOkLuGlW3oEpxR8BvHuDIkz6UsySke5lpiOkb48mM0h9SRQPv 3/I3tzZqCVXMgdE14z4ze2WgMwKH2F0v7SVYSAsHjHRU2pe/GhSZ28urGpvFuWo6nNsn0qEOWGEA jj0zDINOiu5SwMXl8KDWdZiKykBklrtf0bDEqNrxXNTnBvds5BZjKDpt4h7JyO9x7gf3PkaIKbqi hfnNCesxjVIHxA0zW2sQT6DNaPIT882UIvvD+t2YS5CbRcLJobUaoTmtrWMHQoPalimplMSvgstV +p2UCoVcku30MqKbXvn8mqYc2IP+mffhUCrD3jTjiySvMZKQ7a5uUT+egXtpSzjlp0pqh3LLLRen lBQjTs1kDWPxIHiNRqMUoHnF8EnzkirFgHSxIeuoxZ7oJEcmmDKT7hZ7uyDEj+xprTACLJVlXkFF SYanO2SxmmpAd9ZtoWDLXOCbmZiFYrvSIcXG/BGC5A0rJ9NNMz76wtpVYyZAMGpRKbPZUKCUYqDw lRL9hQnN0rn1DTFcjBMXsVTMACO1pHahYDnxB01j9MEuaI9ficjaxXhzJZ+ZGqRGR5iZuO05PuxH AlHi8fL6UJqYzosbL6vZEnitWkJEPnXihkLfalFua5483dSR2MxRV8pmnc4En3Bex7Cavg0zmbfC lgew2bsZcB+6nDc/VdD60gLy013ni+2gUdupP7ReWM8S3G9XOCw8h84DcxmDy5cNAj7DU4/iiE+y TokhSHzZRmB9dfF+orVjGEjwLs8V3RSu17MExKqjosDfA9T1KBIsrRSVICGrdlTcrK1NbxtzFQtG 3X1nq5bFdCdC5LP87liDeV4EMwOYm6iA0iKQxipKAsafqM2aBHTJclfZCs3je2xW9ocg9URQaVEb i+wYO1Xqq5ub07PKcn8L6Bb6JSviZFxpAO0yU6+xlJFc+1bGiFoHh2mckxw8WPeI8Lc1vF/iFDOL WYWdlsQ5jrAL8z669FFAYB3DX3/Y81Ruh41C23u8WLk7plW3WL1DwIh+ZtbjGbsO6LyEL1nOLz8I 4qdP6F6YpD4bCHgboe4PEMZxk2SBp8GZaTpuSwgV/wNwZDnWhL1b+oyQYb2JtFS2QXMk+k506YAF UNduMmYSfCpC8zoUxSzjHWqKLGIKWEojtNOXqmM4Jp2K2Io/rUmiVnVjUy9b/DPJ+uzXMKKw3gn/ Zb3c2p1Y2R/bIf7qKFM0kvSQQ3q5a0BtvGzFd7J/SZ3I2h8b0wChrMxmrnEXnqH+r8MKXKpii1Qq VlIkT7hphyLl0+U8N3m9o/+RiNfqme+IznApzqbfn+6u0fAcFtQuSLxBoweWllhhtXRbPfSuLN9J 4pKA/EHRPgGasu0bPGqU1oC2SjHAPYYQIK3BXL2ZlTz/Po5oIrtQ/p4H7OLv+HGfCzPxh+0jgr/A ro83Aw0wDTMwV5WfeoMtvCd9C16yUHw9HhfeWgQa2v7s01BqTADgy3KrYRH/5EQ2QpuOgue3Srsy 597Y99j3SSvx2ysgwfXMG6ag0xkDgnBj3fbHCFHJVbyQk6uPKEdsz0GxpoQHq8zdqrv3Y4b0g1zr Fx3dJiu4OOcSzSHr/n4s5nQ0yU2I4Hw2Rk68wZa/pqAT689qQ/0ABrKTtI3ZY11tF56i16AoYPtp C5zCjaXbjOG3Ai+8JjNF3ttqQQafiys96qFl0gp8NLwDc4up3Z/svBdMHU8eVA/lCwmj39mRKweI QrIwLWpQz+rcf8qDtxc0p8e06/VgwvbLmhA0G7V/PdDuC5LyXwb4m33frJa2gotY6vRHLCo77uyJ OVx2y0/9Tq11ENnW+yFmjinc269DmN/S4EJnJ3hSIxsl8R+goLyE1T0oUSOLqR5KuIlzbbf3oE3b U8aI4q/Sh/VafeHljVIQs/CfxQkW3plfPpqr+ikW7psnSfJdsvPVhaRUapTjx/8EioMU3fGc3HKG zhCGqCPRB0X0Xg2OCOPLtPocBIFVQnf4hVXVAxXMF2XGudD8Ha3nBaGwLAFGS9NQA3G0fHcBQc2d fsK1kcnCd87IHKYq7wHthClMzYQpyNTvssMo4BaKPOi+cEMVYd62QqrbdHwxSwIZAy4Y4RfqMcJK 6POLSOao9IBfOqttJkFcQARDiMmKzt1DMFf8DYY44SnHSVhY9yBfoLKmHmnyWui6GN/+czlfU+PN t8pWab1LaeKgFYKuhjQGCge/5jV3irn6GAQsUOTCKB5xob0gHV1gtC7GYXWff0bEFQvW6IBqDaAW 1WEzomF6fDkadzASkfjgkZU7AvgJcSi/lPXa/U66G+CVoBZiCeqnNohQP2eoUeqo3Phjdz7l1uaV GtbCxBlZq9VgGaduwkqwPNRDGgakJXptpf5zZ9/MyEIljkgQ8Lu6HdxFI5c8H3glYlfXnUteQIbJ IqdiHE262mXkMtxkoHBCvanKWu1ptIxPoaY+G7YM/3MQBi+Mddwjw2mI1kX23I93DfClUsq7ewvR 38H7RNbVkkhmiTDgU8m5iig/ZZ8yrFKkcD3hUPEKzZ34hC5EvzpoKWOG5Q60Xsl3IFmL/6BRLlmZ nKlV0eKRVFG5xfYoVuNweci1Fk5W49HOOqI4+cLQFk0Aabtc1NpEzm/LcVmi6I97m8mo8eUd+WX2 O4u9an7g1tGVqeAjX9Mc2c/n6ssJbpkcr0SJw8QOY/kAeu05/sZuosmWNC8H1bZIDR2VVvWdYH5G mi21RO5jctTfG5xK4Idsh0yrv7Ls9qkJxhZLjS/X6Z4CLI8wTrw3EjEtHpn27KZhnRjW9yrGoXOl 6hWjgmzUwVr1rDMgIh5nFEUIYPEwepTYIphF+SRaU60GOcLgx2bllWpxm+qlM+UpTKttDt6nKrbF nEHbBg1de6dwjhvlRUYHDUXhckPwAQORptAjXZEUtUClLhpmFOyFkpaVvNW2Jf4osdbtZbhK78PT x47sCH5P8jMQW3kPQBnqlb/pfPqsH9Bkj715BYXicPR9WY4C1Q8FDS1U+MDS78oARV1Xgrt9Fjck C5Olns6C7wPmbHHepFkrS9RIjMcOIccnl3hvMoIN4qGMHDF5w3em0cMXVhVexZRtrfhKQBCy5bSK l288fsOVbteDcTSTfvU49A6bwV1HPd+Vrm1bqH8WSBS1qIMiNI/ZAk1uF4mkQgVSEK+RdAEy5284 LihnEWDcJDXlhazl5GF7BMU3BCkws5G7feaJ02I+FGgn0R3gTPvT3zLF/ZDxfvModnJLGkd2LBwk 3G7FgvPC549FbADsiNuI1HYuliswC8BNzoylvksp7sOheCD52aieOQ+n5/yVAJyPFaAsexTKYeHi xQgy2RQglrK1h0Rw9pg0+twZWfzwEZ/JGBtB6le9KQbJm7ozYBO80QAR+wNYKvZ//y5sWIJbfv6a m4ltSgWqdENUh320PUxLHlnIkfNOr6nMgrEZBAb/IiN/ZdkV2fmWzGhTOM1zKeW15irAPoiPtY1I Mbolb9mp3NGQHWfRJx4A7sHAhLdqjfduPWl8sAqpY4vAcT9bEoZUg6aM34YLhRVwJPCmS3XibQ93 QaA4fvL1S3i85rgfZmIzc1oU/RIUqx+bkM6kcoLxvRz+C70RFuwIz1UECgFhyWPcd3r2VlfKjeFD VH0IaObzs+S3iNglyCIzecaxZVCxqCgLVhFSZyaDYlrzSIvH8uQ0QYR61U8R2YE1nBD+KQBWQq/O MqxGJPCRJzbK73KBJNad1QajRopu8FpQRBIV7rRcxhFbYFfWAta0W2PRWsJOcV74OZOjcLpeamY1 k2vI1ibQ3eTmbui0K2bMTZw8uzRiooILqhPtGKWenq1kDBwvQQW8i2IylLJq4w7oRWXgVmQ08b5L Z1HLIGkndnwrCnJRqLZT4aDn7KcX0GGLzy6D5q36vselcczyiSSjiYCrnCGQZta2RkupF+leH1hx gDrDZzpM2TRgA+dkYvuZQifynoEoukYc72N3rwVfK/ZsUftk+voDA5wkOR9HyyYUKhfn9epGHAMf 2AnoYF+8z1IX9Wwb5s6aRS/inHDUn8srIgU9J8AsUmKpTjj0wqcRknVWpbiS20i2S0YaCM3efCu3 fT9xgGv+IeKFbJN4qJ3rPPXBvlUWMnJCC4ymRhuJI6TanD5z/CQVEvjzBQJeasDX0OMRoXxfJm4B mKBWH83rQiOgYGiioSnudQgvsmzjacmVXI03PBkXg3F4f8Jb2sTh241maeSi5VEHoXPLQs2azVZr SnbBJsFHViMtvt3IpsF1n5lQlxX/usfGJkijrHyempZtvHQ3Piz8PzN+n4bGWIHERpMA0w2CfLbN V8Hs0wfDgjIbjOyrvtlALWQtG1iwaGhJcZrdndZ5CVN3INi31KyUMIBjj6N9lRi5kUKX1UV/OS6G 5eV4mDFUsBljc/dHIJ+Pt960pCvi10ePDXyNeeRWa5EH38N5d9w0db6KjX1q4xLAlX1jqSbi+P4Q mBQxTjx5qUf5X5uJsOAlryasKxzYV/DdaO7eWN7tcybxvpDsJaIzP4AfrCydmyvk8YAxXG9v8qD1 pVmSC8NHJhp45luaj3jnuWODayYbQ+qiROCjWU3JROw47iloKhNVP1iBEVbQ8AlZ328nxT6QZweE xovz7nPsbtZy3vQlBOTNukLKkYMGTsLmnaWviDiMOeaI6bkXWK79mGFTCQHIE+dmYOP1MSrv0ww8 NGkHv7idRVJXsuzfg4hZnwGMYiOZio7yPjDyyzW+FXu4mp2g5vihJDb6LAMMTnAcKGN00XpPzvmD JXQKu2abj/kEj05GxFshoCLG/ZuT7wAIT4pllWfbapxSjB2p6iRyts2B3ruqgS59wWIRaNkC/i8/ dqQD3QYs8q/ZMFkCUwVFGK7J7+x2Dfw3uXY/lAuWXA/nWQkVKwxuAEdLBvNdAJyfvZ+JCPoB6GnP kDjsIds9eH+1Uff8PcLCV8xXFgU3g9pJfrh1pEwoxKlW7bp+ID1HLLI8wUBvsVTSS0W9VmGvN9ZX vLiTrLe+eb1CZsj2GnPgvSQ0lkdYEmEu3co2rU9Ss42P9wi/NcxUF9adl67+DjFBw1XDmrJAqKUR Ludy+ge6QCJET+Z4QmtzpLGT6k2vY229cuu4gMFtN4uKOSJOna2zuJA+Hqt89DnZcPZBJYguH4/+ 180oQKNn5/IdN7Rf5lJJsT8PLy1pPRYvLWy/sKT9Wq765f9cmxEZG3uHoq0z+mj2IND41+dvrdch KPZTNrmOz+GaxSINJDgTmCskIJu1thrq2gIpcqdCBPVHJxx1WZD/53HiNb5gyCd/XrqtSnBWfLqW P0MPJe7nMS8YNTvxEjGCpZsXOfLiknZLIaNt/FHcFTO5wY6Jq79n7Usz9gcJBYTEBjFq4DKnIdoj 1+wAK5HxH3cKUz92JGqLeg/I1VgP9izVBqiUGISv7cFzu69zTGEUSL2hKOHJi0Z6XEmyElVZhUji TRcEjshzuXaa22DtGx62TS5Rm9qLVE9U6ytqhzoKOhii5HGpkPox3sdd99Fcsw1RSjkk4YfbFoTH UgR6WSrXnHUEksWELN3iCVfDdwbcxmTQo9FlNEr8fem5Cxh5ppk3PzeKBFvpznDgbF7B5uWft+vD Z9TXi5ZS4tUQe4f4s1TBxj2eOcoz+KUEVb2n20YXl5KLeM0k/xTlw4JY/2RdBsUEuVWu96/FaDyE Gu1At4LCfp1UdC9Bu1ljycv2yTO0CtxezP7Q1YPnpYSe+CUsmd9TxmnTkmf6LLWKtN6+EhKZAUl0 YToyc3LrFqsQmmU3ey+u/5WVz/57riJhi1kxzGsRbKQJZEumLgB3LeIF+SxZY07l31W1i1qtRn+i 9iUcVT9Ii3mZhi9iaVgWbCkXkUFThgNoQD8Yq5cvClT1hmlIPNEeI2JeyiyXq62WomfLosGqhA1P qeZKYLYX3CuNUtUmJb2vIqvGVdlA/kvGXnrd0p91AdPcqTjJRInZw+bnegx39x7sY/nRsDczaJJg N9908Wce+p1OhlGRJ9l1d5uHrYfn6acLLD1g1KRgv/j8WBtxJ4Yypo8WajVa0rJooJhwPcrii6MA oFP672Dy7XAg7Uix7T1ZtyEdLgx4eK7LYxYJKKlzlD41bX3pEVnZ5uLWjnHtF9XIylSVwzE87Z9f 3+TIobNM/kwlUiVRmV3Us/YvDGCGqe8I0FTYQtc7G2SEyRh9yKRX7hm8d4CAyOzl6M9JGFyCyXLM 0M7pNht7caJHj7fCCJkP069Z7jjcBiZYAqZl5HNmqgfJjPfur0g8UR9VwEtHhf4DNQ1ppg3bepCS 0//RNKmI9lq1K5/5nLWReE2oj/hM5EcWOZKcGeibYnxN0DIxwNgu/7vkEms+1m5V9s/Xb9SBvCGk ZKDes51JtMAGx7XB33hlCo1xMiDaICn/EjxTM1fgdJD17L6YEzxhVsf/FqU= `protect end_protected
gpl-2.0
dbf394b38b57ff96b49393a8809ff0df
0.943694
1.835652
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/button_controller.vhd
2
1,582
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Button Controller -- Project Name: Button Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Switch Controller -- Maintain input from the four buttons on Nexys -- Built in debouncer for buttons --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.all; entity buttoncontrol is Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; BTN : in STD_LOGIC_VECTOR (3 downto 0); LED : out STD_LOGIC_VECTOR (3 downto 0)); end buttoncontrol; architecture Structural of buttoncontrol is begin ----- Structural Components: ----- BTN_0: entity work.debounce port map( CLK => CLK, EN => EN, INPUT => BTN(0), OUTPUT => LED(0)); BTN_1: entity work.debounce port map( CLK => CLK, EN => EN, INPUT => BTN(1), OUTPUT => LED(1)); BTN_2: entity work.debounce port map( CLK => CLK, EN => EN, INPUT => BTN(2), OUTPUT => LED(2)); BTN_3: entity work.debounce port map( CLK => CLK, EN => EN, INPUT => BTN(3), OUTPUT => LED(3)); ----- End Structural Components ----- end Structural;
gpl-3.0
cbaeb4164585e35aaca36c09a38add89
0.510114
4.241287
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/vm2/dsp48MultALine.vhd
3
30,599
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BByRP315IrgJDLvK7mIaMlAsHX9/Sdqbx7cag3R519cttfgOVNim3tKt3mAL/YXnAKaflCNeLzG7 +R+DbMHZ6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZNAT5wJHIMeHbJJL2ZlWajHTM6hcB5Wj6DkCnV1/58KpKXkQYs37gzLJ8rLdwQIl6L988x5Z3I4I iZV1UyeMYroSCPvFwZud7VakKl6gDBYjBEZBxfjvBE6HqfBbZ2iclielqMJK5pya4eK7SFO10Ehm eCq08GGxNOuGLq6UOCg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BRzKNMG9sE/fjPJz3qk7VfwWX+VzbXtm61y85PC2jStbSEtcOfbwTZQpbPQSfHbOT4R5J8UMVVnk DZQEo5JgFJ8LqeWnVWuIllCFGblRF3/4pznNxB3wPGDE6gjSpsv68tzrVIX1baHE6T7zgzPojpTJ b2H+WlXl47JNzmHqwBXYTZmmmln51kgd5okhpuCpufrd1GJKVSobT0cBpoHVNM1Yjp2jazf++q5h KR5Ev5ZyJjDkKQt7zI8Zn/L3nQVR/cK56xP5F6VFA/u1D3yJ2Im3F0i2TPcQgN8gjrNJpGX7ML/l 5hMu7bLXpJYPWadN/5Ke2mROLi79kf7nE8DCFQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zvTfWbNmr6kqcLfY1nZdi/QUkeb85gg+rUpsuA6tm8fFvFmIdY6CEX6zAZqmwwM0avQh/qtlRrmx s+mvgbYrlMIHt65JMeQJ21mA0fWm2ud1sSuTBJxHxjL3jZeAA+Lybsa6BltnKtt5LK3hnAszYZVx vn/ZSJrYc52jviBt4V0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fNUB238/1O1ebVsWKxtz0Qs7aJVD6MiqUUtx1x/h2T1cIWNPwc/rOhsDRIe+9hWWdPRJH4mbarWE N72TS0nEKTsitNM9zSyjyO4QkKj/RZkRqARRHl1rHEd5Z22Ycw+qqWmh3Gp2ijBCUbPcmOkrmZJJ b6ABmHXsFbdjV2hoKfoHRIIGMTZGkJH3N65LwBQafYayf6Sk3Nb4mZzo5i50ppWF1fau5MCp6vfx 6zvx0M9fcVJT/yvoofcP1TrkrRe66FJhQE2qP4JzFulZI/ZAO/vH17LrPV1wMRFV5NcLUN486VEY 8ZfL9gzmZjFrYv0gCSXq8tIa5Va7ZSTXN6Buyw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912) `protect data_block qK3+fbJlThRBJmb8YeegBvyJYlEuWrL8z3foqcu27pkyHEeg05Vodipjgyp3x3oCIOIlG2yhwR5r cS5BagNmC9qWW98+FtMZmOZkn2fiJWN3HYK7RkB5t5c6ggNZYahY27/R8cNDGPtWVHgbHOo/oJTZ +09Mque+lsCbi1JZNAEN6fzf9nGK8J7zGZ8I6yIDqnEtSFu/vjIhMeWXaVrswlzfqbsnRex/lFNx fPXZitoYNylfanGqUCkLmpjfZ9ULibECwypkF8XHOvQe6Sh2BEEoZxcfZipQfA/Dg9mHASj+qCA1 WX/c2VnnhIa9hUkT62gkdoWtO1cMMq5ImuJ2l6ZHWOea1HwaoyiHF+G0fvWBN4Sc+MpyyPvglLOv 38IMjDQlnGOmT5eZeLLnBlqPNIsd9SU4tDnVKo8Jc22SiTIOV+X93DCX12JhzRkS15xyg8/K0c4u IldiBde7SNx0gu0oTe3Js4ElhSH8/E7GCmSeNVrcYFpzASwJJ8QuhDLvY5QlG77czg1vCUomoNoF TI1L88WL5YXI4VTI9NM0lSv6oGj3JSU6XCC9H55m3hqSCe4+8E3OS4/RoPpTBw+zbjhUpa7SIWqD U8cprCduvxiahxO8Skk56OPXmMiFgypA7HIhErTQI46IV1jIOqPhc1yy8Sik7j5pjVsE4Vw3YcoL ugil51xqVAO8seA+HpxKncCCRsF8cVVcTCZy4EEuZt3zjrOhvKkmPTv5TmIiNLFoUTVHjH/GLTDt 9zR519tpUKaAygRNmCODIYJLWW1O5TUCzoihzcTriEJh3M8Pi6F+utubMcxvmaPBVGyZd6BEBt4I y8oMLtnzMOWljq4R4t4SYKa4Q7ZTdWb84tDa4HeNqDKnTgFUUwbcKm/Ef8aRkg4vzHVJGCWTJWo2 eqDOwa81NTIPVJV0IK07JtPrQKJdiUcsLd9vXKeajP0cueFgr1JtKZE82a/gCjzjJVo3f4qp98VJ RfgSrLKLmazIo4o0pFAGxpyz8+/Vn6mkkwxQKNFOxXNUHqvFjje/hQq/ECv/sv5+t0yxjZ8iG0ko L8u2Oeur9BS6uCo6tgFlDpyjSxUAcZM2VKyqB2KSsZUwtA1Q3mqezbdWI1M3MqLysht4X232fSOF tXk5VMGrARKTtFQpw1D9UiLFKZS1YvY6sDSRZLkfTZ+5YPOh7JqJJ0ILuF8JxFqgEKdsITnbkK8Z 6GyeG3eiXa5EuWZXcI0rzRBZs0xMusiQ0XswEA/o6oIQH7U4A+ulh9riMP9LQxeg9RP1lJM/yYJG vzKTXNqoVHkNxabPzpn43q3b4XODUempwZuh1DkubkXskK/JJYrFrGT+NzoqOlsZr1jI6ToCC6hJ f2MrU3H5yhqtmPDvG6UcUQQQEDJ60OmMvQzfB0su/kZcHkjS55R46Qp0VA+o6uTZSDTXvp4w0Gns pR5gRleRJtzMxJmJGbVj/3HuFf8ZL9QxE0H7pTrjRLeXdxHnXJScMPau7MMk9Mb+gqFA83j2Rkkz awpwaC01PXviQg8MmMIL+eAIBu3H7dIw1AdFu7wzp0SIBo5aznqyZu2qR0vh4MZiG3ybVpOLR2DC g2iMNw+TNyQUuaoeg69Rwvy3Eu7ODVGN3d2YoMb9GVpQFiLuJelWfSNXmCtP8YW/73NBPmS3CSDJ Gq4m2poo84lf0vyP77NlAWJBth7KaxcKZqkAnfs+A/XQDsTINcN89lby6K1l+C0zFx8JIoyYCFDN qiXXMkbAqKiHArXKjLVhIOtu6OEfb2if1ewcObnHxSAJer3GV+QVjQNdp6ho5VWY2pbibDkU8Ohb rIgkOrXCI155x/O0udt5P7GR0d0kwQiJd8NT4A6vME1V9EsqgNvRHScFJ8UxuJROdMAUVcCqjqen 3mqqQRGd2Y6Dw7QjWlA/FCJMFWHcfc22BBN+gdkB525Fjh5GOf8TnOU2i+bKtol7aPrt+JcZ5sKU b6qG0ox5vkob8Cw8bsmJRVts9P9mKPxpwt12bjleZSOY3CxB9PkdRRH4hhRQ8B72PNrE3nlluHcH v12MxJb7eAe2C9e7YOIgs8y/alSA24zELxjMRPhqh/SpAvmJw4qFteSd5iMXkf+DCbPX1kJa1OMG SRv2v7d4U6ztiZ0n3vFXuyIYW/9Sm7qM5mjRLmH6aqcAxAA1tUuHtQhgtCAreXrM87L+e//P0VOb yuUX3Iqsb7Xo6J0NwnFGDqMH9RFoayFLONEbVdaiEdnOi7agkHDwGQJgs3nx9u6jFqwb6PgjtgAO LStI0k4D9CW8VX0dZJVkTBL0hPwPr2TRWbLGE9faG/qbkXv0JRH/PL1FHAzY3Gu2JdYTDFHBQfMr WKWAlfqOY7vGUL9L+p4dsoaL8p04hADR+tKWDHv0DEyFWyLWYRMTbxD4ADfVhO05lggxc3OV1tXr 4TMK/pF7UOYtxObcTnuEDd4b47/Be38vOSzA/71bLGKzinKE3gfmzNUtOEaPiNUB/3M+OhEAUQsy I+R/io7JuwmnvObWd6ToQV1IfOjry1n+bEnZMHc/MEonZjv1suFeRteL+ZjOoY+GBWqPfsMUby54 TocWrDFvn9zqzQd05Ym4ycrFhh+Dk/g3/2NPvY00mLCj3n+wUCHKJ1cS344oLvO7IA5c4yN3FsaZ MPNgmtqh2QMdlsLEwUy1JQXHjYRZoWMGW2BUrzhVa6Lqd5DYXhV/q2aYf9n5S0gUvgadlpW9OFZg SVWJ2fug0eRITDfZ4i2KQEPph1f4OM4bvIrq0gC3OIDmhJJKr5DXwMwJpC7jeFcdvzj6iIeIwaUH 3AGBvSMhambZbn6grCohBpyqS2jfkLn+wAoV2uh2K2vmHldC6mzXHTGUaM7KGgm+nRie5UoVFlb6 2DKv67Bi2fkNqxKYlAFAn/YbwZZc5/Bn8U0y3KFCcoWOmofbQ0KGaiv2XXsI/KfibzQpdGXDwBWf /nuoicKsuTbDe37m8a0TMlfbXAQdMK0CD5NJUCvvpY4kU05zH6Gh0oMtUtzQxVo6bbNmQXfqOXED Y+yOSD0m/oryUW+sQPq6+M1e/aI1iskz7zfH71o/hv5VFCSK3eSFNjKycrl1LK4o6a6qXCVxvQls 5mDdTR1qveW9afctPvNQ2jAM+IgyAP4uQb2dN5I0yb9DMaChH9qCAPLSEboX3uv/KQhWH2dpdupI houRrpe3kbiB+yA654fU/ae79P7mAzEblHP6xeTHQNiT6w/15Op0UQZOveHecAaoR0a0gSY2HKVN +2bcKTCu/wtDBykZVFZurdDXwn26Xen55fh/0UnQHo4nbaPBDTXSfNKdOvkEsLAWDDp+97wqiVjt j0ovCKVVByBmEUQ76rXyEZz6Tf01ZtkU7U/BMGZx82Fn3ju7LknkfWmCUkM6KT8pW1FXhWTo+Cw/ WGeQZP8SE5FszknMngTm5O9pJnD7gKwuWZl8jLKOfGCmPvk6iV0Bn1tl3khgr4pDCTboxRnxKV6l eCHszlfFv3IvZAPR3zyrDpRS5apEf679OxDsjiaofTDzWT8iXShwhdEaLTQCHIhM/IstCDXNdytv UDiMwuykM1smIXmRhUNg5eEabWYuOUuG798/mfQy1wpEAW4jNRO0JnZVjlcd0+bfIs7OpL0UtEsq XpSFH2090fMPMJNvT5VPNG0G2dVlxqV3zWORtLoz2duq+fhCkeRVj3gCsaWhVXs1+0b0xT9bcx7h IJ/rYzzVQYtMWTkxvOeQnUDHBh9E3z+7f9IGNLmi8+6XHZAB179qMBc5rekfNFbDvSsad52WoGdu c8FyXUvaQXv61bs63/m/YhnunQvmDXchuVEFSW0Uaz2RA5hOtKLSsYbJ1OSxNxv634h1YTEMeYRi GArTdskdJRya1qnmXWdRRDKTRuuOWfL8g5VAhepgU0HG0w0nhpvOS63UA9qaUKWKbTRjxQtxA1GJ 9tofqtqYbt2IYOoPRlRzyMaK2WQHPpKMMJGYnxm3siFXTnKwK6wHrS8Hd2Wo1CIISU1ht1eZ6Xib 26DhZhqsiN5IarQ+mIWKkbKxxFaGkwNDGi4Qhrvv+fp5Cd48mdeNqDuDdrY6VJU6UzbwMMcFQ3lf hvH3CK5j4YDh1/hLLeyUR2xkS/ROrT4r3Emu11WHcLCfceBvosPp7BBUnd/W+RkHCf+Kc2lPu4Gk u4KUsukWOlZSkTlPq2koIF0ANwiMcsrrrC7AH3dFQyesKPtnB4Gfh5fENSkcUj1LCdAwv988JVUq ynbER8UnUquQzphpYOAVvTnF2qcVFgCO1XCHYPaSVtXdNaiFv1smxEIwqu7sBbjfbvov/Vx3jKSs 91V5c2rXkii6zlHB3NutUKsPYbTfK6Si9rKENtS60U4RI7TQmXcTzEnz6IDjzG/1fx9Q1liD10Qf EQswIRL8FGN5RhCwobW0ernmkARK4kMNKHoikmpf0yLxxo0rZOTZTWsLHQ6y1VeYQZyEuuNVSHEU 1fnql9VDP37oO/cjipDWRigLmz/s9p0vP6pzb/am0GsEthcrVgNNa1ZWTeVVjUulnhvJqqicLPDp c3vxM4H+F8L/62/jS7dy2o1+3SvrKYlMn/qIq2Jvgz0weqKyAY7CxrTGjrLGHduZErB6pWOOAQx4 kwtRM/x4YD3c+32tMDxiOzgShJqE4wx3ObbkaMzg+LZQJSrOllm6krqBoAb0ii2+xIhvluPPOl46 5KSm107gHh1Zalu2aX1sCfGiUdAOkaErBBwel2xH5MoNmk7HU4JPZie+nh+LFrN4Kf8P+v9/TUs4 iZML8ozqzGhE37JkCu/p/DIB6K7kQLf5/49CtkpY14gjVMpECwmx8LwlvpWLUvqsI5TxOzVZYwfu YzXk3EugM7D0gXAESRMl1n6vslbcZ+CojHSROYGomhvRcx4wWWrRqReCxcOPWzsfKhjbG+c33+09 uEOMIuZKosvUYwvdkxbB3YzGw3Bzq3YkskxTvdN2PYxXBbiXnF3C7ZZ9OhOLarodjL8HWtrO3pzI o+qdFrPZgXBgnjBd9Bj6lH665u903e4yAlkzdUN6o3R/tQq1MR/f+GeO7NDgzlBqgD/2YPMoxZFO f4zV5VxESIz535P9Yx09rGvvGMFI1EuJ5F9qsCBHXduHmZ0NM+H7GQaX/1kc1cqEZXKxSFaZZ5zp 2a5B1q638OnQKDfyWEbJnZnTQge7BId3CFODvqaBxhIwD690jFWNq9XdjYAWQVL/IEMhypoKuCy+ 0d6nW7v8EAYa3P3FaKDmHQh/98Y2bCVPlvHj5gsHo5JqZsC5yxQxn1G/CLnMIXuHn+y+Q5/wQhbQ 6EWRyRtlCE+qHHnE6yN4br2ACQTkZwCZZ+uX6aclq69K64KWLYMboF2bEjbw2PnNbumu9zl//tJv k+5vRxlmMGjH40Z82OkW1RfgSml32/PL4Oyywfmv8Q0AeGzir2M+d/uoiKG5Pv/PpXPfjn/U7SOL z1xxui5oANgRAkOV+QtFI7zdfQg4yGrKSw4cH25NtXag4VcpyxYL9VG5SYf/VlXqPfdLO9mkJfFJ /i4y7rST1vZltXswaHLYSey6mmiYk7JGY8JhwfhGJXVwDJu8APsIVh1fv/bt6yVzszSIg5sfS0WK XsbPFDHgrW8Jm++CPjChMsehXml57ikrc9y7x2JEC6UIjoGhI01uGzfCMv81c90ifQAk6anROU4z LzjYsx1cxep0oAFyJ09WObzkK8I3VTuT9u2ipaG8WK3ECWhiNQHhzr3SkPdTkjAy72Hbk/AM3NTh yxPDgGp2Yc6egMgGXenACeHp5BNhYP6hMBNzXKv6zatb+S9ItFMtKUpZVyYgkauzbqf+Tr58GEHr I1+cZSl2/hNkeeH+Ru41zKHFvajoZbpdMIYc1/h1QiJDabl8EpNCPo1sDOc+FJmOk6DfR2iMxL2a wg2pl597gFEa142+UO+ej5Yq1zmvwYWn2sNPm6WXIrQLiskF63KDY0hxb7IMiih4jV4fMq9kjsth fOYLZcQ845mq1TTmls7orb1dAI3HU8v+6pszCll6zXcBE+ZQ8nysmQ/m/57Xzzeamk+Sadqfvbs0 B0oHu5gZCs66xM4qpFFPInWlsIdXnxQQJiXGoq7Cry66kILq+kTFQw64bMhQL+t1JAJRNiUUt4c9 v8ADH3krfXY/HcNFzDilHNDtFd5CqTQxeWjyq7xJq4u/tM1eUJj12dt/F0b4UoVPIM8bQ7/vxCBp EEGQBwcOIq13Wy0+xbypuMUxs1RKjSEqlYx0s4nI+KEmUfqEov4Xao+Ewsiogcn+UpmfKfjl1RBo KSQAl3W8i4MAe6+iZZY2Nby46sLoPiMhgmwAGD3E4higpOZ6DONFlXbQu0aLESduRWrMsxur7yLh YD1q6oxQpv17e59xlUz4O/6DQ4Jl6F7odVVi33J+DrEuOXmpE4J8KzhswlL6awrjoqV3ybmFrVI1 vZ5Zxs5NLXF68J+iZMfnEaT8cmvlcmPyqd4ArTv6gKlrK7uHoE7Wi+Rr1f0ZbsjKUUekmSmwx9sE 8xP/RudgC2eO90YU9bvP+SwCzN0fYFV3GVfK/fnRMez+H4NGAmRQhU1oLeF54Z0Z/07w1Fe+cF9P l/VzfTaeQlLlGoX3cokouoUdRxdXz3t4F1J9tfH2q7jnwNL9WxsBigX/42XdcxqhQMIOhDnP168y o1ANdKryjlppoMkcAzR21iERqZfZaFbDD20oDizs7HnP5bmlA8Yfb4CaUaBk6F0/dFOXQQ717x5l urBE/ICDM6PQXXHzvDN/j2UN2LIp6Oxl8A0S77N73cLWpcPmcoxl+1LuUO/fC+N8bfP2+IXxW+jA lias6pXjXv85tnrm+XRnWH7/2sdbJU+Inkg8A1BBQeDLuvrZcm24AcXR8wiUfRoAXxrfPcBvMuFs O4Vx5B9zbKM1kc4hNNYh24ZN8VtZr+22DvFRAGLDhatPjqqkN8hnpvNnhsizFX3Sl/CTml4R9l5k fOPEW8F4eLE3k5vHhf96/SZqH+QeTyzwd2Ej/6B4ewyySMWk2cuOdP+tTiiZWInDCxfl/75Jo3UL /6SfclldyxFBRpnTGoMAwTleDnKOK8x/X6fqQF4lUe3XrkU+javiFvy/BYlBQkqptKmjedMmB6uX TRu1jAMqPn63QiSFaEoy0c/b+IFPcKLfQa6ERn9ttTaOkfjNHlHSYoR7e60IaIk9EdJe77Q82ieX qqMYnRw6obXK9VeRjrWWcHEu8rL9izrIXyGiUf4g1SWQPmp1UNAoaI1E9sn2w06hpGBo1YqcWMJX mkZ8LCHKuNvVhv/c5dCHIG2kra5c5/EfQIKT9WfvV++gUSTwSoqGE6LFHlaxVJiXzU+FTl+z1wLQ HpnAzmgRmv/5f2DgWOq93V+6T+aKaAdB1H4u1scuTUIJpbhOj8p6iNGD0vRF29KF6K+xaROWVvHf AFWMln6d++aU0bYKnEp3gXxQZMDbmVwl/+NN0yqZxbwbeTsxCAvx8lln7ky3y+BkFGzYNUAMtJOt pt8sWBPixnCVK8GkRuddw0ZgvBvx7W9oQhDXFsf4fq8xMcqsAh39Ww46Fb9ZdiVV4g+K9i4YT+UB 7KYJVoDeyhBSgebr27HVh9rZrbdHXytmV7W2PI4x17EoWO1wk5/RY3NJi6OBBDt7cMiBuQ9xmEPm eiHlMNN5LXLAiF8CdYydg4tqljt5FWD+NqmkKk2wLVf+luWEDNVcJBHRsDRiOB4G9pFArWTMw1Bg jct7NxLpz7D++putz11j9M6uT2ZgpQpuuIH0F8hnBw+18G9o13NTUOr6zdDurdtuuQKnWZwQMtNF JUakR6/X+dyeRhz8Bgw48H60VGnjG6Ym+ga4qZiDWDlZIirLalpe4B1M+BtY8g1eBnUDPC3uhLfR VQV+zhZUxRQBl4IuIElMlkK5vxnF83HF4ekA1aVkg3HKCV1DRjfWUjC7NAUXouWlKEyVlI3AH56C CLueJY+wDHhAI7S0DOczdo4NTdjma41hQEzbdsacI5vr02wnqb1AC+F/i4aRgFvSXyLnifrivwnD Zj9BcRETlAdps9y/blT81EA/KbTTdwlo0IAOvjEivciERiZ1LXU84H3y5DEKhqK+MFmyp/cKsq8D 7tpzuELiz6vMO4bL2ncLAkfZOGRw7Vx+lf+UaSXJak3pnZzRKk2cqlzODB6Q+uwzmw9IhMMaTcLr CM2okPXxxDk0LQiI2y6zV8uO0Akv3e0ZlfrfYLyQSeO/IFzkIswy16z/3Aoojc+1z0nniwW1SyX2 3PPUJbCXb7HJqUmpS3Vl/9QnRaFDjjoZfr7obCBYLKemp0NX29X2zknPg4+YLsiI6NihL6nnT/n+ KK96qLFfQd/eY5GSDX7wfE4kGAx5zPGPXLPHyWEffwdHJXPRefLQKwVnTauG5Peh+SR0wywgV4Wh BW0B/HxfCvND222m4uZ4qU7eFaf7k+HkrSJD+CgR5uUHTP9o1MyKMS7LDo0vIrzpglfwBVq3kbcv YOP0BcYkMv8OBQIdvgIdUnebpfWcIuAuwqgWiPmklESPiQx4UVBhibAH4bp/E2bGBLbOuh3C5uMi Lxh1nSaEJ6qzZfQ+dc+nZtjgpTaO09JhpTnGcoWHqC8dB1G6HQWA5zuk9ubxvht5Avyoc1ayb0tJ eUuh04zmzm/LSY/tSLAdlGNGUbamYZn0OjosZTtXpyqejXdLM2ckOxCk2WSQ67p03VMMMPe3G2WK sAJgPrCQCCej8/Fm1xu3X39cu+AMELnko495Mp1BjiydwXVVhB6gONU90NCj+JHZAXIAmtAlpofB JGyFEcJSzL2oOy06GBmwu0CuFY5Qh38UcljusMzPK1P7wzCvP6Lce6k1hxF8BFXZSNTo5JSCl1F7 YWZd+u81I7+SNibPE5hXkeLwkCKp6P8TqMKGsF8e/TMpSvqEYcTbR96ywYD86vmCRLwQtiIw30OG /tMok5USXxF5dtyXwAtPGOqaR8SYuspsrjxW+oKQ8rARt/LHJ6ViBYcQBTQHictw2Mm+1ZJzV4S7 ABcprSOICg/cNqMrEDJ0ZqLyGD3CMr6eO7/03jEQMXYGmjb/qtK4lqCdm7n4AWI4UvfH3fMIPJp6 OVGhW3yP38XCjcxVQiVcbe4wA0G/5tsMNrnVeLJP0B9nfUO0ymDg4/7WT683whOTNtMbqYAWdpLs pRs2YXXt4AHl1aXehnl7qIdf57rwU+Mq5PrT4ubptQXQ7Volt29RTopaqA2uQuCUguRDJZN/xqWw baoVcxratTABFVc+V0flIwJB2SNE9QjKRtaOK6NEYaeWEWpbiOjVlqkevTmq8LumY6ghbGxy7UOm j6fcHGwHmiIZWnrCLYcsG1vsxU3oR/Rii8v8ujK7FAPKomk09wBwSB2Ltf75bLgqRGpKUjef/olo Cgn9DjZuBW3eBTTlvaRO+ky4sR7ssKl6Dj1AyqlltUFyReo7RMGdY9AXeb6s3M+kZQb51/UwmKU4 KhE+wJNIFUaMi25zc2LrBN6F6LAwYp1O8ppL02bJ6KcWvN1HS499h/kS7IH1QbHEhIxr4Thzqwh3 SyYNSB9b/2X3TRbRW/JntgyRD2H1M2YUfaEAm8UZv3ojPQKPhK6nr6xDKzKFKNIo6TT2L8OWky/j EgTF6WfrD5AuWHijjlgQQkGuGnHl26X/XjvagSku6XVIofSp+f5sLUFNSgIHKVNZiVbboEaeiLN3 1V1SQ3mV9LL7wTBjXxuKKO9nV4PJNHTqTZkcXgC0nLHkM36aqehfdXe1UNiTo331SLCAbArfqxX4 8UAGQvJKgHRZwcR2KpccXdB+VSXf81VpcCUM9P+Nvfel4Sp3EMSXX7RLFilIu7B/Mrp2cPcnnuTb YhGYw6v4KEevKa1w1eREvEQ98lM3SP7X170tryphAnExxTVUijzItfT/Mgobg3buli5sqan2ZqOQ 7/mjPrg+ZBtCkyNZMq5OQAsiks7ucwCFSvMcE7W5rdI6x0ZHrGD2z0mLVC8bTx/uK167YSTpLPuK CY1oMRbXyZKX24qU+XkZRiG4QsYB/NzpxhDP/5RywY3VwkLRylxfOhtc28BiggMUKpaLFVFjtCix 5dPqrb32sk6jIrEK6kQKhhgWjx6H/veZw7eqtEuXTcIPAGFHVnozvGHhpbIEpClLhu9KZFdWbtVb xG394EvXKJizoFXGhblf0lYU4KJIJkayrY11McCWH9buQOOlilxfYHzCJ+0qRVHnbZVq+m0Dukaq ZisQfyAMEQKduQtTHtAvs6mxPzo3oVP1yKP9I+LZWeSj2LTONxuaXtedX0thJZE0+omuImPB8pr2 456GwylpET+AI08OLl8zfYns/GTcoV1X6E03f7o29GU3j9ieU5Tr1kEdHbgd3f50cYxxxchnZaYg pIVKfE21uB9TEFwM2EkHCnc6jpRNAh96mZcecrBcvEjD8iQg5PNn0id0l9OoXeKsyDPX9YmvR+kx O0KIWcwoZwwBrhNsEyYvkYHjYxBV8CPfwWiG5kk4s09VaMPKI2a45P9dWqNlh1TXNtmx5o6dTl68 EqNLMiYo0MNbRdyo2uQXdDgSW+kxUkW60mq0YOUArOYqZBaOeLtwMnt7bSXYnfvJW37xH9+UoACb MyNJkNYFjwcA2g2uJ8dNMtrwSll2MwP4DagNyoiFcSxW/cnEFu2QP0XTADQnZ8oX8NHjdPTOYcsd l9n2teLHxcVUQX6xBisYqpBDuuy68mSvPbeJmljR5pJJqP0JWtwMA9adN2259dTBAKg00r81UI+z 5cL592wJQm4oN6AhgLgLLVScRcGxxDv0noN9MulqFHs3kkCDZPfS9eNnr0boBVPtT3GiXBSpzEGe WMLRVw1EVZCt/Beqv+3znVYoJTVAXculZaG3BXqRSWbMtmHiBYOIS9pDpQXYXjntichF8Wot9Vdp 7TI688JmcTqIn7/qMvhAUxBGBwWopm980L59Ge5v1Eu8dwZ+zSU7oe8Hoh8UypZLexQuwkAzyK4V WvzrhY/JJUpj3ALLvLt9iXotEh/rhrQVmUsz+3of9zaByG0pn7ko+fQVco8tOe3wg0W0tYDS1Iru NbI09i/aOPTNeSmi6uZfCIvBJQmB4rQ5w7snFXbae3KbOZJiueIcignnIomFoDed/wAVGBxBzWpm k+v9H1VIggN+WuxP8Flucspozvwuqji8PYCRhIDXbaoyQv2MVPY+k7avdsXgeLdtu6dF3UJYk6Vh pCUGYCsU3JFehBh7qz2QEdbvOK3oCgbG4jlezXYfIURCPme9GiXYmC+257EkzGaMD9hSaxBf3nZg ZE3F37CS4I9eIlMiRq1ca1cKSQZdOhNvdLkdgcptdvu3R547Vbk1YEuKtP66pYRBkHWt5MNzAUHS uRf11v6wYfoGh+OK96ykxnme5eHbqug8NypN+zcaeXY0Tcu29+JmYPJpSkWB2ulWwThzrnbhgbC6 CaQ8dAyaqn3oBunxqM0aEjDQ7QdAElUE2/fE5XjxjRyqKvGNFWwBhpAUQnmr2SgTbmSHJlAX0Y19 OeH65ZSm2CnCFh6FG4vqSSWX9O+x13b6fE/nqAB3L/+8P24J1PzpY/8fLkyFTXSF2X5m+IVW20jt Bwrr0oLuaZ8ptSPZW6vNAnJlP2OGt90riUXliDFvpZFrmqqGQBSHkghQD6ttGbMbB0Inn+LuuzuR 8AwWVllKFkwj8kTT+L7YGcUqqQ5CN6veGkpPw7NgXbeQwIA4elMUSkcvR1MZ2TpqzlaBsdHf4Lgb TL+pu+5TQlTjNdEcEpAtz5k07OkUQyoYmDadHGPs9RtcdQ9kVkQUigco7x00cD6dLDNcF/uZIqFT 6SfqQfbimLhKnJrTshRPAfS/Koj7OvhKad5P4EnGri6M2Ioppbf3w7bHSDY74ErCTT9Mqp1xd2pb LdZhBokjyydZI6YispIYWgqd4YgrGOAjHh+we8Ut7QS/F6SJuvS7WeQ/P1DZA/WtteE3Be48Dl+V o03qSqBeROvJHa0gsTRDNvUNsZwYQkC7k/VXMQ725At5rQB19/mTxH6cxDzI/9h8ZivaXOaMzaT2 hI7ZIp0Co7K4Y5Kb3rBhWK7ZubH81poAMrgL+8jkCZfif1+OmyCfksKrW6u+NMjSn0xFrVjXldkL KAzGMPupU9i5Q9Gf6yB+Me2gDBevQo9pGmV1v6aEd3n3+siVZCu+6tSO3LlSXFPAMHdQNmFZkMG3 oTcSktVDQmcgGPhP9xcMR2qLbZ474GZVAskGuvPOcaaqucUV7OwxUEey+tsIozaRRem9L8v08tRo XSIoWq6kjerQzjOIMKY6C/htPu/AbMn2J1223nXfGEM4PvxhPL6AeVOzzaxjJxmtjDCZqRrO845b woVXKSzRY6XEyhqwIswgdEmiKPKYFgCy49Esbn4xwTQclLsyxLa047yqLmrNo4Zdr7zRj21yGuzr CJRBDcxiQiUYT6tqGSHaDT0QcEsyuNJO2uNb5chkxuNxJQvd2dUj/pD6jaYOwKLtLKrs0RjigH6a orFBXjdj9SNttrAywZjctZYz9ylfuE4hz4dqwQPUnaPnANoak8+0XngiPyFqUthMgmMyuAIwI7T5 rn6aMEZVrSm14tVvfSuzTOv/P9ln5jRHki4wyyE/08dE/Xuwct/ugvFzpmC7KCx+OS2DUHJguual ttDz9PVpPRz1x5F5SPlPYrExcrW9cgdA3xw21lUZuxp5zOawVyLOvUIe5GKGu9sza6+WBIlNBPk/ EOq7Es/mkWUWPyNE0Khwy5qopMDRiuW1j2zWbosFLXyWcyvNoKIn5NeokNfZ1YGjJHc1pH1P6f02 lFF15umagNoLotimpkWjFiD7TWkDc1wE6ECmCUg1hMqZ+sydMuCnuXoxAMed2iVx01t2qOtFvLmP 0f9cgt0u+sl6uKtMlvbZApx0qFMtBqOuRmNvyfyM7pjEfjm6btUhj/URHSRshZwE3U6MkOcWCXyo alNNp5/y+8erzti7kzmUc6kZsFVquDfOzbTDVFuYbhuwOoKLBzPMN1VoYqbPk9wTOYs4/Oec9hcx 834+//qvHm8IFPHqFrSecVUe3ptCW0pVUXdPWfCZ6UMPaUoQFYzA4PEbFmX9ATWqFeByE9ZdB5W3 eLFW8Mdgia7VxbbhgKqKe1zxTHIE+OFvAMwttoM3t8yqu9VXCdaczMrokOj9SV2h2NUpgMQKAwgg /nbiTSA8UujH+iNFOluOSZnOcNUicYnGFlrc5rrJLU7iKgSHBkQl1FFJyyEe+/P+jqlaiAnyw3mI fj47ED8JiqOGAG2qddouLt813c0Gaokfe4F6dFO94R9b4SczFGqaBK0Oq8i+FK2Hx80lab3643w5 TaAqu8syk308IlHGSQty0bSKWMw2+XhvS8CLVnWjCHAupfmf0TgjIkTjCG+k+6MftqrU96XnarLz 3c7OQuVpCvTmspcVF3XyT+K/aduLZ0KwotjTQu2hnapoPua3QYfR/N6sa33fnqcUgiS5yQDPZu6h Qp3JUhMQk5M1q2SWWLIng89IvgWTRaOz86KzwHxk45OWX2vxH6kUqBLsXl2/ZTXqDGQp07tZn2c8 F4RCLKfYIyhaMM6XbYwqn+nzBHpEqBtubNtse2GVufkAvBdqwpUY5c+3HCq1w4iPyP1XXc3qOhC3 k55uBCKeKseqvV1g582ushcldp27ZxLZ1nA0/6a6NxsJgGoZNzOgkBjCZDGm5BWdcsvDQ9KkUQjv tJ6kiFZKh5vMO5aSoDimU0i4CyhOAjKQPyaQovrtvhSxmJrHrYhmywwjiCJWsanVuw2etzvjS9PQ fr9YwkD4t+YE/FYIUy0Y5MTlH7znWj9Wvm4JjBUTa3fhAkYWmqdCW0SEGirQteA3JO1vaUOULBjw BfJPNTUNHmm0vvGMkzkVaDEKbcSk4Ipi7BG8sA5wWW0rVzHMkaJe5PdUcAIHMI9rLkkL/v9GAscL nJtly355UlcgV2ZGJs7mgaVc8xtIaBr0m1v4Av/+6psc24FYtyiIFnp2T0GJd8UcVXgw2WODB/PA zndyhS0KK+lb5f/VF0QJvLf6TkhqvDuu4vaPK/iCzZaIX5zmCs4pbONn4HjOizvkRVK+C0W0IsP3 cYUzB8TeGBrHLyzOoEIx51pR46vRKEhr99U0uv1BGoIzojZf4+rl5dMip0dBtmqMTmx/ezVn2rWF i0Wosiy0Lqc5NI/nOQwhiXor6qBhSuluvATldbV+EtcQcxDN8T82XJcqzKb+bV5eM8GROyO6P9JY NL1rP3nH2wWef1uRatiSUN1vuNiQvuBcFjuEZ20YTGWI/g2CK73AGBx2F1p9RUur4+O4UO6L7dhn J/T8nvQ0r1udyNGrYVYGvH9mO8gGEbsoFemTl4i9ldLecR2Kg8C2uwXZ6EHcM3xfJcI2nQyRZp14 xg/8JNGrVV1htVK4fSTR3+UtugqpMUA5lUWSJw9dRn08AoEFbqrHPMsrnWPBAW7Xy5tSLYsI+ZBe YS3eaiukjd+dsyf1pEWNCn+KSRbHYwtQKCMZrKyA5hm7XKydQ9ewn4lYqf6pm6sVjAPcdzCYjHR7 sKvAxM0YSFiZ2zl0XuBDzS5inOJ2j9laUmoomw9Mh75jg6n7izklqtDgz9Yfj6rE5uJxTjUh0V7f ig7UdW1TiNkWKCbySOAuRTfn8zkogMOm30RcYGLLpo9xvWJZQ0vs5qd2NxceH8oJT5hNU4JvPi34 iuBK48b0ZZ+pt9QKz3gDYQuAuyhNlDVoiCHT9TZ0M7tElRRXyKxFhMuIXcfuuwZZtHnE5l0RM9mI KjgAuD5xku3RAdmW/K2auZ7mGFyln5SPI+RIW1n8PZ1Ht2bC1WGkUYGdRI5QFXoBwqA/aWLZsSFn D1gFCaDl6mlBCsw9h7u3esbEIoGp5JPJfcSPlxmYRQNzV7cj3+yZoM9GU3sWonJOthThVs0wpkqk /Ptx5T1kDZvzK+hz0uiWll7+oNqoNxjfAb99SkJcfM+UF0tF0juSRjgjTfASqrM4Q3dHqB4yb6Eu k9Sm0cif1ttjyWnsEEH1SBinbjye+oOJGwt4gM5JfngPOl4cOf5L36Wg6U3oeb9UyXq40c7o61ee g7TEC2Uxxf6qJjetVrI4g7317rRIq+qtM7cnA8tZKrpentUhVnCQjHOOCzFGwVEv+EHpl65tiAhD SpW511lC2wdwXVp/r4cIKwq/EIJV2WT+kKnJ9F14fasRPdKs6xRd9+6Q9tfliNxJpiEd71cb+UPH yTisScs9is1QMNZ6un3vD+lGyrbP6uyllw1+Pn5yLhUvJUZlGRR5Po/TV4vBc8ZaqPHjT2OPWdUf lkvoaCVH57XPih/zaeQQuVwKDyRkgGvHHDMSUXJY4BIzIboED9JPmWxs0nFfwIpka+z88xzHCDwQ Zr2fz5DAPnJ5Mx82xcvZm5SJbZa13ML5qKdoU60T6nPci8gRK5E5BbZ4fncxAuaGjWe6fjMqiKcJ bFFXcAmblU0Izr7KNBtGsd5rC5JY79L5PS410JN+c3zGfLTIzmmDm0zkeoZ/Slt/g2JeMg5pEPsf O0osKx5N2anHjwT6ROOWyAvUOWddxSyVDWd8bFJAREIN2bDxLBFdeu8viirYN9uSyOOgbsIHJLGS Z+XT9wy16YqDZiltusu8FgC05xM0xfctR9v5nNpo2qxFn+NuulSWI8U54VHO9GzL3ATZ97ZNCd71 JxySLc8qXK4NJJDH5T4oUazp/lCILHT+NfWVZKdRTP8U9quKonb/L+yW9K2WjIOi4WZ5KXYSp1x7 y6/FdEO+tfLDdBPHs+khZjO+bMQOG+o9IbaSzTHy1JRQTuMkskbwpQ2XuXUam8a0ZUWIOZH/tNxp IZQ773tEVhfkSy3S0wFjr34psyEwD7drWC6M/TUUaTvEEZvGDdIeEoZuXLa5gAi6YTMxD11G7b6s uoRYwC6+ruxoou7BN0EaiHGhjxeE9mCIkwoKwxoCGD3AMg6RVjkBb+rKybaACBANbzhgwd1MiFsW 0JMnzbRarY+Byqw8+e6e64u1/+EpER9o02X0I7SW5nMOQCCl+7ZTrGif6nYsWhYnmdxuymZjTbz5 EmREcbSe2frlxjzxAhweSUA//3I6408WyrrCBcvrVdiVL877LgxhxThoEJFrZLm4gM4KF/iw3ERH +mC1Fc/XTBihigf2zGXVDJXs90HM9rOBpsec06sCXJuKlaAg7Km32jN/8y8ffhDc1n5Wyy3hPwST gqkOveW2J9QJIW9HQNzu/aHV0pnSAZ9RtSy7igij/ClbdBCUl1TOUcdugToQTeqeY0jrLtYWBefc 3vykuIqp/eeHElekAoNYiE1N1NhIpACOItm8HaCNkXNeANHB2k+S92D8PyfOUc0AVKrpboLGFD/b 4rdG/ax97eGF0h4dslIMQ/AGP4fzwnht4DSJL9792/fN0d8MbXhrwKtpCmvimO9a7n/4N9jelB6e tNnchu7h0I8Twgba1Zpf3ME1wMX0MX9gxXmKBDPGD/o4FH3/DyeC8msxVv9DIOwuFOtfRdmzopo3 ZeBFlFe9Jq8IbJ5EMEFrjwazwqGm6y0QH4KMvKbfgiiB1oLmDFZokRrQgvRSbZdu/eyeDs8Tvh96 rMo/Jr2DXWAS+xsyjEapnq89Ji6fLnYbBSKamTrYxNANoHMwBjCranJINqvxeFAvBWN8bmvilYiS baPryfRK823FudxPrH2lTNXJrQM/41kwZ1eca6HzFgFercRDW9aKRoLp/C0UxGGYtIKQWOtsejzh wEMohxj7G+iQ99xRaTSaRmssSu07O6ov/RoXOpXMH7x2wmySE5iCZqKRWCcdplKCEkv1eIzUOBH1 jahsqhCMCsvg4n4komEfIJpHWV9JeGTEcqbJKLtX7tJ2HZRAfSHcv2iV/FN76OmpGbKz7+bWaJHa QIB0v4AL3MIxxmZB1gTelamZ7rRIaN1oXfqOZ4ie/BDC30Iq8Kj26QJwd4FzSZUGfTBSYmyjx0xo EfrkFXJrQwBO9Jws7Y6QoXXYIoY1AMUYGVQBr3GC/cJaD7RkgwxmFlP4uihscfYfO5dMe9teHEZb aEsLUkhHhTcemmrJWPQqSDng3OZtBa4nGPElSdUvDHlUOT6Ppf07/LEczNgHDtMpYQGKxpH+f8Eh 38jlOloccjmuCG1vX/cMHaZ/x56PVDb1FWpOyVI1vbbHRFyjt7d7Mnr0RswZ9bYEMRL0MB5FzN17 dzoL+dTz/ho8d6WXohP3x3ekYIWYULdZ1UnS/95zReEfkBSvrnaWKIDH0O5Id924jMDfhS6z5XOR VBuzZ55kGKBq+wgsq+vKeaI8WvfX+Y4mgBI8911x3HkTPd2dJbQTtM3oQ0XEK3AV69Jk+k6JUv49 4IHXeVxq42RweLSe+fB4f7Qa3U1s/VpLQV3nHYP/3u5Vmlxi660bxBA0KWhTkquDZI6OaoRGByWH besO3Q7kYSHDua2ZqdhHkz1yyTWzuCeVTy+pHTJf6u0GSpc/ppVmC9vGq/KN/2tDnuPTWW8NQAe6 7axIqPHJEYKgnpvFsnagsIlRqieWeZrT64TsmLrInzraRetPdciX0v+Bcbl2FuCw0pFKPW1Y5fk0 iiYJggzCMsJdvKApfNlKFc2xL8GCvPuUO4EYZgOMCXp/ovOGHhX6urz3zeHzxkPEdJyz8ASZVl5X 1Los9EkIio+nUfFNQVZM1ZXCioO+es2lg+HaGmnGoYArsAPF2FMkHAKWu205OYSdsJ9Lj3HQ5tj9 4qyn4ebz4RMaO7hZf5FC3eKmbp/Sa3QLzxn0Kg85jXgJTNkZ30PBXtBHwpPFR2GW6z4MV9Z2N1h4 Z2hLz1gZLtcNrfBDwc2Hbtycg485jIsw17wbreS2kWIuZkOjxto0Tavu3/sq+SeBPZCt7SWn92xL YTFxUO8fo9sPBBtjMjmHRlArCuS/kZdH31GVlbTRaHvHu4mzwpBewF0Xk4IPVR+Fnmcd/apwGJpT 7qcHS1MMmcp5JpRcQ9qSoxqC40Mpxm7cl1fk1mc8XXjMqyDT6p6VIlRUAdx4dwfTciDrs7pkZGWZ O6ebCHQzU5ldbo1gCYj7gfGpf/+MXInz3/vjaiJUqKY8IOBTUpLJC32sHJxJUVVBR83fg72iLf+A GKDZSpYb5owqtgC9i9pSqiXQiPKskt5oAjZXxC+ORuePxCEwNBa9YJ4e3NO/eCNaSd8gRZ8FiLp6 mSlGroOBjuhvYEDqt3eIxknwjlSLboCIPaDa/HfGaG4l8DQwv4f0arcI5pmP0IyQV71vx7RAU/1c A5OFuAsFfj83iv4KQBSYx/+W6YzSjLMsBXPs5FbAJLerYbephlvfHFgwKfMZkDPyvZ239uEf5JSP 5kstCkqH7s95ZbBeZlFaCXX143G1QCvH2cokc9DdLDP8ze3ZbPxQNBSmT8P9BAzBJqV4GfwJHV9u qBBpGgalNqNqMLzF5D5QkqLyTw2JpZm1oDckbnD6km/0zEyEIDoqS5BM4fryzmELkfb3rpIQm3Mj JSdBM5ZrG/a/KyY5k9e8AzvpKTnu7qLq1+lgBQpXPKzJ4k/XZOV2TQzI5o2LwoMshiXBLYpI0sBf 2M3OLry4YEQAgPnp7EoDb7OHX4XfJpH4KMH+j1xQ8FMye8u8wB4rFr889Jlk0LMEUUQnMRUZaZCW Sp/wez4u4Rg6Cf9rLXEUl0W5joYmSMUjEqo/7oxXTFH2mG2FrI0J9+EDJ0I2iEdjgRtpXaG1/DtJ ZQqAJskH3dZ/3O0OUFGz7tO6sdoG2MRJT4ERFsVLnMqxLRgEuqMf9KdDJ7vJKneotqk4AVsS+c5h efRMrdWUqyPKfT9BO3k02/wHAo5vAu/nxgi1qSXA4eBpARxjH9j5YLwPKyp6kG65B0cLYNtp++dn +o8eakGJMmP38FNcYfi8nekP4H4dj/ayUeYibVSSkUOVmLu+iY/qJBfm67dVxDB7slhr0ofwL33D 3uoE7qDKgrrZd54j8qFHgcK/Vt/IjQUj01vvncaQa0z/zr0NXe0eAqn9B7j1jrksc6GV6LhfOK1x 9El5dqktua9ALkonqx17ic4C1cIRRfK/vUZxFGjSufCNJlSoPZ1xEnmzF0JFbvlh9IvLM6ox7jSv EB8fEP3Vs0YotpXn1lNH1vzaFBrAiMUkB4u1Ee+1hmBrONa2NzsMrxPoGzxFjsLKn/16E74ycGGb TYfyZitJLOYddhFJ1EWz5Z5wIQeDAvfsKWlQ/oLbLgxHqVabGh0OIYtgsFqOdWykCjv0sUZjStqJ jCkIK2fZO0ut1m2BPoPvjad24ioIseX09JfKHbXC4eGNhskLLPVG3mMLSjBTL7kNXhTBgP8F1Mtc jmV9VhDAevoKxPlFjJ+tc69+HfNkjEsNn6fwsIYW/Oc7NenU0QfXZYSDcebd9GRBDpf9ByLhqlqK rcliOIWtWMQsvZszNC6y8tXgNJJYsWgHiXMvQczxsxNiP3H77pHgMe4BZhteSAZs2IvbKsVCiu0O oi2Y/+NWU/1IZirROF/pdm1+YUHA7/glgk1mYZDAswEzx6VeYlTHmrDz9L5rkG4V95j7nonV30+H zhht4oMf1gKNcOLr+QgeddRVCFTvmoQsscBu62/Fj99s0PDnXpXC0vk5gM7nxmHlg87aThAQxVST TOHCFclL8fk1SDLXnQKl8RvnqqB8qhoodxZRPRx/PDfrWl3SelefWxKNCxl/2HrA3fbYnIbcSvMJ k0cSZUdrYuwFFZC6N52zBWYGwpQmNXOePZmk7tdxxctfbuP7hOGELtnnghU12+dqzqTNGShuWi7D oE+1WgBg8x8HQ1ZrfERAts1Jq3XvnlEp/Sht2lCeKtQ0n7e5ME84XwUcWYXwlTzB0YG2KaI1fs8t Y2YfCO7dc675jlWB8A8LiuLdeaRBH0MnM6RdNx2bsYjRCCn8rBIZiLRwjffQpM13jeQAMfWoAAnv c3/SAYsLQSCTmZfHnInUulwP5rD2XJzx2bEQXJ09lFKNxqjdN2R8ETdNAHEnAxyJXtBtOBNIzsj9 /8DjEXP+eBMl11UcJdtL6qhtWSgVMq9yinQJ4/m36SOULsuPUgSXceSc7y782s5D+8mJVFFLMNK6 NKS9T6Wcmv5pDwac4P1F9D3UTGeYErJT8Pl5G9tyMKmXfbiIUfLuaNbWq3A0uuYwwaBmFrZdJP2M vGWDaBN/IEfvgfh1/jlrYTXxA7lmH93t5N5RP5SfFOi8/z2WDq6X6QL6A7TV+voTQNFlLyQntqgT pDF7uTauYgOoXy8nRtcgobkxi/XOnMS8t0atsQd933FImf/qnfSfWqaMZjCj7wdv9338wa2LqfsR UuV0TZrSfyoLE0Uh5m+0oQZKf8TKKXDVQk6cLOB+QfpCe1OFCbF42TXCM6Qtz8NKCJJVnDcjo4f3 Ldo7zmCzWrD3RjaweyQMjxFqVk2texB21+nz58+ox8E1olC6/LUO73+oKQ50ZXi1+0F6tT1Lhuzk PuyRFf7e37SJ4eSV2kQUmYc7uMSbYSSXjs+uwGAStcXp6K9ptbI09wwFLwdMzC9YKDA73oIv+Ow3 14YBa6IqnHwPeZGBh0D2cyYQU+FRCMa1ho2djlnnLUuL0s0Wekq1HX22xIOl8UDcFMFpAsRRw5bL BL2GW2blUjfsva0Ma12C1I+X8aI3wb6Bj7aoRxv92mVbf8wCs06cCXIk6Dpyj3rGkG/++wyOPi6u H0igO60FLdc1KPuzCUPVQE5Yyv7oAY/OW4VkTaW0Au/UewwisesHKjNrSIS5v50SS0oR1IgM09UD eYXdJBKr984sO3miiqhkmYzYzheCSureCehPY1nSlNhndBcIeUS+KOH9lzEePvV1tfRZzIZ31A6e wd7zUpVi5ym2/HVdAt5wz8PMLxGCSfcPXoVHIwLlzgs//dDMEL2G3Hu2uPpX/R/lEFa9rYZ5La1P GfLihaoHqtHblPxmWt3hoT/6W0PXgLWVJeqAKSqrO6tGHWTtk2WTKidKAJahISHsRyrlxm6n+Rqx onKNumEiGkgGYdej5WhZx5E2UCfFTPa+jaPRN/y8D44YkK7tJVgqtDRRK6HMlptNn/pwiGWQTWK/ BZOs2WfJcBaH3XHNPo2aXzy7Xwma24mLt2I2HkqL8i0UiAeUvXzmSkFrK8aPGNr6qcBcrY5ZbXS7 aVz4LN8zStZnZRKkdIX7Y4KwAr2Q6JAFxcSmCvT5WyyK7/4I1BagLE63JSPuviQdNyNCMAmPQU6T cOl491oNqhoGGBZEN4rolT2XG1CkNBNRAjQUnXuQEcvhz9Q8ssCNjP+3+N4qAuQwhkZRV6MGEGZz crkTUeaBY4Fq3+TDTejFyf9ONyNXcbJdMUAOjK6Ah7boEuBpE2mpK2T6KmhBJnsnaXi31y/V8I9N G0YQjSJFglLpY8gV88zIopMPhxtUHSSWRElXY1myFPfxR19Hy0Qn7QaFdDaNNcqxQWx9jEwKcn8F 1KLeToElTKFoZQEQ3RbgEyXEl1xxjURxYPUFUW/1S2jQE2oSjOce6I2IQLerzZI5krwhfEnQczpt Tkd4rIEFp3snkD4x+B78Ebp6CwWaAspjJO59+UiHA4CPtx9+snbUcIA2DB6CtsuU0RN3Xc1S4NSO In+rqvsWpS9D5zfkMm+fu2lzc0VoqLWJXYXHHlukj4ix26OxUm+IQB5SzeKakI1BmI3qtYaE3HTs SE8VOVQifBRlNcATUnxKIeQCb6mhh+/cWTzOO1IfhAXA7cNsUgcTW7bFscHqxO1apOTEv6Dy9ENK MaD4f6hrXFGeLTO4UtgrA5T9HyR1IPV7RwUATjmbtsLVvG07RO+UEZPpd55is2/YznN9Q2lqQO2S dWoNXKse2BSmAiqbvxYtGuy7qU9e1odYE9Hf7Ui3nlHHALlUJxgUyHz+CF849yRNktR6V3l/ok/c wn/+xks24TioBMXBrrPQuNvnqNu8rPaBIkQOGtlaxv5zoxMva4gj0vFMLhM5BFqWeNgBXaaWrntu tpK9YVzImuAW4EgiqRww1vWUOLk6tblkYQvvH/13W6kCZk13n/PwjBDxaqhdSR4r+qNpIdz487mG A4ZIc9ihfTR8/c+LakuqKnQl256VJr8SbFofL+52t5fHqC9zhdTrJl+WhmHNfOADDgl/8ieHAT7j Ib4SlRGguSJdDIoxPCj+wFliP2XOG2TOtKdEs/eO5qusTDLTJgJDAc6CO3ggx3pnHW5AbRl9RYk6 RxyGvDmH+JAHgvLZm7CjmqxS2yVo68VGV5NM4xsMyo3PjB0G13AV99lRboleHgD+Y9zH9ehNgkFI Br/7SIxwekWq+EFNhuW+MAzAcr2XgXUE12zLa8qKCan9TDFFdla2yiK/W2gOCSV51CK6MYY1CW29 PpVYbJOekXLZI79vYhnmYau43Guc3dhf0WFvaxw9roUlJ6iLBC6OHeS3FRSK/7gy/pJ7n+ukTV2+ xXHdo4Gm67nXwQZ1sZ/B4xU3RfcXpF3aX8sJBkYiNrdVyQTRh6ZJhQO5b3Q3X6V7xn1v9HUBXeF8 2Yw89oT9auO0Qx893tp3qruqrQR+Wr5+gxJj0yz/ygarrL7NHFulX+5ifZ5l08kT8GbzU3pN4YLl EuGCpu6qW0pxvf+GVb5vtV7Bq/nwiTjmZ2I7E86dF+41bN2rSWEAl/tvwEO/kCv26nCytti0fwmb Ase76caOw678koVgALVmch32NfFgRldq0gp9xThlvkQizvuIqYPORrRe2I2LyTX99wd5/765iiCl 078bnzu4mNOjIeqeIZKD1oze33oyW0u3HpVYhdBmWMXi+btMCVfgcrSEN6aXAnQF0SeASnCRPJgu i1rAWuTlrxFuO+UKdRCMMkd+mlg0OzSAzU24/5qqQiPadLJs2wWZ7v+nlhpFwgvtMKZgjeFDQcBA 2yut5Y+4Qr5if+HPmYMJ5xYKfnte9v6aBdQJR96eHIIKc8lLVzl0ex/wxnPI+W3foaqONsollPO3 j+unV9jPXxvWMcCHIgkhTaAHswZ++6vdtBX7h1dKoGqfWiRF6gFSWilckOZadMtfgmSfabM6yMf6 ivWKjUbPX/3L93nWWrXEmSpBq6gE5tqewBeWSwmnwzkZoP2SC7w+otA8veNpNsHjt5lrZA8xs1IN bDwmjWXVqmjjnr89fh5QVh4z4b+O4fX2qZ7RpFBR11d4g1VbArnvBm9zK8FgDEnuk0+SLUpIsPF/ wxAb8L/qI6EQLrC8KI4vJ3S1kuq3ReLhTUDfMKvdDZEChy44jlOTt4z9KWrTQntEfma96fDvQQJB jHi4b+hqIiKg6UHU7sfRNht5RbMN8YzEeS2UnuZ0DSVp73WRb7R8GEZHYFV5zO0oDedVu1VgcAMg nFsNsLTe5j5JvuKWD9zDWc8gP94tcLhFuSr2bpWrOZw8LUTbc7uV+7K7j06geUGawYnvhd1h+jFp bRx+HuSVr7xHHI1DTKTtzOeuQOHv5o95Ah/yQCCd3JYvuL5FY4dQ1CisEgXMl9tC9FWSvpE+Mqi+ WVFAAlquzlUc5t9YOWCrfdkBwz0vzpFU45FyRca8qJp7SCtTLoe54IvSV6FfXjq0B5YdfNs2SJUt HkkS/NFzBqIuFvooYODJKlunAnh9mJcROPQwSdWTwBir20RF7xRcwYVab1+jM+35An/9j8cDWGCJ pOhwJuG5sOkk4AlgUAGv8+oZqfGkxnomKs2Vfy0iUY7RzKbofO/i7bD1QrRxVQrEKE0Uy87NHTCU nvd9QVnDKdaKaUueJGFFc7TZm30jlwEEydzcyYt0o5aGbabHlet+lkME3fKRT9ofSU61IfFgryBn wPoCFgApHYfTDMmY6APMtt944jh3ilbDUrv4BK4EzotHfoXlkCzoLk4SkAPhNG1e73uM9nYsUQA0 xl2+zXtfdC82VDh2+qUgjprawqy0+gcBtdJc8iBg0GYOQKunUm3wL9qR2QO+Hhs0xU/8M6TV7iNG EefglZUSYAnM1mu9sLHKCbPy37wYv/x3j6Z9NjxujI0OsQlqAh7L3NJXpSiJX9BiCrpdFhT1ZN4S 38pEGz+sUnVAwQcwA9DD0IOJM6zCTGXjW412RpQPSvAZxG5imUv7fYggCj0GotDmED+ydN/tUkIp kLEpsPiSvs0fOFjL4Rk9A2DFZgjqe5nCqk8ZwhDFm9jLh+s0jtzfxXMdYLkt2tjSQNZFLIgGW1pC hvWOoiDjlC0WB5Lue+HancObeNHRPExX2qtzmKgu5z/rYnmNqp43NrvvASkGTTOmS8daruTUf9kJ 1ETb8TtyJ3Eoy1KMtr1K1Ye9AZtOT4254GZLBR0xkcRSKZ84mf7uTGXQvoPqMiL8PxXYPTR+VmkT b7BHDvRQ2334GquR8u//HjWnHtQov4w2eqlm0XEddMv+H0cA9PuOFv/b/Det1o+fE2fjQit1Gdgi WAgMusNOKj3iI/tvmtCpvHaSFV/9JJa8kRGuYoVW0znlVVH1nKtl5dAzWwxS3UkfKuOxvpThiZTt EhPNnUGny1SrI2uJ3Y1xAj+2CSi96uGlqHxrNMBRdozBfg7yYzw6GsZQVLrEkugGTBiq15o9uGfJ ssVylVQjVj2/FxPMgGYbcjFifJbCbmCNBVB/n4SnQMbBRvyoEQGZjlggbRnTVo4+oQfIqahaxXaQ ypDai5rAk3tE+k2D40AdlTjHHUS8gF/YnkssllPLNriHDCiq7nmPgaZCznxun/+ZfdaU6tBTZMy/ w169bszy26eTBPSWijh1FQC6xlCpyonmZE8SPZ07sBOqIGN6Nc1u76nPP/vh0NE7oFqwqQ20Ak3z SOMRn+XjBTK9swarg5W69Oh65Jk8KMOt2+Ret2n4507Ul7vJXO2+gDxUI9E41DULRVbIWxM+K4U0 HHhRlngVIt6nzgJfC1G64oCJo/s9DlF73AQO2JHmRG/XyjnDqttF08UyeNtdPs7i4QeyoVl7JnJc cLkhFFbWMip0CNILm3zhb77tMCd+B+RCf0ijap+N6cfXJC579vU0xIKV7bD0kkgZLnREHtgisRJt eeWXG2hgn9D5DS/uICOOOsS+ptHXdxS3MM23HQkudkB+gOTjFB0boaIu/N20n2ybQxpF9ZTQyAPn +k5ZkODMrYlDDuQDuE8rAhD4eoz0oH9kUEfL5lRz2vGmbp4QAzchN2tUxdRDh07kBvjHg5Uuztj8 +az/wedEqXb0ZiQCPNjrAzxgnPFPJZ8G2LFzwsUybfL44Q335xxNoeh/druypPuGSjRAjhSQTPGM Yuf2nmXO20pT4jfQUcrXSBZoR92w5Pa98a+Q/VkCVQXFr8aXL4uYpcEHEN7WaI4Vgtxp00V9tVHr R0sL6Jc1k59FZwCGttjerormb+z4PycCRP8ZihRCcgER+F1wC5mCjIsXWzeK1Mmex2LA/RkpwLbx KLhwahnuw8DBzFujSvjn7MnBRIbXNKaydUQNhGjPTActKuhQ9v4ID92mrpSuIppcOT7J+C7zyvNZ 7p7jm1zI6Uly+v5PSMNyid/sjPHfbDH7FfC0Y/VdityEA2KWQqcn6kY5uxjkifv6a891S+Lljo8W UViewoJdsnIx2OJReZV1LESeCXRdA8o5ceY0dyKM0TGQPjMPTWM6zAg0ufHNFpLe/TmsAwsjzX7u chAJhwQInxSnw9/dJKfiIOE2p0kAvt29U1wHoOWfUh2K5qKX1EAQDl38yPFcoAWZWsqkLMEY7WR9 8pgZF/QICrpj40jz7OWeW0g/wSr6T5Y5IRPSk1EFMDRXdK9p6dAG1ryNy4HL5SnTQD4wRtM0jDOV c04yWDcVD51RAYcThEumHxQMPqy/KLjSYDOAi6hVL5CxImH031YVb+6eAtzs9LnhoPF0E016srra JGM5ZrQftF9jSEbi+7X7c/5p3HGK4Wit7xsOvWVbwtWL8JtCeH/zr40Ls9dxCSZTe/SX/Du53Vaj hIglL0SczgdOJzEHww7rsOyCqKateefM97a0gTTqg2Lq4D3aO/wykCVhpjdsrYCByywS0sba0WZz WAxCRHSaN74XFDivCw9ySlIMhNx9E9kKOTIAY0sUCndrSx/cwfYviTYCeA/+bfxv95mEMLaL89Zh D6Kxwd6+Y7HzWdfl520R0CVlcjZktHO1lc6Y3vFT9Cvfe5cWuONoo9HPp0Qy0xCreiW7iKcOVFq8 1F12rZX5rk62s2LWYuA+Ke5URfUC+GDuJl4fYJTAcARBxuKyj9FuQdxUDUREj4OvHe3V1iZILdYj DhK0sGdHvRCmIBW+lRUa1l4casXpUB6fdDxkDp0mwXtcJtOx2CEnbDg11lEIt+35qkJ4WK9H/LKz zbDklfXQdo4oCEVa0UTOcRJZjqN2gTpklPiBuQ2YU2a4QjOJSmN80B5kEIZ9uuoaXv/d6T8PzW96 /dyrIjM/jeQV1Hzocv4nXlwbP+bcu9EzKz25n8b8zNlsAPTtnUOEgh3cSsBVE948LgmBX3r6bESv vDeA0jFUFCPcS5gFACX+CuE+j+YnrSM731ww/4cAhoWqtKOd0NXXkDn7xAanL30mA4FvXVb+f8A/ v27F02L7CPb9X9PHDn7ilFjBnJOsyU17H08FpV3TLW7l1l97jU4ZMUmo4ZCKMGxOcq+HEmYiqLvu YG90NQVQ+4HRHLFOUMIFnVPpdAvd+L1f2WqNXtrjVizqflRpjrZSHUzVTv4suVkCLPPX6da+R8jo 1DQVtvGZmSzVWI2RpE7VfXZVAtbcoy5DTFCKdkoq1/ce23UkDUq/SFDC1Eah7/+yAYIpPngnDmV+ xD6REYKzfty9hmds993AuWyThRhmbKXBvEg6pLPnaju1ZjeMb/ZCqk3MlcT6oHp3B98YX/TdjYDW iGdEbCn/AU7nMDvc21GMmkFyk+9l69LW7KzMpcAHl1oKaPvs7tJU4P0ZN7ECOmEaYG0Ohe/xEiXK DjDi4gogH0C3r7nOLnoj8lwc/9JHIJqsCzwrRvjjvLdaitkOpP4EFRA3a956obbOIQ+Obj5XVFwU SoTiApULw/HMKxaV51kzRpDHaJ/iBsoVUTemA8lY0EZrpXbN5XcK5ojK0g9I27cOcuIA9ppdA/1F VlXRvYhJ9oyHC83AyEIglL9pbmiV7/Lt1I1NGy9WBCO6eJ99KUIUkicQpbaROmBTs10VaP9GN8FP fgxv0CQb96D8+8eRC+fXFlw3aQbNkO6Ab99MlAZvoSBC8bbvWcAwNsJxsnzdcGZjX6pnBXB5sMTC bLzzFdhFfx5Gaj466ke+dG3pGiwu6iK6X0mF0MzznhWfATurIaKCaDG5/Ds2KYsNnRtElXvxYS5O serSRVb2bF+Dwmhh/yflUdaDmPMu3BQ+V+KX4q74pbPuIjw0esQ/pk360GgnXGUs7rXhfZORXsnT CyNItTCmi2so1Mvra+60QGZ0fdoO6FyjhjS0VtRnltwogVIF2/kZY0zTgdNzO7bSz8G1MzOwVEPE 1xdZcz85d03uo5uDWvc+QD6VOgTCVgkzcz6r820lI3wXYbm0ffc5YtrZKmlKQ0SUni4E34Sz76MY q+YCxqLKqMVBST0DG4Gil1BPvw1vukfHDUsC4K2yB9xM03RTp8j0E+klN6AQDXkvstDS/VkzTtHr WjWXZFB9pwIhT6PVfu3h/xR6Gsu0xohTmHSbaj5ats74q7PY3Qhv8RnlscA9W0vJjGW1qPFpc4Ku oJYHZLaDSFvWFs/RFWEqZZf2TeWQmURfJ5EDFbrA90V44RCGBK6N2YHo030SA4xThJeRIMd4hIjW 9iJ7I8OTHRcacToyQzJY0vP/3a+LKg99iVFjzdS1nHsQ6RI6vZIOkW9TLeHRS5qv30g= `protect end_protected
gpl-2.0
7742ab6d941062c3ad172eea445a2463
0.946502
1.836454
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/ipcore_dir/EX_MEM.vhd
1
5,593
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file EX_MEM.vhd when simulating -- the core, EX_MEM. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY EX_MEM IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END EX_MEM; ARCHITECTURE EX_MEM_a OF EX_MEM IS -- synthesis translate_off COMPONENT wrapped_EX_MEM PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_EX_MEM USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 14, c_addrb_width => 14, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16384, c_read_depth_b => 16384, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16384, c_write_depth_b => 16384, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_EX_MEM PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END EX_MEM_a;
gpl-3.0
f91b3935133351b336d6666f26818df4
0.530485
3.930429
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_lat_exp.vhd
3
131,579
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gn1opQUS+qXQOn+bQZroJeI9ynkvjBGFJx0hNL0w4YkjL0k/nKj1l9ks3WoQuTj3NDZ9h3sCd0Bk VBMDKsksmg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block k1WTRSI4Szey4F3y1BAfaVykSUUqKK2vU+ormyCtDNqIzwTQFp9W2GTbWQULrDIg+dQ2oUmzVetj zKt/OSbrfduSm4R5JlcaS568bVE4/s1A15RchDpLS0+3fa77rCP6xDLL/hAcTokClp3fIzUelHKW 8rotYurAQW88ejjfDgE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jey+XDu2RIyvkhffP5/636vcK4HaWzav5Tq/WFDbU8i6SURP7pHGtsgjUKIU6WJsOUW6QTrmnr1e dYCJ1LeKj5ggedqK1FDcMTKbBTvVcIMudZtFW6man0B5h2ejXk1hHtJTfjlIyYouQKlq3Iwjy+NO 1cC1n7x2vvB1uxNxsWYY3UjA3cmv/LgvN/Hr87rYAI+yWBanAEBEZJc+BlIYxa6lLlpVwm13MSkH i273YEqrRdILuL6RNt5NaH4D5AKr1z4vsvpJeSyt33nMsNfhSyOQJS0UbzlU4Pi/k3IpNhvmAfKd 56OIQrG2EuLl1yUr/QPRZX3RLybQrWmkcEEM8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HfzfbeQwzlZNfVaQvRWT5AxH+X3XvbDTbktf9y4oeRGvSq9qhrGhp3mDNQ7yFCvO6D8K9NwVBweS L5EoX9h3HQ5oIIqB39Ikfw3q4w3yfOBKFsllttE9RG5/HlkoLMY7kj1u9a68fk3BzIv8nQloXkJ6 AiK7XdxPij3BW4VTH6w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qmsbipddWEwI/5ljWji2jPH9adbKtE7P051Dr3/xHJhavNbrcEf4kkznCapQLB2iz5c1CJ8/Zeeq IuPA1jKpVJecFZ486TrX+NGdMoVUU0cgmkIqQ++yAjSL6tzlsxY0i4QBjZX7SqxAeqvzbuw81DOG uIrFMnE53v0cfKu3lAPMljK0dJsrJO49/V91Ob/Pc4TGhdnN49TOicqK385sYFagQFSmSbF04VNH k7RHIXqiqDfV17gAZrRgRyLVnjVKEclSreNIBw1NGasPAXrvF5tVgwPnIwzf5WHrDx+A3gv9NaGV MvGuIwmAQa/kCzeB3r2lsGUj/RkzXvzL9EVoGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 95664) `protect data_block SToTW3kjmbHImjvuNmYFNH6PVZrbYlJatQmA6bk4r4+4+vZ+4fe3FVIhYt2K9Nw1jVYM4nAhF985 xk84YrHR8AolOsgclXRSg19dPGN5FSV38uNc4CVRvavoXrX7RyXqctvMUqWEP5y1I+wOR653VAjh 1R63zz1x3ODLbUQdk4Sk9Rfsq8ZjzklipZ1vH0WsBwsnZ2OeY9hXboLfg5iB/QfbbNbD7COWCfVC v+EcORPYw0tAp4FQxVkaZ88VRMlMKkpAb8Sra0WleLM/vu2nR4Tr3KQiFzqM5Z04BIrOe2lpAzoS 0OpgLF8+loFkNElmLZ5ztWqr21ZGATMDp3m6VNQw5iNGAE9fIWDFpiQXJ1+U7yn0ZCegMU4AzqI3 VpYKazPpfhIZF+aXAVkIffuoyR8eXFtPDu7I1Vy6N/BmcyaPzUVNw43P0p3bUjUBpPRw6rIUPQFa PJQ3aUs08vHdXl8kvIA/beus0acqSZRaAFR3PcX/K5fOazOCznMts5/iSlI7UsAh07GYSzIGnDzw XbpxAyzmwnzJR3teZxhsh+yPLI/4vd3+FofO/xJsGHujjuv47cZ9R5JoQcTCAutn5Dv8s7AOSIDL gepfgDPqBBqnXaQY1LyGUxqLTE3DQmPMg72uRDdPav1qk+WUyhXYbhDiyBaS0Q3ms7mD4+EGaWcl LTTGu/Vd4c81XB0aMqFrUv293RoWJZxuGo6JRJvusDuiiN2owHcro70x7TZ35CHcGrCQef0RvHR7 EzS62Secmr0V6N4GoyMGHHW76PHMKaYvPW7vsIsehB3odHDzYX64sY6NYQqnGBlFAKWjK9iji7Tg Oxwy/54dKZNO6ckm46XbqYFVqfrM6p/vr3bpz0cU85Pb6GMcZ3Pu80DNubTjJo7H8ZZQhWCgF5A7 3AlwXCL/MxkEJ1DDO51BRpGdMIXPts0PMbPhfCcpfLkPnUpmRb4ZvDPjyHrXtegRLZKb+5pOGzn9 vrMuTykLMkugcPaydoquSi73MNnLvtlU5t/kL4mIcTa13WFEbd1cOou5n2OjnDHF1SMg9kadvILd KjrMgdzIO6opv3L3Oe/jAKxqVd8AWEykcZLorx/u/QSFPYZORfJWoXp+fYrlFReOdBzZBTtPLT5+ OwpyjrrHGn5mSEmGy/5iXPZUC8QGqMy1SFX73x+P4cN/ufPSh0R6evwCaouvaUnuSrKPrqRpSmx8 7nhN/3hnJVwDXaT5hQil4ivSPy9b1akG7epG2fAT2P4CN1REF1IPHoTmu+OqnrBt89JRGQkzQB+u efAB/vvPbWAkubY25AcWVyK+gm7JxAlyKPVX9QwyWr8HSOKAJBW1A6vSs9yKiBAl4zplmuWopg+3 ng3/LtpDlTKyYpMItg9UmMax97RcpmQvao7PE8+fVD8fwustnfJbTQTxjvra7TtV8YtxGeYCE5zy gnvWtj1ZV3jM7GebP7OJC7Ux1Cxzg7HETX+jiSD1PaWeELZzWvUmKHLhKeBjcvor1qiQWRCHR4lb +JZyafb+4d1Sg7nrQ5m5k7xCYm+/JkRWbH+KhCq3Srsn7pnMOKfLiSjNNBF+vL/2SVbxxwPTFjEG niTYREO1IHUUXaEZvDbj5UoO3rOrBrEss8kl+mIH1N2fZrv3hsJQsdiQt9cOKXj7xPY5cdnbaj7B WNEgqf4HhUYWait5UG0QGm/nOYehA+oOll2BhGsHs7oqPKWphzu2tReiTwIhDzUDgi++tEgqjNym pxvxjOEM/wZ7o4BPp+tduLDxOogOSmZ/+b7FYmU3djI10tkHwa6C67bSPjA05Zde+Za2s5ja+3d8 fOILTYSKPWc657LpJgRtajeAe82UoBRP45cml/WAzMZDWt8v6Qxl35lNo4o4D5nwXJmZOOaCgwaW JBSqofyhqWBEkm6Znbj2a5cYgOYnD0dI6CwVOUZVN9+YH8wYFU9goZDaHPVOPlaIHwzVN4ejabS4 PmMc5HGrHXJjXrSoOzIYD43VY7JZNfGovqXxHFdkwZS377gXYFkbb3kMDHSw3EynsWPn6WWoOd5B P1AWGy2CvNk+IhjPpxAWGjX3qim27wpw9yk5MnE3on1TuIwq82sZks54mASVrdjPpG1h0wGklk4S KkIPjwirFg46zyBYzpfnQFslNv6HTOkq3iLqk4LGdGeNlL4BdOmeKbRUKnFnqf8CB3dywtSaXGQ4 B8dSLAxjJjCe1RUY5DB43lV8n/cgPv/M7FDIHw9Imyl5XIvU7ZciXUhgsK7EiE4QzrZ51FTNSAdX jP+h9Aw0COIb3GKg1eui63pt/B8iP/jyGthRtggOS2L0ZYH/HmPeeJ+M3bPwG7rLt+dJhfAVea68 GfKFHzsBEBC++oYYBa7rL18qazfux1RoUEriPDmF07N8W+XspU4YTlHFIMbFWOQxoIQsBVtOMMwI 9Dm9t8eWchm1z+IoO1KO3KnJjTgKf/ftT6AX68yQ6v2ZMtQG0mk9sEQwf2EEckefqIJNk5tailkb jBr0Lzv+sHGEfKbwq4G5ELA7y+iwgL7qorIJPw5WwDDpBJCKQq8cze47dq2FhqwedgRLKHLiL8W0 ldOdwsyOjcQTSscR3mk0t/74LJD2CAUOkPer4zg6qGyyRBXNPPwuQTspSQlNHOWg0WlKP0cYa6ij LrrP3cgvhpDyqAn8/+h4U3A3e8s8lkWVNbCVBZ0l7pDD5IWBobBdlgJ85mtx3MnRWPKW3goSxuf5 8KO58ixZitvC2ZWKwvkC8QP4Gr5iDtTdggvzfs0Qszy4HeJNRcusNersEx/PuTBFaK2U90BPZdGY qoMBmRHtp67uB9VmqMiETpgZ34hA7UglBW0DUeWIph5Dx5KeUZ6Mk43mSCdUzWP0USHuk4PUqbpi ZSFM2jDytkrnGf6i32WkzrLtmccRJ6JFVm4P+eU+6kGioZ09GIAIpPIo5CtqSSsSHKhvwVq79Unn qPMeR1v6HCIBdSGCEY0rA3PIhAq6unBnOL9DIznER8gf5SFW3Ka6l/jvY4deOoiYZa6/HKBkkZIz xN4b1KX3XZugomxytbS4yVBrupriQxGd1h3/qUT1bilaPowBUijFYN77tVcA0EbQ3kcjyBmMakH3 yU8xeD7DFzP1DttCSR0xawpMi4LkOflyTH2MtFNLQlcTMRa1pKxxRIbP10twVkUvVHhR126Ua8OF WA59fjKN/N2QACM2PpKw63OcLArfUQC+aIVbqa3/jVwTQwK6sqnBxkvfloheEaGHp4NTNtQC0Zne 49UmXxAGT5lIxnD7NyNfXySEK+X4prhvDttmaHeWRMUUWR8CVpvsWabljGkh9i/ypHqj4a3TNUhB fwr7NY7uVoS9MxOanGmPewvPr5X7nl1mmijtTNG4DMiWYcYagQyP4FuR9DZ+Sx05hnUbS8aIRIMv 3r+P4335wZG+LqARHflj93sPZr3cot2OsqQFbVPk6/9/8gaJjGmi4K/JYseqYamQyLwgIoGRmYBs D7sTVge1s5Rf4U1f1/pb4ewOV6eTRVOzmlU87GgUbOrz8Ip/DUXuzdYQUXyU9ffUcvdDlCAgPmSD NXOJRbyupr2aRWpl4zrctjGk5NwqPCjGI600nmh5PCl5LK1H/r/jyW6MnMQ/mguFlO/LzHIIr6Bx 9dFmkTxE9lOXkvsZmyuyhvm43TaxB4Oa+CswUh/v9MpFwjfxG/rK4H6HZzOK/izxkei8v3TCBwsS jPRjWxg8aYdsAVkeHX6YI8+is8LpMO5kCQEQrymMOZ8OhvZRxFPuGRPNDYtr/1TpDpOvnrfIZO2Y mrbI95WQSFFhm3ph28Cg/6RHwemRC36IwwibKrvzO+GbmpVH1c9AlbTMA6SI/5M0VaE2O8XwC6BJ TKUtqxSmRmvZ+psf1qkM4gnS+2/hiVKpcjklT1B/wnywqo71o10+CLaBR+xtkb1Dxgk1Ug0iRoJC 0GFvBqaYJuKFdREwrFVpTR0jOVCLnk+tYb0BMNQxsbyAN66dXFkq4/et+RUvb5IgJtu6yS99bb8B hi8SGEHffLExE8pBokvd9QJjK8vc9ijdorehITTkE2jn8RHGTg+2hCC1pRhB0PRSANpJBwinJvfj roMbKYMCb1duVY2NVJoo4YrBkc5CQOb+2CcBovMCVsO9Akz5Bxds6M19uIlYmAUF4Z14p4x9YReZ cwSiQKnENojT8AqmccprFjY8jGTHMGe7FGwSTqXVlqOF4y5TwFl2cK7EE117+dYl0vqiqMqiErcU AmkutpoxthyYNKh+uiMdB3DIr5ARlEJ97+4OjZRLp/Ufn8M9XC4Xk08msxEUKVbgBX6oSKsMRmb/ ENzg0jTuNrzhmVp+7q4OHd8jZo/47ATlTsc1v5LehaaqM308Zgi/2T0y2+zkFZlEK6Hyy+2AZmEx ZnQIa/p2nHOIqqtGW4Lo3iYkb0fWqjZv4Y0n54HY/0lfc4DpMyXT7ECCqWmC6TVifFfS8AQc1Yvj Vf5cm4ojzkA4NAVO4J8iCurGFwi5zjaWolSfRcRjnRAj8DqiO+R6rngAsPpGcYy4Krww99wgrjyE a+vJsL5RfjbWb6Xs9dFt6VOTob64NJDguaxjHz+Rs/l3CxTYOHUSGBizylJAPi/K7EKokTq/+5tB tzd8/mxxlZp0y5S6DghNrHHDTfMoSqQRaj7DoK2+Jf4f2+7QQ4ChqFgTnof4JIwIZMFlVpkwUCI5 fEeQIg+nguEHbRPbjg6p6S4qQ7ntbMjgQvmE2Z4p8IvoyHuymGcysdhHl9BN5urJ4MSzTSMe0nhn Rgg7/AaPYkD8NREllkMKq3Prh/nftICugjiKnyLRMpW246I7URmryXS0Y640i8YbU6ADhKvhRud2 iMYBu3eW3O3ms2cUjNJVS9IEQ3lw9tLTm47H+sfMjrYO9gnref7uKOkQTacQBK3F1Xg2fp5Rm+r8 QnceCqliyY6zugXLAoJlFvmu0jYS3NVrE3kXyb8oC0atSGrz+QZ5pZrmPannyjGXWHm/OraD/Sga WfUMjRGuwxE1sU/pr9m93yVb6MYxgr3/9J+tQwhJkiDOR0dH7JR2SRDpc2g3YvFyepGUUIcly3Sf 9qUwDCUSTiwQKQatQ3Zm/9zajp5SY3Ml2Q6gyCwPBbZ3EqhbyxOjdXJ3DcVxZHHOfvkth5WQhbCc SxnkM3zE8rxDtE97FPiUCwiccrNAfPe0v4vgYqjevk8yOaVxq1/lMfq8buw3od+fn9OgBL50pcVp ADVfUvjoHEs1f+gDgbCCZpNrsVDgc3+G08B1+JbIbTSHHXUapkpon9KqdBzcv0n3Hq1NF1jjnxi/ f8X6d1cThOd8Aq7iv18gsIUGNGgrQAYlrplZKcHwRrOz/Zuf4P3LfYaHNFDmeQtmd+/JAnbfgQVC EsIzX4SmzVB8bFZSUwnkfrLaIILOffLGOVgoDFlOccQOTAOEHLNB1f2BfkbHWldNWTJe/KdYg2NE csa/9JpuwFzY4ZzbFju25CiIjDlxoj6gxcRIRs7CT4aVqeLQ1DkZspdwu9uAji+ynZ/guvgeGbQ9 8JA5pqBc9rd3ZkB8biLtNzbs/KqXjET743+DrORIOJ0MQb0MXBu2/oO9cZ0TMkUyhMUQ3kAKP3S9 NsIeFmUsLAbOjFUnOjPKzXN3mTSUXQWBKSAqsrqqX3dwYPslJGI6Xo4Egcch8QJ+u4jpd28lsiVo 22W/kO3dHi7BcWcQE1+FDeaWPBJESyXbT8eRMmhMaHw2m9fe0+OOcBO9jkXytqFcqS8qfk0uPH/S usUPjeJnturSIMKBrGYgqjYYIrm5VNxgsLH2enjejUoIyrM2aRC1nsdjwTKNZ5V7wzETVvJJqC+E kIlG9A2Q7QTbV5POs2qb+KK1uKkPgAbE6tZlXZ4AQkiqbpA7aLVOibGoEgj0KKH2sQvEsCgJ1ic4 xVv93RX6TvCGydTwmQo4X5XPvnHDhvJ3HXQ8f0IT6wOEcXSjA1/lGhItj4TgHep07BH/+a/2e6Si F7gTjLLP60s0gIGoik2f1B7/dFMlbLkW4zIUzcL7MjcITKkXtZ0geRK96KnkPUXKPjFY9jjWR8ve +z3rN/mMvhanPDip5ab8rKhSik0xzpBGWEzToz+F9re5NkZJmXvIatL5jzz+VU+PP2pD8PVCNRKX ZPuCUqBVg0GIVcfN8ZkB11OCV62f/zNgFnx492YU1Kp8+9YTmSOjVHl49fVIIdKD0bieicztKD54 bEmaD1pLLEV400qsKP7yVz0z3Qdj6sTV0QDIPTHhjXk+JXZXXxP5kgDHfnxks3zlilD6klurxQi5 4gSz8U8PUp4bTekQrlAMFt+19qAT10t5WHrhBUvTsMkvCFZQ+UTDTlPaDJc2ykF5egkUase+fS8x YlCUipuR1VI4ugZ1zi2Oc9JtSL1tAT5u2GgEtmFCVCbSTxkYCURZtLGV3m3zkJHBAjEFRstTIcm1 QeX4F7M/VTL5c5qMkGUC3+6OL9Hv/X/8NIOJ0vAyzhenrCpx1XoQ/k7wu3iZnF0iq8NRAux3izZD mhvBNJzxx11v3gGctlBfPpN69BrNAy7Zm4DuQwSE3crrKv47pwXiEPYPbNBxS882huba5MQ9tukS vvgZdgNeY82OhXMHER5U3O9Q/QIhSi2qn7C8FlS62JWMRZykUw6nT9oPbGpsRd1UDJtWegCmlQ+g AToEJUlJQ4/MGTwLxzJf+ydVBdtDYw8T+o/xutNT0XoVHoUy9Tjv5+5QKqCsPTgp/ilr1Pdxmudg ZB0BOHPerJF/b+ODIXRbWirJ03dcCxzoXoXJq1YJzpKp0owu1B7d66m+XVD6ldbGMEJJX0p60MWN Y0FkLAokyFdXS64QPP92MmBnql9D1xFwKCodhr5mBtnQ7FuJqVwu9T23T8mve739NExdK0DNZiCP S7as6pSGXSjDSPf9PO7+xjpv6je0hpCKWxYjd5xD3U4evC7QI/Nf50FqRoIcFmwQQKx09/4ROBA/ ux2HfE6RZ+yZkzlkP+tdDt0fSlZQXtaUqPXe6X28m0SmxrkVKrBv7etb8CkWnI2xGMN2BTlK7HFS yeinyxDMShqm7QlBG++f/I1iQeGX4d8RnxS4g4p8WGk3sNNk8R6LmmEqgw64giqzpF0CCq8ouhum mxet5Couzmj0NyrF3R82+29aUyZDGwXDZF6D5ZIFVK3WnytugTpQTccp1Wjkh/PyOkeV9MyFU7GD 4cvyyXiwXiWgr2WC8q7cVp3PVo9lFHoQ6ryJyZiEZEgck80m4dc/VklXO15QYLJ4Y/WjdrV4lCL8 AcM9nIJfKlRwfuEaxgjKjB8FohwMsfJsNRgbIASK/0BT0Sn5mWWAW2SXp7s7uGk01BkmNalJnS6/ gZoRA0rruZ5LbzhdOthkH8jObLo4Ax0KPXMuYtz1GEsKRBMqm+rMh2BXDPoGk4Hh3VtAOyXKkxsn 2fqNguJHAB4gMnGaObj7jBk1iAteYkot9MClbbf3oWM3qrXERwgX9sC10L+vB3krdKE2+eoJATjI dx6Boj/vFAmOgiDEgmwDx8pZwWMHZ0j5TEKLLAZBbN2OqrIEl68lvmHtMCJP6gKHVojP3wJP2so5 ctfjZ0r6JzAdwksQ/8iVwSSC0CQzL3HMaEqOhvUhNotnQ+QmJueIeQo0TjIQHX2Gf1vhHlc3pl60 H9lkZGztX/RmFpjNdfZsMzPan0Wvx6bzJXt+XlNY9JXtVqzYekLHpZISwK69JZu6KDzvv2NSUBHo QbHpxWEfeWDM/nPEtAle8vvk4j7/8j18edukmklx+sEg7y/L93MEQtmfg/q2/0IS0YPni7istREz Zvm2V/KwhygPThyr6NA6IP2jwrJD4j0r2JjQhxqr9Ztn5mVXlXLgBoSkyWDZWd+tqOk593MEisAU uBtcrr4q9edzCjLeqm4aDfj3g8eM6tlz9UP04b/pjn0HbQOZctsnawdD/gxeMncJ1F1XnV18RiUr aa6gpSG1oOSvc6a2KX04VV7sb6jgN5S2GZZKyE0Qy0699N4+Cq7PCDHNZvjrl4NvmMR+o7fWhpwA BAcJ1hocMne7qFzBqn7oueMp22dqzE1sHixhAoYd8wwa7Bgzec/sw1BhB3EHEugrjYTaoSpcWZx2 7prJTWnFKKdMugnmi55z45N8bFDQ8B1SHnd7HBjel7Sybcw7/ODHR7BQjcpyMu22pt0wr2gIUVv1 wUGmsh3DRXi9zmqsPuRPwHbGtB7OXw9lJ5IpMIfN/NFy7LemIhwrFOAikIPXJh3MEGqwaB850BLw MKPD9Bz0SZZcz2pcfoM3OcfcVPSI7Hu3RF1NuBclTuevy5l7q0pN8FXwi+hJGMVcEhC5khnUDP2E IHLD8hd2Dtonux5UgDeAhudeVuVIwg4mU6MZ2HTwnw7bcSA6EYV2dgxFi8yAPo3UFnWJi8qLS9hR 7sWlZgmjSgDNTNhdW0QrfT0bBQ2JC+lotJ9KM6RBOPrO289mC6kUxIsiBGdIRA0/oe+vvDyCxgR3 yCMvKRHEpG0MkAKHXcF/dgrKEULaveH1dZVlinHfNxBYSvnvSNTjsPJGcF0JMzrQmqlo+TgFpQiu E3ZEp9vKzq6/fCWP8eGSEN+rp/gYgRoAsVb6l0oaq73/PvY88Y82TTqqty8W+5dqDL9W1bEA34GG NVBYC2lcOq0wR9FxP8PvC9AXoe/NfPRww0MUnEQ2kSPcQ0kAEtjJ4HdMBU4YsFH/FaluPbg1OezR XC+O72zxlHIUaCmWbg4iItfcz//H4XJ9JkGgn9sOHAlWbco88Q779BlXFkPqSBEP+jVprkRJTFwc CpgGGAhFUZxfzcsDon9sPqFlhfgfxvUjcdN25aS/FIhZpB8CzKsZ+OkW4FjqDmubFL7eE4tT+xZx aaeWAQ3X6hxSjFDBRJYJ7voB4XdfjgDRDv1ZeU7yKQmlUickTE1PCLN9oeLrwwmyKxoUWvAl/uId cCeYDXixwkDRau23Duij39IkfDNC/CE61ZGej6F7R9/Uhp3XHIIpXmmrzi0q1NfLD8/7Xo4GiA5P cj7oE7WeUP3tegRAepwwxCDFks95GZOLaWuHwYTJsIL3xczhcBFMPJjrLgzRrchz8sO1oxEgXuOR MP7DWSLtT6mOpeoBbjDvmVdnymkpwvQeuKxUFESCSwYDoLGMbIzExLyt5d3NeN1wLjT7vtwPWD1k /0gwUV96NvJuzQZSjvN3m0grYCQ693wn1OSV8li+Lxb+QrpqVD3G9sOMwyYyaDsMozJ7dWNRd1sf v7Nur7I3bPcvRvBum290TfdZ8lLA2AMAbdfVVw7g7zrU/zYmTTG1uIn7AlRd+DEZjHDdw7LFst+C tb4VNO+RPLhc15jSl/7lSdP2aRpsQ/xYr6iG4mQgnAI5NNjPka8dKzCXeiae38ABBXFRS1BIUmg+ dax2YJ1+woJHWqj5GeJuMOJXPSGMutCQEbDtebhrRcWfg5w4UbypVkVpZ/+I/8+25YxcT1twtQbc 2TcT3CsjI0Vsy/hkChZ9aHZkx2B1Imdqfz8DD04Ypx6EXOMSEqgx8eXFcguw7yx6Uuvax0Luf74a V6BdP4RhiRUy3R/zsB6ilirYOndCShXDLWd/ZfPYC7E+B4S/RcPlv1mhXPtxZzgY8rXeqXskocAC pQpxxZy3AgraetmoFf6FLToibfLxGFPBs0PqZBwvTnaUCeu2sGJB3Ps1XYayh9+I/CktatuDmeHg ETXAZK/uVR6jxQjfOTfCADN+egu3ROCx2Jvh5NLH7Bf/GeIoFaubNeAhNNx0G4ecz5USyQiIS1lN 8dGAjTBZqDezo5XhU2R2sARp0iI60sBgzMEmweo+tH5foxVi84vbSFoIj3lEzGEtvzgExI9hb5Pm eKi5BtqojO0f8LopJCD8lrepSleKPeZUlwD6YirNb5vd5whxuFRxvxHEa3R6F/c0IRV4qK16oUWs CgSuvfM32gTKvyko7Tdlpwy+rD2Wcx7gBs0k9mQ0Tt0eQULbHRK5bX4yJYUFjYyuQKui9G6qsuTp pEztCtJ1h+wbI9pvMBSBQFmvxQnzsaAx8NHnFX0PJEUGfN28X40q3ivUvqmBsv6l2r21cDUXUkmb qXXTKWQdHZf+CKnp5yuXZeC8ZEge+aqop0uXS9CBTDnjwXtSJVvPnmHcYuAMgM7hhYcH4rr/jg2O ZwkBAgAgxhWQhM5JzcreJo8td9vZv1+djteREc/glFdjZVfO74H9wrNjCVYc305QIK2+mzNEAvzQ evl4N9NA/umRADXIX16GyAVIMcohL8LhgLNoTJW716fB3rcxhEBejZVQ+HhPSPDhSJX9xgoJjDPj uKY/wYzHthQORKVMEXvVgvpxHoI4Oi4ZIAPLRb/T7/H9T1+D4M2yGIu+Xjxkt5iF1OeoImONQFId n0sJrIWKJeZjMsikzU2nHl+D+/OqkfaWsajLyvf5hRCWi69+CCRxoeDkRAhJp4mdRTPicofCqrXb 4NdzkoxGnzTQgaq7slN3f1yLmCzsZM+5HKZzxhpJu0t0dQDWxpshB/UzyUDKVQ1O4y5YumcMW5Ep wr0dBc4/y3KCy94iCsxd7sExLiXb1x6fmQMIWmjiRANEmx7Vs86u1CfcM8DlULzs08jzAbsPHD64 PV861Hnq7UjMcei5+kJORxEZNpGtKP8ACvppNFCmYRsDWU02lugpVtssQa6MTLdLtKWo6xT1AcRk gnOpy1H+j51h1CkQQdkrf1FMedaOF4HrNhwgLJKqI7o4gCB7gwtsEytVMCfwNrPFGpSFvqo4lPVw RrsjCYfpVxyH/0UZpOiMyO+GVgn9Q09/tv5PJ+rNA19dYyNoBzd5wYdbs0ailbbwngdK1UdcBvUa 3h3uM1saHSTQvQ2A5hcjyCQFdcmrK5w3rNO7IdQtl4Ni2DNZPvb2yhVfFZGNZIfRm/8Y1fEwE2IO e4uEulObB9TUpd6EQ2isOMcUw8oL56jhmeUnHmnPmSKYEPDNOE//Y2S/grcVwyrdl/As/gSkubNH Qf62oxvMdin9dnnpmBZLsQb34xUZi04mNGSro5wf5K1BG3bYevNVPceWOyuJKNcIR2VTPsjD56Vo /aw5pA2EQQUHzo6h2YuLztmoz3ojn1g2UdK21q0yd7v8KaGWFitpkTC9YINlVj6wMBhL4fS3UnE2 Rie42NiLxzFRMUAnbdXvdgdKsboAgJWBmQERUxGddUuR6Sbw7TUnLPwtSExEBzRimHSIPoPm8frY YYucAb1OWZYQ/DuXA+Guyzidj/SORaFPv4rKFrYq0tZv3L1UQxMOOgEoexiCtyKnKIA6boZzxOcF RzgJwLZxif+tvuBhZpMHjjyRMnYdiWfoTCe3j0lI0D4ScCNhxxTliaEOCMTA+oxR1w9bppzCeErx NkRoiv8OY/FbW5ncwpth4ODvdmxWp0KlifvWvbwfe7kVmwua9HkZewW/KT6nElrpGIeXK8fPCdlt eCkJL5I8QhdyABXV3if5In+gVLwd6C0FaNwUzWNUKTzUCyhnT2/oS/A9oo5yOfd1AkTydwc0Fai/ eAYZzf55WB4t4NiOgn+FgFIVXr/9OWzmBHAqq6x7yunPea6QTZ5MdsNsDRazb40yaTyW7SFJC6rR 3xh1zTx7QT7lvxFfI14prZjXKSJhHZaiWxta6gKf0Xm76/crffkQoCQSNpNiGWNs90p6CYK0jt3x GubDX3ZPHdvoKqAtkjgCgeywBonl4fH/1IhVtUE6GKA+sVHzmBuxzN2UnUC31WppcH9Unww+gkuN U0+Jl0i20xFsiedDtW2EY5R8X2XfrL+S5FvYJbAZ1m0Dp60wvHg3KP4gIy1yQ/uf8hALEbLCl48M oUySD6+DayzEY1D4d66gSPhOxf8pzzLY6UFzFGxEf3/FjzvlVq8WuN2/Ze/qyr9QTq3VV91eU7TG 3cEbk6ODI9Wit1DeE5p2rE3ovAxA5mhpatJ8JOLHLC6bCmp7Kv5bXq2zKZBZl+50ytWkKecD+Vd8 e5VPoNbxDMRCx6ChVfRnvnGYNhcXLPlBuuqvk0icqzriWjcFsmLdwNsl0NOwL8maEDxk6P8BZIw7 CPc/IbqZmVqzLpbAqRvsF9yk3jksN3VqaqGEs8C2utigxc8rsyiETz2PvAhSPH3zWPWuRo1ZxJM4 8QbTBVB1+DkTN2yvJ7klkMa+XoBr7IDGvVYvWba2q2ZLDrt8XJ/0DgYA4mTJGlRH8QWw4BaXvDcL gBh0nKciHimInHflWeNFz0+xwI/bUW9Td0RrV3drl//RND1mnFc5BeIXtVa2lki+z8YbWW6hbvAe y1ZcQR1Pknq2W4fZQ/DB324ut/Q31uaUB/fhn1dziYHzVcpXTht5Vbn0lXuyRNa9ZlW54BrZ7Nwr goPgtQn7QEfL4pi3kJcRt0GHm1e3GZA/VHNbY1gJwlrEh2RYyzAjom2dyv8oUNeKMR90zHtgKxT/ Wob9tl1OVSWXkJfMW59mb4QP9oXnBJErRXVY/xS7o34NLkwA2wpNCONKArKl/o5wLFbVkb5ehSzD drVVt5mhpCpfnQyP8VQy6DJP2ldPCErjH98Sqxn5bqFUWxkRdsnlgb/vqPKMb2wU1cR2tVVmmDVN Z6GCoTte7iCznMfDFgevlQRm6FWX6PZfeHZ88ZUxpeh9euQFCybc0HwmBzw39pO1OyIoRIipulpn yWOi0tgzs8mKY8y/g2iS1NU16vDCbs7jjFsr57BuGhgg1LKCCh3pi4rfJBwC3vawwMSW7/I5ByJe 9CLK05wQnU+TA4VVJEpSoJ3OM318sdiQwdcLQ3HzXRKutNsFIosOUjbuxt2/jBFLQVrgIsR59fvj mtHaj8rfQ8tbpVnFvi7Sh9xZPY5jhTMAW3DVsK6WoaKIpi+4658NwX23zTq6vNKLhr6wMr38sEs2 48bstz/kX3XPnPaqQy36OW0m19NPRKYgtkuVeYJxmj1BPrynddYGG2QAPoN4Jq5T6DrGK/fIl6CV yqRVJnVKmL2y6Cr8KXzaIn2t5z0ytnI0xz2MHBdpLKb9wP3/hZpsC+7OjhiWghIunTTf6kmVycsQ tqMwcthzXLbt9Z5TPxNWdGPynm2sQeov4h7Q/R6Zu6IKsj+tIqOTp0oG/Pt+A6Xm34wg3TLlFG99 SMjmRgE3+S3NgzmBSHZoCsO6anHibwQdb55DMbqSx+nyiECnXiduW8Zf+/lR1c76QYmKaYytkfww ob83lsFiQzJ+spZxjnDfd05N4Vrd25uzyK2eYUxWYuiwL5A6ZDwtkKwDytI2ObAKeH7rmonGjvi2 7XSc4tqLXXXTe4Xyf0NffWG0ImkAjvBjNRPtbDff/D9YPBa25hli423JtsRuBLdabRolfD51W26v FErdxidI7kNTcsu545Po2tePIo42KIE2Ss9hYOZ4F2JSeNsrx4eFrk8Qr5oim9x1V78o2IT3puhf unZJEgXH9hOOYX3mwLcFHxhQZbaUCiwfFRjC+Sp8TmA0MJnXIM0FB4kq+f18aQ1IfBkCbuxgWzKc GGHsnM8Z+EoqJdDKtFS1Q0eHUjAi6hv2rBM9hl350gkjorNB84mdS/yY/h2p2MBOrI5H2tIru9fx 6265Y3wqS/8HzsameZ619nENYNgAL+We68B/KMaX3nXyJ+BrC35KZNNDAPf82EVzx3GF0fZYvuMj Geod8f1j/XnTJJEa/uah3N0/CNdgdv0SoJDpisfWFVg+cmqF3EOx/gMgFFTCKahhDMHQ+V0/5RlO YKniSGQToVFj8vEWNgZnz9h2NirEdKNi27aJ1ylCP8j14N5nXFg/Zur2ZG0yCTcjNBBfLq0Mt/7e 7FISzZ0amJrmvdnOd+FsBkqgkmriE9vOyp+cOaPPpnODq99SrqL9fikAfeGDtD4f+j/RhiXd9guU Bit6dx8GhHH2NmWa82ki6KRDlplGGnQ+ejjOV531cMjFVnS05fFe+8QPJ3Q3l+dG9VLsr8vqjmW0 i0NmLPZctyv1IQqFg2rBm1isQaXkdS0ze7NyW/+xaH1Vp2OwFDxGejucFMoz0/WmYN6MtNxqynEO Vz+oRC4px56bp3TjxDQWDkUAa8uxzGkIrwMYXWJJvGFwtAYl4Oa2Lpfo/lntzDqr8Gs1XlBAk9Mk l1LmdMItojCzKwi2kND27JPAbtjteWLfDzDHeS7a/Wi82FluXzGJVAwJkIbByI4G1nh3H6/uLyVa RSzPV0ckznEXuuzvx/UGAhc++ly/MLwHdHpzExd1CF2FZktMYV2Kcrl8JT3Q4ZBVrNuE3QO/AMIA YmxuE3K4CcW53TABBB9vWGANAL2Up1+TVMAP2to7a/Pfl10FCkgy1+Xbf8JhFXKMuuUfq+yWOM64 GPoLPdRoZ9VFZTnn0tbC8VtbkfUXtnxjEK5lVIB0eyAhd67sqedrBsGCqEWW1J/X8hHrvmqTblkH oQGoIkIzQ/OguS8R4SgSrDxXRI6SLVKtAdBHocHdS3vcJaMrESX5ir9EWKBC27hPXmD5nktbPJtz qo/VP79JdHO87Xx56Em76do4k/1hCwQpCS5vRdXmSvwYsEWQoINZ+lTwQKg3UiAYm/Ssvg4x8AQ1 AqgWfsjTs1W31IIgv/crXWlPN3N0vbtEFeRd7ijDs0wZgGmOrW1ky6C+0r2NJ0mlDDEQDWEI+Nl8 cF+ezLYf3iXZT9RvZLYfHJgFsZekhzm6kUUag6IdI8IZ9QEG5FDo7VqIpY0nqdvkGbrE6MOAPoTS eWwfzuM7rhOFTwh9906zu2Rgqujv6xR4AsnFMGz3xt+oa2bb0sJYO7x0Fm5RLZ7KJnq/F62UIKrz KFUTo3QN14tUWUq+7J1oYL+5THBk4JR3+cEFH8RT89XzH7NGltPIWsU5VTEEEovDVSyh67o6ETbe UJ46Aqd2Ux2OjUI0pdg3dhHR8dx55Qmnxdrm3VtFnyawmmRGxZ1YuwHAO3x7q3g1xp+M1h6VCcfx IJOIWhji5dISApwh01sqjx1FXa/GWj3d5bBZYfwPRUvf6kM+mSF93VzDSgVKsI1JUDqxsoZ7eZOi iPIKgo72PAHP92UGuPlp4ULS4QWsYLMQ0HGdt11ym59jmIMyJsnm6wLetmeUl3bWqP0gCNtZp5v/ jaTzB9h+iK2xRQawmn+fdMOa91QGoJ/SWFkyHdJekodTnZ6tSiRZMsLzZ+/zft0HMXv/2w7iMpHE vcnhUYqMLIoUnkZhvyOktwvT9vvtB7r9CCGupPU7rv4dNWNxGRZJloY1565OVCw6W3rC8IV4jVsE fkgAQwRxKmcBCf3SnfXJlRgDUnJXuvLaEX7zI68ZKBjZUFI2rYeyPQ4joiWgYRz4gZeGjDnOOp0T j+YFHufwG9dwLaWiF7pVka1Kf1k45XROk8AoZmv9V49HLcz7rw9GZyP+u1SuG0vRcOroY61Lc+tT saayfQ6wzVdtCdUcZWGB3D6yzebre8RfkkA9Q+sXm6y3fxRLvfoJf6bTY3kUfhNNxqwcNZcIxRCx +SD81YIPRFrFuBUE4dK4xegFEXS6t/pw/xFDkPlC5L4aMAf0qB50kuSMGOp81YEE1D8xEFAXZReo dAbnV7BpADGolBlStrpdGW8yJjluoMDOeUTI2ntq5ljRfWbaPy4YocRYX/zqOGprwZAogDJOIB/d fKe1UJy1ev9FJ5X1FLwiroU+gT4em4YEbKH/j2kd3O6jnz6e1a5QcVJUwom2+AV30RHdmDSTZqW1 fTk5M52ZxaDh7btdoJmCAgRj4+87xazqim2W7r86aMvck8HVRaXjJIQHNRf7XG6UVGh4jleN/Fw/ hQkjJWZFSA+YxFdUY5VH1NqGPS8BaXDFAN8tRqZZQaG7Qfrmeuq+7nVx5zTnByhbZURdTbZWJPNo wcbwUNE6tUlRseRCrhWXSbl2QYViKiHtFfs9fqlW575fDxZcTQanr4vc87v4JZ+ZMkR2FMYFO4sc JqR7GyXbpKrI7s0A99C/rKpQOX+N63qOYvxvgufrhr1uLMStUuMvYIEaqlF1oiMIhLDC1AGFvSBC fCLBP3ZBZBUfuS8MJZdUAf4eMTtp87V3i3LneAteiN9+V3C85mQT4nZK1X8/OCWsoiTRl9EZvxk9 bylPo4Y2vTydd1R3qeXsK0jPMuVB6jwiJKqjyEkT8v473DyttBvCVs8yBNotIWLt05Ee3VwzoClN CAaukcdbs+hOIHRzCivKXBsY4RDbil7P8vlUHR3CLTCE6JUP4+62y6bTiVk2FqY7LH/uve2SXcOx RLRLjS1hOv+QbaC47rprw2ySXaajYqXcmw7cOGvcZAliGOvm50oogg3f4cUzboXUSwxST1ECKalF QrzlxIZb/7IVtxLIBNgwsymQYCz0hOy9doKTzeRl5RzRqnbHV45s7XrXvZ5xxiB/SIm5QcFduAPx IAiLA3Sujp3wHuJ5TKQS2yCUDb/DzD0ivxwhiDSrZR94Jl/OGTQ4tafQ6awTuEErFB4MtfbjdyhL 7koCokBuE1pZf1iS/xBMfsndfmC3JGdB3KMPFblxfRdQSzEZNmQAOmEIt6bruQeqjXK469bLXaMT NUWXfb56puYbdk/QhIotTzqVvPL4T6R5kEOXN/n3sTYN6P+E3ySlh+MqjQ/aQfkjzTEZrpc+FSHO 547MmpyxgwPvbBIfi7/IuvlCTCAikds47fY1aMWMeReZo6vbBIHfx+61eyyHJuQ3lfWltH4kFywJ mOP8Sm8OH1pMRtxxKIYk0CWvvgMYDHYpyYaJ40Fpi8rsX4T23p1jmCzyVAXw2jqHEnM5zkvjaT3x 3fejz93X31l3j0bOWB7hvCitZ3ZlGRoa+hZS0vv4Ma9Z1DUgowvXYGScV3LY7yD1NvQA006dG1np kSMNweZPmlZT0rLBgAmWUnqOC0Ma+UHf3jpxMcf+Dtk4hWkedRL58Ozt8wvh2lz8mTHfwafHSUgq WT1VbwlfjMIA80xv5HQZiqHGn5vtnPB4fFp1Uq4TePoKlATZ4dZv5B2CJL5qTqqOduSZCBO5tm79 Y1Sm5W6ioOatR+YYs1CsDPWn9r+EERqrBL3t2HSC/G6clmM6AK6M2EcVxgcOlvgckVSlrMKbl/o5 +3q8J0YKu8zgG/CfjmKEzC0k1NUQK4JCh3lcQR1uHFu2ilnkB4fbLr0BclPM5Tbm3DfHPPAKNZ+T YujUQUbQSCo4m9mvIMsNrRNw6FOyeJDEpZWFGP4p/3RU1fx+iLnjidc8HuD2UJLTe/SDwzbyLGul 9XQZIsfVzvyadD3xvuDDxi0hzxqPm4I4Xoi0R3vRb6GG3MDVgRMws4PBxazv1Ms8J+wdt0EIZzVB 1T8b5v5nrAZ7eNzgwN6BL7jq+lSNRZVzfxHF3VZ0j5EGQRPkMRbrcFdZgazjrP0EiJu3YuKc38I7 D1m11H36TqVwE8d5UqS80X44hwKl4D58dBalBKOdtLfdX/hMFI/arcWoE5A+oQEyhycAgtKCeIGy EaUWxmPiiCYYlgf07CXb4+tLntqXna/oazu0736konRrg3NoV1K6VBA3g90PZ7sPgCxJ9kIldhix DQY/HF1aNZKzrOHKD9nXILG3UvVSaeLP+EL06ExfPl2xq59FezwVUadpEWQ0J37awaTgMPJrg0OC XRbVvE3fsT/zWvqTJ2TDdmrFScBh6UMrPjplRcojaOPeJHXKigJZ8Fdk9JMjTroZm+ZhcJZvoQ8o q2ABKnWN3VB7OmYeL23LyrQEZZItPTJD1X6bdqRemkvVdL/6fzPomIz3BhZ8Ga47Uj/iaDjzSpJt VaQa17hz83Nd4x/Slrg7wH9MiNSgcgsRCfLaGZxGU4cxQi9sCRvb6qy6g5qtClsegZRvsZTE+7iA xhDeWmoutGAIW0C9Hm1ksoWcRHSgsm8BwxFtXGAw77uY0ynzPh5Uk05RJIs38Otw6R4lEaInpAOS gV4kBjJZ5Wp3sLbEicyuqZ/bWcCe4iMV5egkXzUlunfYtQNvR6b3m8dcg3sFMD03FzawG1KigBL/ qtFTqi/Nne7iZo3N396YUdv5irOuUrDm2TZcNXBS67pcECzILZTuM405N2pOOPnp9JKrN5YLpjzp i41rS7ACIsa65j2uDoDTBwNP2v6CVQCa6+EJvxFPbNiEfmpw8Ei+y3i8w3FVCoAILAaV6amCAkVn rEjOMXQBc6vK5QeJOsjX6RuT3/qOhOHUk6Z/I1F9h0N4JJFn99PkZWqru9rcQD/MXSOFBwiZ6+38 AqF1zBNGrx3b122uIZsdKIGJ63oI8ohhH78ameDwoJVBYCCAW2v03fLSuLbgb0XEZiuvP6b3kZn0 vsuYBTwAgNDHNwFCovYv1HPUYLRq3wZtEyke5+aFxNeD0py0zmF8eqqOjo1hrOB3CWhe91rdfzvq OR0F0fXQIP7FgMqwI87WQF7g5UtM1D+Z81yrrUr6T3G/mC6ZVxkFl0YnpaeOnZgb21Q0PHyHsGK0 mU1tQ1dyCqO9ObbG35T4QT4uH4K79w8ETgDVsIMJZZ/kgQ7LiIj31yeMTXsNtKzyxSczr7zqUuqI E19lEQlSpBFvFkeK27uqwTTsaQud1ly9BftPlDmrv65Vlx3xOnzk/8mj5gX8ngScWF5KnqtCWmwi 23RwnUb6Wbq0KN6heXj1RfLZoOcXxsEAj0MDCEvG54WIh5oIVfFxDPL3vbBT9DGyh3hLVGx61QU/ q03Ahe+2ow4tuMzI6ma5XhoNLfTJCFWFxmIM5rPki0ZPLT/0eqGaJhIvmaLQ5A6i7GH8JRDeg0x+ 5P661FkBSEq4uJ9I+vaylF+/qK320Mvzo7FJQk3s0x1J+foG24tMLp2rd1aQJy1HHzJQrEpEjMYU n7gKidcs81czLvOuIKkxky+L6bC04lAOQ/rSjXTtL44wbC/qtogMJljeMcZ0CIV/AY77e4BCsUXv AQ3P93tDFiQEO9dtXVUcRil9SfxIi2kns7iI0pA38xKQKUABiZqRfSXxOpU0n25v4CaHlWmmknPx AC7IRbq6ktbIojW9hrtV+Sk/Q/NwVy4s+Xg6aXm1ZkGFtAP1uJBTPzx1p4bJKAHkEwjkJRGTM6dW ySda1KJIktJ7e0AH2MXofJYTgW9FUBP39hqu/BIDqYWW5PAWTP2MtucRxGN2i5fQBsXHESIITSoy 4Z35n6UX3yjIpYsmWUNRMBckyu52usmQnL/z2lR5nsnWFO0wFO4mVkhYHd2SBWv9/RvO5L4QT2RA R2a0DpwYuc/frtjexyR7FqkkZx/xxse16kh2knzHjkdM0IaPfBPXHdE/ELEEHhcybNktRlFsmy94 iIKjkxLnGG+C1FcyMz2jLjGygC0Q/EgUM2pnp2CFWlvvFc7NX3KWkxN20IukKFmoSlbzf7RGS5Ps gJ7GfQHqQZgAZOODK5n4aAwnnZedYh7chKzZfQ9izP0XkCMxq2KWyJF5bUI/ljyGVc3fQjQX7ZCf OhJbdNxvCk+M4LG2hTtqNXOJofXIek2iFidXv9TdzV1imQJClwPGzdT3nC6LEkq0qD5GholOdQDC JyUKEo1up4bAmLTRMlU3ctHrfgAk1eqm7nNE4Yv7gW+XSMmZ9EYxtok0W7BbGqiF7ETLCi3attPo UobpHHerWP9SIiRiXTOa6r7Hq908J3q/00QPmAI8m21WPXJAzdERbxui1YV1eAZ15tdEp/i7zKFG gLJi0vJK11quePzXo+0G2vzxdo7CygipRZEUPN38/1opELGc1WIxA/U+zqcN3HHjeKWV5sorC7Sg p4zXtrXW88UI/Lm1Yk2rS7J4cYm8adVwWsbPPwjEjgvdWRiwE0jIYrfE5ZySMQIhIMdF2FRdGWzi Nk6KnfNiI2v0KEhCcWvAT44niTUliBJbIY0WDjYKnZP7sDdXaB8pmoSq/Hta2QoUXrlfxciEWj1X t06mpUU3yb3NN0zrrPNMJEaV+rf0lSxq6hKEFCqOmuESVNvyK2hioio0Z0cqsG/yKRIIj3wcbZJd /pDiznQOKxALEEBPi+P0XIXRBNb8CF7pcKEJ0fT9Rw7eJha/msLdTrt2PVpymz4Tblj5PLiOHnOu yp4go1EIrq0CcDDGa2d5Rop01osP7ZpiyHltLTfI57NKKYhFRwJpPaHgUNE0S9u8lVDr0zYpiqBW Mb6dfimPaRHnH8PZOBH4gN3ceHt5CpFJvyzhRieJLXR9/+i2f5clzanw/QNbX1t9Vb+4aYpxV4oD h1YcXnfRM+k4gTvzNkRczhtyf/0XigrinwHuUFaU9X9aXvMYccYdfyZkZTt3ZcAWKwmts+G7lT+5 LB/FOYRQE1nHWTdv9e8nQDpdydNMHyj0DKn0ZfFYUuW3dJVCIILxIITar9+M1vmFymz5azvnEseL YFyKbKNJ17rwAi5ggQn/C8EvNfAb+QtIjhAJAqGFWCY8/BvahkDIOx+du0/ll8q3+Irj6T/rCvHW 1ENOWFGqh5aG0+YaF8HUP2A5mteG4SM43s6k2qvblG22i/BNZ/3SK9ARcZ6r2gP6E8m2pV0wcgQ3 Q5V+PjLH0xl2oO2EkyjkXY84WEWNVQp/qkmIJiDOKKN536yJ+77FNP80bFHtBkOuz/cUYBFUNkIa iX1IZt5i/WBVwH2xmCQSbZWV47rATbgQ0ICk6Oza8lxO833jnX4VWrkP36wwE4Flg57b2PAw/r6C 8G61hKQS4H282WajIfe+lAaNdxdKbaIjrWqUmqNOwntkXkKguLsJ8T9BgjhKyqmq8FhmDurk1lqE NxTG8D4U2IxkzxD+NiPztG7rXqMktph73eGc/Nx6z8rBHVBFCLxlFkOCclJX1fDT4+ami4o57dOD ejDAr3cI8xZal1cA8VakFYa5VizABtq5yxQ/UY2I0OSdww3N9Zsc5Sf72ZQRIocLGAGwE7P3HxAj UpVxwm+CAzZR2pYlVIvxZar/42FoxNl9VdgVsQBhpGU7FnmYMFlQIjMBFrhSLVY/qDF4sHL2Y3Tm w/WVoBGgaBIljzPjYOhLDW6etdXdMScNwE/zYnOQLydNlhMEHsthO2wl3nMgHtIqjOlVN6wjlQXx mIs1UW4ESUd1XCwJf/fc6Jh79uE1fjq3naz8IkfAgPCCdLaoFoeO9O8/NBVUKqIsxIjkrJcU9FAy lrvddwpuN+5wqlE4TdLdpGxi64VnOKZsofeIXiPNp3IFenuzkbz+p2tgNIy9rQ00cFDnTASz+qdE LCF6JLqQZuT2nVsOWeyUp+EIvu9IxV9Dg53ufX+AHlW1nGg+2RRre4CREfKe6H1jtqX8JV+IGYlY vPTt5PXVC7UxigPSYt0mVxwWTyyJvlN+jHRwhjsm3ms4JQSw3Q9ZafusU9mEyx3Qv5UA0QyaZ7iy c4vMCUCz9E7R6ny/l097U1PqBlKsfT1eaO6XNyybTkwCmO5CElVI3j8azYPEU6RthF1ILTeUZkzz E7hemWRxc5TLwEQ8CK0RdAuvZKVPsopMbckBbfJAKW088tjvNShdhkzAqeOsPMV/iqxS2fImmLcX YzBEcgC9dQ4r6tYpHx/056r6MrLmyCowz6qEXrukFtvEE2QjWjc6x/tV5q0MjdHU6tgMJCqm3eNM 9uYgSptrrXtslweY6NxeE4vDIxHS+Vc6mJvciGfXd1m3r8iNyqv6Lb1dUg8zhbwvtOn8vKmes6vS f/YXA8ZOlQNgeCUujcsP+RNmnMFCBpovQkuGEl7wGafLBSXZTYEksM9c86b/vbiR/30saa9jVofC XdmcMN0vFnRhIeno8JiaOZzd6DM5TQvzsMd9ATkmW713TrNPngEjdN/OujITWs0lcVB4dAL+5RkU 1AeiLdFZPsgqNCPuCBwHL/Gqx+5mw2M170+a0QeM3R8FT54BmPWmMp6zSm1W4vV49dOhZDxGrP/v bgm09QlCGD0f6bGZaW61xFMiOH7zmpLPN810I/dUu1/JIs0JbaqT4TrVPwAZ5jOgKRo19ZBWrX39 1P4VWOYbzIGGhhdarJiR/dTF5QKyiA6bPatcvctB9+TNMBiBWDxbRi9wKWX7Wl1kOiXVwAd5xhmQ eGJhDRh+HrM9+EnQWvuVFWEudKhFHhnM1/uOontFHTdgeJEfoLo5THfBidsg4H77lQbx+dx1lojV cquv/+cckwn/lMp5zbnTxA+azmwYxDXPI/2r7r+d9U8VlTcRmCKm7HIJp/2Mz0HI4X8et0GUArnO aeaFfX4CByvAZCDPNPLzdhgDISs78xfCGgi3XTok8FkFdwq1ThNvNtjNomMdXJQEuUKM/rXBhrH0 ncPMdY3pChf6h7N3TBLr+E8XyJIUeGTO9kUokIJFZEIoBkWoiXLB3bB07ObXEmliCxwqJZQR6FaR 5SNYhgmv7x7RSnzdY7QXybpKka3x2UqUQum1NKKmCXJHuGLuvh8t0xlZyHV2reuiqI9/wdm5rYHQ Kyi1PlFZcasencd7ulWRNToXga6LtAJoiLo429RlZyH8/mk1CovDFOXmBeymDzFOurWRereRKjva i6dKKAxO/Fb2gcR0N3fFVjFCQw4E+CbBqM7xiSqj9Rtt1yelkuAhp9ZtyjSu1r6t6yfaGKg4jUQZ Az9+xMJXMhWRhKBaYPrrMU+H2ERbDJXcxaJ71SBiC1d7fPkFPq83PCUvIGGhtc/F1ch06KQI+x0F HzNFd8ew4Iza5basAYxFOGpH0PeVdlQeV2ikxtl4mCvFd8aZhpJNstPZ3UPDnn68Z1Ng1TsRwygt ahVQAcf1b8BND/eWgpvxsRAFv8RAvVM3T59qbef2p+j4VE/md3tGoHfLwI6B7dNzjjt+0N0HAE8H v4T0sFCrQ8UFeL23H/9JCqAXORvzKAUKDpzy9EDJUAjsA45sa0xV93uC/xCSWjB25pKogBa0nwu6 QZuB3L6vj/crFODLA90NS6XRuqbFx9aoTiQO3U/u/3kiO5ML7H0MdEQoI8pL3Ub0RWbvr0uNs7vp k5keEFZP0MzYqxyiRMUp1HpVytIeJIsdVZccF8lpwZj9pIHw3r03/IW8PAeZC3ujuLKc9QtQofvI IHLQQNY4qQyEBeYvMokYc7u2MkVFOHW76vCq/Jak03eX28K1jCUIF1VJxpa553UDZ1XaN2sEyAM6 C2ChuTmWd4tFa3hK3qoDtZ0SwhdHgBXewVX4aMz0rKXD8P9h5MI3fGAmvkYVct3k4DDP82fOQQCr sFxx5e8KHpAknQ2KA7ua4wGBx31pbH6Sx1CLIpOh7/BJuy0GXfHtRzBneaZkRKMpQnl1rBOy1ryA fE4q6PoV4kHwLEIzKP5lxxOpRP8ovF0ZDa5h1Ugx1tmjZOzvGso+BkDKV9mNFULM2nTe17PE3gSB Vrb6nMB6V8TiUsjg5N4C8la80lwcXAuqRKM2qmxrbzIgKwLJGsQOOe5MPdkxbB00WzrAL20zHjIx 3og/JJgcdm8V99No7pmAfPUKB6Y/sqBKhvsYAfp9xtV0HIh1c1KK/XwQG+G9wxv1H/LFT2utBFG8 JNxCOE/PtsoMtw9grv3nj7q5xCCdVJkGdtJ8WDwBd1GTbK5NX+4+BgABZN3Ec3P3q25wP7GZNAUX 7qsfBxUgk5yprjGxRccE1/+HH3HMHk9wBtblEQPIrdIvg5jQ1wqkuXhIX2TqbfpQ/iy70kRQSi76 IWVbA2ZXLqcZyCyHzlfYpBE5pNaSNk+q+Lwg6rmQfzPC2CNS10jFko43Prm2V/HISeslxvWOmucC 1QSAKvLbSQu9oJ2tzyQh6qoleHjdFEC0iSX62YKU/tz2toRhkof45Q050xdcQtY/WtsuqdbcHLGc vWS+0gpbiIk78yi4RIndRRsO2G93rafwgg7mCHMkBpRnca5hJ23pmg1teX3iTvtTk7apUUSWUCDs wQUF0pDhKDJU8RSguPMDVaDJvTtpN3c9/WEwrfeqVco52SN5j0SKOO+p8YQFFH9OwsBJLN1F746i cTgYP7v7YvNcznvtJcV7fJ0mjt2Q6yj+cuCFSHjStlZ0bx5R5hKUq3wPyA15B/Jz1S/PlQpdXGvn wdSvbY8gmy8n2f7eGReYxIXRI1zqOxSSdQIIvo9xVUIxzM+NTPNi8DNEKj6S6Kg1quNKR6xgVTrY 8Zcbp9Yy+EbUCuVaTg7DJe/EOPpWxKRH+ONcEFtuWQhaBhT0fhXB7pSY7oBOYPj54XoHY0Tcw/RX gvxiR5gb6ptiVYbYapuoUouNG0aqoSF2bFeQGP3EQW6w0lRoVEdLG8VUedYbkyi7vi5qKR+2SdND ZIX44gKoQBoegs9/rmgOdp1La32whjHlI0VpAatCsN0lPlh0A1kvR9dK+gbCjfH44E+MMcrpbbUg 2OFB53nKmGN9kjBxYWt/yCKdmpsCBQnkbjSqihTT16uDDkRwAUm8QygsJ73VA5sGlrU1Jm6/6Ybk NeMSRwjDxLMfq3NPpVkWTRhx8Zt/aQ4sMzCg+Sn63SEEmqTNxhV4r4g+IHLo3WLoKJISOqcLr2Dl X0Cv3UYo143o1s1DwHuNifeEXfUZn290qn6/O5eqRWwUSkte6XvPYsMpwrmh91T5KIUWiZvl6qRP UP6v0i5UqEgSK5e+ULXVFtieDKwFWNMqGlkDL7bd4N6Tjw3i0P9gBO7ym4hfF4IAmKNe7kH2uXoy ikDVWv0Rt41T7x1c+pc6g11rUfYAKwwKYAPvGJodEDXO0gJH0mLIPTe8xaj/pQ2y4fQvYVQbL3F8 2poiSp3/0B+aots86no51uecjTZmC/QQjA8rY+hWxTtJ775r5Ts2tR0GzsiewQabX/1/2SKtSBcf xOzuoK+bHMvIzvfX0KlpODW+7W5mF/ADv0esnKEw4cOI5D+lCrX8nFTmvnCCkNuRBcQa0HOsVDVf GcpH+9BuvEx2jbkaorkx/8r2sH7NcQnLqfmu1TSaDjPVDMjYTT0OTczPgjPrB0ZZYQkpvi6kSiYD mPcuVduAPs0u8TPu+bsboAzl2pnUGcE3csX0lEJdfzKv++YwfpODxJFG6VzQQtLkUJ1Xm8BHlSeO zBOfJGJEFJGNmcnwgD9VkEsGwFQHphOqimijIcTmrRI/6Zs5RByVRfM9wHXb894NuVINyNANXdI9 B9/KFHd1BYZe3g/Ri+Z21yaMKD5BUDydO6Edh8+J7k467VoDvsacr4MYy0bg7M8MKsyVa5n+hS+9 p8I4sk24e1WnbfRQgB1jeGzG/Ksly3Ud4B6n6IQ0AMPs7Hk1Kf1V592oCMLyTlQZSQtPhL5uNwhr nypy31gYj7kLkARUSv+hAMP1Zv8OcPUTRMczwnSGZFBkECXFdSL8sRvC3L9rRO04LJo6pieO0EgM L23CjJN+rPjCN1BqSaFaySbxTmXgkbu0KkyHhRQ31l4S1Nmb/gIvOILw49pjfkbQxbgCxNh7j1Mc LnQh3xIUF42cyDMbqcUm51BHs7v1PJGxAct6Rmm3Yh/QFgHb+M09LJruoCalVul3tXQkSXGvVccd 2EPHWWS/JUZd2cEKIWqjzKeHffiYwEK8LfeWVHCPl+eEd8Jcswl024LS03K6LRNVxUOqBkVFH5MH UIHzKqHzoGqHUjh+qsY4WDYtdw3enF1NAHAlYrRokrw38iJi4NQANulaSv11/aXCpEz9Q1+TNJyG 3KrA45KALDc79X++yQ4FSpbhk7jA2aWmvSQrbjEAM0Hg5NhzVt31T1adVzAp35JpBJHfnYjLpRmX ppa01fsb9AwSDqQiLtggvI7nYmc6uZ1NOdXVGjsoDKjYA/dMyuhN+st+z7na8Lk8fmo5mpoyL+CW ifZSY28mbfdMLYEQsXojLMwY7EQQAnxFFMRVMpx2ttM/1gDW7vc2x8OmaFPsXwCFRCgqrLbcVfe2 PkbH6aANTlP4HAb0dwpyPBJiXbv3/xClYOX2nTdas/FXHye1EX5BIVXHCrmSbdfDdfD+OoDFwGgh YlL9L5Dcf7cuGDDqmmztvriXPJv6dwNupqcRx/+3zbmVL7csIFN80sWrtB2PpAG7ghn7KXqrWFl4 nfLZjC5MkBN6TW5hcdunL2Sd9hT2MsFVU0hzjFsAt1Hg7PrRrSnX/kFJfU44EeZYp8VX4JPD/op9 lk0ZU+UONUrL018kHkarfr07iXa11dbskZtpBLrnv6yEyIMvJuPZYdkLH9fUoLcRw7dUwIGqcKjW ybzLVNI+csMUXoetn2LDcrbxhZiGuR6N4GoU4PbgKT6lPtl/83Xzd1Ls7slg8nPQOHgeArnRxc0Q LHRWcGy6h/67zcx139LYoFBXpIUPCRVWySSLVgz27zwM93RlSblbEBrfwfsXXqKn922j+h7skFNZ Y1r3wkhuudnA+rC3/x4nkgWt3Nw1Dn4KNc1lRMmc25uS9zcJ573aJ/kq5sz9XI0cV8zWy+tlpxXW 68XZjN6KdTFGM3LkU30yf8LywuwsHyShwGstKGQ62uwL/jbRxeeCryaygYZaicR/UQh0Zqq9FUJV V5xLpR5XEL6RzhHAvBC3xkrceW/2a15uIvERfE601BFL7UqzMOmUZNocCFkpJ+ORZRISo3a6CzM+ 147r0x0K+u5cXFL3RITSoIIbmwNLDs0Lh80I5QwCpPUfk3pzmwBPyZEWqlQQCquQbynxh6P9a1Ji txpk+AhR+RbYtcs9T5F4yJPaY5jNWR95W641ewXFpEe7A3AT404/VAxHzv9hxjQQZAnO6WugOLvC LEjGmWRpJgRUAAZEzBPvxg7Eyeyg2G011RRSeO377my9GAgAygkW/syLKKM3RyOF50s8lrviRRSJ nQclfsFUVG2l9+SN6GDhjgmcswHO3Xq7oAZ/o/yVAgTAXT1I+ou1ObCYqGdZysTOYZQbmSJod/oA 4QK76qp34t7J8FX15cZ+cGqhEsHjBnMDVzi6IWXl+JHrD6twIqmkdNjjc6pKwfmKh70e25OzWwa2 6nWYiRdUeoVSXOlpqtNpnO1wxlLEZe9DSpSw0sg4PfuM7cO26wzFV+SNo+VpI4fYFzz9H/PXq8yX u3sNBaA9FucU2TrfSPgpsJ0JPFcgHmIPzpZQBjpcMzsvj2Cqww+8wWqqTag2UmyQAPqgNN5f+AlY 2URM92XnKQXtOC3FYya2msghsRBz7oyFKuQyH/oXvDC55DhecwY3RRk75/WO+NNZaaX1ofpM2LQe QCZgzkjnIfkMZtJc4lOMG1rj1usp7cD2f8ZJh8chF56ZCH2/PiQEcVYALsU9gEy6w37joLgj4GmV GR9TpjK/vG9rmwhNvwHzxaQaiOVjg+6MXflg1YqajcvXYewG75aAo9raxiiLapNXlme254tnJNXb cxvf7Mu8TBOH+wxjVh7f7ZuqpAxU+X/j59PeAj8lzAORxWVnsPz7nPSKJlvte/4CwKqf4xQd5lQ5 HLsIrwQELJo/aC+6Ju46SomtOFs/YZrnInz2zos2CVXznHHSs1juw4QD6XXNB8AEFdA4NK1bGeY/ X1hWNppBNCgFwVb1ILGk+2GxKZGsBxY3FexmNae1NxRet6IAUhzxInREnQAJgucGSlZNeIMidoEj 2UjMgTpFH8iRgnQrkUOBEkSLlT5DFt3012N73cMti0zK2B6pUQ0KTDr+f9CKbttf3Kknr2Io6Aem 2BBc/4CCJ6XI7ltrXic9guy/R5drZroFHx+a9ky9sWy/7TnB3jqo9KxmYXrT0FHcUAqcDrFg0+Ry eRPPrJJhVtgsPNolgUfbF4ORIBA4noRKIcAK6SkcARoUd7ZwQBbiqtIwC69jelmd6+yrQkte3nnk FZ6yCDrpI208LEtFpGHbOMNX2x1w7GW6c4w8dFqATdhoe71DSfFiVVRkL5ots0bfxnCD74OaIWbM bQJblxhTPERSliX0u5kyhZmq5Dk5+tkM1IFCuCdzXIYj2N1Qg7++GbYgAtBqawGMYOmcqZocmMuu fyQgfP1fCVyhRi6XZ01Kn3SAPQy1yOhRpmcpl6VRM/M7PecM2qzr3nF6670g1LI1qWLutFTzmSgn PQo4XXUFpxUBeuDLMb0zY5ginmhKSlvz8qoRhOEmZUH9iuA6WyTJJmKSDLVYJWDVLb92Yqfo9KkN fJ9vFA00j/XB6sCuo1bzCki+ufoQjv5HrPUn1Ya8dfA1TDexFLPi3EZMtkxpwf1omC0es/E6y2IB GyZshnLO2nKdYO2GvtmYFyAlXRM85kTQKiO0/zWnYT4N/n8eT/t7wr2+9gMJhkGmqSwu1pSmr4gv +PphpjbWDf3S292HoJOLGh6aIIyKc28peQFqXWhErLiRaykKBaYvSZS8BM34Ay4mgIwgxH0fKra/ rW8djKrYBbQGUUK5nRSysSVVPZQhj6obC39afnNutaXPewMY/cFMI9IX0NI8FEeuWO6VH54KAy7p 0ZWM0YAa7HG3LZQJYqENqGHnTD47H2qCgwjP+G5fK6Oxi2FGA6dGkzOtGHENS9jBgJM/Exn7DKVq oIK7aTxoqqQCnQplseCNeno52wWrAQLzDn9BW66Nq8UukWZ1URSTr+XqeWwdGonztrDVpClcfXpE k2MndxO5H9E8Dgz/BUGa4Bo8frKaBs33SEE/bECCa2imAvluyb6rMW37l+Hq0IPe1acox8HXjRg5 W74jdFZj5guwGXtjqpfc7QKpkySNhstEszr8cuXINUKszuuRh+TsiQ2RumKxIhyVsa8YNhg+0Kzk dJlRFkbv9/KZdndmziFloqNKT2Q0yUWYNs60PxFJjrzHTxU++EVLL/ipMHlvwRKU7iSjGke3d9Wo zhILp4XsALjZsZ/dUMAYw/xU0VTjXPk2EmgThY0gaE/Ay6v2DWXPmrR03Jnem6LN892RfIU/P/O5 zxLINPSr8faRjRpV+WIi01r9sHUN1UinZo+Fh7cHRJ73IJjLH9GSUDXSJ+8lJGltMaMtyyMK0syG JNljvF5NUsCTPmnYTXL9qLlWiUggEPy5Cf/0q+MwpTYku1nIdHUjOMHOE1GKNdMN90RWmRBSplw7 ddZKiwcNmtdLXtYQr9N2PFenYNIadz5fywNh042M6pjUYJrB8HcN+1WeRLZ4ToL3KVNqzremsPXD 209xZbL/qGJnnl/OLj5L4e8GYVHSH9IXB/bNz2J7wfNq3ug43sWW2L4Xp0PS17UGN/hmuGywc9d+ 3h9xHHjHrV2xTQqY6Dji2RVipdYBsVtktvkXB/mGisbxb0VMHI3Vdx7+OpRRgSLDDFqCYvjyElJU OKIzj3zOO4g/+sCfkotoqRy7zObuPinTNjw835Zh4GBebprrILr7k7JBZq7oPMnsWpAHK44dW7Jw tE19w1bs4qufvmeo/VV7wvLxubMEE/bfbsohDhe4eECTcuD2/EiqSTHkrVIAhQ/s2sJLvuHXbjhe jFF+3XzJ/yxi4XbSYgJkzixpiDAMR8uMFc+AWGYuyOr80B8HY2aBABUHRk1F3q/akjh7TW87EueR AXrBeTcukd1au59h51m9eF29pZaX/Gzy05TphnYa+ZXJcl7jnPZjpUZbw3jrjasXoifW+sdsP0aS oUFblwaJgc3JQtthcpEMCyIj11zMicHgjD7YxvNy+oQcdUbGzKhDnHVGT2/9+pBTuawxnJng6u7T UkLyiUay+Yt9L38m80MlQgwLWZgs+SFsMewO+RZLFKAp5bXnBWlCjH9Q0BoUQhqBxCJtgktReDLg pE2VO4BeN9C8sSaOjT/gKplqc5HIEUWBpy/i/eeC2yRlMc9Gc5gURjG1oVrFCZ6x9BjNmmTo7q8o 49DCEl+DUdte3yXvEQJtRp95P/TJ9YSNi0mg5Z6aZp3BOGpaOn9Emog0o4QWRDCDxHAZHTS8mISd cwHQhcRBEiNcxTSSWvPgkoGzYGtiudnPhhtgaoVxipMgVAw6yoY87GOmYzL/xX0q2AS2QnEi9p4W d8FWk4ppOtAs6sHChGrkqh2rqKINY5e23/HppclsviltuPJ6iT7P4ycuO3TY1EKs64ZN8sq7OjI6 HzTQ84PiQ7mCzaSgNr4GmNZHgt79XJ6ufi2vzWXWXYwMAMCgUNiH50dJXmGN3O7uhv6fCMCdIqYV eUVS7VPZIHlrmrZ4Knm3P3HZQHHlmKcizwZHCZ753RipoP/S7PmR9jgvbdqx/jHxMu+3Q3QfHl5F 3jGujgNBMg0k9w2JorI4haNisZlEfYSMPlzz/iWQIVHOWFBqNz2KafVbG+XAjCpLJ0p9R7o4h1Nt ngx9BVdO1zPdJ9cqP33T+/8Dexj89F6sFZtvhizQMy5CkZ4atHLAGE5LMor070Ozsm11xpuYWIp5 LQZgxQcCo+qw74m4InMaumBfR3l1+0H3bNRuTEcXD2NQS8aCTtMf2BrU2YtvQWIA6kCNQKEp+vNl VWg5wyOKGkODPNj/7RcA9jHMz2AVJ8Nr4+/jFojTnmfQsJu6ihmOmTZJSJ4Il/U4p8JhnZ6l+53L 40+oPVDtRwqhGh90fV8EFXRtzJz5xZUfih0pSzp6DRFK5occx64Knm1zBHJbHiuykzkYmwKRuB8M 1AnHwWF/o/pDZG1k76+jzZkZ/DOPPEv8NBud64l3Kr2siuSMs+ELaM6qsH5SX5WGWliL1O7mgkC2 t7tvl8fKleb6HJYtCsaLZEb4TUw8N4BEJO32pNbCQvlH5vapxk/pruYQAa/PE2mxKE1TzCvL9rQJ T085LJGXKBlpVJBjdfOBxbDle831h4pOdNqEwI5DMy41U8eQUDPyiqoxxIBWpNlghGpaei9LiTNH +rtLFmK4iwTj4K/d7pKk84eUPRep9lVGfsJvmbq8uejq9QXWBWfuZjVspXWIy9uEP5McKLa/dy8k qzvwc5YwB4vMh+6lCodwsSfcERL/3AHQF1y7aBpnHgLzNos5nJV7ZPlLr0Lm1f+SMyzJQbBYbtau jwBmP/8tS4/S0MiS5irreog43Nc0ZKv48mpronMdrCdDb1rBV6VZnQc5i9H4l5L14c3JrpO/apba 76y60kT53OvOrLgRQSOLAYBj/Qa3CSWKlZQsXXI5e0kJ8rF1CoCGJegFiuGTxoKh6miNPoBjRV9o 1kCHRO5kZvSUZ/33DSJdxk1bXBQ9kR8FEHKtcgs81T5zcOd/U6tei7870x7WxCbigNI4BGBARCbb hO+lsvl5OBHDmrUI2TnYcdmYFofbH2hkaK3NTLdK+SVrTEbkzpYC0zg6Ho3MLuB3R9xxOXWQNh2u kf82D/y5YZGWTAf5+THjoFy3fB2gBsARHTxWS0JXSJ8+gfPVvunYN+hXYlywWkptOtwH47viWAk7 zClp7mowqkuMjVxgy70KGMJ9eVAauvfmOdD/GYLYsMfeOkIflyQSIy9Mq28znfJeq1890WIc4I6K h340rRc+huzNaQGdaax30n1nYxFyjnUdMjfM1xgLtojxEaTyc9116QUy+MF9MMWxv+CTCIuAoI2a 88Lljux4sGq/CDq/5cIK7nG30OjAeVGBYj5vHDsP3tQ6Ww/chgdNAje54gb9CBqxGz5hwqwMD6/V RZcueZuw1BlkBr6QyO+0mrEv7asZblmXEJDfz6++yvdWUTYq5DRRpupmrn/vCrswCywTOxNuWqoO wulZsKp+R4xNTizlARoZ6c7rbSRG5KvnGguhCwqOon8Dz9YEZo3LGsSB7iJteS7W6oRdCabttCsq Gkq0oKdZhYaB+Sp3u3r+l8TG8li/HeelwbedFuVoG2xdt4cleDgUA/tVF35gbFLuFETCxEHwRzS4 nciF/QysVbBV7wU1gOMttfE2NuFjt884lwg0wmKu0hyHsG8b5KhCfDjCA6P1sh4yPyObWe/pYf6O aZNFfUHns1jzfDE+p3EFRW2+kHAEje5+RtVXwbn/aGepqlIy99RqFHQduFnf+RMh7JaGnvfLYzhl Fqc5fd/KlKF3u0ENRIO8DCvVjeBQGB2xK+2ClnhfqvcxxObKs0OeHUDPNK9bGcHsO3Q7QqPuubfY +rmORBP2/+OYDsoM6Qmgsyf/JYVOkcMV1Sf0zOGy7Z2yoep3ER3x8+Xg9KiVRXM049oGUIeEhG8R AS6Xwi2Z/i1/ltcd6qs71HGjU1rXguK0iVhGkWCyvk7LYeyVjTe39XIoMuUc5wZr7BriADmjk89Y m1F53IHORZCNVsREk4MSqam7n2lp2cUUz+NjfQk7CGTQScvnYhmKlIu7boRtoWbNV+YSzSBpj3cs ecWhsHhfDKkSzwfETtJvoap4PQCiF1dtHxUPU9hzi3Kn6WXEA9CfOh1MK+/5xkT60uoPkaxRtzfU LpumXsYi8/qx2J3uBfZzhm1JmCJ/G2uoDjn0QqfSz2qe7req7PDfV71g1NjLoJk8MKUMj2sTPBYv O7Seu4R9CZpB5jY0sQ9fWSrGV4Nr0IqIBtuVpRETpGEofSQO98jh9LVzbu/TvnqJNybNuvYyPdMX ZoeRu0XsS2uIn2RzrEMopb3Yv8jOm9GtpuFmVnjH5hSJmYbLICNWOgQqauTzzpIKm9Z2/ctnQ90v NqVaGAMK9hhks8J3jI0j2p2S2aqVmbFQJ5hA0RR4XPNVqtLD1uXrw+V7mT3tLePyROg57L+glcQ/ j9BKTNVSpAe7RnVQAOtcHwSQ/J5KwNqlIAKhexBap9S7sURnA7oYNwJtL5mgEq5jUFIL8h29Ugs1 wdcMyP60SvjC+Ly1PmhWSwgKm7qc0QNf37QhvQSKYO8RbIwWnymUNekMEOfJ8IN21JqssGmmIZ/v HKlXgJvTixR6cJwyyx8bTpbu7p+JRQ8r3wIN4NWH/ku4yvZDa1zviy6VlNsYnGCI2laDOVWEOyRr 3uy1JpbrL0jIq2oHtGxsBKTmXYKGCI3YAVW4V6AY6wop9Q2q0FYZ9NyfLoNoONx3Kja69G9XLQ15 rIM55IHrS2nPHnWoWI9mdFTG8K675k9E/AOnw4lm1vHFTwf2E8/UGZm48VuscS0IahA1MdXNS17E d4NSDXFBIboHadeGSCTl8xChLE2I4FqD50m8RcrLAq8FpXFfgs8hLaf1ubpN0tmmPbTo6ghkTrk/ Lk0GLIThmMqdRl2sQp/+cEgyhLSGPmKA74kTnJ0tSX9haNIvODFbc/E5jZ76Bmgf6Qgtf/MHdTgS TTlV9B+/TostTxjdYTn6xIaM/ktuljzwM9nuwi0HUgFXxNVVM3uMmY2xLtmyueJYmBgd3jicBU/l ux3+hDKozoxdFDBYnCP7WVRyYSBWD5aQC/h0jxVQXHcG+F5nJ7ySaunn3Dy5BjwnGF9ixynfUuq6 iF42KOFRHBBAc+NGInn0lu+yNxiQGB3gzBfZm7dI9g2L6wVUynTLmip29OH36WevsUPgB6KpE+kw 4HpjxYo8DTzMk4Wc/8UlMF/Zpz6wftKVXx1nTOcy3pU4k3XAuJmYXZ1ZB21hRj2+jPwwi7emYz3I v2VBkIVXVpfWJrxl+BQXY4l/wpCWIO3zKiBljEFeh0kupTXdS2fJRyD4ZWY+pbWO/OMaahng54dy iUQWlhlhAnOkvRXM5DJsa8668oaI68TstBiBZxK9RgZ++5E0dl+LoPEbmFR9s6UoE+N7icc4GotA WgbTOIHDYe7IBmVlNlYDBwv7V5sFJ5hLaQgJxf3mMiCOZucXIWZF6sZ1VLwZ8loRkYng0euxSZL5 kYw3tr86wNrT3UFg27FClDkOQVaWLq79gXrP4ECgAVbwbxDNIBkNaVq87vv7lBMkao201fOvTn2O mgqc0+hl9oy3C1WV+tpR7KJtJjquDo/zVJD1Ol4L3AsF359YUbEqrAhax1YX9+53HkHEMNYnhNEq Lfz9yHYxxy0vN1+C5dxvSTN0OZrqVKEDw0ZwQ1desWiSGjCLPaW13J1wjagkPgJ1Gy2+3UvpU5dG 334Q3HTpeBc652K6EZ8+6w1WbRsCz1RES6j5q0AToUBmXKU7jy//cgKJIAveqazpzlbbA6eBAp9g F8RvuBSU6TWr/2Pab3lVWxMPmpVdZMn8NGhaKCEv1NsA08Doe9Wo8XxlVjVc8QlyLi99BjTxA+N9 7zYFydi740VfPY+lRGd5jt/1fZB7lFFbZ8IEyp9fNsJ1DSCRKV3/qqbGvjI8+3zApaDGN8kjrWTf 2L4OPm7iRFpDkrb0th1ZdIpepgWX9OvydLcwRAhvP5X2PLefA4OoU+rReMRAFtim3CT2qLv3Qao7 wxGsz1bygMuuj3lu/0cYAF0PYFx7erDuosG9KQi/EvOGCT45obEA0hvd8NXEkI/nQICZz+drA9eG off/ubPN+2Qapun0GaKhghClHcOV/zNKwc4jdw1o6jsH4p7miz1VlRQjjWis4iBToRe2qoADnpQm Gud5p1WKw8GEt442q6kTmYY8FrGMDoiijnsQnh4tpCV4j5Oh+/9NCNAGYbZXUuItnHagTh1C0cFn mP+/06iq1F4eFi72UH3zxuiIDNEOW7p6WedFqsGrZSK9h0wM2Ug9v5PgS8nmFva7bY9SMb0yZsf1 3PXT1Xa6xdhy1NoqL/XqU2MT+WURizer0DaWz8wSCvwddWeiYzVjo8RRpYZ/bUis+OF1TZMTBffO 44WEDmBq8Lg8uCWyy0KSv7Pb1BPL4quIfaUFkedGc/ViULQBNA/2OzFuqRd3ocT2LN9l/w1jdQS/ 98LXSOTW3PAldlAGXh1ShURqvYfZ1siFmDGtZdi2oKvWOa56M+MopF5e0n/HJgVns2QJ5oFAj3hi RZLJCBvx7IASGVtlI3Fdk8H6DHl0K2JFxMYJoUfxIOZJx1v7joz+0b/p3c9rhHi09qcH0c9q/IvG /zWGmE+Y8ZIxhCXZRS9gN9hE14nmyfyXBRFzSREDWTWlw473g0f8Zuu1AHUPIPeUMAl0BySh/r9i ne52UXwxkqrbSVy07BXVom5lxSo+Ky7oxqAB3br7DZ8+5pPnRyaW7j6JysxllciaJv7mFgtxAQnh cUblVSNxri8bJN6DvDFQ1dlbyeduLzlqIN14xXYQ9pWE+q8aPXDrSflFkCRfBOAwHr4MsMW1bQb+ fXAcuPC1gQpjdaP+gviBJoo79NTKK/YE3+zEJyfKuDaDBrjcaJ6Id5mEpbGdfDYgBZiJXzHfPcQz b9Ygtgj+S8kbhizXlTPu+q0aKtWYE27KxqmTnqYbulW0t725AlO0zord4DcfSDRHFZ9IPYdLssmZ JJVHUeceVeDoYA9//EUfdio3PetynPVYJF6ifiMBmGKcgpvi/OOmpnaVjf0TBAQY4IrWu8EBaEHB Kx6uuCaO1nTgOUUXEOQicmqhFG8seiUEGPW461yhTUnS5j0wnkDE/gqa0vGoUXnoDzuYGdjTThuT duwMK9/975xJpwrPWvW2ui7CKW9DIbL9D18i2YwdJwGes3FYi4T78zdCgJLfSAwq5Kyx+o06zdfA GfEv5jCPtpln9eAySuh4BSpW8gwD+4iqUDY90+gRd4pirDh9OjR0Vlke8IobkYzhxJu7i7l8xTGN /XaB/kqM54B7uWOYSac54Ex3WZeKjXn9buMd73wccJ/WokJiSYh2xrGoqi8CODR0VWbYdSc8GrlI GONbhDxLlEcRT+P+/DJcCStffOAudUMPKOIyhVNXMipweCRU45TWwlnNjdAvmNQX4aZYZeafMq5t JCtQdy7WOoNE964TqSu8AFR/w6OyoYtObPwc1gigN0BM16kTmWr+XyNinWtvFewnDfhuSuJKzj5J HlnsFJnhESKOj8hAPMIgsxf91H25guubBlhZ3iWIDKEh9uCt+5RdxTnaLV9mjGS9R4FnBlTs4cIS ttP37ZrzPMevfE8R4P4KWMfeV6g9AusVYIRu99mHzLYtZXCHIwcI2gNtr/dmfBv8ky4LprIF7nSz kCQ7nfuB98bqOzY1/uvQJB/sU89GTicHJPjMmu08gZIQfS1iIWzadjmWPjsWEtsXJbh7EsYzaodt EPzPg+5qUypWJhE1WwlxDD0JsRvUoavkQMovrL8+8dmXlfCHFSGfRkymZrWvPXvFnXaJ1VSyqi02 CLotGuUXpY6DnqUqAsGKa/gSAyGF1XPZ2j3xiiSQzv642AwhOijdRUxNHQSrTsFR2Rf9EpeIQeYN gwGqWRGUhBx+h/LqteNZpgwJh+vgJkAt68+mPeuJRhXkB8TNus6l/UcraGVXnlm/2xhW+u5mkudp OYAgZfph6x8NPm2OUg+uVEu71xxL78DUgWoz/Ov+iLmQbIV3hrW/3TcVX87rqgxHzPq1DYOMDxA/ 0HGeB6+wgWb3TbyuRgNzA3qAlLsqNDCYgYbQ5m3xW06gUQfnh8pfvbCfggSeWBXMdmDR6TA1SGTM 8NF98sVyxhrrCMXe7OCDxCkewSlIenoPWJl1fq4ZYYp0ooaPUQ4ixliioVnTbOexv4ry+G7YEYEs 0umH438FNj/M5eUQqAcs9VBbrp6o9fGv5G8G6PbxD5QZQFrcnazIAACqiPUqKCNGwhFsndjKymVv G0YxKVQANQETiKTJ1RCpPxijZe04AbeHvC1OjA8j1PXhAJIL6kgGE7KRixDrurJN7ZZ8O0BTe34w Z5Y2pw1nGPQa0FO3b1ONMiYYpo1nXTCQ8qN7L6n0wRVcnRdhYdh8gZOM76gkeaGuoxeuNMGFq39v Rce4pyqEX09CGZunMwHp84b7F6alhrByMGnGtx7HHjLz55Icy8RXyRCb5ZdfMKfNyO/E1/bgOuZU 1dqJBLsxhoCF+tNTvIhzO1p2BKPhkpr3CKav4tQRM+hIQzyHXlB8uHjIK53QV0t6zg8cH6Gs6pOO dD2ZxZwvJHMhFeOaIvaXrnpmp/cbFjHAs5zMfc6/uHNuIxWF1opgFkTw/tJNCoYJ3t1hzn0D2TxE gbcQ83dUSgh0ZOaGuzkXI/r5V3H+VWevlZS754+71IvapfSg8h+3bVj0r9GqNMvyOJNfZWkeLMbu ODhbZR60m30P0UyhiDtPDCYuTryclSKVKopMOS8b7A/+9A2KTxq9wD9xUrUU1VvsQK7cif1NcErK 1Ea6Nbdo+uGR6BfayjbqObMmXz6VsNh2aFPoF1/0OdR5otY84USK7yMCoqcdh95V1Tvk2FcP89vK pPC3UjEKtna8ZDBYKBiey64ZO3HxNYbJRRlXnyuiH/vesFjhIxthQcgIYR7vOH7papA8wrVRPhW2 l2RjOV+fN/Zy0RrW5p1BPRrTCC+p4a6gN40p568AbdeRL02LyqrXVqZBP/Y3EpoZhpqPeKU8doDW m7Nr1wAFSvsAGdJCsc8GdIw+TTpX4L0qiv3SyexARKZf05EJpx3Mo++YJWdzx8CVUT0V2W/h7iQE bEa0nU/+G0+0qG1QDUwTe8/SS9OWBiVnsJ3NctVsdQDOAiAbe1fBbmHsWe7gVWu+qkQX2ed14tW8 og/LSfJrdqGtgBuCPLOXN1E1sQrFxUtUlVMVXr+/KIZm6d6FltRdAU39v4v9tUbz2lcJdFVoDR8/ aj0kU5fVBQeIWipfARIcexB3AlEe5h7eT4xNOjar/edDQIqRYVoFrB6R1uWcKWD2LHCFJoJb7GKj dbHw5g+bH4m17o6MQxRKvJgU7rndMtPoCKvfqb4HER50Icfth58UcO+EmBY1Pe92y15+7cFSGSgE UJp+meQm5U9y97Dc91KJ08FPBI9mXSe9yM9Ms8t45l501DyHPISXCKtl+OlGaV9pC8aaBQx7RW/h f/XovYafGbe38U8RBfGnzUSV4zLerJUAcbl+kapYt9p81Lit2580JogRFGwxkDEsFAkvz083Xefq gEUHlLDnPLFRu9AXFZyYM6+c68KEws52+q9HMh7Hmk8cuP4W76JFNd6UY8/cLhRwytBVqOcNrzDg I32OpYHtyKeNU/RDBPbLUq/7jsaBBSBDgQonQHgxY7ubRLxQW/u8dCHuH7A6DgfymGpDnOTY/pA5 ARpmXhF8I+kb6DBWQyPayADZJoc0TTSgJXuX2zJ92IMNUoR1z0WVo9Zl7BRqX1n5n2g5TmdxalNM bSVTq8GtJxOIhMaiJ10RBZei1czDiEz3i3uQWu3fDdWRY5fcjtbTphw5RX5sv/KeqpiNGJZeFr0b M4QHXtg2sQ49qG1Bw0C+I6nNnGJG8FPHNYHWtGxTaidY9z/diYl72/OCLb2d4yXXKlIeYRxB9eQu Od+MSA00UK76FjNAPBsCfpXVwY3vkaM7SPSqIfaDtZHh9+UOvJFW3+fke0V4jlzv8IRnD6RSC/E6 vmHWgeFiVsbWZXc5Hyem7AQM8XsQIy38ZAAgHZZI3M061UUjO7n2Kv8slrkVzeTSqhw9oaXqLJF0 paitNTTz7G4Un1M9I7FAcypbJb/uowF/1j/rZzeP6kKm460KZkwO2TNH0vrg+99UhPYp2TLI9Ie9 I5GY7lg1PnIuNfY/34aum6EABnImXLICETvMh9i27s9VeCqDM1RSVmosZpI7uYPKguuedWEW+d+G IIBJ0qaT4J9Fu+Z7ZeobQy/SBElmBqd+xuU3oxFCP/suotmjN6LcPbWm8CDaVIIjb8UivEXCQwF+ S1eco0tb9JCY2zWM7OH8Jzp/bgPQ78/1GgfMMtniBuKmmmoI91NSWZkzh/luaMb8AxD+5cGT3Pnh xi6ayOKQ+l/YMkJo31MuxUBBohegkDiXHNLz270UMMfb3pncPUT34KWTrck/Eqgh76Tj/WrNycKT IRG0e48IzcaddPp6M0oG7fXbfj3p7d6hlu7KljnFa9mztxs3kqA8gKpGh8XfNQ9lYJI11w99wjDX 9/JLNvXDSUD3lxqJse6nEuEGIOgBF+gKQTzuHqh5A/Lo4qsRMLl2xv22qwvP6O7xCbo3IqOl+Ugg Ph3NGGdsjbI/X0X/B2eNvOTlmo9EtNJMKsRTJm9FzZHggzIzu8w5jaaMkUfo0e3+H3fY3yFB0him RJ19FAsfMjGs+y+/q1VcEegbnmUsHGXMEDOkCvvCQVmsGKiy3RVKletk3RzjshVM9m+26UEKs+fQ TtZHaP0s61z/yLTijNLCGW6Cwn0rh1uJGnqALCArJ1pO+vF3SFAY7Kg61Xe3xzot2FjxX6QT8G6O Qx1uxCmt5JP+SAip9lLiM7ne3ySIj+SByT0eFBVYApX09y9v+E+EbvGdolblw1IAW55dTfcbVItT rf+RGO1cAcH8OnztSzGZVdAmC8oS2l2kzrrZjhLNl97KQAyHny2hb5fGYGQo4GJ2H66nDpT/hZBm YalqkgVwY2XhFFpesVLNK28mpbXA1yFp/isEtW5at/SYB9xBV5Pc4omBO71VQLtRdIrUgJk5HjPx wDwWh9vBJ6sxw3OBS7HNTU5f1ieR6CxmA9PUNcam2NNCxAcSOT2nLXQjhZm+MXiUb9EACVPW7FIC HWtki06cSM8dQVezf4EkWlSF1esOaLesLKkNKIVB394Yeg2BqppAtz8a7xyrixdmFKTqzvMpyu/v pIvpSivD2i1nBebwQPf3l0AsLBsxqiYo5KADt5xo9o5jq14kG6p53hDhFPj0uAQPuWpmstMFYENa /cWdEOj/LHTMVFLkSCdrmIHT1Xw0UdHvBFT9qR18I4pQDwvIHl/qOogy0uuvadd9n9+pSP9mcmTY lhi/35e3JVcT82JPdqO0V2kP4j8mJK5aM0WvBP4L7CuJKVVcoEJX03WkxKnlYwA8kvQm2axYXlaj 85mgVQTdLnKk6KF4cRAl2fw3gxwtxOCDdhnQsLJ+cr9dJU/Jq0uBaY20vJO9TOVTFg5F4pNtJt7v F6FKn4EeVopfzt2IaArEX3WCe75EQuy0OhtjkVbUQyDbkNUgJEzY94D+TA5i29KmnX5I9L3/qP8l KAm7uSO5SM8RonmeNOS5/hCcbrPlXyRpWJIaDT66zquRnR8jcwfzyZRtSn4JiWvk56cBDzKv4hhY mL3mbek5FRip7S8Ocws74MlOugKnzAlyeoDerb0sIbdv9zQe3sP1ErBgCFlujHrCP1AU1+WTGwCJ lsdaX/XcwUw4aUI+jO8UpnLCHupAkaliUzimm/hsFiPhDlSdmM375uIp1ajECKsMehKLybGdkKKm 4OtoxQmGs99y4fh8ndH4Q06RtozN544ZUsI+XJS8EvkGeoz1/EnvYqdyFEk4iL9UDzmrA+sN/P+3 uGCkMQVtEygD5qh8AhZ4X1WKrXd+D6ySkPGJoBDm9YmRAoJa0bvOaGf+/R4rc/wR7YfwZPib9aTd JtAQIsnRj6sDW2WVtzKYcJyF7QqJJpH2W5C+SvRAyffpL4Oi4poyO15dr33kIPX+Pe401KXmzLLz NAKdFjL+usCq+qmqWe+HJU/ZxeqgUIsTxGKayV7dA4KRetLrRK1XhNlUh8FHd0lY7BxLhQZ5YUJL iWcPVXkz5bqnEQ55xmQWTUo9ffN1sD8/8Y3JxWFji9P6tsfJoBVns0mT2chakc/g+npS12Z6twO6 sVN8AhYxNx0v2VytG2TILSh+bZh0YtUUuPfCiaelFMF5FSoAQJlJSGpIvonkzRSGIIcBPer0LiLo ymyh53WouJroPn3i9KX/44W6JPT0ddaNf5R/H/+OQTatO54/4ab06+G4gXG1840mmC3rLbCwk3vy kZJhQs60z2M1UweIQ8FB/8bYoDDQ3eRJhDl9VSd5xFSIox/hX/Rhn7xXtj/WUIP1dLQ7oLcXbbM1 +s1DIsxg8GkNYuMnkmYHE8w/Ic2lAXVAg0YnGkQfVJLEc0XVtQJMpqKgFDNTE6V1Won0RazOTUmt v+a4JDC3jl/lXUSOGbP8mhviucOABvmbyzxefMU/ozy5YIPe6trSMftEVxgR7ormKpvHLlvbARaK w/HWy6DM67mEYbwHaFEo2TsbxwGjBwNPeGMyxcWQH0oBGjTtrMyQ35thStbqTGZDAwNdGn7Je3Yl qxarWSMcM3K5aOHfi5F3gsXblFI/NaOU4uExC0NQuc6CkB8zMm55tGoEBGOjvSx9cq/rELaA77JH BbG7bVR57lfIk4kU8WW/6e1dQrNMXcj9N7JZl19Rfp3VFJTOTt/4Y+DaKw2W4QsluowxjgM42eEb RWK8fiUuWa3/KKR+pGk0MqPaVu+7jJ0Fbjrrhj780i37glDvSp0cMD5i3nXMd6UXqlBOQOAB9g9d iuSY67K1tO2gxuTFM2Z+07iecgrgh2MWu0DHO8b/ylqicfBgNqA7RZMQC6ozfpcaxn9MZI6omdPi 2OD037xlPHDIPNtrLV0QnYuNhV6BLngvoVvtj0sGxIrAP3H9XQy0sg+u/IK6IfhAjLLKyU/2q2LP YpRn+dmRH4VzI+my5+URArtnNEESqdKrGtzT4IavPMbtfALTCc5Udas1Thx643ehNQ/UVMpOQoix +4etVEybUN52JtV7yfJZ1tIWzkljcZmP7YIXBgpMownL2MDIRL1uIuCPJbiv7viM0nOPAaz69+dC WpZ7YntmTh9LHAKhqTuYQjuMwyGVaWJe1v4rZPoH2357MzXCydaTb+url7sp3AD1qQBykNzBXrNx k6o3YcFoou7iNt/9M7b9ICg0xPEIK1wiisl2NC14jwQDjg7iHJM5IRssI2Fp9ICILGWe+pw9LDh0 xDfG9Y/1XOxhjHE/2H1gbANoPeP0kg2sdUGaFjfc6sSkOEsIvfQM/8xbL0RrjyNmm1fIEdPr1Vgu 7EGm96c8yUSRQDMqZBb+Jk0aRkagF8k9TYPyfoQ1Fnf4W/YWqBRZx9wyqKVsl/oQgsSTc81P3sFS GL/0S7q+eGPsdSimkFOZJoTGo9rZqQ0TDhlF+35hfCCaGLdnCO5+8YhWF3ReZdQJi9JqWfbMtAwx pfFc5UrRrcHERoIIKo6bCf+IZp4e7jFXpWJmGQ+/kG79N+yXfgg69mCqhJHwUCU9Ybj1kO1ku/ZD z14w/1gr+4mr+U62wfViyvVNEKk7l5XCMQjkqez/61zUoWf+Cp2PXWCMPxLoCGvsnUoHctB3FArF lIodEjgtWYn9uwt6vM1Iynu1f3LfkmxT25APXIvLDg5y2g2j5KPFrJexSQkU/1F1l8GnUsCC4Aca KSWsLrAgT4huUpgmpr657gdJU+1Z+XXbD3Ah4G8f2xHwMQR2r6Xa/440DMq3Pg8oe/GbWL+3Bor8 eTqSa2dW/14cWKzpy4s7UBHU/hMhYdhtyEZb4AMzy+EHY+Q5uVzg3q+KRAkMY4agxiluqFmnwlkZ IOWeLWcPl3ga2jri2SJWGIoOqkiC3IDWq4aV5NoInFKAARazcQS6sOkBnt1J5GW7KqWDeKBim3Uu kowJ4v+RkJ0UmRZxSr4twrjUcR5AdN/J+pm/r51OPX4XVJP42K5Wa6rdZTtoCxcfGLC4fuOV6seG Yp6wbVSnf+bARG6HPGTSb3CRZ65wYDEsmDI/c2NmFi53WTpOt/kUeaIsMUKQiKus8BINKn07AjAn 2QijRFrbcUQQvlJUIahwKDmeyaqw7nh0mvvRpIXBEVVm8JdC2AE0f3Q3C2uVgCzoutmsPglKtveU ReZG5EQSblgxi2FawCXGTeTdCx/6m29oZ57xXubqpBLRJPFM5En+CCRRXZ+sCtEkooVa1CRpFFAd g2ehi7V2cXXYwc2FVPheAUtN6qewAdzDkpsSya6ftOe1jEfmMhvF4tZ055/PbgdyBxw9zqYItP5C 0cdcDdH7+6L5w2CVJ6+TGWosKnANra8UlCb4OGPQVKYIhFgNTIHXaxuQnGMBVSjEZ1cNZkdrXn8C 3548AngwS9vV/2IklMVf95t8JU+3i2kcnMEQThKE0z0bCRo3ft/kToybfzIMDdRTlHx3ZPi49wQ3 YMXMaOwf+ILRBB97ybHaWsCEXuhzE0X1z0cQYyRJlF8CyZwIAzXMIxxSlrReFOoLq0Bpurt4EqVU Lb0EtyGb5DbYxcX4K8O0NVrYvFiKgAeglXfVrI4gG6T02bL1nwueoR4GFYT1b3na8K2rjzmZUdh8 wP8EUMkPzREFjlDxlIL4q69xyJXLa4MhxXocGe4b7xWCaUkie9WOMDvb3saCe7wxzOM7IqsXx9qA 6c+XtyKGmvQlNw7kFuCW4OSIrYkWFL/c2tBM5h8wPQMFBQmG0wH5er9tHd4F7moMzu1WJjmG0wkU QJDQ9fxbBjjJVwLwE4TBs/5ClhK5i6waioRbrGCsoRL5gwdcp+xOqwXjBHyFRwgpa3y4ngaaIW5E bwKmPWGrrGsKmbDtvXd+kQ38ZuTHx5R7nWZ3rKR76PwlgCyius1Qji5cZB3Q06iZGVInM8REZcOw QIhHZi57V5+ZxNDuK4sOqCFWJMowYQvws77Sw29MW0Sata7aAQGP1YBdQYPQRi0b6dlWJz3Zyh8f bb9a0KNf464g1asryCA5dXo0V0P7EX8E5o7noN2aoEZvHf29q416zJAXONwARFcYa7yWQ18zn+IJ 1Ae5qh9eXKYXJ6ZLxyCoU8iIxRNFBr33OIJDdUxHQrznHsZUloiY5xNwUqFHw3LoILrTWDNRRnTW N/lkJ3bLr/Bx+NWab/aMCMr4Anrgq6m9p2vZnDrsmxYRlAUn8xL932F5L+VjdCutxjJf6sEuunhG QVdPHKjwbcQA87/mcCw4VRufm053i45rVJ0MIdeg+mO5vFFXirHZ8FqHxM1wa0y+TySvnWC9mP3z IMdNB+3YKarhnw3bD4nNoUy2+6PvsUvpkGV06z0iWf+Q/WPKDqVKwv1PkYkS4YaimUVgJoPpBOYI auVeWSvv7LkihABw37YqdKGt/uTwWTNAw6pYQTNk8INe5tdwK6S+B8Eh7z7hueKye2Cnr8Sg2/kL AIKl0WUDRErOxGNugfi6PNZ116TVOxcx/xVjR0SGw4buNZtpIeMCKTYA5DGcuOQs5EYy8IY8XhlH DRdodrsuvGwtEE7OwpPaOAfGqLeSac0MuEo+sDRy1Tl4XY3eKDyKQigDN9IVaQxUhTBnrqMlLzVA yLfuRupP4cc3ZPYLs5OcAqiTb8vng1haLYtfgF5+X1uZDjZqHUgkqvFI56BJksYsEHT8FylUJamb 68IEpvAOjs+gYoZQY5tBwGPi8jyDUPtciaTkL203+63VPaSuw/FOCJvARP056u+cNIH+wk4nD35u PVp5qW7ubwztUWx1wde/3OkhzlDmMgFqlSupeNGaSu8MH6yX7EvyiYZo/8Pl8btBDXowinTzkbP/ eVeCC2xMSjt+nxgHriNv63QpRvLrDCg5KX4Xa9GBEUHz53jMQSqK1CR1sAIpXIu5Fnhr2JK+jFyF Uhzcg7ke9ah0T7Gvd4Cp+nQgWVJOBGKmgL1INWvAOjSzBiTuAMrgjx9iZppx1BODfJ+MR3FnE9sR 2V36TzT82tVk3BnocRq/mcPR21Tff/ntIP4haqs15x1YwJXdhALjOUu4pmTw8KNW8SuY2WXpTwHY l27bqld/2fNdl4gyssEIu3cAcJabBQeOPLipk7Sn2LsDEkWhRxN27DKtBSlICBzYRYP/rxBYj/0z UKkHPufnkgbcb8dcw6l69xzzWYGm6gjI2CnGReBVjeyLfgBZK7lIqJYXwqNLGtW/FjjjE9F+D54c OPzCUaqJ0T6djc9qj5z2+bfbEjSbVlMlKB3by7sAeU0sQBhN9Qciz9ZPQ5UD5AoCDTh4tGBdG/Ih 76mcPKBWeOyVQ7EeFwYXPxYME8wnbsHN5Yd+xSXodFp5kzN42z3mMHJbdDM3M098xHIJ5sEhjXFO o2yscPtuDMm77ZPUUmP1vhyEw7VN6RdSDBm1u5J7Z6gaW99MGVeIFOxW+Csqm9QCcFPsZWUcgtFr Kp0uZ2XRTQZk72txtsXhzzWdhGGMg31VMVUlF4SF2WscBlmFZmi/A6Keqty8qv85NK75H7mDYzGF GtvGRa3sdz/8esGdes9ohk3oSWJwV9duhM8Yc8+5nMTc2I6JSOeVVN2LJgc/vKVuJbS9YjGbmMHU 8lBGVRzOxDrg+ws8erGyz4mppByq7Ia4TVQAJy/sM/gQ9kCVBvvvRK+yQLYvLL/r/3uY1Cb6LmSw VOGVngJUjx28IYbW3j78pdi1ynxDsmT0UicrV4s3hZRclo9tJJ7TZGA9blOSBx4OpEAzOXGltt38 LMuTySHwPVZrN+3/ZtvqaBUCCXpdMGuhS50xS6orn7gnNpNWuWvmt7ev8iG3axl45D8sFKW4jEkG iG8N3sEzf99aaWMoQYZHHZWuLNAp3YwqNJNvvDa8mrvhrldfPbS2oQkrL9W+l+GFz6rntEeT8YTy 5H8igJEdLPr5+KZuvCyIEmzhlrVLnYxeN11qdoF+RLxDNbflO0XD2ZbYeYYxizfjeh2wZjdc3jLQ ivzRz7QNzS3hsKjQLgSGLHo0CtngXwswVMFA5bz3ZAIW0/rnDK7F/256ozDaaz+qmcuz//whN/cq WKhiSvjUDumiP6pFPrINTmzrpKO3Rllq2mCdgjNfRNo2oDOxdwPYklZJyWXAUuwyE6/aBet063V4 gKsc5mLef3JmaZrPRdY6XZhNvMEOjJDPuJfOI4JygpEiAQkr3keKIs7kr2uQlcQo0bo4uKunwgjQ rosLOkIvzPHn8y2O1zDycEO9NNladXo3wyzdx5AJgTdG55y/qKxSByqM2HokxgEgVkPYmF/B+l5R StSqVYvqjUXxYYVQq4ZBixNKDBqW3PZAOyVImkPOaulYAeDyJAil6sAdFiBD9QeHcskYZoiyJBqi siwilgQVNopJ73JiWJD73jLsN7fPaCAordzSc3QJmGk1k9gkHWSlU5GwF1lGZ8JqwWVv7h7fQxME 4dnd6Ll6cOQ4wh3qd84MTxluASoFlMpOn3oqAAVxwt2PoRRxx+i7x5AwK9XByrfzdn830Ms2Tass /m4Q2laJVs6vkjTkRLm82ZNtRcJKpiPfdB1R7MfGSQgQ6vdZ0EdJiX1sXHncTRn+gvJIipT917q+ QybNeUkHD2d1dySsB2oDXKfVcOzrUwwWN5WazCyEmDqPPKFYOWqk47YRZ60s0PJNkgCPRfieQ/Ak qj+aCU0Sq0IA3Ti+LK/qpTHEbFb1LKxrVAD6n4/lKGb4fpetjz3zS12V34EJp6jv5IMfKIi81Rgt g8qfxrAxbmsVYBM/tGGrcg5PHCR1JXH9txMaf+lPqy++f+Su+Sr/ymUC2+iTNYEsQPENCxYXr6Wx /iHRNAtL/Y+tNctD6UIdpcThioNm+2c5Xc5ah0v/7u5M23w98zXptnv8gD0xegUEbZBPK7Nir/Ci ezH8P3QGFDnwUCORWxST6s6c1USQ3SutTj+YektLpgLnK6ys77zGM2QQ2G4Pj5qBJnqsSwq36vcL kMktUDZFDAOo7OIPzjv4NMErxiuipOwr7gSCPylF3y4lyK9btw9XWipAbwt+4d4AOyGH1Mhi1T9c UPYX/vh5cPTiyfNVuj3Hx95gq9G3UdA6L4OEGIV/5ZNxuxO84Ri0hl83unl3rlixlSqw6ySS26z1 U914sB+VsG9fTyoq93jWG73kbX4SwId0hfYhynPuMYiGFkEmoVfijm6plLhyQuUPP0m6UWPycz6z U39W1ojH1cjmQXPIvRuWWMEptRw9+DoSEvyGF7kNLx14q6zbxoH+mdlchIbeKHZ4T7MpW4Z/ZN1t Oy9IVB/FHBzgNr301c7EcqVIpchxxnYgOd700T4glkrofBxHWi0Hryufma8GTIw4Tg1QlIZg+12v j5tzir/3IjvhDMikmOWnzblHqe4LJpzyF0+TaEebcGf/TzejRYHOUjVRucrjaBjAMUK4UnOFMgb5 x0hBphJygOPk4wmiMVjimRK4RhaGRCLj0/Wzx91oOG6BYzp0uoPCJtS9I3F7xbDMUoykd3C7Ysdn U3OEJAl+TpGqhLC5m5aF5JRJfT3sNk+XzLTc5BGzFSt5F17xxtme9z87ckmyQPMSDwVGMUfuKRnG TAizSsoGCqbRgyz1G5vMFasVbQqOrdYjfbnyN72y0FDAeb3IpZ7WsFdYwIDJnzZvuVnN6cv59UsB 6me70rfT/kUxjatQKJv7P4ZT7ONmg1tbkF7cSByqZVg7gKOJEw0RibxlOXGLr3TTJFrFp12VjWgn DebbQwj/BA2cGQDS3LiSZXoROs4S+QpYMZvPwiNZrUEEfqsnzZPDZrY8i2OOpYJ+2v/InNziKQzG 0ekXp7+NTSqYx6I8eM2AjV3xtEjPGGY2/AiXRhiRdUC4a12Nr2hTopdlZfZE9xKxtyc7GfTnXBXo 3ECj22IFn1ByyrU3ApUMjgDDfr0uMyJhEY7zbfek/LNy8Rrh7RtZ86i5smajSBWmwGfwPrtD3h1z LcvfwQ0S3JhMgWfwaRvokkSQRiOCbYBBlY6By0xXBukLH9znfPo2PGcjL+J2YEZf/NJjMqaP2GEw B0Uh1NJunjiQAqoO2i3gKLsMjpg1PbS38McxaNLcuERljn46lAftgloljjuXfxJYKYgmf7AEeh1+ z3sLiXu3aFDspoIE0HLbZG/W2XQaaQ6BKYvfCXx9A1xq5A0JMVTsSSP8pVHcQ0H9BYAXX4EzRRYf WPwPxbhSgzP4ASSPq6uYYytpY3+Q1bsZRxTSox09eHuAk6Ol3mvj0e0Z5AllzSoVl+9Yq7Z2cr4G Xpd+Luf9pUBFYviMXd99GzziNlIX3oa9YLmxRd9FaNh8KsCVsrRwAKeJ/baQt8n6pydX1puoq+my VpHfRxlJahKq50VwvohJZFr/yqiq9VPFi94lp0BV6xSK18sN6c+wf4C2ZVcQNxjSj6XVRYQjG4pl nhLoZ+f3/zIephAaHwgscLnDMrqBXWIyN1aC9AObXfqA5s1eVz4yjNK9iGn3h3bpHJVGkbEez5uI KwV5DMxnslgbCF3zO3yZ7eTfvF2EzNzvQL7+gytTqdPo8f3q/GlxF7spJE71XZeiQAo7lBn5/7Fc E0gCyl0VH7IBGAidbdzS8IgQ+LbzNkaxiuU30dwwcO/unx2mP9GMZ0dVxVC43CoLmRBGIm4FLGuk mzONC1mF50MTQwCIRcHnbcwRaWcaoud6Pr9IkH9TdNkw8VPxl166jokQcGVR9q54AI1c2NHDPWBC Hi0TFjDKk10MO2H3xLti3rqQq6NYGa30SZCLosnsrU9o4Q167dYi+B2Z5YRQU5GBiyar3MDF0Lh6 QsFgT76ca3OiDsAF3z0PETerxob7ijgPCr8+VfOn924B5ZoMtbXkM+0ZCmj93NvY3g3sfAcbkx3c gM+URtpjPVjg7+/+2/gVLI/dXwDh6ceJESEa9WtgTL22WFD8hih8z4Pxdv9kPGAzQK7IZvky3miY V6ljHAc0E2W+IP4d/AvKx2Pmk3Y6M/f0c7BvKm5298HTUAMS/AMYs0EVdupJB/FHjf126d1jAg4P 20Dx4pzdClxI/+5BibaElbO2kIw7SzlPTuFpweBKt3NgKcNYAHmgUA53bTxxQwfMkBMU4UffrfM2 STz8q40oN3FlgdyLglatPzBMmjy52O1wGWsdr0dceqy6pleYboQyVKgydM9bGohZWmCujpI3idet 8kUmveydzJy5PZUwbdukxPpVhh3WFwIZINr5kSXz/nheyF4Npp/Bari8a8mYwwmnU4VPsFxwPzRQ 1gfEzCiaQHs+t8/EIU+5CFfMEQQGZEUmQBLv7nr8N2xR0kfw4cjIJX9STmdvsxMSvSUaQI4/cxkD jzmyNx1kBsZvI/7b5+zgKph8Tg718GudY6tCQxKfFh0ypOUyn5mNXUFipCFIhfmYHKNM+p1Ci/l8 /vVIOJa9Zx/cZvdEmgfXXtf1t3YV41GCWgT0PqhnATiGw50JvYUTSeuMlJFV2bbvkIpcsstG6eZQ nhaPGvoCednCoyU6ZQ43JGprqGfXBSO7KItzu+1PLeO4+UhrZeKFrKlhknxgIZp6zOKZ10wNnm4c plGW9YC0mTL8iVlFRub75+NM/XAQXwvHpMrY4aMhj2Rv1nJaoZ0Gf7zrsCwDXoxmgzYu174qsnz0 vGJ2cW0i2/4b2fU4hOW2FlzjOiPBac+c4RL4JZskJ/8UcjJGenihNmwW+609l3dapZFulVRC0LXd TzQgzDn3wO22NhcNjQJenEiGQoPcNrDg4p6MBvW3EDG1Uz+kWfB/dUk7AIOPuGwTMjKfch6wLh4s 0UeLBwLbYNaZC82P+3QJUz/Yp16MmMjuBD0o8jQIfFV0JRSdIcnNIpcjjFgKb7o24V0fwZ0rdd2X Xm2uDlLrZgPlszoMR1cdaHQY/8CQFs9V2NsfLmGNzmkCy3aneN1UuKSSJ2mGO1g9tr2fzxrHf0pL ePVVRC2TODykqQjnVb7iBTKHQSNaYeM8KkgK5IHxi+99TjOvyB9hWsgfv4T1zFL85Oty23tVlKsq kM4/ln1tUQvWg5jEdWYqolT7df6L/ysHvbtTb8a9U+5M0ZVX8nj8UVfOvq8fG6Xf2OxYd89Zvj0R RJMrugEB6XMD5LgAtk1/Dq4zrHJ5Mvjezx46XgONAVVU8epfU/BuW3iY/uoJFayqDJeeHN8+GXQR 1mwKnZHs3b9UZHQYDowEV6JQ4fpx/BZkY1/kg1AfYGpHwPPyM5KSkfZWKMQGzX5I9FJOyezJNDt2 7IQ11BuEDrWR60jgbKOD4VeGZFiw7gqRtB6rbWFhEu+9on7KGhe7cuCoJ5bEDPd/aP2ZOew1H2tM bp1KgIqgFPIYylUdBZDJN+9p4fMLqoyIcIwMwX/VCwr2sACY/13MbOHMluFynGtT811Yf02ZFjlb rscelQ9LydopBQ3oFmuaHSg84KtAAOd8iMDaZvjzJyQfC1/JkIQp6IJYx3Xp8Ar9NnSqEO/WDmWr 9AF4AhO3xgCq96VX+X/PJi0GlCoB617SbA2pXYmzvkeOPR5SgdTd/5eg6WgAWQlVsH/eLUG9xrX2 S36Y3QufmhyfrN0jeqIO/+/5ilfj6WFx70785c/E1wU2T7OtebZtn4FH2DqvXntkIjDuHH4Gtu8H oYQVJnP75vXi/IJOV0qwbS2SYbgOMNEEnOKiEujy+e993sQIPfdqid/12cObgzgDIc9adxFPA1uz 0oTYIIA+v13ZMXR06Lx4Cz2yS9GopFiyg82pPM9ATL8fe8ONU0R12/cbeikhFinKuWGESm8VZw9X cshrUKJmmSkNZoTEQK3tZjtLcv74Orv3ZocibAVqFqzSwAqSk16h2KVqil8Mw12JjaaQBVTcOY2B jqwFrxhODpNwRxAn2AZlyVV/NgpUgIw6q93Nl5afye/yut0HXpVFqudJ377z6IPxDB4SlekP99iW eRJPsy6pA0QTiUTJLdC7OZ0ISlKEqC3DjKqOqUSA4QLFudw5cdmIy0WASqtGAZO/k4ZCLnAud/cq 2FQtoUINmoBrJ2Q9Qm2vcARnHk6LgAHgcPr/AVO/Y2Mxdbv/NuEkyHMG71T63PCOzmNl6a1DzKhE bYrkCZ49gshdsbxZ6InWRwxY3J598AHwGwzCOaUOtONT4edqstgRMdJqrLOrjF+VqCjPaEFetrbM ZwxtWWbsuJAuwVJdLmOVqnAc3m4rATIeiDu8w8a4on54Ycz9PCECYfWEQXik3ESSH2GeKaeTSyfA hqRM4lwgkXqd6E0rmn1CsrSN4jSOE6cNkjRyzFOnMF7AuzmWmu/lU056zNOFtVBz43XhJ3BjHK2K ZCQmZUUzImP9O8TfO0CtJ8xErMnIvYdjpsDmaMFpQb8DDz1tENh0DJ7J9EajxzqQnNhkeRMPhTp2 K5y7th/HVbOHKrcywASIJNH7KuCBk4QfDtwGZLWk+RuQseS6RKJXocVEGkim7PeIofP4ZauysvRH zBVr+67iwQL7GtUn4RVVtzxp4L/6TJO3j9cANXk8A61vH33K6I081RfTcaKiTDzfnwWE5e2TFewH geURv+RaVdG/upJJZzTnx+ggDvvhE+VPmvfSuW+3f6YdV08jBqQbuc2VEbrGfpRvoCpTHxE2U3Mi AWEpLAVRBrFHnUqpmLo9c3aPS4qh++nIi8D4LNC1zUljCd0fm+E8pM4IqDMEV4Tg7S8kT80h9Xx/ EVoA1zZC4Nq5wksG/tje4CW/p/UnBLLoqTvvVcpjez1rwRdtTpkHEtTLqYWeKAzgbpGVCup2ZBTo l8uTkpsY9z/N64XfczVKWhYSV1otZJbZ/pqNMAPi0b5Bgpr0TLWFa5BtsFNam3VxRGnqCOptVjW8 bwGa2ANzdqvqO7MTzZOSr93x7pioZw7jAYJjqDi8pmXokkyxU4rrIfwjs0/M/MYO2FTy7DMBsbtM 4C3AD7XhKVGvMrN/3XmCeUgvpxJ6MCYIczD155riRJtWkNndxr9IMQMT3WJ3VBhx1rdCinOds6l5 JcEJnVH+7hK27Z4zKseiRZMtT6LZomyTp4ybwhKg7WrrbqSReIAcZZrTbvCzLhw9qsuRJmH+lAhS uaIRzRFMwt8w0s+7Q/1VkdlxOtt23GN0FYw+OP43DskTAaPAyo/zxESCMULQ4N22Vs1m1LZGfMdW bO3bOePx5NxjwbzatjyZ2SgSbj1mhMP8RJOZd7LqNsRPltgiuWmWJcVYJotGXycssDPveJbYdogE SpTWKJRqx5O3pmkJOzZv0fqY3wnT/667XFc1RJFZLjJyR+59HaVdz4g1U8DoevYyOgNKb7mtrJMV jb+Ja4y/cEcB4KXqt7ULom/qA5H7ghF0jUQ1jUlxpVpKAx2UWMZqjFYn+/hDVhtjxwIJxz9Oq+50 A5Z56bO5RTYjG5GallktNNEu6Ih8Na8yjcO+q34djolETlnQtj8diN9XvIAIB2xcXBHzdHHW3cEr kVSMtscyJEhzLTPqDccku/fZz+htBO3no8+KQ4y+Jtw2/L0yH9hUuXbMWViiJP00GLJKMOSj1KtQ Xvb25QPabz1pR8Cnc/6cdgdywW2ystbumlWr5YbcXv1U6d/ILBzRv54B56+vwHSJNfgTQHPz2WY1 77fQPUqtyxAQIU80f0lYHyLH6kjBH8tE3v//QjsWON65Mj4T3qAZUgcoVl9BPGEeu9JWwrcG+87C 0rWQa9iuJ5Wqvv4fW+jPj6eBa7mZmqgJlIs+1RhQRhBh7hkF1yvhSP/tcBpTFRakg86BN3WN2lFr tR17L1kF5DeAUlff2AowSI60gT6HXd0p9KGPVGxTMgw8yugwu9d74WM3xVJFAsXTpFb18eRKTi9z Hr+GUmomvevsph6J0mYNNMHgGSL3DdnMIefQuAjcoO7eZ7MdZozZatVIN3MRHx5bb8GygYOsrg1j LBLKRhhI5FycV2qFfho+xnctK8OC5lc7aal+pAJBKZUwCnskapx9hPk5GKFOX89LteDzp+3GKbFC y2N/gk01aD+o+yD9Q7iaFvLPDxNAlqu5rQA7SqXYRmumRsSFZeiASKGjqEbFj4FyPtdLn3pj2q6C SU9b6t0xpOx/nAAY1fzzhnXaq30lNN2PrbPZEG+YUGRSO6eSuGIhUx8rPZobvOyf7pQ67l8QrnCX c5nhL+o4mq57fTHIJDRclJIJfKSpDlBAWYSBLIdix/PMLpkk9Uc4/iEZ8abh7Vhh1j4KWj+0+aqj sCzHofGiouiwiJuFHT1l93Bqat48mW08zCufTSdwTO66tPi+uNjt35Yt//amRsEYXpReTEWB7M90 Aj7lKvIyp/QZw7OU02nrO8aUArekeWVk/toRN7JXmw/f7t+hPpgN/JDY66MyGa3Q2LL+1CzPtg6k nPdAtW9cUSXCgALLlgnBbw+fiUQ2D92fapwDSWBJYM2wVIXCtEALQrJDin92bwDfViaAyxWm8OAR 2lcMqXb36gtTAzR0DDGC3L85OpfZHiBCOEGKLCJQ3QyP2FsnkXPT+9EXYOQAMYDxR62OKvmSOAnB lOJVORC7vcpBkUrzgPz0RzavviXUWqo2nP6fLXNYT2VIdIH/C5Jf5KaFCYkNSa67x7I1Q4H/sQg0 O0YplZu5DML0MCkZlhAnY+bLGxICGtjgpX8mJBh5a+xjXyi5nc7h1GDVsT6mo/fSBf7DfVutg9Ah anYezqARyUN36pWVWBEGImeOZYSTg/n43Ipfgr3C482/oDgefnGkPhy/a6ow+tsmqrvLvu/M7dUI LhHSZZP03T54EKS81PfVuX+dtjXZMUB8SGZEQZo7pVfBCWYGXeAeR36K42N2NKyanQYQ8ahFRLWS olPve+D9O8LwdCDFnhxGJ2vN2kWdagBjPbE8s4aG16oOoydJkLmmSPWinqtT8C4dmG2EOLBJt257 Nojcm6Ua6AChOwOmw1inrB0Gy7lnm0Gj0NszvY383yx1rMkzh7VuI47k6e3q7RdV5y9yYMMy5VDz rl81KEy1zrrhtIYyxzRNgIUqYNjcx69qdcA5+q1C6k99rZ0YVVzjxhzF8biebOCO7e3NXTkQ6zn6 kx3k3jIorC4JEHd6YkyrO67pYtxpwMZA3gKdtVOuD8eaKXVXhIJvYdAfzK+/LOOteG3VNUQmhrRW rN+hai3B2kSUnG1R5JPoLGAu5zelrLLVjxfv3McAVP/5QK18DhtyHgEVWpEKLRT1WGvd833ax9ot gNavd+6pBUyYb/TyW0+Mta3592bHfV+Sr/GQ87CoGBw58IKEsoa8fxBF4D3MJz6XwUDTctjXYZHe w3tuWiHcOXSiDCavHMiR8OkEUbcHSu4NoQ77cj+e6AXPVBMLz+TEiRE9ShqU+e7UDBw5SNrkmR4M EIYQEWiWFTKo/j9kET7D/sh1FiRmqb2YcNUcnqWc4UBwMc1b+2UBHIZIimXBkaxXs5R4O+UMN5VH f8SjW+hNBiijHg38yaHo3SrsA9t6KiC4NlL+tBNJGZW/957AhZcXhr/eEtgUdlmqx99/wDz4p8+n H/eGt8n+H9OcwoHKB+KJbPsXNYeFF3bqHteO6YmCjLSXeWvp8b6fDcbLtKbeFVF2J2wpmavG6zqt KJbMcKhjnpc1tfIt2pYe5L13twJrBTCQDu0KtLx0VgC2j8mWSCWYYCnzR8sJbN/aAe7HEvvm/wLh nCPPw0ZPIE9IyIPbq3/Og4aXxqORyh5dJZlT6rKcj5Dv08wjhYmRVdJtocBmdfCNaKyqc1gaRRnk XxepLVWgf25K3xjVWWYN/UfbDpNUGIsM7j127MmDYrpKwRVABf17XIJqUovpFosIyQ310Q6DEG17 7sztMPzgpuPxVRqDUBgLBrO38DvpeE1DTsCMLgVTyzdnhCVMWzggb5pxE8uvX0SCaBCLcwl78Ut9 pg/INAQsO4tV9E511I2GVTtkXG1P2M8DICM5eMgq+PKDGR/HJV7Mt1/p4IQCIekCTtWJhL+zozoi qrLNXruzK1vrlbnePfK/xxuXt7oFe9LQJCVyn6sQ15+rdsrFirOxNJEo4T6PD9mkHHJpSSp/geDI H4n5NJj9qXFEMef/BdrbUA4IHJMk8cXoSt13nM92dbq6hbnLX6MOPik563bbRUwpZgKdT+ZU6PSZ wtHyW+COWH90gXwTgtwItmqbISYNtunx6f/GIwoXbeCb3XFCgBg/IlDk6ax5FDNKPcrHWJSYES/K FLBF3fep1ZYJSIsQjEQnfK1buhMlXKgN/EnPCmizkx6uUehLnf7ON0i8XoPvlA5XNs5x9ZGdnu0F yOT/Fie19ffakQrlxw9mr8jj+v10ZzZdRQnZ3XZ9aPVD1zQJhTD4D7/oJEr6KM2DavvzryAI3mJt kYqvgN2qr3qdjP5CBcOFX2Pi7YctpIkjkH9l4oj3TMeGfgnw+l5DYlwDjeJWr3e2P8EhvL9lhFC8 h9Q81IZmGykWwEft9EUTpLj3dYO6LbFU5UCZn5KWwJD0DcmCK6qfIPqET+HNHjsQMiUZ3dvfDCvY qt2ZoIHH1iypecmBt8Eg9c1ALYHxFMctAQXMr7gnzTSBCtP04aWn7HvmXAVxHZd7W6lPKjj3OlK5 kInD5Is5CmdZ9rBICSnFIJ5/nyH5HPf7mOrsYhTj+DTylZp/XRht3JUbUdGBlKjWDjhu0Tg6NfXU kTZ/2sbtJg+bQsayLJUXoDOa8HbJPZfoz937+v+pOyyjeUKARHmuNJ/Xyf6X6muh1Eq3MlkQx+s2 QKnqX4jJu1V+JBwnWNqUM8L8Yd7XZH+KIQkzXqAlEyqvX11uUoZ+axWf6cni1UxQJFUt882DHCUz lQesxwYxErTGKsUV4BMcfUXW5n6g8NsFiPQdyuWzYuZGuRiZdPQIbI0FG6ZEbWOJAmBqDGLXqY0f wlKDHEGzrhbUPw9IBDIXP/Q7Zv9+K0L4eRiqsPDOROwvDmOZpUtMZpxI64uPoL1M1MEMvLCek5Iv glIZz4Cp+OMafy+NVZGnj4pNI+2JnueCyu8No5h1YyqE11fL+1b9x3cbffjILo1m9V24u5z2XCpc D1KjYdazbHjr4td7diax1a+/AdmACJ1k2pMsypEkVGufH8Zpx+BPO4IURZrHbGQRXEVceoGesGU6 7fumi1cV8ed0SZ9U0MhWKE1N4g9jHppKcgzi3mAvRqXx9iQ8bg0FQokfv64n7N5QZ3ZhznJH2r7y rRbSlveKaqRacY23eCAQgWwy07g7lvL1zsnPjvQJz7ddhKwczDiB8C2EYRsxXed/YdSsY5Tf8AQQ vsINNmaH04l/med2TbW1kJB4ibVxtMVDW/tXsFAECTtRwoMLSwn8zNuqHcJHqkwCA0z4C6FQu1sX YansqX41qp3QIX63Qk8zSxTCu6p4bn3wJNIWzxR939jwCUf+ykIbbEIeGyjQ2iVIWRDEGR2W0NYY Jc10n6OQa4XN6K2oFSz2PAtQZX3DTsjjtPRKO59yuwEVWGlxy9YwILJBkac/5zPe4DSaAVzVYF8Q c1OXGVnYrHqgobeRIZ1tNCARhz86olJxx3MJk168q/dntyb4+7tvaYgQ5bmE6wZHk1fhFWd5yj9I 7MHi5H6V3Gbg+BgYrBBoPn/Ad53XC8QKwHalih8683Bw7LknCI1aXKVpbAkxW+bTjz8NeFq1TzPN c1kK4ht05On1pW+PdhGUAursekvzEeXP3rOSVBroEDdZvnqZ5WUR+8psjRd8gPy03rXNDdu4/7+W RPOaGdndJUcP7uK5AzXWqE5DcSdRF73H4xcFXO/C2hxVCx8KeR7HzdsVCk7Yxd9+9AH08EBDKLJh LTS5wjmyluT0IYS58/+Lwbi91UL3hwR5F37pqGFavGPN43Q4ec5nwLtAIg1+8zDcjYqUEUW0xt1n toaE+w79JphzASsq7dAAoeeH7IxF7SvQC8WgcJtbN4o/p1j6Ycm3R/etmcANQn/WCWrrUKE/f/oN 5uMikAGdRAmoqF7tDwx6NbhyBHsPN5vDbssIHa2d4DqRKN22A1MYeRqjYsFfb/4UyRSbTNoJTNiG WkhvYjCGGmH2Uf6p7mujmnJCvWHLF5CVNoecRVlYxZ8I93Joo+dSHFc/X3KrB6ZLMMpDhAQCGxK8 iCfE/ki/rDuANU/56n30x7xLOaU0ozaton+feXud6TQH+QKf4qcbo99ijNHnMg83rlFnBkCWnhDS IrapC/59zcngMYbl/aHG78NX4JDbbSMViIe6kjR+rRhziKtLePFR40gv1hoV9dbqvkdI4Q06KEzN ZluooQW9yVsVo7GMS1Sio4YwrqeFO4jktOIRepyBN0IkQ+PfwflSx35+kqteRPAKABUGN3/zuG9U VsHHQ9OMVNkAjQ5IcMZyq0OMQYFsvLqSkWBQTEkABbiYk7Akq0GLD98KJU8g2pduE7DNYzt0A0dk X5CB10Im13dm+6fz7x2E0+tqfBnamf5pnGLzB6F5DK4RQd9FCBO+7T1lGntYRw/Cc28qpeUpq571 X0UzpT9lZjRUP+SeAfIfESTFYRBsVfMo72o/vE/U5naQf3r0AgJYTcxFHkETZ43TyAyr75a1upWa JPOzJyWFwNoHi6Oids6LwIuu1XcoHzghSGxhXdreATiccqWEYtVoJw9RzJzYTr/+Ng1cjWCF0H+q z62d4J2hov8lQvngrKl1s5/P8zT/1gyp11JCmFkk3554jlNRnom+ZRgNWv68RJaHHLisUrMbs8u2 QR4qDovrxpPvrqzXofJiApSIOvhMlEGPhodUILChiDVZTw9jK/wAVNzBFGycKqQpzc7FieY5l+U6 hadBHPRnZOObnUJrM3M1vOJ5xMYUD8+bgxnLucCaDWXVbVFd7FvssN/0xvnKpHJJtgEw69p1NEoF Yevy1PY6+Nm6p14ie/WtFMlAuc09WSDOJBc+c7ERvy942wgssqNnY7aHsyzrva7E/diuQwL7N/UX KVIplwkt9hrFMqd6Ft0uQBSnorDFLqB6Sv1r1E1JTHYkhNpanVBKEPFyiICBEHw9twpxqrirux/c AKdySTTMBD0NynLakSxB1GLFucSi1Ug8NYZxfEFxZw3m4lA/svfXGN5oa0btj+tTG+VV0wuceVmt XsOnoF2we4LogYlXfvjUgjR4gmtL8UTkA0q+zEhU1HgFFN9GGY+BU9VxlJ05jhk9wpkk9nLvFWI9 y0K6VyfNkXfbTCfMJSJDdcNX5KQnmih/P6tNFLdLd4WKJbPrHh53f3gkUNXHh71UhwusvXf6DyRv DLTBK47Qg22OZTLJzyxeDaATV1RwYu0Lb1FtPQmCoLm0o4YqagoOLgy3zWnwuKE7mzYdaE7XMmMl ijfHOXpoSdIjXNBv4c1F7jekCG6UeGtnj8SN7L3ShLDGjA6m02XUj+lhxwC+SzlJS9lpiEMM0WhG bwbPdPQL237X3FEi3P9myNtqbuNLMZXyjvkv7GvY+RnEX+RcRQ/bMp7k4r+bvBIZ5EeGlqpt7E6b 8/XXst2szHrD/i3DQbpbI1qDVNZuDf8ocgdxn8CuJNs7q5eMrbJmiFflVm9aCJA6m+GfZfh8fnzn ka41D4T+pQ7RrrH2YOAh2PnMXyWrOCTUFI6QRrL4tiRaIbGdLBLEwFDroHZJq/JgwzR6cGag4A/e WXm1v2E7auuyQjLBznfeyJw3q+rTQF23DDc6AgBTsunZJNs6LdlXJ/jL6DKtBVHpZ/DGARt7Argq Rt16YnqmZBm1of90fCNhVn6JIc2Z17OcOq42nYMVmbEAyQrlanPWB2KUnA5wGx9dFpazp0la9/Qz QSkoRPRvoAiA18j485Ph/XdiFE+6NcvVfMF773VW3ODFsvhWwbnH+vHFNoqf2gpWgPXxAW28KLdH +L5BeyQZzVLfGYzK1i2aENYn7zemlrLkOdUA8oai+H4SsEJYM6KMbUMdmTDICcYTPI7PuNk0EcP3 Qd+1A/beHa2Y3TMmgnpMosR10+ho6SusTETYoVP/x3bV5ilakuO8gSHnRxw6YskE56qZIYaHEPeU Bdn61xHEFvXgE/deVKs0m3yIU+YPqmpk+oo8WnPx4YiGw1IgGgDitKpYfA8L/oC4ULjLzevgG7II aL0dp6Wht+XVqauZFhz7mbM9tLJRot92T0atTnRiN3j/ZmGTdutBRhO042bwzU+wUkLTiSM7lpfh V8ZdB+KpWNVaV4o2pobuOFh2kQxUfg2vJmGIOvIv0rw2EljoFVY5lNL0VIoAZPQWhvOaqWVg8SLJ /GuKP7HU1+ZkR1Vqhjvqczz8rm97gGg7Iv78SPksdL129c7STQcF8Ad1E+wwoJYXL43wAtOMOGEr /f5aukin/0ZWVSIy+BWjE13RYmAh3BwGBujlwOE8c4HLH/MSvnXbbMcpFQOmF7PnlEXMooxYnChg ncllLSiIB+9Yss/SpxyZdBWGvlhouVZzmunveuVeuGNH25E8r+fOcLaHxl+KWPlvVUezpJ8OPtlG ZkzoskwKWPYZHRuVA49PQftNXom8P7MTom7ZeC3LNH6ocdrwRAfT+oLZOA94J4/cSkEMsWB26edf x6osrsIbl53S5H3qRTVsxPAZ43pdNJVpyVcvSs3NfDQy4uYbVa8r0LMPwFjhLlxlxVxzV2+9H1JN UJRExBto6NaOqsTMOrA3Qk9E+BH+xZBA2GmJKv0JGFUqYXj92riCWR4xqr/NOB1gTn64q+LjbV5d U1oi29ukBZLlXEt4EObk80fGLHwG2V2fTTb8I67ztxn+4R5gl3sYLRE6pJRwyxH0c93gQ9N9+V+E TQ35Pc/Yg9QiIyaFKhg9cD0HtMRNNj79n90JgXPGFdExRuvwJYeKUIUwfUITRwNKwuDFQNOJCclj yXEulZfDbeX5kKdCFjsxSEpPRYAgYBxnHCziBES209HAiLg3w0qNg/d4HJLlPikpgHy55fIw6YkA ZTwGTX+P+3oNnTAXtorS7VbYLgjIWfTTopED5bIEIx9z8+nwkti65BAPDG+1bb8kgrqoi3vjATXn TV3IqldP2M2SF7GHBP4iDaUNfBl/daun1zAaj73phSZP7ba97yW8wloNLHiTFQumapB2CDrqx5zP k4tO/8ZCXcTjUHQW+c2ExvUyhmxGt7uGoLGz7GixAk8rk2FbQln8LyAETCozRvCnBlECO1izeqQ6 oBJaKI56nG8wDUWtXfXTCK7ILO2YRfv/Mm2v4jmuBhehIvvjDt0vrOk84mF+33LaDip7Wv+nVkBI yCcZrGi4Odj3AJs+qLQpydhU0hE8ONKZCywTQY31diBgsQV/9xMG+RIUEZUBchwLisdDEoskTNe+ 8V++7mjUo9Jv6li9BcNUsPSH7fg8nXfHHIPcvYh40/LZQfGzU7gV+q07iqdvozEUhPMgxgFREbp5 IAsfdk7Gh0zmJt4T2bN/ZYT6vT1Ycl/uCrLCIwGGGEGqtbQW97myemnct5szu4+lcW31Pb9BTyWT SEY+ukxcfykLt0NWE1CvZqeiynxjRa1CJTqIKA6LLjjiRbH+iGAU8jpXpo7ruz4V2XNadqdv2qJ9 v2RAdtDwraHXSePTjdN08NvYY2RtfQ7lJIL7AWQxZUATVzjOc60aTXISEIkQHzkqUirFz+6OBt3u Ar2B4yT9to3xnbrn4qHb7hd6DUPmTSrMkw4jTc8vP8ElbfK0+h7D4EPu7b6v06JpPvvny+G94E7o mR9M9Bn6cRyZp2vyvcKNdGsAtaEE5s/GNcOS13UxDynXBXAjZqUN6hAE6e829XmCE36k8BFfpCVv bGsxfDUbj8VgUgNU3NgifBZM/NCRrOCTMQE4PEsWX2tqneqeLMy4qHuMm1JpdyN2iFQpmzoCqqIb pNjWV1ScnJsjaeHBGjkNetoogyJEsAeYBmVRCPfjPP2iwL6sn9RubKPSNz/r4H4t/OY0lnW4cKp2 TzEwcWJpO2plEbspE48YO0VyYCGGTqR8oTlX9fudwZRVWV4NSm/v4d/YlanBWCUtUjmxkfRlGyzT ItfsYoIH7CpZ7bMcl+rghglj9G82T7Wu9sDyA7Yag3rzLOKrwWcIpz+WjEGNIpKsuPbk/ZW63Ndr 66h4NvECRTIhOx8YQ+g3rVT9NmVpLF93sSQSOm2A1W7AwAeCC+PXhId0c8sUkYXr9cGUw3yfaD8C skdLTecCLYtzwIrsyDwh2boOJhEIV8HDW4MGk5XNWyWnUgG+yVFyqV8eaEFBQlDDf+Yr6GCZpMg8 eTdkvW/KWoxgUazZCZ360gVa/TbzNUJUA6Aw8kD0KI0g9LCFgArsYg3p+2c0trUI7sDU6AsF/dCk hcMsyKNhGYPRJQ54JgAdkXeByG+lHeeNa/miaR8RUzjvcGUcTqwAMaPvilhF4oLlxsXaA7uhBfw0 9wv1Ah+h54C2riILG2jC/4JJPHxJ2nCRZE8NL3sOmODtjCE7TFdOJo4TpjQ8cpiqqlWVFkn05LNn odjfOpKVPZ1qsiJGM0RBtroVEagkBajj9B7zxv2m1U5AFXgfAq+m+rIWJooQl1JI1G3u2dHWWVwf FSQ5ZDLKYvBSUncLMpKs8mbeVHAG0oTA4HzxYQOKYc+C3VpVgXRrme2Kwzk2dVHgYO8yUn+z5K9H xBq3dnL0hBIT8Qt5C76+HoiXh8DLtS2yRtTS/LktfT7UTLRMpRFLuyGIP7ICo6JSyMkRu//5gfFr Eq1yED/Yr92f9xS6ygXdCUJy2se2t7bJ2ky3/g34xWK5yvThGQ5zDh1U9msVXatxkL0QwyAgrr7h yEvvCgGpuvURmWtu3af0QwV1iLlpJ4Xcx5VjkSc+AT8ifI3e8N6LOUSQDX+8OVCjprmu8m4sHJ7O znBNzi/mvn4BydIU4NL5/p2LVLN6I7rRwzwgzJxcHBgDIhbyVTdpuQLmGt/iAnOSvKaYOS4/Kk6/ VFLEwcSqIMnvmnucOV3Q8Pvp3WHaDZ/Skm9os/VJaTCyZsiEJ6d1dVaq1TKxsLRAS3XPoEbbhIjP 0cUnC6zwtcPWkRLPVl9gIKeQacIEb3NE+w3+Iu35OXG4QB1GOVWqcIFtmdn5FJTFUj4/ZvFT2GhW ejVoHVMulTzhatFju3w/9yZB/O14OwIbvlu6KoWKuI2ZCk/fG90frL+HmlwdHK2STpywW+9uJjnc yAMzBXpy7R8xFBMr2KJrSdt/HYmfrtujVZi6eSktW0qVdb1awgodURP2tGkKvfm4dg189gp4C9Ef owX5VFG8IZeKlYSTTDWtlg/q1CUREchWpJuO2lh5iKoDc5+jhNWAJOa8RmLrecxBzLG/ulKMeUqP xcGglsJ/egTe/XajerjL6VcQ92BrMlo5EWipE2YHjWhh9bLhaR/q6HOr8HB8aDzzIDcFsBPz4Zv5 aJPt5KcAnQp9W9Z25pdKaYMOD4mvoYWRDUGGh1h1UkuUw+QFvdO2pc18LsM0Vi60ARWWIVcmL1jb 8I89xxFf+WOkjD5QQlLI4TAU7arGK3aNLMKgMDm1WIXKFatJ3qbHp2JxfQPrIeHbCzoxLBGZhUkO OlehmUsJRz0RYcMSgvzY0N+b3RO4N/vVlf8fj7iYjmRD+XHEFP3tXhK2ziwQn5oTYZ/8ZASZiBAf Q5jJ4yUxzzs3un+BeJ1WH/yEDgS3g9rurdPAW3QRBp8WtypWyDZRI/KhP80cvUgeyEda0JCkPWFS 2qXPah3klNph8CN5gaR0GxGnROWujVQF7l/BAgevtF1id2fbCAdRwmRD00PtGoMore5ebrYQu7wh t0f9PhEzQSG89WfMng8CnevJkOO3bg08mtmSxhSE89cHJRV2Nr01rysh5fxRezd0iVYWX6Rlrd49 8NPvYCHpsLWBpOWzB5BmlHYZZG2E3DL9ZzUwRJ/tRRTrpzApbkHaf3tHuskDtJ7q1ZQNDhDRQcL5 K9Y1D0fdw/Eg/LoYlFCT76iDv0+BtN4y8Vw0vFE47zCk8++AnHX1vTjCDT7HDAgx0YloH4cPZu/O VUY2p9eIJrnwEwM7bbhHSXgmMyM9G/daim7qiHweUgOSOBtaHcLd5KV2NbrsFFSWD7iyJ7QeDp6r h44e7+lUxH0cPMTOU60TBgbZ6ZWlT1ZMpB+AptSgJwBZCjUc+xgVXPeR+DAIndiKMrHosOS3NTEE HbihmgFURRYpN4DNqn6LyDbexhi465QV9J7gpHAHsU+E/bq6hwloVtXocRoYxbcbPZonSo22H1h+ C14xStBOJBxsdEoJhD7QHKkJu7VI9fPvUykVKbFez09KC1YrYhoaqm2DT12bXCYTr5LvpjQAZCAu Wl7TjJEzZ3S0XVBRFb6XNVnTIf8YS6efzqYdrLdqUn0GQxMnsRvaieYwfhZLly3nd8BKKxRjz9jW h3vtPnreVFYxU4TdMTrx05/8QAbZfT+fF376k4PV7DjshlEN2OiJVmDPRHqN1e2kiTW0//4JC1eE RxAzvbmSsM77K5GrrcZIUKrunRVv1D09NarCfuFlC+lb7Bp9tVLPRoObAqGSAcs9WHHlXNbjO+BW YGGjJleT4iYWb5D5E1aTwwUaB7KQ72BdB88uEx9i2kych5ieOBj4o5o8kur3T3komToDlDrQItKO vSMAgqKrNeBZCCkC9XsfElX6JyVC+KI/ndHm6Cv0qfP5u4aukZqPFnkU9Quiy8NmgtFcUCLFM+Ad I0Nr8eqc9EoaugjS2RWB10e2bnUvLMMIvjaoVnfhhM/pY0YnPhsCSnzm9XgYv/9xp+RqbOmugFgu vJU/d/gc+CzDjbErz38J2+wRNlPteuCzgIwQVYGXlCiy8IN63c6TEzYYfOYDyZmOA2qWFaUy6Wzn VWshgpRsprM538DCHz3fTML+vCYzaJAxOYYzckogu1+DA0/VJfA693FD6a/LIyVxi8gDgUwj2dqB qcicSlDzlpOsm+tX4mIRnGuYSe8Hu1f6/VcsBAVUSYpg0ivvRHSd+p3HXr9+DIEN6fxeUFDWASWv tbK19oQAI1EsH2OWHOrVScOvGnsuqVOMOxBoSvJy6T99ZOLjT0Fb7B0nF5o+jRJc7Ll6IWml7xhn stHEdzhBWAt2Xa9IaJ+zgP9xzZkIcOslAlWwPXG9iWJFyMSGO/6xkWPak2Vu71w3SrF/q4LpIigx mjSD6LBHmRvgKxId2nJKYVtgFjOTVhCtLJ0hdxJi43/yDfhQe5OKof5fxDJ1QIWYyL/XdaFNiOvD nGyLRcFEtizgyGIC+oqVkeJBjVNeZSkkBBtj5x2zOmHGrYeXByj7Fg5nr8XomVsbs6E0beHBLh/O E8WTHrdO7e/NegMabRz50i4j2cjK2b9wTbMO9hjcIVEdBCJZ5yZLmHsw0+Y+B8jwtT4P16383VAe E7WTvGIugf0GAW+CXCBD+Crkb2rX/pqR92M1mF63lZeCMmCRLJJ2bZRAjOujY65quF/CCQS0H06P jSWOeRRDQ/d7U9O3rqSq+Etl3YiaWJ/vAW8TTFBV+p5Wpk9YbTBQZ07j+LpY0qGIo/5k88QVMtz8 xqFGRuJlJ/So4aai4OJSgT6VwJ5Xg0NDOomuqaztEQxcgTurKjqOrRhzsTxx4Y1enpcyqixcJVOh zDb63jfNsqDd54ndiToOfrsGDEgONXAK0VXt5kT5kl9oSNY9pVrlE7WXNfH+/I363kK/JYN6jp7H E6Qs397PZdrRgGvFjIKrFjiEQG+i5SRl8kn8GMeJOdvjKnmVQSKdlFdASg45Irpk1inupO1OvuCO IxqIWScO20gVy1vcf4zw3pb/87chAJvWgYmhJz+7VPX7Fe3FlTp+PvJBV/n1N/pcG+leWjkydrfz pbPaGwMZrL1PoYMeoFgSn3F+nH32shW8nyPCsCS0Q6MaMEHB6SaR2Lg1KfVPedmm3m/A4UrNwssS jOaINU5MadwTxHYZuTmO/L1VHSBTy8PBxueGaZRw2NffPPTPG6uz7Wn74UyjiW9RpyyApSB2CgrB N+s+wBNSG7sUmSZbtb7cuh/DbSiM7hV79F4eAqVdAxGW+hz85C9uK7r5DQYucrrgk79JS4rXh+k8 aOJyQMQkpdvm6hteyOfb9BWgqqRptHwpDzgq0swndBqaKKamiJ+pvQBxaYI6uY+9AOEyJGBz3m1R x4spGwqYqhjl98yr+KwLGE5oufUX39wsRGAl8tJzu8JSU5I+oZt3wH7YAWMNMHQV7dVwzCqNyA4M XYOQwu6lDgkauYmOiR8S0+SUMt/31HxgwTnAvpKSaKx4Mp2q56KhO9qrxdiApq20zMgAy9t5KKJW iz508wv/wc9XRXql3obJ9OFAIYREkRHrL9/EvKraDFlKVehZKSci8Y3QQbMBDWMAGdQCqFzZhGzq L6ETWiHhS4vX9a3Yqs6hk0/JgJzCBITCe0UmrRWD5HaeZB3oMYAR1lp3hgtZB7baWj77pLhaQzpv j/OMA3qiVtGiyMt2oQp1g0tADUtLF/G4sWGiijrYJjUMoibQqxZsjn2qk3R2Pyd1lyc+nb7EyEmb rqkxeZ9DN7L/wXt6H3piJ2dHrOqxLWUqeiX6Q9Xy0W3ltGAzScA+t4I9cTotBMtqng5pR5II46DM lcsbqNAMJ/+X5poGLWwraFUXMc2wIY7wNEDyN5tALVtymEnRZfPieypWrJNzc/TmxWNWD3XhZY2R NFhpmcR7hp0tUy+mRWkgxQfV53UG7rR5t0nDBLzG/SWzzEbKr6KIPw4EsLnC9cAe38lwTqlr1S8p yf5EYEb657F4JpHAiK8pf7kRhl2qqzP5pFpvTubT2kCYhF5H6v8Q1eCXvXOjUCr04lkyIO+IMUTa VeEJnaYZ3oPC13yw8gKqU/wViRDuzX+GPgcTo+7GzsEDyguKH1SOY2AhuMkkKHuTo/1JZK8j2gU/ HOtkZLzViHmbfJrILajlG9rQcI+r14T5nENRrhIXEdc8ub6bxCBZyhmUkct9IqZ9nU41SvNWP/V0 3A8eqCXrTV+oOnRHerpHZ2IkGFK3NzmiDqttcITghKqaxD/43Pr7Ez0zk8E8bJNFq0eYMnXzM5dp XI67kKdMtigzV7PoHIbFKl8aJAEKhbNjWFgRj29cnGPy+QmbmsOW+y2cG0PP3vMFqvNqN8TwmUcN J48teOHTQs/5zMUnzRiqsL0A0mNlBL7r0oe1kjR7cluzDGc8kVZwpJQnW6a2UD8t6RHCu3l9Tbpl jgy/SJgUnYQy76kge5s1Nh5vEQA8Lc2Jun8gluHD7tP2g3ltZW+TpjNSWwvRi8Ur04XxEJIxm3gT uNC30b3WNRuIVL/a9SKpaKiQ81VxJibiTxlBhCefFomHWV08bTAwqT7vCc9eKBtOTT6p2AD26oeT G+Leq6tOta3hZu2x+SmJvReGZ0EGxqaW2elEVLjNtMMwxL6pXBmFWIWDqfVqMCzlWaThHV6ZwPUQ ej4gH2wp98D31HCaHOVDc6m6xWg5AAkPg0wJVH6HY/SZNbufFNuVl9ZG1uIjzxMwhm06fiWwtm85 V3gqzbfmMt2pCK8l95KB3uWvOv6NAsigE+N6FAFBHO+JM6afSjAek+dSB8FHfeQGDuSv/QCBpnjB V9OUDKNG7hVISiH8b7MDOBF3VskwTf42wed0O4z6dFvTyAeWBemGoAvlO+/+uGSkqz1ZXy+SQkIO iFgEA/6/OT2iz6fLE6JExkGGDh06j2e15WDbXZnd+N8CvfAv+WmPJAVefU3LNL/fHnUUamccW/he 75zyEVLcB5X9kWW19VHHpvWY0rulxvqFmK6mvIKeeV5W8YJL1Wae3JDnNmsXYANq0hHui2QYdsay fqSrCEuBL3ApTwWvX+DwjmZNwChi/XmqgsWtK4lshMi4Z5KxLSIKPhQwAliw5pQ/9vwpBbV3FVIA G6IToeXL4A/sdgqAIXNONNZ8F9fcICkYAzj+6xT7vdl0i0qoF2Y7m79TFPERBuhP8rIN1GVwDGGk /vi/dGvZrdxze7molh0CyhSxRLUSKrBvbWGLqTejcOyls5JkohI+P2cijBLtLq4i0laKdlBO/jwN wws1qYrUSOWDZBuTfUJw3O9q6YrIO6hs9k6gm4xDQLZMTtxMlHwnkMNRw7s67WdtZTaKFguaLz1H bOTUUlvvyzl/TLyXJe54+yGxKWViTZuJ+fsxEUMitncPy9xxHCaXxOg1XfRjERNKicWM/ET/D9ze BGj/k9oo1xg9guKYSoxB68QWxs6OhVuJ5EaAOpOJpTiGOwS+O0kkfXX8d/hJjyRkBVEiLUnx307M jN2TcvDAXSxj3blHI4kvendGt2uOskY9wNP+IM5o+1lUvmbUNvvGKJUeA2ayuU909Bnh00+FGRWt JwpPUTbFaDZAKUOQ10qE7y6zR7IUl6U+6NdKw8UPfw5s1KMgH1gVWmIF/urMrHxHieCL999HK6sY Uooj+8frtFuYOOWeWfqRCPV0vDOVgSKH2AWBWRxiKqCnQLIem+F2fkJ7KjCptl2/7xp9dKpXcFJ1 7Kx7eDtmvbSoIVGTKCrFhxQuGzrODUtOx2Qmg8Pnfy6QQsIJ2nFNysZzjqxx87TYNcaay1UEGacN OMeZ79I+DDoup50B9lS9MWm6ty7rRPI3V89cBvmEXXtu4Lmih6N6npIl1l3syggWQ5YGT5Henfxq 3npvhpaMmsQR9AAJ9zt0Q9HnjR1SbWIV3GAFWiRTJjRDa095ksJV1EPrgw9tqyRUajx0/wc6Z0WY k2okjDepmJ/gEOBw37DwAAFZMxe1Vpq5Jzf32nnUP0mv7bd4qc2QT55vc4v/FZWqmGT61idfaANa tkz8/OsTFhb2LfZs/fptPF2mR+iOkgByGW0Rc5E//vRedbFQCU6YqgMGOwUwcIRq2G9LbMBrQj3M jOguZoGcV77vWdyEX05Hyy6GRety9m9+yO0TrQ3Rz1ksvHwWBvaUnaV0+E39fXo4Foo3iLCML0Of YYAWKjyKo/I3sLCR7yrL3PRDfAPYR1v6CKET62FEhBIKJFHsWTGIGa14kR4N3VfuJ5ci0XT3QuHp LIE5acQAqfh7cIoCO/jMGrE2ZTDNDZX06Eujsm84AlhdxuTG/dK1tQLYXmvIUlK4KqTNO3l5d613 VTjCEAMeZl2MZNEC2/J3b6dGqa7PFQbaIut0UgriTEsPXlr+gHh4ChNHyeDqoCEPmO9QhdQYYgO1 TjrVccgPVxtrSvdsgDxMWuI7JZujx0VJtTDbk6gcrPlOuSZYDMG/N3ybFmH+3olFNOOR3MmxDgex DzJLiD208nU/Jiis4BRD0YICs8us3UmC/+wu7rpVMa8vK1WRphA+rExYqAsV0y3wTkR3agrD0T7u POKd6iTGEzZANKqtXWDC07u2+ldE52Jv5T4XUHlBSS3c5T+3MPI+v1tLQF3v8gA1SG8CJ39IXrA9 62BwJWEuL3BLkSKJLrmNmWQEy299p8lz0I34eXl37MlMj4zngAmDuKEUvAj+wK2T4fa355bbnwb+ 6iDz0jMa34iKSNgdgP+f41L8mCsqMnVhqEbMgKTxtgfBn/txviM5r/TdjPG6s5gR0zwO2OPrJ8s7 pRxriqtWL8FGDZ7iAfLq270U9HTk8IlqSTvOECPETdkyZMZfqDFEAbgS/nWaazQsjFYIOTVHLD4F mZRwWzlE4LNPFOokhRpxwC3pTUccL0oXkNcp45PUJSkKn2kkO1ehibz1dYisE7yGfheTf3GM/swH 0IGAdSbe2mV135vtEB/0RPjCWhUtpQaNwr+VJszuCswFDpyd3NwTCvMCbyFS558GpeBUtgx9j4xu sXVz31hi2DYc1ewY5DpvoEAzOvOqW9hJZmL4fe7LPmoQ3X9TaacM3ouKQC2sEVRmOAeqfqmdHjEQ SzaLJQSec00KPo64fFohoqGnAh6Bac7xZH+W51e7i4I0fPJ4tMj9OxS2CVqiHb11aAF6PNBa0ARI PL1uo+1bZ08YWz3BN4ayDVmWpWjJ/pUILgP8tTYhFZcqxqw1Od8vXjw9++83oCoNXw5AF1Hdnmd7 EnpXEL4rPS3SwPvNivb1SuC4PNvgy6NdCFiqoHPtjwHR1hS7/iHH49DTxoH6X1nIRhhGF365yWoJ qeeueOu0j5Go2JFAcmyIBEz3mfUmMur3W2BcbUUS2vVxjj4Ue0pjNmpXqGkWktsIvbbwK1G8gXl0 U+rsOCyAfcBtQ6B89d/LjOkkOc1mV2ZZwA1SNWpBjV9egnSwzlLoS99/n9BJLFBIDD8frYkMXUd1 Jh+cgk4sfpP/t4vaSAdY15R0/oIpSEUMgTmSICG4DoNzEFL7vMkXwKSuy6XtmJzKsnSofLXaLy16 ejgQd/8Cq4uAdONGxIGm6JxIqj6EYR4Wp/XAghhmTkArL75JhlGpbogPZfrpZeTnwpgeBEO4jj9e +FnvIybh3u+PzHRPOuNFRoBzvFjZkfOvXW54uSxtRoZM9Sh89IXERQzMRT3DsygvOHz3ncM2ihRB se2nxWuSc6gQ6ayoB8sQ76CcKnku3LUvLJKMRhAKkPd/KWxnZqs5Q8hh5WFhIE23iJ8r1yP2vrWA tjyRUqvd4e+xf126rOEtQRTrPkdwd+6Dzfigb+V5xtcz0SCoDKwNRe7rDYMJouzggLZjji6Ff07M hd7du2OtxdKnicH3+gMQq8TCKvqgmYcm+J0mXhL8KAsVBj/71K5IdZryRYBc0dkSb83UW9odDjqk ImYA6iXXQTlhqP5xpZo8QvHk+TwEbYxhdbCeYtxLiV8pV1FpTpUThFYptnCJ4EIS24tb3gJHS5mb EuKbiza77Lw/1tCLqjHrkUGK3P7SsIgYZwneb7MAiVBod8yJxz4km2BWwLgUetE+ULOxRzXpWxL9 q2VM6B27sfwAp8QbisUNxyCPpZGhijZoTDS0JuXp6wi+89TNb4P51fJ5VGPprowo3VUYDHe8VHld sjQZ97CwvhDUGnd26Yr0jN2IXozsgMrccyYd8GJvWuKDv3voymeNUgMR6wvKSLH67OE9z47af168 xljgJON38GjlfWfrbacZEGrMhPRQ+Yzs67iMw4HcchwGAOTceauANO0OW795u7HAluf6LK4LfL2E oBS2TWU47hmFan2mA1GN7c9mSRvmvEx0jeSOpI182qU8Ub81KNtUjssPtwJhMJUPEFEsDiRk5+aA 6GCOwUEPJWQEHVMF9oOU8fcWPi01NgthKGuYBkOMjNmaXVWUwOMxnk8/kIw9rERITdJpNW8c0HRC EnK2S503SOc8Z9i2JNyGFxCAZl6L7LIyfgPxgnCw070ZeWfxVY+l7LKbZ0MIBI7B4d/pDYqeekuY gay5tVy5fRxR6XBYDSYKvuks0BOh1ti9KpA84GUr4/uVKrqCbnQtmz1Pd3DuCUHgYMAK3C57p3mL qiYFFmnI7cjITnYGI1He6djvHAfvFfwPYnMoAOSNBNGKvflhD4SQllM5ayy4dD+GnMxJjD/gPJ3s 4qLV5UFv3g08fBqUaABraXwRgWDSu5Qb8Ef9c+Rm2zj11cUnMmgKOpX2luHHtAkjmy/OuaJjLSIE yf/cSuu3sWAgFGgBBRZVRWd89gqXDAOFPXr23x87mMvqTBFYzZaNCxFV6xyBrSM5tNjzsnCGcWLv Tphhr0H0DHUzX0nAfAsplt2Jzg1cuZZ9kD8NnA2aHwNOOtVdBtS0PpHaM+LJR/Of0n5Bmsa2TB9N F8J0AeKvOazPeBZBLcCro3pQNFSf0Dju0QskukOz9DQxQaVbAlyB0tzVkO9B762RufZdLmrsFWpY ++hygvO8ilGGIa9Y7kkjk3Xh9AEZdlguiHGOQpkZVPgthDX3nwaoQn94l24Biyioi1yHDF6PZ0aL fUvCc9JV/itAPw8C3szQ5OV9fiNplGEqQP1MYV3ZQaLrvqy/FkJ6N9XkJ5ejLiykpQaHj966pOdM DyriLvd7jUfceGGvGL7scfmk8PtyKhsHw6UTu8LMB6yrip5obMDf6CjCJwjXqzv3u+AFqpcYm113 10VidzClKKXY5kMDlUH3OM7ZqxWvt5oi4YBjE56fTiujgbMrz8Uo59G8FI2ymlkWWHK/3vV2/Q8u y+8sni23Nd45H08Qvk+ZyHBFnVkZCBQwEOBcaSm41LBMp8w+6BJEYWDhMYkm4eMYVTfuCQZWKos6 xvH9IjriR1GxmE8zP6Roz6G+tMpUuwFWe1PCpRz1BzCqixSCWRefogXN8zoJihG1lVGEUiRrdoTM l9nZslJ0lKc6SsZcx7Q57Wo7jaAkn0zYRKUS64SpbGDgKobJ32qrqy+hjFOedGRy3yWN4RcfrW4b ocHdEgY3lgwbF3vH5lfKtbGrHegjOPQzr+HT8S8Gk9hQ1BZlomNZYsTri5I9+D2ssv4Ml0sdXHkU jE9FE3lnXeC4HkiAEWDv3gSSnLYEZRCrz3fKCcSgM2LG53fWlJyLmYebXq56q+BlhDn4O494piFY RPj5qJtlqFu98W11ZwSkkwhSFwTOqjS3hOpTWGZMcBoyWivUiiYXurTRwps/F7/aJPPTtUV8OaGb CzWxsEHXC7w99L8xi8FTXtpZq9ys5HZp0+toCld3YODVrIl7uSjs3swBgDpM5+EEfHogmFQfdeQm /3HzaTY1acEps2SRdny9AJTVA5fBCobWi47ZcrE161B+4rxylUsDtGNfuDQsGtSsJwfZ6DiwL+Wi 8/IDKkSVFpytcPPmfPg1X03dQsbwHOSKrIL5wS6bD9E1xGPd8bv/YuecDimbVIwxBAVTaDvYAB1P CXJQ29u8jvU47t/Q09ClwdWRMnFy56WB5Opte2twcwx3C3qmghTKr4vf4fxqMTQ2N8dq0VMaeYaL TlCOygq5fRJ/ZtVZkj0WupY3bi+/ynwD5MuVax9VAhmamwUhpEhkfw3nm1uqV60IHeTe6J/MQtS+ yQKgcDjhr4c5dZ17QtPKACRaye13YLYR98MSxKkdqp1Ix++KOwg1x7QfK9nCLqeggdxTdtYGjzxR 845KOVEDXUZrWX2ErrJUAwC4OBg8unOT/0Zb+M4nLwAaR3IQ6pPpBNV5zyRStefVaQIyK94BJ5/C bW0zWViq+R4/jA0CaqlOFlXDntYWinO5UjvXiZ8J6Z2q3AVa8tVUqCJOJt4b/0zSapxbxwqFiu2u q+0Q1qwPYutWB3gFsuN8Am3FbANe5MTa6TZI6PY6RXvQGSvM5rhOfUyNxrHwso96neInWEpCyvjF ym6qKlNfwOd7FWHumhINZt2OpYbW3gFqFAnLgnru5n1Qj35Uy1ef7raRBpo2OV1JpQ5rADYDfrUe pC8C6xEZI14Z3lEHD4Qn/BIGWLnNDpxs5OEHJJmk8daytvf8hNahJJpJeSg7E2zeMfzT2bYSv47k eOQ67kY3/+3/Kh/NMfeO5xC8RP6ZEN9GfuaDLROcK0lY3AKG8NQfFyg/hVqwnCIYO7/1bCsWyT+4 nswewg8K9k1Yup+Jl3HTRBeaP3CFnhui5x2orGXxaoHPio3Kx3tzu9IAusGvYwrzXYpanY2RDK06 0tO15lQz66kFX4ttE2n2yEf1kFVJUXRqz+JLopAsgUc81DNpsuv6RRYODo7LzeMjmEBboznc/LLi XdhlFxqPIp0NmvUU1b0DMRURm3g8pqO6ka2S2sMY8s2UGLBFHcxeJhH4k9O3kpN+rWOv5xUm4not hRZPyYCWNuezoznBGp9qKOxlvLcSShKp13tmxYZQiLwW/90pzCJWIh1Pn4JHbs4PmhcSmSyQqT5a w2+v2EcbOd5/XJDyzRfp39iTLjIuNzXHltLktyCB3wWxI0rMEkaftrLnr6hMQqrC8PdQoCR39EWw l1/Wo++gSfl4COPl4BuswoLOmosRXBJs9Epcmp0X4oIE2LOiqSRbrI506makOsP9Hs+QSSVoQJV/ alueV3aYAa9tgdSdBQEnpoCHDPAxoXK5RnPy19s9cm7NkTxkhn9f95rrJ3HdZxUjKsTAFN3yZ8KO tHlK3AEwkijrCD7EH0EM27ttP258/0chNqP7iWgJWJ1Oc/UNGFC/6noqG01uwTQkNQ1ktidIlBSg pz+we2QZ9+/2pByZXUpgYhdgk0R8s41IAG2I0dDt+U1dFqDFDU+Ez7nImvKGDGRNAxV/yrn5rjEF LQLsPRhQDf5FLQ3DjkodjyhyThmgLRpspFvTJ6gh2Hkxi5RONw1i3YpPG2IiRTWYaPS+OahpOtWw 0TqCUPe4yK/pYibgFy7mzMoN42xQOIP5V2uZzfjmxgytRbxEQjdsPjGSZ5TYJjlhi2hCWoKJchVj LzLFGktxbdqfoRvLaQraJSM+ywN/qlZ4ULqxyqM9+c5uw50pr472YOjSC1Hgd1LkLnFmMHEzphCF usdvxvs+Wm/IQX4OQnDVez+D9YV4TwozQYN6QmZRxwPDNAZlT5z4Mq9+bZGyjFRkMQ+Vtxlq+FCn 0uB+WanoW2M8KN+Sv1/gB9ro4ZrNJL7J0Vl/94Jxe/eP+98o2bDNsuis7WfEuJTPMHgKoIKF2UYW p/jEfyzeGj38TBM6X2ElDwcgLREFz9T1Tict/aK76SiflAeVr5WQrk2NX1dxWCtJGj0shupcT7tc d9KPbaq12NMctXZxFs1dWB4+jQb3+6U6DNdhn0Z0FtVoEgRsa7E5kl3aMuoCW/zX7uE16xTSCKG0 5F0hSFhDO/QX9FP9UAIK/N5Dz0BYisXAaTylf7lQl3sYyQOgCtglrWY3E9lF76O2HydzQw2TNlBO kaToKJum8/Tc/4obguJoU+R8mzxcB6spaE6rfk97HLcnU41H1dbkstlPCHdZ+CIopKeLeB26r6+/ x0jiqfaSNKM5DWksncrq82ltZjOuvznzA/VUVterx4jPUNM55GWnpheqj7TeeNK8EU9yN6ktEjZP V0REUbbCGMI5iW/tSBrGFwHpKsFQVoZIF8V6msLJH0zzgDrHO/4TQCNRa/b4wSkuQQbh4TTQqgLF VGu7Lvvk4WoLUjBIp0HTEYL48CedOMjPN4+1wgSnfUHBalvhklucm8vtytJRoN2WoJ6JEAWxLtNK 1Pz+wkiJYPbUGGHUqVYzEzbpTjffpfrPO26N/0sK7aY8UdACzZHDSix7ydWtspy98+OCnM2SO0aI UIzgFBa6/SZhWDZWYb0yRMwXyzSu+R+nBUObjN0g00uTpw5uxVb6tqPkSZyFXAsNbye9G9hjXlSj YibNqWsFD/Qf70NimVkngmpF013f1mkx8ccBr7yXFLx80MtYMuZsCYu5m5od5TShdAzEmnTHXtuN m9bOuRc7Hq5uJbEtGbwSHKtnt/lkuVX1OfqjYhsoAuaoWT4nKrFbVHEv6jNDK43GBZs057H3x5cv zPy0ZiA2beiNasOJOuxKeEVomh6cDhYO+2a9evLgGr9Rje6U5PNMPpFxLfg3IuKDZ7DHJnP/Kb6X j0jWMAhoUrqsVQuA8A4uwCfqE+e3lRNg7pyo5nsW6dzd2FTI4iZCX5EA3FvY3OedBBwJJH14WEXK hhPa30Gz4Qrwm8ecNn5QrE49h3pQDJB40ma/ggHktXxkfvp/3j4TiWslP6wgZyFPMDUJnHnxsPE+ x5lNHGW3zsU9Rlz3wVL4jkZRoHahdw8gKau1PtByqDUrVJ5wJSGat3xu/BSFMedFnuJ9q/uhHxFJ BmVsXzDJKOy5nI8squLaw9sn/Q2WAY5VTmRhfXgpoB7OIAm3FzO7dycBnMAUz9tX52OLcq3PIP/G Ai4rNME+9qT/QzQAWyHlBDUX4nl4YUT9cEpapJpLc1+WIPVF8IpNGThz/9VeaJ/JSHZGTtAcTU58 AGE3u0cA8nMz6oIzQcJKDUZQvlj+5qE2Msg8+4F625ACKjETjP72iHk8NboZay7c0BuqBxdSM8Ki Zig6+KfIYJhtDAxPTd4A9XsFEI6f9i96XEbcQa7mLeXMo1R+K3iezeznd9K4JFGklxapdkMq2YiR mIGMLcoAYzUMTyi+Vc3tUvkIWQDZoQ2VR8MrkB6iGGIzXrKygU5+z0Yp9d4JxmcXw6/fkG8SBRtR Z1r95dGqbsNqOzOI4qtelXsy7Hap03VCuWI8kvtXwEaxKJp0iGMlcOoMWbTdZ2TjYlrWYdAIV3MY ZBXHex/9v9TbISJdpHsXvrLd3aFDuiaw1M7uBRBk5PeH8mrGMrz3D4WM7sclXAmkfUIu0MzPJ6n6 z+aegmf1d+cmxBcfI/mOhJirzoC74wQgPiEJ11y0PHvO67Eu9ZFMWtH2luj7DnosbYdNO6JImymc 8e+TRf5DI9nwLDpOfQMHpY3cy7VSSm9wJumypaqEx7ufOVp2yGAL5Hd00yMyVPRQkQgAd3zxZg6E ukYlZ5fH1gkZ/qYMrLNH9DZRBXmbAm7QmsN4/TggW0KE9Lg+PfXrLlwZ2W5AD8neiLKvU+rYt6e3 XCb8qxYe/GMHkFDtpmf3sEh7+a1Z/ds/6wKguO/6Qx/dr2Gwek7BUDfEmDpf4/yZldCq31A/yELr Ox8QmEp4100Kh5CUSjhhGRwzADEMncT80c2v3N7j6WoGedEPylIgdrY8QR/hKq/nMgH/x/49Ulqx Vx0WSpzLlwUnTz2lPEu5hpxsl42EmobISKj0He4yBWVu24YjF5vwRWQZ+V5ofpeJAG5qoZtZ3dha o94TNISPpV1GuJr68fZTeAJhXl9cFLIROWAUu8yNAhDpDkos3wzMG996uUcPwxxc4YBHQVGPZuI0 3iwWGQcYRUSH8HUt17cP0RA4eFVyPXuuZ/GmRtHFWZbG76znwBcr065bJeaKFJ45m/VRReIYK0qk gsgOeCHtANi6Ay9cSVJpvevItIrNIUCkJ2PdGqX9fYTnP44XeojKF3nVWjMzytWZjeo1dmgz367r F8BZO+tdByDN5lB97cY+K9NGdrcmevxB4P/ERObPLRVnbxD1hiEObjefvARbpL7rta/6YuxNH8wt nQrtO9ULg17TEAIMNsoXOTzDrEZw30wLDjsi685U2VYPEou8ADBavpe0LRzmwlQTHIIGZuUvbgAl fQoSNuUxFPoYTXMy4UHswKmL76maIB3gaLIRIyCbGlAdnRfIJwwSs/WYQcVg4UkrTaQH4ZNrWRTp d3iAW3VWgDgNAT3l1BEbkP97EAi0Uzc27+13IRoHjAwCdwmTEsiPv7laezXMgwhI5Yyrt85TGh/P 9LpE/BtrPwyfFlJd4rjQ/jJzrw9Dj/hYKAChN7FLe1JojOjMqCzlzlImPExwrUjoBMUowUbI5aLu InCMWJa5h1G+OW6/JYk3ehNSPDbksvaAAbZHr2MOlBzsYCZfJShiS2BXVukPy86UZpnL3DvLeLru N0AIU1JpqHcNEdD2cCNLY8Anyh4zNE1CuCmMA1fF7KUbMRrI5So/N6HFpiYztxTtEKLiFVbvi9/d Q3BOLrVDj+CnHUho06nzYP1STchEV/k56Sg/01Dy0SaPaBpT3yyZsHRRYgTc0Lyeb3Hzyx+Ajlge NpGZHpAErr6vP5PhTefynHYy9kjmmPU+RVPN28uxplzmS/xaKuQYLdGsxkzLbsfyOpP3AAi9Nt6N JWr+GScgJnagQ3SUvH1kiU4swf0o8VMDBnUs5Lx3zbWs9Ru1tuncK729gFNkNByPLzQ182vqFvfy rqGYZDvo2dC28mwJz6hFWKQX/yOuTFtszt81YJOjR7kf6q4F25xAkT+v/7sxIsb52ThndICxUqqQ HAocpXMQ7wfiUzvIAa513mUpARzZpMYnza0K0RPz7gmtW1gAHy19b/qeyJDiWjQuQ9PB0WsCG93I gIRwj+ap7AEoMxVh5mHI6hJ2ovFVnUkrBqzJL/XgiURH+2+I9wq0ZOL9Bdd1THh4yPm/G4Yn+FS5 Vrdm0xA3DFJWAxnLxa8Rk4m2khKpQpEgxUgK+YPm68zBPmESW7waKSUnWUYCCqz8WNbcP7RSKllJ 448UxRScJ/ogGXbS4BoRfPrcL/IxLX0o/bVVXZAdC6KYhwAvaP/Bz1Xy1eJWXbfIdkAqx9uRhwTx YQ8CkLEtdW4Y2vAixlEQAk0SIh+s8y4KENaN/w3tYwQ8xBmUaktBKj+sYa0LvyvxiTWGnPnY0oST JAW/uoJVYDnoFtd9gL9GPuekfQs7zccFzCsjsaMyLxpkQnWJymFOJ6XHKL8GOodVWyCtp577jeZL q3YY8llZTKjSGj7MUBBbCkEzP2ihQ4HrsOZG+adTCgcHgXHb2PTMGNLB7nq8+26G9oO+gaggSvIz u7NaHm1psgpOoWHc9cMEh5Y/GyxJex5DW1FCPR0L5EuzYK6QmN/oMOmnkCeXskDXHZZK56dwISwd ku10Fa/Lq4Zvr+4IbBilFm/2GF9P05ujEZ14TlbbwKBClcRwwwkyULw6LIRuBO9RI0W7kgT1wLwi KexdSPI2lYzIZrxFW0QBuxniFOAUii5ucvS17A+xc3UWbt2skWiqQrX44/LISTqjH3lX+xNfcZn4 qWjFGyFUXSoLrVHiobeVTaJEd7wNNcQNNyjamzXxzdTzDRfPZp9V3efmaSmU7dOJWGLKcUWri14f 6L/Ii7/3oukpnCbbueMBxAiXC9lVMo2JTgaUDWRP/dKdMDFSDKK7Di8m9rjQu4NOTuLQmjO4UqV9 sttSWi8JfGVmgAYuFgI42tv7r3NtZuNM7yX2ZSjCgEub5LhrFdtDHYwHApe6jNqL5l+gDcoi1bKn mUSoQ1OINjU7353k8JXcIkH2v65rAlelRRvsjrxz/wHyd4ZbBfP2kkl9eMh/IZEOppEAxn7GK+yR 7xgA1vDwvhs+j56OX4fzUix1uzuOShxavchKmiyoGYCd/c5/0UuksgfCQVH+eTPUEv3QcvQLaKlE YsIOk1s74aOldZ4GAI8YnzhIbsVV0LSB6P+4PVUr0QVnXz3NGIh4Kke7Hr0UPvGWcK6Ur42DMYda AJZk/qJ4+CGF09lWH0RaPAzR+XvuJsvse7Ko1oprBMX0sTjHl00Ei/x3m8mU4zc1LId1eOhE0KpV Ng+ESL+FFo+aG+IP8l77QrhCCbR+iLgeM+XXrFeaHvDJIENiFko9pjWk1zNd87pfL/1ctcTlSPxI HARYesfKKW6l7AQhjxEe/X4XZqc8QoLM9HNHY4xIe2YVKSXDfv6Cw9aPXQxw4uSh+XUfiS7HVsu2 fCUm1iwU6CSaQGnrWEkmQ6IP8PUrBUGsH1ABSUKqAM6z8Wmx2xwdQH91pcjtVF87HJrrXr8cP9pr cmol4wqzAyMovWFsCoyIbJDVjuMI2UcvEnfRQVF3fqecohR820Dd8oKvmXhBONhq3PVUiHDGCNkj tajpMgIIrjDAuYbNM1rikSlBqUaSlSbwDyrLd9CzRjuF1uM4GxZMFllMKS5JUdtju0RohgSyM+65 YnT86Meg9oojKtWzocJOfnnPteFvow7WlzNXKLda+ylGf2t0l3bG9j6qjqUIP6OiwkKpUGdcUOgt LrGNqyXPrXsDD3dl7heiY7cp+1Tj/1izBkT4XqnTAhaSKotw0Jcy4zzvuYkwIQ2kUvZvdnO0FVto Ro4KkwJ6zQpKKbINCh27A6Iv5hXzAsICoCTxNl8NhjRRWhNg10pslhwoA/n9QOmyAcYvrGEBjPYR Wq5Ot852nHDR1VsZHUh0rcVCep7HwZpSSmpdhfEMGbL347GeITEcEBpmO3Pm/Za1I88vUFiyqsNQ hxTNPbpJgFSDn/3pe2GHXK94bhqPMIHb4VeJ+dFdp8dBLRBBNO/Bb9i0xh6stBkbxRTDnNO9oj1O Q8TuaSqGtRPaVz6LX/KvDrkMk5c46AEdo6shqMdTmMnKewPE/O3+dunkEcgkvSanMCBWDSiXz4bU ojI00QWLfJUCG9nrfaqw8pXzZA/m9LK1x7NzTFXSiCTIKmJKduoh8ospilUd8RsEKUrtmNQHDM5u DTKHcPPVfwgtI78otfMuX6+UtMdd7SinRI0U/m1nsmi3344xV6/AYERQU6dsc1CB3mZ8ma+er5ej ialDHy3FdwwoT+KZgD8iTqm4+PnGJ5phOc6QM835t0E7vK2lak2VktL9CmpHmjFaG0Iq2eTMhWyf AE+F7kdr0lEpXHUzoIWi7MLBbb6A01JkUWa1YkLGep8gZgiuy8xUN2js7bVv3VLH2AcIHTB7fWQM RmSBturvSqmrgqfJZENajnAFl2x5vJ4fd5XNP/IXqEiepaGBg1TwGUWrrF/N9/0K/e2dWt14g4AI ArZzyioj9AMqjon1nb6NQ+ngwUUAT6tTxEvWLPFA3lOiwVxA/O8vmGieJj9wwyDsVHdR95ZuIuro PSMFnVG+bSsfy4OzMDRIRrCdo0aTa0PD5U76BAH75iIPSu1Txr7rZtKS1B35CTH8vUlQgH5WWptF WQE8my/gEegQW2A2VRSdk/mBzZHe+B96+VeU3Vm7p00emI3EkXhzDLDHCvp4hlAcWEwbLVGFN2xX nwe0PFjUTpQ0MfDlI4Ethx2Vf545y1znlYgjnDv20gXkDzhekr6X2SqNCfAZ4AiP5MBXwA8vJE2q NmaplTfxcBuybFMsuTjH9v/68hfnnUfTsA4YVnejGsnog9QmiZ4LB8CLTDq1LtXjaFQgd2Bqt3z9 gl1FC2/yKl3zs6PawDG5Zj+/nhK2IxPK5rZe8RgV6MeM7CHhcsIsNeK8xKN2XzkHsEny+9e0fUb2 6mvuQsXPHrzq4ObKBt4SKZsCT+H6ix8uABHLPPPwqPEr5PjimKwh1cRvYxcukL+x3eN9EiCw39DY /Co33j8LFAIcyzPwQKeiyKCX1NGUrce6SXYb+5o2ONxoiHnrZlLoEtsEKEMJEU+NIkeZ8y/sIP9e Wrq3Zia35BKGBeOlfxVwsRKleLgBQYhZGuou8xfvhO59Jnwepe0Ex4FhVbdslv861mcBYJ9AAIKZ L1Mo+qDN1fLpNmonCc5Wl9cEq3+l4PUqpuGh40Wyrw3AJ+mTPCa2gbp5ZAVweq01uuIJvRMNTdV3 WH0PPQooie9YweYvvvter7zoi1bRQt7AQgK6OKS/sZtCGThpyM2FEG6GSKV20i29HUtUByXwVfKc XIMG22j2LO0B6l6wwdxUPgcFZb7yGCKWsMSMGM0eQ8WGTRGNN1FhZMYzxhRsLi/iVfyEAzhHeft4 owRHtESEjO3up6Ld76Z4nSRuIo9tndSc9NBspTULObxbnaQ1hXXSYFFZFINY1/DkYLj4zAswrusa RHhQJXh4aCUc1ZCa2nm0DG5CmlOcEvOPKOVUZsDJltgu8VXc6aNUYh1vZ6zB5EOBppx7zvsU7Kw+ oe+DON9e8tu1xqgKdz5b5rgK0jtkfwqgEkjY2XdSL2EazFusOd+U2prd4Ku4Lm0VNm9ffjAL297Q qY01WYqKv4Wa/yZQP9HXhRT51A0+1XbyPGulrLm/IdKQv9enaQEsh0vCs1feG+z7LWzwrwpVHLP5 TmX91tzfqxZaWSxDz5V15VcUyQwLSlTVbSEJeZmJdwdPAjXA7PP7f76wZQZ15ryUU9jC0drKNnXI l4HxmZSMt/2FmQ+CvRwlSjEeseTCRuJbKFTp0iE+/nqMz7FAMelNzOHUBe1Jf19PMcZuIpYI+hug KLdddybpM/UVkh4A64G0JRuouOfI26ZtcMuZ+aJE7Lc5uGNldkCzkCAmKJjG4yIgtln1a3PtRXnc RxEwzC+uNrGxTXJwGpNg9rc2zzpo/fjPsMrXVDomAFK09Gygr1Q3gkVbxqY1+0RBwcGTPbOPfAJx LDW6FX1kitiZ8JEya2FZTkSLrK1dOWUCXb12Gf1VwTP/nV4umUb7UCzwurX43B2uGGhfQ/7lE4Qh gl0P146fcnuZpy0fGVh5KSkLYcNmbrCCkuZFgR+EmUcR8AOOAhWBOQv+M7m1wusSclVGlXCWDrfh qLad/jiOfCsf6ZjWPD/A/4Ang5MBwy3keHDm8roeXr03qded9UHJLp8hXooBMEYLzbVPUtr3eAfA jWQoT4D/BgFWkM4nbzlwYjCAHaXPkGPT6CR9y43KpChHNfG+zvtAEHvq063y2jr2xwzV/8QVeRQl IzRwdhrv1uFM+Ovfw/ChuNxnwtIvNpy27KozY9Rh7r2R/7vakPzAkL4egQHAZM5NuwMREhPEy/cE ROawtoUVrxbTj26emPpOMLG3oAY/lV/K2zblxwWxp30vhCC9r++AGTpi8EHS8Pe2OIEL18aP5m1j 857f08w/8Db7de0cuVGaR9XDkHtmw5YPsKcxl6HvAg6VLA4cPdvzpbJrvDF49tC2lib0HF03kKZN NSYPCUjTioCOQGMhEKoPgeuA4tAUEYExLWcuZ8+p2ukU/TzWinwmKWKSQi8JQaKceoaipS5FyYst 3tatjR/OxTQrzB7u7hN/R10ydWhGW4oWmE8O0N5WX+kuyzOhi/8hGmVijoGUBXB0zgsKOVnfl8D5 zd6tp2OuroxTRVeXVx7SaAakSKA/Kbra3l82KCmwDP9krcsXi5nk/JkMIiQmR5sDCedzuM7RmZzy Tohq8ZOAqKbYL+64QS5ey4z28w/JBDaSWn1mVzh57VGEjNfd/V26jLYoRh7Bj9eOXWcBnYisBQWL YsUaD4GAfpkj0rgf9Gw2L+0qcXdma9QUttJsRw62PNtr+xzhVSreWTDoSLrYcrZoPAahMpMPmyqy iSa7wnmiECx1OjI6Ab4sS4q9vfJI6RfigyyPlJyDEC9NvBBKnNTOWjtj6IEHtz52nPRJiY1apZ1C ufdN8WozuMK/f9oxuD36HhCy7NvI4XxMZwaKQfS8nNY3TLuyYphKrbk0JYIGMzQRO7A1MB2Q1vHa gxThKgwPeukkmdg9xddLJPvn3y/yuWVcZtpsQIaKnM3srWNvcYxPcnxP3rcynxOMJbnf1zDhrrYz mcfDH6KUF32d17pujArRccjt166ut6lqHC0Hle9gq2ofJdKHU9O7rOiPpaqnXM1wSn+ZdqIHq1xC dv9+iDanaVl6bIhw/cPgW5exeBLRGCubuw26/aDmvzoOmMt7AAXj1fQ58y9ZZDrqp9Ua89TjTvJv i4Woh/2pXEDlY3bpAA90O/wIhx9Ni6Jb6RWxarJ1v2+7U16Z9WE1XOgD/gO2hhAqiDEAXac7asmy zsvaZ5FAk5GtxXknSkwFzH2dwwmuEG0LMSc3x0XG8e17DnSy4jbit9R2G4KKrj3SDJ6aRSpwSrHW qY7lhMMko866zQZJ4rq2sjPOSrL8ohPBEtY34sn3Mxa5Y+w+Hcq3ZmjamoH7/2+dyHfz2Sq8gztS qRacnIjDvjt6QhD5pkpWU9ixqcMy6HEtu6aJ+BsLR/IQX0S8gRfI0msLcmdNIzJPoU4dzWN1i59O N6i32VHXAT7te93xN+IohBqy6KIBs8gPQkGZJfg2c+QmhGpjmeRaVJLjPgDXI8JxmRGhT7kkSrTE BIqMAL4Hgdj/vXR0/y1oGTcmgr7xYxzHHc6jf7QwPUptYXgRgQUOAGz/lp2mgld/33Q3Ocktx7tg R1qTwtWtKLjQwKXBSsXmt4Kr2daHHvr0O91eHy8F4suRPMPhpMYtw0PCqSomlh3zpwwHko7NQmL1 RXgq74DnQaDTNdT+/JuZrNVFFTZblrd0EJVWvrH3fxJRIvaWqY3Tz8E9J8iFAl6/Ctr0ZBbPxyQT Yf7vnIIDFjt6HZANyvJ0xPIHoUtEOMivYn2YO+CqsaA/J/znlBjfdC38ZClDhmVvoy5av1QdmySy 6zFr75Li8zUc4HKbajH66ob7s2dnJ6h/oaqSsjGEvywpStWxLFIg2ZZ4G2yxHfqnyNZZAj2qRGOh jeq3US1n5mUKdUNV8N6HXJ7kGGVJ5OUD6Au4Ly/ffJpMT3SOa15k9vRSjPtn/hjquivvweNyxZVq +pMkOYiAeSZvOpxnjbAcwADx0z1AWetZhBkF/ANB6eChbqCdLMEcfDXbO14MbUDxRf+BBUFvJFry pXvo2PSpdltkk/1TQ218F1uu6A+btDES0PwDj9fA14sj3izgfy2Ffz18KbEpZ/6k99fcMPtIQOLT OAIYqfOysZ606zo7/FlzJRBXfma1U6UyAsvF7zbN9H069Tt1bxxqOg3gegjP6qMAtZ7sSH3Ctf0H mbUvRjgGwPy0jYlNYt7rl9hMfop+1c4D8kt7wsiqguyPmV85hI7DaomNAMhhipIy8R5eJmoHAcB2 cWpgFO65Oig5s67l53HF5gIFMuHdgd0zULV4H6Y+sm0Ur8xndjLnP+pr9QXtxbirNtRfP8dIVzW3 9iThBFVmV4VPZjyaN/SscO50OxPpK0Ibo59RVpb5uT1GrHztL+7n3wzOO5ntI1NrUmvHyALGiVIz Vg8jGHQ8fxEvaaBmJCWHA0GL13j6xaA8qIsJEpmNGleEHQ2a9+DY9ddSjYnovl1/ZgMbbrfXnhgE mf+pznW/2aEvAkSiDJf2qFhXl5bugOEybkc9IgmUKpBQCujg8CYoeajpGrmbS3WmfK41oRzO0xIk XqzgCokXdhLNIapX0ux044qWAlf/L5HOyqjFbAFy8VyIUqYKkdC6mkQY/S6Y1qmQ5H8ityfWZ3bS ePYs4/s5GqKymEUTb0kHTmLXfJgEaq4AOKid0uz8LcUtCIO49B/DS7dCK+ZbpM8cdw6xyf3kfRjH qGstJuiMTWOX8If8O/ZCRtRTY2OVlgjU3MERZtA9n40KiN+/WdB6OMB+5GTe8Q+uavRs+0vDFu3O Y7aZjbuSarTM2jva6Em/VRHPzFV3sMPrrESaNqtutY/pcMaeuc7kR7AlQ3FW0pehUrvyZZ1ORT0M 9bIOCLEtBxsdzRdngCGmYC2IguvUEQ517OW7j8TU8dZJ6thxJZTkh0Lea0FOGpaGP1tR+4XtOmZk Adi/oSAr+tO3SB/AxMa0A9763W0OaUlYaaBrolbwzdaT4LTfDrjDU7jpUpXkKYjHEJVlY+hTfKcv ElbGztXc8umM1TASXeIb1FpghZeCdHXCB5M22oFXZqSQmLOJuh4GpB5ywZC48uGiXWI/mG6W6nvd Sz22pS+casMaELeF1ND6BLpADHBHrxITBWHD1l5Y/T3J59nb6srGkQhzmbojlAZmFh/oP+rh2T+w gDz+W8x8Q2EguZN7hjGa+E2Rv39zqKq45N3dEYWdeP/LO5kbMunqfAph271385dJXkZHLi3mFrKE jSrf6GND/cg6rw7NeUBeP6z4o+TIcZ06lmoz0fct4CCF6GUnna5a/1jRIG4qMfcLUbys6KxZUB6b BBl2g+RTe78sF9ZgYqH0SiEQVrxTnvpAVCXnE5+ebgirSnw9QZwe/1Kt1DsFclgY6VKJ9/FG+uUR 1wQNpPnKUbaoJJKIIuKaw6eW3j8vtSIbMjFsUMP0is0WCgi57D6gWmjd5F5/UK8jtyrl3CZpZwxG V9swpezw25ZGghisKJUo9kGxOhddizaDqdb4rlfiYo5lwz/ktJ7OPQOaZ8tETkzQx+xyRxDFE4VV DxEPt3qkTz00O6bT0ZspB5qtNoSxelBXLvMeX4BOPHFVh36kdrAdl4npqhb6VLa9zS6PMfRvH937 ZP+URGMRHBe5EXsyRapXIyRZ7MUV0HPCHNHCH/NfRLyzYT7dRAVVCMhHvH6wJDCTuY5bSj80xXtr yKhq3I6d4NRMFzCFcp4l2yaaKUNW4sctwialWdRljDYQ+3y+rasO4G7VCTmS/VL4JYM3iKJiwcNs SBRiEGk5uQ3BTIty31mygkJvC+UT6Qi/QDcTFWCCbTwz/pgzhDn+a7RGKvjIP1XVIluxabj7KdeD 3CVElEidH9Y0Xg/hz82Lo/nUDXmD3zY3oUo0Bma9ChtmQXR9BjQWElVd3soNs5z36lzAcqW6vqME dCnB4upS/VfUcFFgpU8NHjNi4Jpj8a9OO+xBfdKLgJhn42TKXJqOzWpeHtQ58R4X6X2G+Ra0+OD6 ZKdy4WJTdCJzwQoCl0sdzpfp1KxCVNaJGiwhWJcpNZfoAYsEMZcHv1+uYYXI3w2y3ZNS5sFl1cbK hUnMW1JfVDinSVfYAks4UAKT/g3Z3X8Vi9jgkcJQtGVG0WXCjHVIobyRfn2xyJlqQx0kDHqluyP4 xOAgv8u+uhAjJJ5HowoV+CwRq0JvMXrsyYYU2F0rH/FQYu4a++Yldk/ux6PyauYlfbc4zSj3Ok73 bBGzZ2Dq4i5WBOC1B1a/2wu8js+XOzL+MaALl6PCTkc6fGeBKCV8I8j1eY00IpNBF4deBt10qhe3 FDwlCZGnw1tDf0EXInAoN16+cGeaWMFEO01Sz93aDLBOAUEuTgAHfMXBpviDOq/qDOA29VY5wb4B XdTYyk+VKfh4RxY4WjiT0QgilArkngiC8i2uzc5rtbVW2IJGFC2GGKX/gWLB5DaYuGctchySbOis 0471/PJgAK58d310oAwDyMkaR1GosRgdTaqLPf8OtBbSB8dWauuh8IKlSRsrLXehrTaQs4GogGNv Ux1AeOMRVcDQU69h/NTT7bqmVHB39Oe1/XVetJ6LGv11XOt48/yXlFCXjh2cm8o0WROuwGyZ0MnP 6zP4H08Q4o/vIGh+7hhO1jgLMDwRa6G1GTz7B4/JfJeNonSMiy0NxjILNHX5kHyolw+cYAoBZaKx UQt+pserjytG7yvxBVa6GmhXY7ksxNFPlb0MusBfMsURMLYpCFCvuFzT8WIGBrbLlpiJgOqrlG/P sng8kYSw2izmgr/wdfZeM1t8KyKfrB0RbnjcmiM7owXD2J79BHv9DbeR56UBQcC9OgYBtyagZLjA edi9zAprc2pjaZ5T3SD/tYIHoiftG0ns1Hs93HrkV4AxPT8PijghI1qbsH+PfUMuhY2cWaU7+jLs kRA19cJaDbSioc4ro8HxXXhswhyvqD3+OQhbFHeIBZxm0qJN4gOcnMjz1W/ezOEfGuXxFGv1M7+I 4Vr1uYfy/Jf2bNH92tQXzvpWlEw0tkvukukrBALQemOTwUfd3v0hz8d+5E52jqwRwrOMUVm3GCQY vqRVqXB0d1cRIQDeMbfbfEl3RM5QxNmqF2gwmpZdVeWmNakhU2zv2o2UjrpUxnnLoh8u811e87u1 Sc+NWw2Ot41fnzsM6FLq9DBMyRpmNs+eVzy3bkb2MVIAmwFnxubbsmJBhZaCg2hBEmpa9RrOCBwQ t4vInqs1z/mVd84cWVAI8086PcZ77FT0XLk14dG22tERQZD6HYH6CczSxYelP4CFkqdFtSOQKsDV cmLUoaJRZMN7u7BJ/P9KYUp2fxgANmiW17NeUw8A/x7+RZ6RWLC9aRe4kfTHw8bmWPs3UZUsqqy/ 8qnS+hLXvBlGqYXesr19jUA1d0Yoef+8lMGY/hTj+Ny9jb+r1OBUIlucEWwh9Er7bX8CS2qhNsoy bzh3h3Hv2OkhGsqMBl6pX+q7zIFRaj1xPytDwZxA6B9u73L/Snbu0hnLPyMwbN8d9gIbv0XHmige AnHtM7DJNvD5QIaBO+OpHkqa3wFg4+7PWFJy8B2KNwlkE3LCEt8emxjSWP9nEiH1ojpuKlFn0tbj F3uilBQAjNREZFxF2a+2PhJKX6W+DTFTm2zyoFjmCliX8X1Z4EIL5I1ITeTLf5Rf/vLmySoBvi9u kbkHJ4UTXGZvHo6ZG+9PoEJenwoFpB3VWsETCdm81PLPSFyfvB8rA0M3P0aAvR05Go8JVhQwjHV8 tSjiMRVOpvfN+4PvC15vQGk7V2gb9m0BluvUsCy6S5HDFdVpgHhno2BlPbEw55fbsBZkkggWwu/n NzSISvA1meC5EDDuAuAgVQgGLqt0UJA33OA7F4KBd1YDDMW6j2E8yzTC+tW6GLR0UAQrpR3qWw5T 1XBue+moaQ7hz8wCESbBoSVTEtcheV0GmehJcyC5d1Au2hT1fUzZodel5xkO+3p7fQxjwKBbFIQL BXybTogq3qOP1G29ttf4yCnH16/pE9zT18+Yu1Wh+JwOQzH5KVmlDSMBsq18DMBqrXnU34PvgRm/ MMD0Mb8HDerT2L4+o5UFHcQ6Nn/lFr/DcCRytWvTSN/L6zqoGsZluVEdPsWUkBlftpXcYjT/C2au 886c5yWdPGbs/e3z1ZjOzFXuAjKc8W6uynoDDAAY1G/csgd2Laj3Hgv7qNPPRKHv1qZNOog8lTpw u/1JflmUi0Et/G7vbkfyPOZ/nBCYdSMoH8rOcM+PG+RBTM6oIp34HZ+qG8Ofc9qwDQ7F563pnGoY UhRtrtRYbWy9E7Led1rAXJDQoWUA35im5ePI9cJwRuXTIFavpNNeq1e2cJj8FDFpbOWRI1QiwgV+ Eps8pjoZm8lKGg/vISW7PeE1xyCxhCkjri4My785xhlvnSTwENbiQGkO9xvpH3bnlrpyg421oSes J6BqST3MIrieEpktIvMT2Nm6VL1v7Hqp5yTM8WI2wQQ3YDoxsd6PBhOEzl6dGacHr/VZV2BbH2kd j8GNgrqT3WvD49RwmUG+o+7H2Ifo8ea3cQnwgbIUORPQsZpuCE+vGLJ2qh/Qrou5dLi6h9ibT4Mz RI4HG2rxAS9cE9IRxKb9EJasATJITM40g3ZoaoOtnv3/mDZXNDG6dxdkcDdtudqf8jhAmrjyTL+y FKsQumjs1X9Pp7BEa8zZxJKP2xjhRDkiX6JQQHxBBXg59dTqsXRO7ATvRnCUIHlVFtt4PycbywoL Pl4eLuJhNQy6x47kK1OnHnUEJLXxvpDD6XZOTaTH5WikBoz2BqHp2iiOCXt/yWY2Gbg3L8bbEI9H 83AUJRnT2Sjjo/8gmtdqdAYLBLtFTKdyrOptt3Q7b1DpBUKjFCT9X40+cf2uOfVGg19WggwMe7kZ 5wKoAed/iV7nwrrYH+55p4PjULLaJoW/SpNAwjdvBC+liRp/dgr+TkOf7kFmPmDPoxUxNtA+fdIi 2EnlhaK3eDfPjsoX5m9PaDLAYNbOI4+a1FbLkRyA+CvTuQ3nKhSxJH4HnD8q1dHS+RT9PRPwfLiL E0KTEA2EDQzf2QLW3AmL2icFLL+sA1W7e7pxPRuwpkALKmZiU/CpOF8fktfIhvqMj0PrQK59YMuo U1oqOkufS/Gz83VwBBt8aVDLjst7VziMrU0fn1W3/Qcq3IjBfTaSSM4FbetPn97GuN/V8Y5ZaE6k F7hYJ6RLu91ZSxRuzd08AeiK15j4Kd377Kc0pvwJW7qG6lRWX/mkTmL2QcXnFZOWw8gD431WxwSC ybEiGFd1tOY0C0dCscRKSXQzugzT3mFpJzUgUyS1mgJxE1iZIh72rUREh9v5NUbP5RhWxWLt22uW 1kov1N8d+qAlFyk18L8V8HxaWYx5xNoYL91x/XkPJtN84wSdXqa/wbx5dDlE+jK4oR8drrzAgUun mf7J5Jvpz3Jy8oXIlEHHEAsQOb8PcbCYhtM0ANyUXhOgR8LEbt0fwfzl2zXFqYSHHi1rL9r+E+Qa UVLFMfFZagg8OOzG6HAbdUcP2bgK/v4OohRFKx8sjL+TmsuGhQMHiyKDO+Mr930JWgI0vbE0YPF0 p1jKSJ9/aygz4PIyEYYGQQzGIFdGJqiIuSlIGsNRMHXrKkUcngF3ets72CkDwvJA6O+M9ZchRxH4 MZBAV98JtnQaIVe6Xp1v1Xt80ZheHE4MLyFTsYNN3el0Mrp84UlkTibY/5Va+vyXTZEX9ILgX2C0 fe6Z/HEIf6kj5aNdejliLJ+UtGlCCat1nOXj77lNXEuT7hq7GmWbllWk5PmdDEPypEipKBU8QyqN g+qc6hge/qH1NpD21j/2e85vflh8Bgf3mVja5T+q/sSIlZIpEw3eDo0V0VWXYgkZlQ9nJ/2K+wQN SxGnFOSydzQliKkMdrQ5Wqdynmc4F9QKJPGqwQgb6GlXo048Dz3usPNAMccHItrNZWRFN1UPSszH Nra8XEebBd7jy/WoDrFXg6XmtOuGVl3HsUm7sXakna84tmWsZ0iKtzkkQHd3PHgKE4UBy4oAUU6v HiOAHb+cuD9B/fuG9Zft0dZqqY9vaBhJcqB8bI46edeF3ts9MPWXg05gDsmLD7EW67kU+ReGjv4l gPiSdoRVl/7ZGbRc5XVAdP9hMXT/0nAikGB/ILxc4JHjS2ZUwt2uluBTPl1cdI1b2t3tzhJQDljY EhNZt05xr2duI44UhvyhQPWScmsnUSbus62CefGxUF1BhWPEMR7oTbOirxOekIBQ7fBpMkBtAHew Jk3WdWWjmAWydK9uiyTZtPXW8++BsRxuxU/8QHFFf33g0M7SkWc/TMnqRA0DpL+jqMcglkDZHZIL lIqwRW9D3UyA3/4WVY00tKMivl3TarDi2n0SIl783aHXRG0lL0/s+v+04KY/+CyJWxrinsvRmCa1 paSs82nba7mzjR3b/za8w0XPh9H0A1Fl9NMqk6weD5von7R101J+aaRE9eiwtlHWsQvTxPEWRFDG 9VHX1kUNhe389sEY57g9UAOqShk+baC/UXOVGcmsCdTB3x4/mTRaR6WdUn5n1+uY+bvji/6XMUBu RBOPPR2zWv/bJoT1WzPxf2TaBL3nULOTDWO5xLs15L97cYBJpQSrB6ZAqySGP9mxGQdkdmSOxpwv ut6Ph+96IuYRwgzQClXYsNYU9cAkSu+lK6hq7UHcns6FxQNqlAo3TMHTdWhPvhZINM4nRB2ec6vw QSvdcGzURBhkNmq9qyepXCyqaQWshpUNrPnjJILT+oiIPR3MEBHOt0UMVqtCzoU8Y80+uhtNi4jX xpt35cziyZ2If223O+GEzU+ry9vs86wx0srHjvAU34F1iO4rn4AXjop5d8/2w3lhKmZfsgUHug/j wCS9CVWY5XpIKm81M/bigWLaK6hqnhh77zWwlfsDgtF2iOmKdh2xflBf2VMvTW849hRkSyIMuAWp 1yoR/dmLYHhIWh6VcHrP8Ly+whahxXc6agWURbIo89FCchCFhR6Hth/rBY6njpsBS0jY0QN6tMvW 4wYVjNp2Er7WKnNOHoOlco6o6hAcLxRS4CkyjcnNm1cZreBHhyliRBlTlHeGjEJBfvDRQmRwG0s3 0Fp28Rhb2M0uqRxsmrUZ0hGsRT3MRaMUr383wK9Ume9FTR26d4sgFn1/FZ+jNNf0A/2PeNT17QVh e3UIM3fVuLlgyptgopbGVmwhX1Koyi8c7lONUCLiQ7313Xj8hA/jdm33axxd9XtZu8i7dM+mJYQn 6MBbHvXRM13Kupyn5cW/YYWVMuiMN/iI7xjbSeheDCKGXa2CAEJ27Vpb0SCOObrU3kzVoz3xyavp /o1r0EZVWY0BnivtAGiKSVOvzeKvl2xbyqybrq2w5KEb4tE3hoGNqM6oztBCK44xDCy/wtc3R7MG eMYozOciqPulHvUC/gMyAl7p99Q1F/bnvCEsAPzfKkZ/tdjY5oW3R4XD8wU75Rm7/cLe4M92GskF WIFA1agMxBAka6G4WpQFT0tzXK4yKubCDDb0GHVQIPuYZujGfgo7IEtwbDjM3OzSfXGeMb7IS9KQ 2Z63hYiMup502MI9t0CRKwC0ZiuGjBdqmdp4ryHHziAsFsfwJEWTsyOHsAlPzsJAQUGRwf/LEW4r F32FWeP4mDY9hHPEqw3JRFMoPb5qlsyBrL8N2vUEGNrY3hhxuU3X5Cq1pAArMpyR2MSAAkZEJ/hB XCLb5wzOCAPsyyk+MP66l3ufOp490/THiOsPMTeVEH64tT0Y01MG5WUZpBub8t7IrsW40Z6nuEqd Q3s5SubpvK8ORQ7nj65ckm6HW5h1WWY1YZbFahlmsUlj3zXKDhlI5RdvnpFtPvi9qzHAXN3xHqKx YCOyDH8XZJapQVxNHHBiWC8PTVYtPZSB8U3m/qGbyvg42Nv4y8JjOdNLkJzpl3HszrRoKWI7zmKB OQyN7csJqxSiUBuym+gmnPlSXLR7VkbHbBpDTJ2ni3gBRp1VDhT3vAxaHPptlgCOG1zAafMx7xH6 +z0CT8xpy7ksNrxQVxWZDGZF29Yu9z8a/632fvVQ3Wk3D4qq0SEist8cBS0dwrRyXS2wA8OeTKx3 X3PKNDw653U9+S3D8VsyBNkDEDaB6jXaHV17brMDnPWEvnhzar+Q6xU6Hzf13+9B152mQFs8d0is tg0/etSvvOCZBDTGaf3BcXKjVSJb65G+iUhC80BJg7PiZPxPhJ/imRwPK5zjms42r7DS0AY6Prhz KKyeN3pgik6QQcKveI9VsCkfgU8gIW7IJkchIa3llW+GXmjGKiVYv1mCb/oZIn3tDIzWpivGdHnC RO40qnxz0VYefviJ51g7O6sBgVn6ryihjHz1GcsXBu5GrAEHFDVKGE/oiqnuSl6g8iMNLE/Kl5fo jtWv81YHhQz+iVWSGgHZqni6v9pLdNH65bdqZjkZN3RlxQK0VREKaSOtvzcGQvkswhC7UNjGN1YQ n215CgjYFat5ar+9sp8wbMfIQiun3kw3kktsDh7PLjIPx5s+QXMAO6ezry7YJcF3hbBgixYzubWG 9NWAiA0yM5Hy0YfXHLKFdSqOebmAjtN2U9hJZ3KKl3k2bTVHafZ2/rtpUwfbHjG/0Wx2HT82ulwN /Kx5R+fb2xblF/b71oUFzO6S8wi0WKjOmkVkz4BKic/+IT1Ofknb8NmVD8vRohpXzRaW/CDEkbbi Nlvmnftmuaw5+1McnlmYitwe/PGmz7pEUQ4lpqYMkZYvSo8nyc4SD3e5LmwlJxp40sm1Za0aINZo oESAETGVOsCglj6lmjje5d/ZbsfiCerH7EGtFtwHnBNLXYe87mlBSdCauj8jVK+jj7+CLYTWQZrw 6y19Acg3sjSryXY9TS2YTiDI+yaKc1jK3AfVU+3rre4zVhOECP1c9an/iF4UR21+KFxZjXpGlv/Z HQaYMDiWsmBmGGLkXsg7SjhM0QN/cRGZv96PcDQgOv2KtHTzduF+FVx54qTL6P3hB9ct6Mmqmg+L F2cnfbcXs/x55nmnAeZPq39AB7XAMLDgGAAfD6qvUZpyn5db8iLAKRAOBo1CAuDhip8f7C9X0VkU FPcCAXZh0His3dqr7w52flStMr2N6daku4VVVbOlWmpQTkSNs5LATqgit3bEUgkOj+tqXCQwahOl NWryTK+GGl43/N6F0lbeQo4m2EuoX1GkZ38D9zc4gtyWYGZXuu7CDdX6MWQOe6pSMths4E/aWo0a 2kvSn9yHp9HUwYQaJj0dnnFXpNVN/r9qi8aefNjGceLqmzAgrlHw0mZ/FvnMW4FEbKT8vb9NnVdD gl/XwXJ918cN/bcTREtCi8Oqncj/6r8xoB19eFWGyWo7m5NJdpyPHO4RFNMOqvGMgz6uXb+gWYjk +TLCKopBAJsbQBCiSt01zybh7hRfdhftoVfgR3P/oDG2vRxOrd1Khd0yU/EOJEocTsg8675keDY2 enIvII6qudl/W+kF82RlAlipW2Aurm4PSWcHuHzFBCtHHbZ88Whiw36HjkrZFZSpVHeIAPJrnhBb fgQG/xSPYQA2V6jmQVzjrphe9fkMeRFA4RERi+kYVB+rRsqsjY1Ncjb2HSXWvM+EHoWGJfymSezb qZNrkvNRXSaiReygJyuVC8dFO7Lfv1puKYZqwSFdm5Me+42oLSLDwQW4NV1humI8LEXM9jyu9uKb 6fBfdw3l9MBs7cgXry38uMW5LNr2HjHy0fQKlBuKoWzvk+IY97bJlYpp8hF2IaCdAWpeedlj//g4 duB6WKoM7bxqNNZKWik7ALRrUR2b8KsR8Zqr9W2MGM+ezYmdij5+Nfz5v1VsUFIvFScNoajmu1Mv xTQaZZDSQKOoGlnfWcbblJMurQAK2rmD8TeS6GJrcUueQ7D7Bw9xxu5XkT0gZePP1Cj58sy5m4+0 neS7t0S0yJrx8AjcBQ+Swq2sidrXnwFJ+C4T23hAjtS/GKXy7N40AWPgE+43l/EWAeaA5UInQoXd epxhscFk9BSX12iNk9U703uRB6TfkTzO0wS/T6ZxVqs+fK5VW811YWFOPKKWU/ltiDzvJXI+KZgl usThd/ndg4qOW8Ol4Doa6ADsEbCS7t2gtCmXj8llAG6wE2CtwEUloP6D9SbGIwsCItsxam/UZ+Lo IBgSHD8CvP/Y2FiwY/Dt3DfWCdsoeMnJiZUygZwxUiEYElGmyBUr89+FuqsXIJopWGyweuRG5M9b cCVh8HbMzn7F6qexe9WmH6gLp2DzKIAMA5x3nEmnfRgRWL9czJCY8NRADeA10LYVBLSx2I0eJovF 6gmk1F3ZF1sCVrrhLt9MmgcmO2GmDz6jrA1HEb6k6rVe8KMnapcLxIrGyJRaCALUcLrONtctDnwL HbuZ3hf6BTWCO3wgSw0OpcCkSq5yBe0LZjpirUgYYz23z6eGOqjeUoDOLTagmNrf1gF6RrIUrF+Y 0B0ZvTW7rBy6Vym/ZXEHIcmi2A/MUkHIXVbukYY+LR5peAZddyXyTKub/u1ckVR6myuf/YFGbtwy MFWUOFeuEIS8PMMSw1Sdha3NHKu8dExAPO5AA6o8qWsl09i5+lUE2y041kqXIAGnxR9YneXV7CXw dGhyxn9KRy6Twpe6ND8jOdUSaBbSuMbuuOJ3kvJ1E3P7vlxYhPtf1DqyYpBtfQ6hUiSEy3b6tBnG s9VoTkyLZtXKo6AAZfhMWlXzokjihbR3PfNZpZTcs2Ug/HtH44nr9WlAXEG9mGHQ+xsYlDE3LLUP XTZQNXJ/GSeGg/B11AYhXEjcCFq5R84c8YViC77RlwTMaUL4f7VmPbaLJ7RUVWxMSQkBICxzDkBO /j8THGzqVcB4i/B/rIUZJT8y09o8Bmll759/GYdFuBSwF87pN+21mh2j5BV8yLy81I69X+TIZOZh K+flkiPFO0V4//bH7MS/+xlo9StIgL6YYAAkIb7LdnTbPIOReloB8PKVzZErQmFYAFIimOTZ/coo +Ujswt6MUVemMWbx9OTFIUlNEnfFcrTfVBzAkjeYV3GoEd7ooThmzmIS7qKBHsPe72YT91Ikton+ sHj4pig1oAeeBRQplI3prXqJbtFzTN7AUz9EV9MwTNWpH6kMx5LaKcn8xKlhx0WAqWUJBuZmH6Hf 0jgjS6iUOw7+R183DPucanvV4lKsE+IaJroDQQq5mJb0G2u1SI5xbNv/N5ke4/SFIoCILLD4N0WP tKTtGCpMLNar3AyKySllos4OUZO5iWYNXyzOr17fj4vpAtkRhUkPsK93jLyqUk3sa+wwftbEDuVB zo29mGZQdMrvs1i/kcxw0zkPWS2j6lw1f7F4KAUUix6R+LDGK42xKqbF/0m5shr/gfeFRq2hcDRu ZrgFiV2Kh6PkpDOie5uJysEJto5+cTkscUGDp99C89TaOAKEbT0m+7UT9uR7wbOfnCVWNszeyV9n IzyjUbOHfN0HhiOimLmcV12JpjwxGh93b9nFiAx7jxal2623L34euEySSqwjpPT4a3lD6W50ybNS 6mOL7a+xtLevEnEX6ycDsB7KhDzKjFy33BxJJbVKP4z2ZAqZAiZESldNb+YAgBhs94fUoxDomaY4 WP5D038Mm+l/iUhyqxeOg+WUzjGKclRU/tpRBlCyOJR+0TZlFGrNH/mWeXF9l/sgaKAUKLvTg2CR JRBzNguj/N/+QctQxsf/Leq8QEOZFdSWAWvjZ3MHWDzffTu+XzD9eLvaEf9E35zMLlYQArDI9tp9 nbys+YRo8ELPPDWCFk7ZYaJNCDEZeS9UTr+IHS1BOO1wE2j05u/MS4N316hDSj0ChcuzLd9mzaXb vKH+d6MaXPcjOL1wPO910Q6f6LhtFcAMaDCDdJ7iFAYHWwPZQ/ztuifFYl3bnY49UQ5XAExO1Lv/ U0PLJPE90TrZAvICf9zQAXBYnCc6qyhXH+kRoBzQ4lpQYKj0Ze+T225WrnvHzR82NtBAdKMAxbrM mh6jh9YQHWrInEQMAWai2rCSgbMlDe7xxhITkPOaBKZ3FAUVQdRufnY0Zw6ARYYbSz6KcsoYRbrI KZpJIrsBFgkVlS1D/cGDo8/wLkc1xnuzNpm6MTXg2ypZk85Axg/m9bn0DIDYIiPkXPkIyvgLSmro X6G2O36/5xRmBs/NoODjGHkxevccVxu2CLRa8gN57PnHqg+stmXO4V4HOs4LtxCzADclV3F/2d87 hUEbeDfzSSm09+OZ3iCnDQza4Q4iNerk1owyc85+auTwzX28OelpQnl9oSGH2S4S9wF1ydOiI9wo 7h9ny+jfhQsRHmvXckIaYjHHiLlvl/BUGfCt/4x0VGeuEmCJu3yShSeDHbV6jpYbc4hjwsJqSsoy abJdgtSlketDjmt1IcVbcm5sbfEORxKrFHztMGnLSK/ydyChQaBILKALUH0/+ORT7svzdm+10M7+ KllkjrVrt+TDtZt5yfxOT0mxw6D2MPSB5qPtQtJFpoaWwcDJTrRr3knJxKLuRzXrFjS5uNApipbU 4/U0KX5svEXx1Vb7UDXeGley9sR+eT+/0AoPf9ogqpkM8/RuZcfIWXLDFSkzaETABKqPDG+atrYH IK3TYR8ZONT8orGg4gTP2VkxnR4N7HAzeciKWykOGS4aNjwyjYb8nJzDL601X+9rUW5UPGPb8iQ8 R2l3F4mkuRz2Ty1/TNOSu5x+s8LYqnjh/dIrznKWC3fMuIdxxz0Clyv5B1KTwyiYn7ilkARQUa2A JqzcTsTkK4k6AbDg5bF2cthoI5vgze0/r3KY3S92vm0li9+MCFTYAggt5tq/63DfLXxOByf79oPj 1an9iNXWp+9CQ/UimYhzf1DvjJEYcRnf3Aa0pWFuIBep1ksudQs1TV1IHUML9ANt1aCeXUaKFhXS xijtX+Bjd13N8WSsU5oGu6Zp0TxiZbnAHIRlkXmVpaSBM4PmqbcIsZcvIN6v2+VtgBh33DQnlRzD 5mEq0XVoPLQar0omRHgDlYUvGzAx2St6KR8TyNwXqkNtlymm0kmVpiCldiGnh9gEuFVE5zTg02hH EZvgpa+J3GshFiEvw8Ww8H5jm4Y6vgEh6ai90+C3v2Z7lVPuj0XjWXRj8OvD14rYKALoL9KGnJV8 nrFSdrolyc7iDyXwxcCpyYSmoJ9JoTkXqv6wYF65bcUiM1Hen5KGRqqct1pBph4on2mkWku2Q2iC bYWok6INav4iaWeO0Om2wxFn03a2JMbt3oXRNH3irvZQX4/yX7xNBNtStVLjRkZOAfDK27N/k6Va cm75mXj4MDpvfrD7ldtUVPmt3RBiOVV0ZWChKrJW3U2Rwb4D0diGYoAKk7LcFshAcAE6UmkMisK3 uYvpJaoYWKL0jaoAUWS7ATxrXxCEJ0IXf7zn2YD8HLfQCCZOScMRmCS3M00DIW00o2VMA+NqUOZV g9r+MVglypOG2fp2dvM/i8Usjb/RbdXaOOogWFNFwFg+joQOpGWp8ZFnJSxUJWt7BhqLhYSLVMVD 4ogwxpZpu5BGhbtZu6RpMHYikMu8W+TEWUbiTOGRuPqoiE2VV7LMDIMtO94mxKt/PE4uDoHK0mbg qZxtF8/OCZIMC4IWO8BFKqQRrlGg2736qpr3NellRUqqDUzswRrqxoQEXsI7/ItOkh/VdOzJ0Yha cCuB71t+C6rDv0wicBoriNfw1QzMr9393r89Q2HugWBonlM9gdO58Z40jS/tqa7Q+WAyOiN7x/Cs o4nF8+2FpYGMaApNPGlnDFPohHTzu+IWzAiYjRP7vEVE++4BXJMa7mYC+VIgDfr68u0xs26L6vWe FZFTSS7bXeLXEFICFhcsOMVwErvyZ1TF+5e5mPRNofg46Tci4YSGuI4ylUEvDjCEFXRr2cASPSo2 bw/dMlhE9UVMWnWSsqY5AheN9g/hFqrbyicoeMfI9KkiiQViy11a4rMif6dGl4Qg3BbC4XeldzX2 EVxexAOi3u5UAXE1jBb8pyY2LqP0+XO0cJ+okGkpaLN/lUKEtad026Fqvt+rhlR8KsFj3pMn/Yv7 JSP993vzTIYTi5p/LrtEFOWUZw0eVKJsLXjSYnCvMOAeFVd5GpgSj51xY0PhWV3kKdPFcLLc1x// vHGKjJvDToJNK5JvJQ250TfxtaaBbxkb1+Sa3AiHBUG49iYHiJJM7kLZJ9YfHU/clyzznHdwoZ8b lzWppix+q6tin2xIVmOhGOaq4FWtn0+31uc9yHxHurAw2VnuAlj38AwGhbeEO1hwhUdJ1zZsDg2s FV+dPemLhWXqPeeV083i84kEV4QCYXK0wP46xFvvCIhjxUplo4wXpToIgBwwpx5mp6YKCEv0zAFA TE9R2D7b9pE6Q6mUec+qXwPwvxKFJV7G5h3SGe5iWYvKC0yLvya4EIsvVk8spTGDtoEvLPGXFI6V Jb9LPo2pnmAKgVkOi2qT9ycPQabOlmPnut009E5stDMseJ2P332r62e+GtEFkRFXB7/7kAptnhPx yH7b/CVbclZ23H88oYd6/pu1qXC6AH6JymDj6Y7pBDRICyedtBwS6+TQdyy8Dv/YNuAr4nykpVQ8 8pVCnm4YtGAqGLkkh5Rx++2nNHabh8AJZUd0SpasrNXi2lpt2osmgsQOOH6CU63QPLPPEPDgzEob Dk+fUcsyGRzeV13TbM0+baNiT8PtZFkLs0U5U6/V4w99QDLz8f/21xfEQSZkXPzM5v2JZhHOCk8O S1BoLKXTH+sZru4jMWD7Obf6jLHktfI8HILDvdIbJ3fXzq1QxWrpcmh2YTlWB/VbzPgumQ0VDqch i1EpjTr0Np70UFq84huw51XT2hL5udnLsND7Y+0uYWMV503yxmZY48xsC/3DTU+vqsuuX801UZ/R qBbfS0w31LenJN/nwq6whqoJsqwECpMMtYSU51O0m82QmDTDWkURDySQAbZPA/MVOBrkDX/h2KDk Fp1AOHRoaZ+d6oYyfNvZCdsUEXZH2yRMFCS7L6HzE9SVC+hm0biUmW1yMxLgQkwF6BcxLVggEje1 LSW7fUl+CpSAbUXlulXHWAEpdbXQahejdfaD4szvzhWUsCthmg3G3iuinng3pLZjwbzxCL6vffbn tcOBif+NO7251ZscdV38wt6ksJEesTqc54hm7v+H81WKWf8XCFjhfJVr+zAoJS1xbxLN0MZn9Bhl OHUlD7QB9AEi6swMZHt/jj8MTCgIJVqOUjC1pnFLq5aBOCb79C0syxYezazrsprz3T7XyGSG9Zvh Ju4eEWwWFa7Pui5TWa0fb5LVy16/6Zcu4D8CRh/0mEIi4GTUTWwHg8VFbKdRa+rXxquaeoV5M5Ex J4HM+Q7pMm/S2q+87B480fBdNRZBtDtHWARouP64NfALYJh+N76bNtKEvoa+h/ocGmoThR22B09S mHwomVktbQHw1slwSKcjvRdWd5D390oGKJ7/m0zzn9xOhfONYg5FcNtgLCcAqumzqkjEciQFB7p1 aW6BF6EnQhUoZ9NFVSmk0EXU7LE208tpqOk78uWLv5MeRdOhlpQM5ncXIrkVCUAQwgp61x3Kxt1Z mIH/NNsbauDleGbBZzrnZDGTwzfykrApmEC22z1mbHqnsyOGF2IjKr6In9B4xNIVfw2EtdS7JMaV K818WSC590wxj+TY9omdOcBhMbipuwq9+dqniOBBDWmucMm8WjrZ6iiKbQZRtUb2f5yinKKUx1Tb TUyFvQpK81fIFxFoLrt/K8e938/TtBLUvbi09KTW/GZULloAAmhmQyFHBs6RnsSqYemmFNDVgOoh JAt/OittXx3zq5WrjWqaR7pizcPZlNDVsVhHbCpCId1v7/5dMekBIIdrSIPs3/8CD7y+WDotYZjw 8jIl0778xDRJajFpF57CATVaQqAxCfTdRT/FdwIV8Y7UVn1YHzCqYvHB5Fx+Ion0GM4w1puno9F9 rTcvFfNWs6BbvTmqxubnP5+gp8Lj3gKDlN3E0ubW3j4sMhpeDiTeFKlpQjEAxFEr3DZ+9tFL39KG KDpDmS/M/YGmZ0s6ztXA8umC1sH6XwPeI1Gvx/BPT5nqma75XO9mtQWSA7+f9q/BNjDfv7Bmu7CN UabxLusmhOHP8LkIKtaCzQuL8f/EVP6JxcoYeV02IOZu73lq9OqvTkaSXx5x5xj9O2JhzCLld3Rd PzvfVKUGnbQkl/4yY/46FwPRAiECroBbahTVS4U3jA8vf4inmexz4lR/afZORvfo0wP524GhGs58 gvjSthZAOodjSpApx+pxsdHqsoK7ZqHzvbU0iBSGySHCMebeCn+8s80wYQDmByEoQnwif5jEUtUk oX9Q+h4HgDpKUue99NZJiIE8BXjzHhmPK5VrdA1GSzRr7jAIlDu88Udv3sAkFnVRiXBC9aJZSt0f 87A45TE4BzSsx/9zl6jAgW/G1PTiUO1iO4YgBuBljbuVUe/kIwIMXpSGyNKMlBLAnEaQIbEWYjnZ CLuR4QsJ5he4MiY5v2THvuivlV2wKEpUaTvcJRUiWKLv5DEn66okykq366MdmMpxI+vO8pwkWut7 7Hx92lKr9grfrSYx1Il5+6m8+4yTkYcNasiXU6UWbpIiAEkTpERAANjbpQysGECqIU7m93ijZTwU RXrldfMAdFHhbuttm/SluMAvWN2+sN75O7mnDiX0YeL57bGKu6RQ9WMvEKbeOc46deD+lq28ZocW s9CbHNyv3Ne6EM/eIZ0CP5NJRW4bMeVWFt+Wx2CyVdvLRPurAns9ozLFIVx6H+ZhQFKFk5tyT4iT NVKcxcH/mUMWaVvDfLvw4F7SBiGJQUH6jJBChMzf1XGn+DSk+vdOQVLrGBKk/kGfouMaPu1F6t0F witGPE+hR0x0PT/o4fdncMcIkkY0J15+TctAPTjN9FswtUTxMmFLjrGxiVyewKrDUmhXaplBa47/ 7PFvwHRAgZK59mkBNd4Z5IQm+lLmh2E5mB/c7qi9GrZqK3Ak3OBjmXalq8J5wmFS9ZMAq6aErrF5 Sg6tezmSB1wI3S1Kukttl6hdIlRPw3K3oMdsxbAr4I0zgddlG0oe0T4GPf2Cz6oH8J868yjD/ebl tcDRjV3gD6s3dqfcaqu4TDXFqUvd/ntW45XCDMU5h0FLJqZPiRsa4rreteXOR9eE8GcGfMw9Sj1L cdnSSflB1mr5/dKrxgJGf9W/OIMa00AqSZt+MysPimsIVYmOb9wKIzA1VNhXW85gg8Rjf7FHKcLZ xp1aSX6EUA/JFuJ072AB86t962avHESTHJwCDYB5lk0F3yMhDSgBE/Gb/Oj06EZLOz4xMF+JQp6q 7YvAVj1PHv5NV7UPAlnbli/t5sdFy9qZKvaNW0APdfGQkUd4b6yFbdqVyOZ5AKwjhhTzDtL+4VU1 r7DI4gRiUSsTUigoe6sPMekEW6l1t9jNyySeaDfjt7Razx6DtFYj4MoM9L1dwoGPoLDW3v5ig7gl upWJei7GdrAYJlH90NSQoAT4WgDtxqqL3XRuz6uURHI3RWjpJR8ETxLHhOQ6jxfSaIskvpFM87+S qW3+K63OgPU25YGe092vk/JvdLGQvBY06Mol5v/phqt2QsFNSjcpYcswKVKyyY7jwMkgZBFY0euh RiqjJKhbpDGehh16VFd2GScNMuCMmMcZ2XDkKzEWwhmcNfSqDO7RMFsrmZ8OT/Vpn8lB5g1uk23d ojrNpPezRbPLuwU5QdiHY1V54J9ZSu5bCVTEBVH21C+Dc4BJeEbCiFkVMCpDeAv1gZsWsz0HKm60 EZYK1BY0suChldipj2qJOXjFqu5esXcg8Wq0wXLBehYoi3bPiLZ3J7lYuZ5CeM22aCo+gFv0OnPP qrJlNCKRMPG0lelBOEzRDF8q58t8o7eD88F19PBmTKx/Qodkrh2Y086lDq0S+DIA/RwwN3VnMMZp 87nMQAwCCFIdb4XFYSdu7My69Ak7DtkUJdtVMw5ligl5uxDNDkGW3IPwHfN3E/TB4gSkYujADekS 8IeK4LEjslPUHzSf+9MPk/mI0upqVqlaQgiuzqzS6F8UbdqXWErRddQs080hmV3NGN488fTwlWap 47e15mn6nEPnebMN2tH27OkuYTGSWwr/927wd1xpqReBBHsUA7cmXHMKlCF6XL0ZV1GtnumkMrf2 kqIpNF+FmnJE05lf1UrA+0Vxej5lnJDgzTi3e/LYCEQ6UIvBeG2MA+DBejKmhfnxaIbljxhJ+SCh QRjmdGbkAA+yjDdep3SqS5tzeRumIDZ1MVoHHaP3uxSxkFtBL+CmOKPGmoutD9+AjR/vBlQVUMp8 qvQrqorNEspm1oTG/OObX+PBYxCXtDMzhYjkBYsFmR8uZvZyG2Ho+d7C2IgU8ef7Qkwxt/D1dUOW x/EdX+u0xNqf/2gBxl9qy9FsH6Np6c/oFVKaia7MdCTXFIhv6GUxNzhZ2DVyDBpuctwWNlm2pDhA iVzxTqKHCsznm3PASQK4eqEpViX+uy37tVdcL6D0xF6ZEmB2N/9zDqNA3vaHAJqe0CAePQqyPiwH A2Nm8TADxmz5e7cvLsk70EdKr5p9S2ZrxYKb1/DiFe44eVk3C+oMD6zgKP/stkVgsGI5dmU0gWhA acwbD48pglTaClC8QCS/UxKuJmWQv6TLiDR8yRly9KPQBaME8yDFtSRvyjD+fKHcAVInYYTkmz4z HO//kJS3DvssoadDA/m6SBt+KUcIQwa+odNzTmBluMUDTGVfNh+dhVQ/XTgXO8HabXzm75skLjnj hP8Zr+CVJcJ4/cu6VWW4+TXh/iujJ/+RO+VQ1HJdC9GJxKnOzR/TlT+iCjvetOjtDg80+iaPshsT /YzgdDBnjnleClXCNgACQwWbWC6hBIakUslyn0JgiZQX8mPRRz74EmaEXQDWjQ3vmMoo+E8VTOnn 3EhLo4yG5C3ITa7XGiQbrNH6C/bydOxsM3Pwb7RlRUX0jwhM2z4EHeKfkS+K6FZMnn65/PAYX3yk 6N476HwrKt29a+gpBgwnkUbmxxLcMF1f52S8zEc1URo/x98fqsvDZUduYeQG5zMEq3ZwNI7O4ODK bPhxZpl2k0f95vcoAGEmBfp2BfaRtqC/Ubp7V09xYtSNhZWHMTwlntIFgnywOtTFaYJaKQqLyKrS W0mAZ2oEg3VKQWClagiDOhuSPnrfmSBOwO+WonAA4Mo/bsOM5vZn4lyfYBxUJ3cpEFRjKyMw9+od pF3V7nASwaFxb6T3X/QCIBC73+eEuuMWKEBlYR5Ojc9h/XLUvXRBW5+LG8EbbXFDx9LTWSYG4KJY 3EAcZKSVDu2KAtKXgIrY8xMCqt36EX1Bc/oN9oWLSORDCSdk7dPplDEHZ2SbFOQp9pELiuS77BrH QzhzeDowL3z8LiMGQi2yGXnW/JT8UQ+RkR0kusfU+g9YBcGiPnH+FI0dr3F2ZcjjpaZtqF3Jo5iV 3SL1YWAfOIL17RmnMcxLt7W+UBKIlmUOTeerLy4GOXHG+AmDS8zW/dxYS/jyVpnwEm9Vm0teJPy1 FZ0qfEpbWN4Aj/KPph72SYAT2D8nNhnGwbX7vQfzHpZiecZ4xOmC8sKV1AdZR3kqvZROCOmvWYQ3 AnTyV2FdnnGw/hmJa8vCKltYzBdIGc68hxpqr8CdHKI5lkku4o5jWMR7fb7unKMcZEt6KsGttauZ uvwlk5FBqQvAysexGyl5LbjnGfKU0G/oVQB7pTbZbRMylZX6ncgjVHc/OwUrY/s7IdNj9bnyiXUj FCab8InusyAht3Lw8m+TxFres3CJjpP+p0irmo7piUsayhEjMbVIrkA6pD2WALzDv4IctqN3l+SP nFQqkAEZch5Ab3rDTCA4Oq3imxc6GaZCIzSYVutsRNYaeE2m/MyeTJ5MWSgkhWtCUVcGSzy1j5IQ aImetiJcxO6jOZ2rQHjnku1jizrFueG2vIxyv6S+0hnlLkawQPMZtvZV+wnJTGGt+JTJOLX3HKN2 bgsIJgCtRk4+/smughVfycqOsLIn7Ks+zYI0mjbOyHXcJ/Im7h4bC4r7uXGuJcw6yr3UnNuntLlu c5SU+HolvdYexfuBr8tfoHlVvNa6hri8lYqfNLugDl1suYXbuo7edp0L3vwi6Rc6YY/Llav3H5fP DGPo3ObNuc23MEraPFmeD7CDmlRONPFoWDB9WTkD3r+F+0pyLgd5YX1f/86LnXKsTvVDk9EgOnbD pur3dP9j1Q9zCtDa/hD4f31JhHVRYxLdlAdUD37vMf8v62OcTm/PUjvqJvxjsJDeiFXCImDMtJ4b i7GuFhhJHdDbF9mPfQXScIKxVWjw16C1Rg9byqwtBPF/+7JdQyuJURJBR+VYTDG4qKv+B2TdY6TC zlU63vmGRzlIVFKXzxvHGknSiKg7i53Uh4IQedJjAPEuKEE8JahETva5n9OnQqPGE6rqzS0G4wFR xGYalOi3C8U0+1VvRt4oxa1lwn1SVG+YuqUlwBENHFtqiQIbIYGV0kZBfPKUF75T0lkIeyD4hLiz QMMt77XU+9rh+CKL2QCScq+KB4SMkDK/C7MO+zAMOMVS6PnQ4cdLQWcqs2gPJDWuvI0Txb8/ZPtd kOC8sMqxDAhxKt5A08b09WbW8I67Z1brdOVW5XWavWQczhXInZadRO7iF4zZCwgl7X0keWVmUeAJ kXR9JabNCc3Npvm5zBDqNNGtH8fVFzYuAsriF4siiL26QnL01Dskb9ewXXoKoFStQMkOTXENSfTC qKFL3tVoie2VHtl694tfEcFV5AhEO1/gyfjtRoIZrfkiRkNwjy+pP87PkmvF3gV4efe8lbX7xZnx t6dnHIiaQerehjQLgyzdhlYomRtwPgi306hgicrsVetJ+67JiNItCrIzp0UF+/9AtReJGFBw4GR3 K0KB+0e0lwjJhA+LP3XBPCmNzYck9tN7CzqLB08ARrXTAcop3n5/rm0pGxA2xYG3uwhbrMAyyyLh dWpXTjBw+pq10iasLErlRPEEjeiqjeG/mbmblvJacbPL8N7zqvjRcDDkKzpqYSFVB0Sy4sUFOBHU fDgExDTCtKgO9Xcc2Hld5ANQLE8en56MU3tPPOg5WgYtdYBwXYp8u6GIOb2Cn+LZ1XV125GLuvd4 Kmqzgw4EAdQ4xUkeKw9U4Ft47jB8ZMGxYnLuC1DQc8Y/RnXHfaX4FlnkELys5VIdIYLoibqy8BPk BQakL5wYY5nmtMb/4WpbWkMMdxc1TDzW/7f1FjDon3d3oODqRhE67+Y7ab1icOGVPd0Qw2lo1xH5 hnFteLIRB8cg9jVInOioNXGt8aK7RQMQhB0JaaCOnCIBy7uoeSpcudNehp7KwFnWWwZjeoynCt9y 8m226ajXj6W4TUn4B8KS20GKk9p84+SUQJkPbSm08LzpJaRwaACS+vknP3F3RJBWcuy+l6KGH7yv 1q+JRpBBdJwLRG/r86/8VwJqiQBhxNWr+sHVaZDHWh50gkC9quXVWTGrCCqgy32ayy2vKA9Nlcia nvztH8janlzhY6UmcA8/wtKwwwK22TRsCRzvNTi4J7RCzl6ApymTedw5dVDbfI7uvycEdXc2IDEd cByAMfeRzlw9lUmqHJKEXBt/LXaFV1xkuBzO+rSWX9XH28b5LVnSvYc2QZ463aM9Gg0iYf7sSw0J dNCv8poavy7o1oUTQHEEr07lnOxsYrL9z3kf09dE+6Gahm+SnNW9I9/M2iz9IFmoUUzUYqeCgba/ 89raEuS6Zy4JL5mNLBZkXkNIs/9D73UB2nroBme4bpRShl96AQeK2Pq/xOSfTuuxx+JvYdsla7fS RuzclcgV3vOSCg8lbcsoJxixvqmTk3t77lVaNtjTdW/sSQHQq5eGHUX+n3MpMp3gLi0PQhNNWnJw tQqww8rPb94Lb/aD6PWzWaIlFAfdjmgzsCuhPigdgxiZNAjPR5/+MdqRo9sXOMys95OU6SeU9bIo u1ljBAxGUa2pX5PjoaNhykWF4rxNp5HdRHEVI2jgOTHuIAN2axi5QfL+mCFv43FGbnWIG0FiYRkp iAjnBVZNj0PlM8djWpgOjVRNeb9dp4Lo66JFEnKav2SiDCANf0dz0tnZPPXTWmKEKG6YZHGWOKeE Sv1GadTgtG2YCMpNyW2Lo7h8QmMxPt61CCDUnmrFu+bwq7Ols5yiQFVwd8JD+7T4PEFxR+BIJmcP jUTCb3gTb9Mb6zihkX3v9plQcbjNP4+EWUaxy3pTXSZ3XSOrhzr8KH04haTVkt/cQVAyjcn9Ry9P m//7ehvOxc7DTcSoj6hRRNYHnmBJa7Xna+a2ENjnVtFu2OPbenw/94O6WqM/Qn8p8roEb0gYmWx/ gClneXtnm3f56yf9T2ei/beccmJEjg2j5Z5kxyoGMbKfmbtQBsyuv0mp6VViiQ6nJtYTiV5QRm72 ZulL3ugRl+Fb1vEOIp8STacHvBNn1ffyuRf/t5VBvP4xA0SfY7t8OiWfIsEP8gE+SSysNJtLcud6 rjNkn2miOV61X6Mzh7RcKRHb93TW8JnO/hX7iM0PWS2CaK3wpBHAV8GKCRO3hhGCi1dSjocJl6Fd 54Rxqh2JZor7QsmmJwiIhUMgA17uylIPPCyx3zGQmWd8+1OrRXR6Qq6t6O3HMIDQ5KwcfiaygpqC VD4KgsI5TwOHDagWvjPdgeAhBa/Vn4VBO/HzPcEzIWYwWiHonVvDRmh52jqlAzwnsJW11ihBHKTN vgsKMzJ9rqmz4qXZFUvb3GZ5o826mhomVr2mAndhN+7An7owFoR4k/bn3zAxtFauR2ywJvjyi+RO ja8TlE6nWP+WuNedRFD2QEI2ZXIKDWBLCWIoMBiCqnQuf55uZ+lG36RhAplP4zGTDiQSQkhEhmij E7ybgtIAjcEv+aT3+VT5N308PBRbbVEkbriXMy2XfzZCgIyyb9t37SE0PlInaGQcO+r0dqSdqNKR cSplqqRnC6XTjmMWlRgyhWQcD0fLJlD+nHnhxIpQLHJAZPr4+GZvEXfppjolwB1LbMHSYMqkpXTz nFWirktlaumcqp4jjYOl9E0oQYbecQjHzrr1n1Mpn9Q1J89b4LdvfibxxVhEpIlkMEmccMgXbuqe 85uVYqozdaZ/QdLHl4z3OJ85nw/SmvTIxvd2btZB5WmPqn3XyGj4yS0Uv1K1b6yKgDlbxhrC7Y5v AcSeK/PEzJV8+tRlhT/ZkdLD4vDqW9uPwmV/JhiEvq1AhujByUgsPKOwkE4LwFeWiu6aGVz0G8SR 370/1/vwPIhW0287BtfQqWoPtCE0YduTmC7gltRcWl1jKe/RM3PMukBoZelBwi3mn7CiHQgOF/86 +EiwvYJ1SJSQHViFoYhOhHrr9o8CwZy2nxyHsTwERDFdzqDDFAEiZupBKowgzDi741BnRavBu0Cm amjBGS0KyavVu8Qy0DjPrDhu2VZdeGJBZPMaFlzxNaQFzR7POnf82tnfxF5tZEfxbSoMLDipNjII 0d1IspSiqpyWjwH5dzJBU4K1xk4VguF26FHsSOgBjCWE9eB7W1q9ZlILGyNuTzgpTwEdmar2tczp m9qBaHu3Me3u1LnDJjauPntiVRsfpG9zfAVMbyn+UWH3SjwIKc6NfAb8vkrPSlNytOhEm385LmhX FQnIDCOxISAG4PQRhNmIzg4O+vBz2wzyb4a22XMCv9+eWygtBNzh23A+9ZkPQzNCmlAVu2RMzf8b CRACxIwEJVGc7epHNxXzmajxrs/rBmkx0alTDO3fq9Ubmxg8JRmcbTX4u+mvZCvE8ww7cmbN0lGz qFqyM6IqoUl07v2amScDRGsT8ien0+XAWouCIGbtPNsYRZzrxmvG1BYmUq3QfzxpA7J6iRrkOq9P Vx10BFDAK2EpIrdn2tyw/QZAeyaq0laACT5JtqZooeszLbaE/oeU8RhpPs1Y5j2DAxp8UMDB8Anx YDc4lFA39HXHwGT4gFEjhyiykJq4NhEYHX14vlN6uUm/JKTRdwlZNDnW2R13+1bXPz6m0ngqn7Vr VjNKWUvWXLoIYzdI7dWb0vvNiHGymSGQNISPm/eax7qzDqQXRGw5XVs98XqPPWD0+vTL6GUYGvTd lfvLJ/Qr693Kxb3f50jMsBA1qayMSmRsAir/MwtUpU5t1oxyuO1WYuyEkf+uQQgS2hvdBx42WJcw PssYx/uIFMWG0vFYqtLMKpOJmrSDGaKLq4yzNtnSSeEDnhjjMzxkL0DlJbXQ8Y5fh+QFTBwWmfYq 1wFd5xIIb9Yvsz5DlxcOVuUHoRpNK2o/8uD0KdmLuISovAbhtupXN9gLr1+FxBg3RxyRe9JS51Ms FZZBJaMTuztO1/gmF7Dq2g60ZcVX/Ko62IJTZRyCpV5i0bNuh8PMy1zhUcVhhGIiRgEtdglWa/JF lHRB0M42LCQaB2UTGjyU7Rggpn0mglb5brMmGLstGAVob+zYyHcv5C1ofLlZyerTuinYLBjLhk6Y ykhynMjv839fAXYXxhG+cXvXw72rxC2TgmRnG43fQ45bhAohsy8a3zcVi2+zEu2vvjq8lbCt9IIl Hj1Em3+Q6KpMIsdPEIWL+5wXan8NrRcNWHZjSvaaco22BBcmKZg3SPlnIOTdRCnWIdrC867bQ1bY 73QERmmcYhrYW3rsmSI+zh41fECJJEpO1SooKt6y8kKZ+Qss0vXrYWLpHJwCIs7svLkc5egTIMIu kX10v3jbrgZnrA+OhF3iviTy5f1S5ah1QEeeT49+m4IFgnudFaNP27LDT9FehsYS6nuTv6bcqFm/ i2oDliuv4guNu3aGSDgq17TE/C8dKXO9tYkyqJATEaFlvVwTHjJYM5l0SmrdINl5SK2Cy0/Q7Zx2 b1g+0ql/u2tqD6fQIYXUsjgh8BCJy6dCv70R0nARZdrUctBGtkuhDdBLr+DVvprke31ssjNDVcp2 fjpyUu7htNj3dMUfPr+s59vHArcsm6FNWUFwPkb8YfYridVqoCrQOFhbGHP6Ql4p3Z8ZesivoutT L1pzeQbsGaLJbJW/4chuoBGIrvOSfTSFGLKPGXDhkOQqCQsrIs0ZEaxmmA2CTXYDBgTJaLLJeJky YTsQEZ4Z8BkLH8MOV4+HHzVqUoDef4ouAhvsyjZy1RvBYLcDUosLTTsbOWWoeC8RMMtrW+WQ991O yyQn3rZyrVCuBj5gVeEr+NnTFfpSbVkHJvG+/Ki7ofp4kp85lqId9q6jvnJqmnpsWa/xDCvuszsF e6gPPtZo+cagh0YfFR3ZnkCZ6jlop0+WRlhSI3S2ZXSDtI/llCXZlQ/9eYP6IKPpRZg0fcsEkP3k 92cQLbOYKmUNEgJ2w7wUM9pvR1c8h8trmhBeWU8CXTxgwq70dbgzSR3m3CxvT73smEIg0PDnDd4J mEZ1ZcCdETeKkVX/jN6hi4zbPRuSqiaqF6OMHMwuai5rNkE4t1C9IoHLyzskE6By679vI96pueks 9qM4GDJvhYee9VIoALsdTuNVyJqMBphM8K3qxQwuP88gMY37S9/8bldLTpmJkQ8q6FzWhJloJHEv FXXc/xDKdIQNX1jP4R/BBDiyDOejJCUqdKX1lpaMjgeo3fY/dy1u0jcz9HjBxDZeTATo1lbpwZMT 8ICDfM8F2WF2XPLA+eG+MI2oUFn6KuRg/uzGUamgnIwQT3dtnV1qpfxio03sDubz2KYnBXjqdHqp wG9L6el/CTGxwgM5OQHDQXzSZPufv5plkFtwD26QN1OvYlhZQTgyU6D5JyV8VfoutjzFxYpbts7e 13isNGexeyUqmp4rRPU8riMpz+TzF+k/EqPBFnfv1AxvOWESEgCL/ilqKIwggEXGRoD9e7i5FwDr k2Zvt12kvHNxq0MaLBMBC1R8vWdxH724iEwjhcSMc3VHRWuC0WYH5MHGNv2Ked8XGqN+ULF/j3ro QOtfTuhox/Ai/PDjiNW1daLO1sW67n5FdyD/1GNpdccuw5Zcw4rZ32kaXHxFSQ46HfAZwjlir9lP 6+o/zlef1oXHZ+tii4guDAb559gEDOUPlSPZWAmt2ZkMIV6qZaUwX/Ynf4GXPmuCGh3pe82R6dzl MkCu7jGvSswcBOTmZmiBlF2dQTV2nl+V6x/EHlYhHdKTYepawvFxInNWbuF3gP+UeYE5dr7XbESI ikWMYj42ml3XJneiDVnLAAh+LZilgvS1HnViEm6UTHnL7Cw9lLTALDVgYcXt+SFcRYdXX99pxX/D vSbjhxOD/vAUumIHn0J7+3YqM2ArLwnQZ6ImVhCMF1lRyKSP6A7NxQkr9bx46XDRaBGjMirFDcI6 2sAloC47eOatpwBffjUWOtzQHKzwTCuEmAFffuchueqxWsFB/g03DvUahbh+sVnFyHPn2dKucnvb 7Woiw79tIrxdqRq5XCsuFDi0HYtpNLGgFQbIpz7fk/zLspp+W1ynIVkwTZ4Uh5KBlDnmS+ve+Qk9 DesvthNt8HaRxCk5BbKOh6kSWfqLAGZ0u+8Qg+5f8VrJSH4JVb/Zuym8wt8vQscP9RG2Ggor6YTU feXDQ7UUCpC03le+jem7OZTs5JSimEKtksOWr9HQUxtUpT/9DOw18Prt03Gs2SItwUDPZ3O7B0CM tUnLsMWcOsUWIRlZ7Xi2SvhhEGEg1h9IEROdgEUAq0HbFc3Qdca5cclXnpog6c6Ybnsb+qdwqUUB IWuQSSJIfCqk+HdOkskIVHolPzd2PNRLS/p4tsPEnUNRy5I3Uzmotj0RuDrgbNfXOq2QPge/UPmy Vz/haAUdk4nZ4sV2geVencIumOp2R5QEesBM4v3QsVt6Za6DfZOf9gmJQ8JVW8+RKprKEaXW07yj JS8MhLPGKOSz4OybIn50VrX5bu1xxmDy2vxWc1ki62kCET+IS46Y9fKPomncnwoEFmu6DgS/Hji/ UtU91wj/NSkjPlwN6q6mmgkGxZAhYB29XAizZDv2KKtGNgOj7zRW/EipBkJ97nFZVCXUGcj9azFK qxOp3hC7aMnZUqxtu1Np/+VliF6bGB7K2bsQulLt+upHZhytwH7SVSwuYi8VAJlqealFzA/LVzBG kLqhMgcb/OnTiEBit49Y9NUBUkL9nAMMXDie1k46b1Z8bP+mWzazbprLhFDuYOZD1Na4ju6qxOSs hh7ShhJdP8Cu2eiAcm0rgvJthklPmGQ/WPpBmpbOEAgobsvE841xcJ8lFGU+pEYT9SlUvUoio43t 2EN3pClfRKQdus/8x3plRwmDNTLbrkYvx+AZ9z8JHuKdvdLgpHksLcPBUKpmvCioreTwQQYlWZbK f4ejhXaUde441h55CXkPDREy+nMaaLehv4nSf04YaX24x+8frSaX6rTYzlk8coVzhLqeMqjwVqgf WSaXJ9EwQV/1ykvC3ITfFlNTeeBMS/VYwa0a+aUwx5oaQ5oYITdrx8grYUWhGf+IAP6aoDWJqXRR 4IcdxUFSAsyJMqu7jBemAHfbbtN16fltQR3FAK/734oT5dVwJmgI9C2LHul4WFoZazocb5IH0MaQ sZ1cGIoWtL2HYTvwZkU6Xg1aUCyTtq56vIy2Be8c4hpvt8FpL/DwSE0Qb2NpohCZM5gGycE52bld bv1Gc5LQ7Tt7J7MKpHdpxhGf4tla18VjdvvHfo5PD8ei3mBZiy53UW/w6/XO3uVrpRcAigG7tdSC CuuMdLiT4ohewJ3e8PcR6KJ4ej0cdD+NkZS2AXuPlXa39+XBFxnMe6Md77PxZ9EJqasw6GuubzX1 6DIisctXyNYl3Rji8cR7uw5PGLm56z5lOmICof/9h2d2GDZfdv5ZllY/mg7NGikxN8N2GQKEbQ+i yNcZrgY4cQQF5923LCd/YUG4fBqvq8XGGvGGIyLiqQfdiYoNPe2vLpLIBpuII40Qho+yJNdaTl77 YinmQSlufzP884D64yYMC+0wFsH3pKBcw4V2n0WQ989g1TABy+iWJqs7T7RDbTXGu1rpDER+R6bI aCnMUAaj4dK5sWDgyt0tvk5XgK9o5B0rESOYShzRHM2bPSu23QX8vd+vaUbhNgPLcVOf88DZXhzN rjNZer7u4qMlydh8luKrwJk+9WZbQ0Plh5I6r8KuExEdOJwH0R1kv/usmarSrhFGFfYognWo8WDF WDFcM/PonBsCr9Us9N9tgdkBsDg1ez1P5HK5JQUJ53qsu6a/m3gJKRP7sKPaeKCJ6q/it0RNApVQ Ul6pzBgMmM/a0sgntqUgDGDjZnt4NC/DOTqhkeyoi5lXE/9p244q8csLnRomxy0PVBm4+5sR8N5p A4qBIMXbYlZL77Bf9lru1Wx0CvRBQyzKpfL0eF3IBFtAw0FobP1KHmDk9rmlt42PrtYu9wwT8F7p sUfiuEyUVgvqqShGwJSjgouCWadsuGIjxI9QllYJ5/eGDgRWiRiCFzNOVafCLXg9wMBN3LYy7wxc HR6e6WFGELEhbnLXuE88j+fpbnximVGPgiZUplbDN1hQv1mYZSLrazopquOg6qOw3D6FtuidqDYG L+bZ3zsBBZLDuiViRdNVGTYKIwF+podfUm7JH7lP79iyuaI55ngOlPpHsWvnWGF0AxI79/YF0dNb cmY/VasJSp5hI0iVDhBupI8Tdr35l8IgxoHS4bYHIhVKDTxFNY4bVkzB+is2sIujpEfpFkcoCpTF fvJnsJpAzMvm9kSNxJXGNJMc8epP0imPizN0/03oBPFcT+HfavbJTbsarYa4e6kHPHnKR5IW2BgK /ntkXP8hF4nzfPLSVHkwwBgj1r7iujFf9+VNu4z1mjbIl73565azDT+0CwBvpWJ19r67WlgCUyJr eQ5YaHyHDj4Fsnp5aidVqA5nyT/jbNeZopFZ/S8q7Cr0ULPMJZcvR0rRg8NHKGVvyseguI0HPAw9 uhPjQE1HGYGfPN7OIKy5IrWN7XwM4HbL84raeCgWKwyXQBWMHwX+LZFozhKgS3tj8TCQlNhpniw3 J7lvhD8Vmm8Jtsh2cRNK7GT/OVUu2nS+FcNnJLSHKQ5GcMaRnzDZQxI3nRSz3X4Kw299+iLe06cf K2VRq7+NvRQIYNKmzltKcUKH1XaZ6a4G5y4jmJUQIxUgOtfx9iCh0kxSei1T1iLlPxYXPDi0GvbD nREEPWbIam4n6c7GTdD8cpia1c9xW2CQpUJBB5HgH03HeV3jGiFY5QgjW1/yKpqzhzSN1JW9Uay9 GV4gxZFbt9toZvIbyE8lbrU2innvCWDPgxWKkq7L5IRHzhRdSz6xXOisgszpHNh3HmWNq13xnwoo yypqmzC2sHmLxGKInMP91WH43/L1ND4+um1mDzsUhUSV5Be3PqUhHT8uiuEtzjpGD7MZaI/mzApv mEaUYLV6TBhielKuOMSdU/Q8oX6Zkp1WDYwsZzWdEh+yDJs72HlVrx5pB1/ZxJOQBu8Kl+rx8JX5 45bPgCSqOnl3Je5o2w5TxXEmSd1iY2SXEzO8z8HF78rNQIA7xgNeVmJfrrdj+3nVKKq1LAfa5WQA 65mUV3w3HjPJHrjlIHX+ULn7NX7XpFFpPu1nCBkdinkjBnYaf6xmt9CeBFp5mUt3lambjgTL6H/A zWl0HHw639m86PKpS96I1Zf8lNrQQHD3kciVsdZcWPkOD9oLV97TeuSzCJ3p8sV9QyIaSgvXxQDE D3uZX+t+MjqPF55Qq4/jn5HWgPpfys2ua11yFC7c1e9cl/u/Fc/GVjcXtuHXuyNaFksDe2zDtBVc 0c8PFTaJlszjznUUFe0XNgMW/MltYSf2TDB6f0rKAT4nvKUAUHvXBJrAPAwd4OvR5nBevSSXqWeJ /R8vlj1VVR34wGdDkfNTLVzZkfJI4rMd1IZEjKBKmtLb7WKeXjo/qAGe3cAYgtBCGhN9jmzbdQb/ 2s4HZY5fsAuMb5ZAJGWGjNZHg55nH+MHlK2wK1HLlrNbuaIqvOm3d/vOE9RAbsHqlSiXmq1NYvkG RjXMxJeyity8R67c/nKDdRKgHYLlIKsDr/r3Ymxm1b56QWXQxeqJ945aqCiN2DwcPBeQDdMjKoTE o8gD3NFNo27VwGXJY+fd1nVIEeSbcIpXidJdS5+nZ8W9rVp+eQK7JbI+6CsnNH6VTD0fEHBiXryq CE68/T4AUEdb41iuLy2AU0HFKMMLKYuBnuCt/RzyH+NOigp7ZMcOnTRgK+6HmjH9lJry7mTb/1lZ eZbXb75HR4hvrOFwiFGWOjo/sQyJYT2iL6vwFybtp/eBIn3pgnT0EEgJqnUKUMhBTYPTsPk9oL2s 2aE9xyhKuj+4PoNMd6PEKyqPsKLT+WDXGO4levuQe+lzk9jwGo1ocwTNkcXtgvSQJOt211Kspa/l BGAHbV15/HVXJA/CCxGJ1Z5qF4hq2XDecvy+PKniiwXKsvu8GmsRQl9FJUJ/3J4rE4wjEyqRPRSU U760h77AazJOWIk2IYqrlxbhviqhdasl/sHUqyMiSuKwlJvwjYk+jZoDpVY5nDTtwqDZ6fBtDSLq fQ1CFeyb3GSZlzpbvJxl1GUFNYUH/5aQkYa3XznGj25RGWv5Hm5c8l0nzv/Fpr4zNo4iALMGRf4j 77QVB8iFCPbScGYMPhL4YcJ9dIqmGA65O+3bTfG+iID88fcl8YXwQnv95bU/0WzqGYPodR0BrG6S A4VdcFwb5NmLSOUgHDHRp7ecTlPeki/9GGe6GORkNBNgBEP6Vz/QdoQLvTy4OPVtAt7wNIEO5Dl+ BOhP041QPg/9WOZGzXlqe5RT/lrTVdyB+WYyFgvZKOfmHaVbMPjdTZnN/ZC1zuXBxUL+cI0QMAGr umse+TsOPvtA3JYWz2VzGGwZ5cWjPfQAriTFPtoZExcUf3eoso3foeLIDZIi0kLycuUsoyu1lezC JSlBOEp7xZRvw6K8HJxODDKNRqk3WXchsiHPcd2eiZjzDzzfJH0gZOItL4pG+bE3h99enPVJEbdt JatUXo6PORw32YXD2WuWzOwQQeUWnYOSkg9zzMbM4UnhjbdTHEOwPYKNJan5VtIZrJ6sSs3bL79l wHVcsGniAsVQCubxawMidKZprcGSORa0qXeW1+786PQzNBPmnENW6XmDKkhrYRlFvzbWQTwu0iXj uobYRYINCEq9pujK1KqrRcR6ScfOwvkEaJ+hj+G3kbe1dd8+/ElQCQAMfPv4do4CJZI8XA6IqPP9 rkQvFvvjPhU2tCanZs3NSS5QcgiR0XmTw61ezUUvYUlxdiCNrbH/Y54nlLq51abMKPqIZb7mGKvR 6o8yC0PgmQwidoY9HMLRiEBIR34hdTBKLYDGNDvxXVBxZ79CCazViv2xTJwe91OTa5YL8b0WCMCa gTk2lhYaBomQzdX7LwFkpYEGhMLdDF/e4if3N8TNJrMhchjbGQPJOKjFjxDmDX5D3Hjtt/eQU2Rn 9jiVOhlAMfw5dso4WaOKF9UEET+mD/2QjjvAzu0UcHgPxlZwklcNlsPhvOcrdsfAFnI6a/ti64+L HEPldUjhSHwT0/ezR/W0P0eBPbZ0/rpD8it/+obweojfnmJ3xbj7NCBpt002gG9kCxeurAEilV5J ZdIbUbKHzm3CX+ql2Cumf19Mm9upNuzgfQFXvKV51OuCwZ7jYTfDbKoLfioyf3XSgkZ2cDuaVR34 0cewlTW+SZdilMey928LtWGAFamefERQdCDCUW+vg8Tc7P4pdIGy2mDJMJttDelPGw2i4QT40weR N6K2foxCVgrKTRFZpG/zGDVxOZHtAfoM4Z1ckim3mGQGB7YN/kLyv5NU4vBeHXIEhUPo/upcg3A1 inRmbVBqqYzMs1R1+hs/o1GW83GTwJUjILllVfh+7zJpjh3h4E2lZg2Lc+jdLjF5bpDDYyHxH7iK xKCvAnyNipppCKQihIB9E8GS+YqC2L2AXwIpo4OG7K9+IIVFWONepij0osvi/b/Cpe1HlWdpSsJP yi+TO26e2UoKBFXIh3Nf99sgZ7UyrmR0MPfNKwYd9f27uIQ8nxrkzSToSIbmTRGnjzLTsUJoNnHF FaPrnguj8ipTd51qsYVpB72vxAam+xWdwjLSJZOFRUvUyua8U+8xNbzmFKS1sh/ER8kiQeQ+9r3b BmJwS7JAZYNmEGe95PRdexA9EcbGbRJGuhQCDKF9OiaTW0QqUPKpmQsXadX/qTeyigsHiikyTB67 PD2mXybp7nrFVSic6sVZxPDfJu0UMcx7aNWDU/cQrz8WhGwEMI2mD3FzzH2XOjMOyIZv12BBPDvd HlhKLhNOBRK8WzQuKi8siuKyg7eTlSJefNcKbTWMix+W+jbYUM+ingCAD3dCDSJXcVwwIKSazgf7 1fGrrrKLsdH9vSOLbdFaXC/+vG0bG2dLPbXQhlgOoEFJUKqHogK/nqUdHqKFm60Rk9O+A0lbET8D rE2nvhKq3rRUQBQ26UnCxtsGKDgM0hPDsRUz6rASM+raZDykEJXGtyzq+L6lWm0VpLb+EQvYi0XK Dnv76+nUpyFKQDe+0bRscfiIt/JL0brwJolTC5PxZomK+RvXvpFfGnoPfCxZfTMyy2v/OwJiR2tM 05Gke8WyFybsS15BKgjuFpaqHZfMLXP1tAgvIdjehcTJnVbWnCHOGaLxRVas67icOo0cFKEy0vFh OLZjy6gUbutTryNntd2mC9JGw2c4gtAprIlzxWuFvtbUqLaN2YDXhN5SgZN2F1R9CnPx09vpevPp wazwBf/zCp7YhbZzwcnRpaxaqPw2kf6mw9tXsHqj+9+cWgQI1CHeCrdaKB6uU5YW/MntG8BfVfzK sxZ/SuWB5/n8HxS8sjjmbxElxu6aZ0l2H5tfJ8v5takAS3g87X7ASw84EAnqOrWQ87O214pcMkcx GKnOjYCRuIQtgjeuvSLlKRW5kz53LVkrZhgvFpzMvPhC85y3RA1L1vYxeZI6lzwcwlDeju8rENiO yTnseUagro6ztdgH771/us/k86ZoYoW2fUxTfXn5gvV2pAWUC8x7kPp7fBbbS6jxHqna2YQH0Yls LuYSWImKjIbXgNmJ9rai0hFuYuaNktS5mib63wASwHmSXorYqCf4HP+cr1LRh8/eYKt1L7CCec4s mn7wbWs1d78QooHy2rIr6t+mMQ22z3t7GG4tjTur379y/WIyJUu060WzRsj+tLZt+CLpjf343exl +AN54jyccQ7oW/9VLHK8GT+Hx9P2VvGwUQhPzr45C5o9vrh/lAihLL3FBpDA4eFZxSewOy5Mzxoi FfW+aBO4NWc7dQbTDm5ZfmpUAqd+GjBb/M1zBgbZQTiaGv3syzMKZDX54e9xRa5GYHfZc8LI/BL3 1/FVFQINwDcMA+qLvOptAC3dk94spbda0iKMGw6MAvla7rq8Sk8LYtWUo3kcm/EzrDM512ywMrAB YnYNOGA2EytUo/PJhv17/viX62fUooeAc29iO3wPWtPf4j01Mfl2hJo7cYBnHtXVe88+hcU8Tb1T TgSlCGggmpHHJDtJXOKs26kFEzFLmAmMXSu/7TvCAxaGT+hyKWCm0oNmubfjsmIC3fYBWkb1pfZ4 0hZQENs2FTgouqPzbanSRbj/6Xl1K5r/3h8G1lyHANeFruHxcXArqwyvl8gzmxEfP9FH5oL5yCWe HJBRWfBEB5c/tKDPebsmzqERtl/ayAkNj8YtKjILmeBPjSmO+cVUow5prFALxSu29fOzxSLmHkra x8/bGO0oSPMDgiEcVqTW6msegyU0r9PBb3x5/2q8UHx00xQumagrvYGAprdZhKjI0QhbMQnswbQ4 hplKqGLFBrPZkGUmGRyr/UxbqDsz2CmK5aA03vOsLo2zMHc65yuZmrf21H75MAGpKrwfZZvZWgEz OidA7/iLzR2ARdgBUXEafpdoDC+QgfXutweLACvRVtO77omHY26HHne9QTwCUJVe8YeYoV89Izqd lz0+QtpM9RP0KrkM9ozlI4q2iChi1FV065cJi2HHBWIIFRJpUBedvGGjYQ2K7YfT0rx87+n+Fhgx /AhexmwkE3ykqMuGwX6aqLzV1J6eFvm0WfCkIQp8bVwTRLdo3f6+SVqXNN8ehziDhL4dgNecLeRP uMbW3Fp1jn3D+kGmQn9sSO2uQ6fbGh5ITLmxCMzX7dQJbfMgOQbOFHW86ewPb2hhKU9M4BPIAnv6 3x8y0fMSCtWNAzOAP6hWu2Ju2SIAL0ad+U1rVfnFvqJgKeXWUdTjvvA28yrl3A9l5/rzz+q8WJIR eR2zHEGaqel9hc2OsSREWJfw+edY4afHgcBwB4u6K5t2qdXiit9HfS/IivAd10KLQufczESu7F3M JZ7QhAeTrABs9lSGWniXCREHApIGF19vbrhcn4k+gUZ9T2GI+YCRSGzA/OOBF3FG3DoVfbRbu/aR 35fE5BX9ZtcGBv+fWUKVmPCFstldFIoj07mikPqXzK3aIt8jNYWdGlSE5JobPqL6QwCpdYmni2tA HeaX7NgIlKSumpZu3fePk2GuPZDh8IplOpNytjZC79qPUo557NMrlLDBjTg7bQARrWqgje5SCikt G0j4ovqVA/kOLnVAFQlAOdUtOk4ktYz53Dh7xbiObnJHKGPpzuUa0ZG4/pSoszWqr+sAqOUiXeyp NIQ/WDCbJzyJvqKBjdTHSQAkyFTsCr3IFEtLgy2IKT1++mSsvO5gywSzv1NvF9XDftRLZva1am25 45wQtdfu//sVHHeLpWXksQZUIbWTzD9qI+VNMVcTVclZRlPW2h+Sl2ye7HIQe7l3+Ls5KZ34ui1B dBEXJeUZjCWjiJ7K44NjQthQZz6mJRZZANlI80boo+UgEC+xl6onTTpIj+WMZmqwMJ/B8xeRfx3o Ckipqj+LXBmpVySoTYzw1jVAZwW7+A+CBPSLulIFX1cqUGxaXN94X2sQAGRLZW30Fah95fFeGtKe HdCWp9wugJad9DAWyCVwvNHOgGidEr4r3tWuYgcPH3mLk5HCEMhCdrNsbEzPlvAKNd1JSOdu7zEg skmfQWTdFwM2b7bTyuACmIakQzTXyOQ4XGl8A4DtqG84/cuUNSAhPony0THyKt+TBWGohTAi1uYW vkuYUrmyTBKcHY/+3KmbsPKzIeDos+eSkQOIw74GyhgbU+lDJ9ckPWGD77J4ptpsIRzgJBgU4b1a /GhPIbB7jkrbIA9efXVx7LjNNgSIgroCw0o9SxsTxpkOwA7+vYYTG/EHWLG4Z+K3AEZxODeDML7o RE6fKw7YVpkDz2xWKWEwxEqE6Glj5PliSzKicg227EOX0gyB2kOnA+hvjMRjEFxcjkualtxOYpVd Pb8grkwHKNSjme2cK5xux+lMF6HjFVJCo4EpY+lRUa1HU74050VHFUwmE+StoWsIdzRsMtjuueGh 7fDcwh7F4SFQObhe+9MAabVPTELA6VGvzK6Z01fszps5v/+GyjqGR1/9nZLsq1UEh/amLUSBnpic h/kXD85gavra1Vx8Z6e48houvfXW0yWbKxoNYCMr9SFrvmqsIZlIfJEVCGnQXWd831EjuK42KAiL 3mVQ7DrxtJUv8owUrKWHC//uYeb2WTXZUrpQFZDDwhytZ7aSZLrvtyJQh0Mx5gGzOMZw4oAdrCuv i1Z9tgvv/sieOryiv2EIexut23D/fGEEz/uAISDej5Jfhw7FqZYx7pSFTjxmDbKZwo//eOwUovir FJNO/bPaFVgvy2ubMzHKn82gIH0Ta1tT0Ty/CxrMF26K2C2o02kwbaTyCsrVruWu/6lZCMxWLbd3 87AciWH4nwZp3sz6irjnHztDQl7rHmE4296VNtwZMVBjsPDv5WVEEu+W3jddk+GDMnZ1SxFpc+Iy juSkKUJZZOZjO+Zah+g88kd54Helc79ZBBgOZoxpuc1Q/QzVd8yqIJpcoMcNcOhYoCFsJujB4m7H 6OfdPn1RTUKbY8V7wTM5HH8Kf8vpo0iqbYou7BqNsp8ptFy7oeMK1B/kxJ8l/evtMS1vm9BmDCMH uR7UHMTu1N3YPeSq7TI6E2jxSEjHk2c1y4a5TFlzrSNxNco+Ib3SScqoLo/+FrBqAW7fr5/he6QU aKz5gpSM5mrAxhvncBrRzKx7n0wlVTiEeTRsASf6203dMD4daRn3FPFtVwL6BSU3F24oBa9X9TQq 0Mzwoyd3r0JAB1Gjjmd0mn0uUuNS1TlAI+GmF9l06Xzsc8fsqNvlwGTD359naTWIxA5V/D+Dqhjl 5Nzfa90DWJarTqv14ugY5BAcAyrwYBXAzslgvQoPV74Z0vqEcjaM2WDgwfd52sMh7WmxpJ8U17yH PgMhq6II0U1c1dGK81jHLnl0w9DhtdUKJW/hILeEisWsohHy+xFYuDDIva8xXaD+cfZdcp/uqAZE dCffPaiI71bht0eCJ5/LxeTsE+KD83xtuANY9J+Z73QXbgln2yorVPi9fvRRj43s8AOzYfEKr04J 9Yu4PPc4sjOAJWPwrRVeJ3VQjo/vD9qknuHL3smhMwYhC5YCDM7U1zS2Ki9gYZaRY2u17bJ1tNzn GJ1MM3xG7gBGemy5SLaMJ+XG4UhYFgR5tZ4wOIjP726Etu49l5Uq9R3PpzC+KhPRGfHpNfXH8SsR JdcMsz5wf25vfxXhjsUqPvPLEEfVb+3If3k/axBc8AShduFlEonmWiGe8xKZmPoJtthE1bffRbyZ hr1EuQ8GxvqceKZ0SgdmVxPOiDNwThS6bAFlFN2LiN9w2RSlBvTDqxlF32Y+p0Ttna/t6fRIXUA/ n/FgjcQvZLwnpp0GMzo8nepBpfisNgOhAfr7Ar82TXqpcWKZFBZFDS/lYGnC3eHwFpB/goXflQZ0 yAxO1uqohfeqIpbnlgfGonqy1u2qiqPe36xqdEq++CREOMcMh+a5XYw6Sp+zC7sSqEEOnPnTGP+2 qCji25BoB7LQ4JHhob0aOYwSpj8vFUSj1uZfZcsepeVmI6Kpu55irLFFiqmmdL75+E/DqpaG1VLi DoA7DrsKUcrfK6bZ6bIR1XvVaadF5mMr7OaWRtI1AmDTUBdo1rasZDJuT9Jd2g5AyluPxeaad+FC uaPoB/3z9ejeH6RzEkcttzYYfLav1vrrW8J1z3HtT9iy30sYUSHcAYFoalLdHhLxPcGwCLA+8KJe a+ZQHtc2DJ/qIlTOvee6jCRHDfX40tMmU57HAVpa/Cth3QRfjmKKJvPTLvHTj+FEv80/yqIl+oIX qKxdnCaC3Rtsb/xa3dTbMVN8rMQ+R9GWV9bPZHIn5REpmdostIsI1DlZd/K95R0s+r7cXiuPWx2c Z8s5CsqhzVri3UVLrNvj6HhnPjmdEmyUUBrDwYGi6aQkQwfoGOEz1Rom56EV+Wwa5NtL57St3InH cXn2dHyPXxIEqFI1sXbQsoE6M+wCasE6mY5FXP8u7YoVctV6VZ/EZzVNXHplOUWHJqM4YfD+M8Ih 9SoZyiknjDdgEcgo7ATYOEvlqQo0Qe3X1tYr4lYv50F2QDPTuNjdJ4gf3O3g4mBBktJmBtQoD1xL c01JF+etwhZQomGtixZdM63LqcvyT2zUdSZfvp5tDRN8stXacqXELsU75g9yGf6rkVyyiWJ9y1Hu sNVFm1xugA45jZviYfFCrBbKHgPjpx0Bvt+28tq9eVwSBMDPIwIEbTR/k++FP4tCZmIa5WrBkior iKImKY4vsii8cdcWc6DmTOBW9DW2+ZrYsB7qTNSkxtC82b4euB+4slG+Etro1Zu8638YDgrNgso5 F6X4yuUMEqauU02Q3bHfGKP4t78kfQisn2BcSpqvwrI49c8pQacLKprME1UTj1J3fVQTHXNZXFQp RmYbgGjz8GDpUXhcKgt5RBtVc/uDp74LGywfrpU3D5dH2q6+QHj3jhrsxviotdDMTQq+MRFGUB5b Bh2A3+fLiIjYxdQPIJNcBVZ4PmG5ll6hyPYcWIHk1wWzOpPFtad2zv4QIRfBn5uAklGIhdIMMjfu IiVPWH3egaNN4UAg4OfK37hgmNhrILAFgG/ejTPiIDtBT5PxeBIoQd3g5YriFBgtbgHHiOlH9z0e MShvPXqRKFTwPrXCukPiTYEiHN3jUfNFkkN8HW9xGUnFgQJtPtv5JNEHVvbiTQvrWxjPNQbiIeed me5fAi7TZPJsCopDE6EMH7vt3p40ldWyKcNQxdsF5JRSsG+dmnh+o73T2Z6k9jQZg8Dkr5o3YyQN pfN1Wh1tW3YskNeazjZS3QFANFreIlXcqx3I7DSTW1UkAD8GEcLzuw6NoqTXSFv/7CvihT4bs1Gh NePW9XB+uQTiehxp6NoOUVUnG7yUPW1Pn0h/S3X5lqGcRonPz/Z3YDCFe2YU2mpOhFo6QpSdgn7g Vg6xOH/dB0zHm4zyFskDiaP8wcptijcBHLLQvokFu8LUYhmedkAxhg8F2l0rmYBKLmcegWBSygDL RtHyGuGpDC8DQMOaW0psdokqsAfDOldWJVLQrT6PWFEO54szeHya55GgbPnU33B1LLyIVJmj4RAJ D0R/lFs+JliHYWvhYdXuP8+zRT/TzSCfGeox9m4vycK8xf9lxcClIlzocg84yzVWnE+h7nqfaXXm pzpeRyNuCE6GrMzApSEHfVzHl7yZI0ZUe2qG91/aJ3Rd83f9KsjikbVOp6dgoBx4bFiR2lCvUVUd kQYoso6PZFNvDAUmQ7dd3/9ZD1UTb3IuncD7RXcNu/y92UMC8lUKso6ZOb9GZ8uQq+rzlJhbsrL8 sJ6bh3/avF/acmx6RYH8ewKR5v7ip3tuu/Bb5+Zi6kevq2wObK4fpxD3HOeucchHlokFGnSTAvn+ vC5+jCczjHOqkWyxLm3D0xWWxjJoz9Hg6OCKDZj0V8jevQ3KTPZ225EUX6AhzmYHw3Cb8JIw5EkW z1M3ztAT+543yx2iqCpCiXVawO5Et1IJbUBdenrgHuBR02rIpqX6fb7PlC/GLLxHQCYei/BEd6oO 9LQ3LHt1S6ayaNnqdKhJXFkiIMfnUTW/dTKpRobnIWaUAorcOo+WHk8W9uMWHXegVjhaHbrMZ7Dp J1SboXuzgIm1Oy15NdXcfYi128tit4raO60vdU6GU+GLLVy2SPrFPtowajjSgGJfiSJ4aKbtyfvi 1nre3w2WsjiY/moPGSyIfLgyB0UPNqtkliOEbw/pNCnJliQVzGqLDRdCNoWDcr9mJ+hjgtRCnXs0 uy+8R7H8MceYFHKHh1QcPOPmwkL/EWpzHGQJnhos0ZkpK3repHuvVKdSW2qLxzm4cuc/NKpiDX29 uYMVi3Lpw8T7oRsonXgMo1ID8icQEIoSwSJhQAEDwiGmXeqKnr4Oe9vTRaGxGGQ/F/0MyDie3gl+ C+Ftvj18vzS+PgE1mlS+rK0b+9pzNmYKKwJzP7Q4lgcsS3ZB7X64DZPiDhIf/+s97UGz+ibrJi09 BhPS2fDDurtRhIYNLQKKVMiKkj08cQUEVdOq7QkKDTIAGNWR0CEI3HYjsl2TN8uVFAn05x7GMfyp 03LV25MPwG6GNv6aq03RsX7AePKJqMc8akxPTOHWXHB1NNhv9fNVW71C5trGQ/7zUnO+jW7AwcEl TkY0PHjYtH4SJUoM1tc1NdIPdEHWQzCJ18YEeps0c6JbeP6RZnx1PbdugJHpXo4Uah5nvf/6T4ff 020wCRS5c3YJ9K4cyjP6fu/zRchKliRJWDMET5L389VaihkaWmJMKAbNnzeKbVKCvrRNG5oM7bZn CPTinm2vdAucDjqLi5jNqnCcxilakMTsg7mS5XyUgr1FyfdAMq3L8OubJcDQFaS6DeDr8SZ9aA9P 1/MnX5Gn/b7Yk8JHmhofltarYTrBJeR+JV6UUawuxvZbl33KzmuH8hmMEbn7rLLsTaRgCEQSsX9E r1hjVx+WSaUYruJcQS18exOza9nXrTx2DlNfzC6zy1mx7fG8vR+uNZOIqBd4P4l0oBhC+1VKAQaX 1fNytZc8SPE4xNf31Je/D6ICVQfp7I4Fc19CCfVWCiFxwnrPopjapAiGTfJr+EhuXF3s6mAb3rDu Xy3cxqxo/tzNDg/OeeRkT6R6lqXeguYyTKZ+DgXt4c5iLRywoEXY5tduR4I0KlhfzGdcCpQDHKOY s4EpylCbFtvmPWgMFGTBEVZhKyqKxT7drrR1QlFcrpU6x1nrRWbki5UQTOSb7++a2w/WHeFSADdh pWwRc0aQGEChZ9LpvT5hSrgG3jkGFPCT/P9ccYipTNXpt12lNiTqFCquG0VxRRO7821KzFD99ZLS zQ4Bfoh/nEYvmGowWrKRJDHTURqnJwRF5MB89b+DJZF4nE8rYay2wSI266nfRGu6HfigVLIK+FlY e+6OIQRxtBYGivZ/dg6v0jbRU4zS0ZaDz6/UZ2X6I7fMOQp5fa+ksce2345qJlY74ENSlcU0aOGl SFtqeo24TndUYSzcvrHO8zw1w9svTt2UiBnPuKjnGjlO4tzG75yVxnHTFZiFCohasS19aL3Stzc6 XvCQ4DbOiJ3ZEzRHN9RCafwMIOBSgi34cfJRaz9GUeRpDdVjMYCILylw+qMixvvgIOY+5p5bgRue mfXZvGR+reOhItcy2jYgtMbNz6S4ROZNWBGAlUbn/851URK4btSIShEdM7w7c87p+9ojn4KaGk8l j26QjQ7I2XoMDbEJX1g1FW2/lZLo1lHrZKi4tJh6Jcwij7mhR2k4sI9h8dJHIHIHeJNmcEYJdMGa ayzrn/vPXgOlw2Zfx1QdT9rJFLiMHDzxjZzB0KwaF+9U9au9J9gAp5xiwA9ltgS5dJ5nZpsmtHBX E+Ub1jVLbagFDodcTZp/WG0a9gVtlYOi5+mTYyUbgluk26rigYhUB1M1TmOmL11SCqSPT8MRhmt/ NU3/tNsK1i3UNLTuh07qbCDC0RLtR/vQKmh3T0trnPgcKMfEvyFfy5tkQzXKk2tS07l6pRsURAYy rIHromVrHx3/UIsbgum1hids2OFSwLpE7tdtefdcypKbf43COkmbp6W+Hepg876kItmr72C2CLzH PXQHQAAlVkFmVO3ZziCzyFQXgTedJKGq9PtNojz4Reg55pJ6hgaTpMLtSFGsG5Po88MRAm2MWwdG zR0M4iDbE3hDP1wpWbYFUZTRZsjFBJXQbHxveUNOgLWSG/FGInx8M+AkSH8RJR+QQxng+43IGscO yxWY261L55YNXUXQ3OdbZtQBGPeVek/qqZaEDdyimoV+xOsQICu/fiKcYjaRaevpbFtvxqJ5DyvL p1AE5RH9saPGi0DFqdqh7hOeUgjn/636CmWhBKFLVzmwNrldl1U4fPIag2DM9Jkfh0i/KZbNa9W3 wkPDIzilPuXZ2ZYiNRHoYEylgB3V7+LPHZ7HQCK7jzcP4LJ6oWxA+YVrg2aHNyTTEr3zA4rIGTwW cLAeeyo4M7hMzmaDaWcm9UIW+ZE/mmpn8cLyS8/96tuGQGWPC1VOxxbLqzZzhNoHB6ReOaxEO+dw yK5kyB6Rqux+lp9yOure7EbU//Q28g/n7vktKg1ezV+cUQFUeaMxXEID1VlizARJALkswpWNtOwo M1E8xHH6n5tgfv0Xvkv73usC7/7Ce4jxi8GFO+iZxMmubCcErlUVNWCoyNqBeOG+OcIjj3B5PLE0 YXYkSnbqnnMkAh4EHhOZLBsvlsyfOHqoGTU9cXofGbOotl/dG4eUqZy9XmW6wnwiHmhNt8LjIXCt Owg0hUm1CpmyBUvJObku/IiB/0iI6tEBMX5AQiear3MN6v5RsyKUx3cPoMPh3vspLn3kjT73oHRR 56pAxF81vOv17eEKv0Zui8c/b6j4CEtkvKwuGlEws0aFzEs91n2ta18HaFPPyAq3L//58lcnSXUA nK25ogWXXRBexOTgXtN66JQfqj6CpKJe6yyOXYFmBEOZHEKGOHCIBd5B+7qsyblyB40tyZJglCWb tpRj6Fs4nQazUxi5W6FiYNqxe2SR/ZPRC+wGAzEMLig8RGAsEcjyzsfXo3/6HO9IOnxnC27xQra+ q4fcOiehfELFtLdk/XdRpG6OaiydtS6s0SJPuKPWi4HJmhsXtTWpH3/rYWgK0/19LKFtoYHhQs15 Yj+ijOmPI+EXutr4dqoRY/wZEtCo+s8IduI5TAigFwzWaqvVgZ8x8d1vKtCFWgI3oJEZ4/4yVKrQ mbmsOaXZNRw1c8XXFFoAwPjxbRX1ycxY5DQpjWsf9R0GkZu2daQvhnPd3xWWA40kys4URRutP0Sx YuyByTvknbagJwtdBw/OUt8q3QUMm+KDApiOCjWF1shcihLj36xXqtT2mcYuI1Z00WVvLl9jE71e +v23sOlkCOWnGdNtImOBpNfHnoMv0/3qilSJ0H+f3uxs2T8KIzbcS17JXHp89tbSKjok4xbez2RB xNFYG2pxsLaJDLnseFbI58+m8ScxdtFuHU+Ot1LYOVRDUfEcsWapKd4irORQuQ72sd+WZU8i+dLW KzWYah2ZU/XCzo11D5YDHvcjqrt8rC7Y4wIjJvyVDe4B2NAc5Ufz0DPikoKclW84Tae+NR0WhlXS LD3eXC3UNz7M8PaV6+7ktEd6fFnV9R+VCgbinphauEBjGMfsF9pZfjeObYgB97HMsx7hJbiPak+N IB/RS7Amox1pakG+MLMiq0uRcMJ4ggktCAeKkcZUjTc5pymZMXIAlqfbcU1cEcYiqTH5yOeM4gAT jsy+g3gXVCA7OQGZG2fXi3/08bZnbgeJIGeCDWIOYoaMHH5SkN+Z/gQLx4E/uFMg+ghXvRKuRmPu 7K95yK/ZaZea8UwxO3hvZcSBgXK6B50mkSUW1mB1hX+GlzIwg3UYnpClR+DcpMfXrlBSvcnCdZ+f pmOuF6j9x7TuR2FdP7O2kx693td1lYN7Zz9NUC+TGRlws+6FSYm/zPYjszS8YaUXy9UO7D9FJN9x 2gfNIlxscD/8lKE6nyYqVqprVjDTeyaQtPE6JMy/CsgUOsl8A+7w09b8KT/b5rh6fWz/XL2MLGGu iHGvLaoRtcuszOMvQr6O1bxIarDqKS6QZlGBZutbjCNmxqtIXv3QvaOBiYJXW/1A8WDfPz5DOYu9 I8jpnnWfB/sbqQWUtty+t0U6NCuOU8PLJ/9zOD+NhGH1mw603Mv+B4uDMe6tm3MPbfZkdGx8Ynm/ TrB0Pbx2Uw7XN1G730+YAZlV9vK01+YHnVIfzQuzwPgYQ+YkSxa8yoFKrVAttKLTrBUBBzxF4TVT USkLyr5iZGU0OvIyiIu3ZtW6t1zrx9ZIvJZ2Qn8uoy39uwBTVRdy45rrZpDSK6QBBNfxPFWSbYUF VJigQWMX53Tbtx1qP/yeEy00zlxmxefSJxiOhbBzFhJhth1DCMwqDWWO+pkTqBezwehiKfgE3ihL XCw9t2iw5vKHb2RWlQq+0dxkfwBTcoUIr/2XhXSChFqldwlkpXufDNNkSUTIRta6YExnBYupCCRj Fx9OEzjHbalYLrBCdTFjGUa+YHzDvBo2oHhWt/tx74ykBoKowdXtf7o/84wgChPuwU9OtBOL6Dq5 VFfE31W9Y51CUlRb+fQ/OKULFzeLkxBNIPmFmucto8/sJcK7HLaGSgdmHM2w1x+8CL71kMO32RfM fTYVPfAAtmHV/+H8pGE6KK3gdDNFsEn73HT0Wucb/5iBmWO5qgmFVSntlY089b/z5gb9lNKVrhOY TpAGbNmskneL8f5eClUtL3mNaPdb1ol8eE7I/l4vR+F6fYfnq5239DIZd8jA7Y5zKUYBBWFK4WvB czvr1MIThN+WQlEDVM1L3eGScKfIiDIeKfBMJGp3LAOMPvmInNIZ/vQcr9QgbM0JU2jP4KRjBXbI FsBMD2IZZjTc92P915H8tNQJN1WFgdn1jiNqJea2cfLUFA7POi27QNhgnBPWzHcHlDMMFIbF+e1F m6+rREN6JAxzRDl6nvgpP6t3/c6l+k2UnLgVpBsMXcx3STd7v3wRVBjUKrGZ0xRC+vN/JMOHpBTw zhOm/bIwGvsNeqaMhjPXlV1FWawiG++xhv4MnDyaM0+6Ld0pWu1vcMpmc3XLeiM/7vMyb23o4Vez K9Rh/VdabNZL7d1GYwKJeIK3I+xjPJqlpo8hY4Jhzcm96f77J8uKgas2MhxlQrjN9sWbDb6VVBIp RmCB7KSdC+7+VAdAO4PKOtbpscGBDEMkIMR1PVaeiuFiqAE4mLaaMdhLDWoZ9LA7nOEN5HsKmtx+ qsQZ9qSqqvdFJYoTpvS6czqi1cTM4YK9zpGmTp/e/1V1jq8nMCgFLzdFZCNpUdohlrKV9hoC2icW 9KLfSuAdMhv5+gUN6+wlQCaLF2EgrKfDP1ApL3qCMQM04TyJA3TEjjzcNpQZ4bXRBJSUYKX/gzS+ jWq+jJJOnWslU8aFRQ10D+lgPrxD37CZNwnvGPyl4fC/RTseaV+ZkwV2R+BlZIy0pd+gRWDPAFPG M39ObYJWBgRowAc8fk8tON0TGzZNiVi+J7QjsJEHX7EFfy+MzuyRlvG3oPMrm7v7bVdY41Mu4FCu mtkTjBYDvzJK32YKPo9EJEKJ1g3DH5vCoh5jgbbiUItvCxBgDVAwNXYSZYQAIP6uCnt+37kUOIbH 5GjA1X3xMYdsVIoLHpYLYhqKiuEwwjDFqzC58NyiyQZdiSgLcMMd1mdAuG3cBZcLfME+8w2kY7yL sbZT3rCShhFyBQ/J4HOu4OKIHy/mk6fcRqml3+9awj7IQaf1YOXe/lnbSSThPDM2RH+XJ432SOvI X89vOJIXalUTojqMWMfJsWIfHzj9uxkrfBBaSmFKV0wzR9FY9nrqqCLaT/r0vnCfTyM2W96wff7J 0UtfsnMYXSaN1fzKaeLU/LgfHOkDXYO0LHdWFz6ITEVbrOyRFc3H/UrAQLTNGf/h8N+PMZTmq8OB evkTYY2lcNG0CUuyt/4U9W2FlRPGficJwK959hwILA/e+fA34YYZ7TdfPYVm1SkyrUgFcONLE3Ug ubj2UCOF1idfEj+S75IGa7YE5DccpbIOiVEI6tHqy4nmhFDWEjEDFM0l7Ux+yGNL5MM7AEie7Fku ex3I44CM1GwSJedPSVkmoXA2RTw8fahspzAmVcYSwT537a7wH6dP9kvu2Hl7R8rhOSgpQzH1tKbu 6r23wxBcGg+ap8eaIcabYtcnE6RjW7aA7owVW44CQ1uZZdrv+a8QcuLS/UHFS62h7OKkxZxEHIuf Qulyccik4MC19CjGTux6Fp2g2kvmcD1KXj3nANKEHu1FbMt5hvgtDNOh4iEpYnCGyBaO6g2mn5aw 5uo+wPvolFJ84ctx4y4Kg9vOyjjEZZIhttgv/6WfsTfZ+GFVw+HR+tmuwxD/SrEGOeL+YmG3z2av UDmqWNZ/NYJ+4RhZiHUIAbGgM/pnkOZH8jqIQ6d7faceUSie0r7rILO+MVBNjtSsmcxfjAkjQf1o bG4LFqsMtZHehb6C+bPU+XsL8HMAXcy/3XRxdQidTDx7BPJvMgWGHCqfuqPhyauNDRtdrGnlQXG3 SZ7ykTyBivDZ7bMDkMqpT26YvwdyzZ1xszjlVqtJTj1nGcFuSJjtMjOPQudfD9/srbgYbFcyMglh jq0yRriMJzTo2F75GpR+kP6A `protect end_protected
gpl-2.0
563f9218664d6e7be59e47433cdd68bc
0.953944
1.816135
false
false
false
false
skordal/potato
soc/pp_soc_timer.vhd
1
2,952
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2016 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! @brief Simple timer module for generating periodic interrupts. --! --! The following registers are defined: --! |---------|------------------| --! | Address | Description | --! |---------|------------------| --! | 0x00 | Control register | --! | 0x04 | Compare register | --! | 0x08 | Counter register | --! |---------|------------------| --! --! The bits for the control register are: --! - 0: Run - set to '1' to enable the counter. --! - 1: Clear - set to '1' to clear the counter after a compare interrupt or to reset it. entity pp_soc_timer is port( clk : in std_logic; reset : in std_logic; -- Timer interrupt: irq : out std_logic; -- Wishbone interface: wb_adr_in : in std_logic_vector(11 downto 0); wb_dat_in : in std_logic_vector(31 downto 0); wb_dat_out : out std_logic_vector(31 downto 0); wb_cyc_in : in std_logic; wb_stb_in : in std_logic; wb_we_in : in std_logic; wb_ack_out : out std_logic ); end entity; architecture behaviour of pp_soc_timer is signal ctrl_run : std_logic; signal counter : std_logic_vector(31 downto 0); signal compare : std_logic_vector(31 downto 0); -- Wishbone acknowledge signal: signal ack : std_logic; begin wb_ack_out <= ack and wb_cyc_in and wb_stb_in; irq <= '1' when counter = compare else '0'; timer: process(clk) begin if rising_edge(clk) then if reset = '1' then wb_dat_out <= (others => '0'); ack <= '0'; ctrl_run <= '0'; counter <= (others => '0'); compare <= (others => '1'); else if ctrl_run = '1' and counter /= compare then counter <= std_logic_vector(unsigned(counter) + 1); end if; if wb_cyc_in = '1' and wb_stb_in = '1' and ack = '0' then if wb_we_in = '1' then case wb_adr_in is when x"000" => -- Write control register ctrl_run <= wb_dat_in(0); if wb_dat_in(1) = '1' then counter <= (others => '0'); end if; when x"004" => -- Write compare register compare <= wb_dat_in; when x"008" => -- Write count register counter <= wb_dat_in; when others => end case; ack <= '1'; else case wb_adr_in is when x"000" => -- Read control register wb_dat_out <= (0 => ctrl_run, others => '0'); when x"004" => -- Read compare register wb_dat_out <= compare; when x"008" => -- Read count register wb_dat_out <= counter; when others => wb_dat_out <= (others => '0'); end case; ack <= '1'; end if; elsif wb_stb_in = '0' then ack <= '0'; end if; end if; end if; end process timer; end architecture behaviour;
bsd-3-clause
6741a5c13e678fe3772dcee9dd16bf1a
0.568767
3.062241
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_mux2to1.vhd
3
9,091
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XU0Nejsr7q0NDORDwaaD9KBOEWxt8W54ijOmT34M0MPIQsvbWBqXv4C7yiASR7Q80kDgKFI9OYsd BFI9aNXdYQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K/Zlf+97U4GMf85SIqU9FtFP8S72qfqvQ+hD5Igh6N65FLEY2610TSmWwZA8Y6gpPqiW/yuxoqR4 eMM38Riso+A5NMehIz8WXzcJIZZqGeow1MBgNngmugYiH9LElN43ZJnD72ZYoIHJzkWAGbVEXC6o xZySrgc3x8ZIdtP7y0o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RPi4+OFeztJgF1azzplEs3TItZtrS0pMRSkHdzruuymkPu72+n6T/iS2og3U/CpgbHUCKWzJDt5s EfeJLTb+wxjvzgxsiEYJZ/AXIcRNrhDHCytrCLHslV5dbRRIujJUwWXWb8E5JwXQLZBiWTl185a8 VcDi1C/mha+dHajfxxE32H4Yak1v3nnQVfQn7pPCQdjZlJwpnSxxRNEVQn0cgRQOOnZNlByUPRzn G4jUyPLyDqsnIrb90g3n8r7/GjTBl/bJKO7i52mJ/TDZRgCpZvsA4x7N/m2sq+4QQXVyKPNuZccD CuR934CvAwaxXKgGZ2gh5P85nQ5Tp21bNMMzDw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MJ0GsyLSk+5sx+duCdCUSUGDkUdU3E+uXTK7ol32E0EChxtqLTE7A37gNq69wSmBIrMwWMA5jYZe kWv7BPatFRipZEocxComD0kGWSMVBf+4Ndy2E1SHdzZc52Uk+o70p/C4maiKsomKyVFr5BcZAoZX Ydv7bWufrtR2YIRsdgU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pVW6eCpqyfSjjWCxcPAHcXonJcMQjKn5PmUjXHe37P0Kj7x8hzDh7jweTOgTwMWjhl4AKbAUZucc Fz0/dASkNlBc46u/j5qAG0YecUzL3uWKMBz6VQX4+svEXE0I62b8FNEoUkm8RcL9E23Y11UYAmIs KRFqXmfkWBrv76SM+4g6febbBI1qkoRoZ5ZZvkWlxj05I1o9aB+uelsSF+k4ctLATNYB1KyzzLyC hiZCZUOEDdIzJ2cnhUJqCxA8grnb082UgQOtt8G64EO6mjCh3J++DCc+KwAietRCW720+tm0gD2S DtaBlGekvQ+1z68BoGBwRkmTYjGwsroSsSzZIg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4992) `protect data_block lVoyv3PL199CJmKbF7HWpTHGpo01PX5p9DDGynd01+njWklQNpNXSvDMHyxPfDpwoUl9V+xqUaOB CzQSrwDqWqLH3LiIrc5f8/ZfEO1dMKCTBTTBHNqChZPNoK1rapYT+S1qwQZjlpwWFvAt2f5iQLHU TqmVw/9ht8xqgHM3I7XkG7KnVMiTWiEEWsVnuQw/prjkaBUsCb+Hun2tO5VA5p9+Ixb/9+XAy0P5 b2hQa9wpC+Nfi27peiF3AfBF0P/FNqQruNRZCHwGL/aXPyknwhiJf1ESw8IxhKtVaeO8HTVxIWK1 wQbOsGB6y+uspV4oTrtr5kDhZl3FR3k41NaddmoJLnK4C1+BWzostjGnutm6lDwKFGPVCs3MPxmA H9aD6tOH2H5+d0zYYtxAfs2n3aCN2+PlH+E80CNHvCoXHihvTXgCaydmruKTFRYW3sRzAbNf+WQt cREFyHvktqAMEZwirxyy4oBXCjSyMimFHCSWVRjc8ijRRtDiEQ1mk25Dd7hgDQbx+ns290pS2QEB DlKZR32bOBQ0aRRYUBkveFjJ/bZ3KImDqEiX2UW7djXbs+e3MkjGyE9OFWaxqdmSxDPd3FkHdcUU nCumYbGTS0UYqACTP5yyQgJGBGoJ0NW3adJN73Kgx3sc2HhQpPExwvKjhF8zB4VQ6a3W0FjmMD+t JahfTkL85UZrkgqYo7UmCYe1JaqcmIYrEWmjbfHBmWgbcqArAoQGmLPK6nwYo5darWWOS7QE94B3 wknc9h2uqKeu3r3TDvfUki55jlowxiUCLPlvUnNfK3MFXR2lNDORlSwlIdTNxx84GTKKBpLgr+w/ 433FLJ63b/SF787Qst8KLlyir2ZPh86VGTdd6XEgnjcfkZiq0ksHj9QEiDYuHLyzq7T4NhIHl9jP l/6GCV9BPa8CzL4OzgUvaA8YyLQrWDAnX1lvBpjq5JMoQBLjXe1aGU86iQIsa34Qk6T1nJ854Y0I Qr5mBkmliAjn8CoyZCq2rOZ1jWY+i/pkG8lItydPwvwIsAT3JDuFAaXYeb3aywmd6qtPIny6xec+ wUInD5VllIR/hetNMu2YJu98SZm1NEfvL60hkq7hc3w0duuHX12ch9wxEfxJkCI57auyh/VTv+ZE FaXPexsR/Ce+rZstNS59zGq8ChWqI8TzPjd3RhcblORniuOreuUDOSLmqHgD1fULBNcCZAT0fc6g tBt2SX9KnaNV89CTAuYetdwRYve8tI49apXoXD8GXxrv8Rgnr1+hR8RhoTmoG5jRz4WN9UOWXgSN +vky1cCr/pUEBwYru6qRsE1XVYfjN+VBJKzTt3Xci5pPzMdTgVyR9YcfMfcPb7S7MsQmXknVqBh6 3aYO3mUYbqGIxsbjq0msjkHr/ObXSu/URWrdMmIB8oO0CEll6EWoRHIVG/qCPFN77+Xd/jUSPVao wvn3hWP44S7iOJ9nnNVXubsIIw6I325tYVCgI4qX8KBDRDs4+WQPxsVsXTZAudS6p/Igl5qeXhZ8 l8GnmXJmfloyicvA0wQug0szZpcWP+AeAyKj6cb6t1xUY00ITL5ePRaDDQmSrxhVMD82u822iNOC jwzcrn/wCUairTWkNSL30CQrlYsQOkoP7fka2PHqibGY3l6c9eo0owI2cr1G3RJOdnMdOUgli8ir aQd+EF/2b7YFwJO3j7iZLYuGbETqMXwrw6UEyxvkQvFYkZAT8iNVT5oK2WsnqfkH0vAS7QjPksIA qUvWmmzYQxe6L2UVR/p1OYcICCXXfrsU6uItQ9hBD6wmpzpsLNpdZBh1nXMDQ6bS1ap39g81S0zT 0+5l2MLGQcYAUSle0YDSvmVkE9oEp7f2Pif7rNZPzfBksd3z2U6hcKOwt2evDci33KnbQ1unY5XN MeYe70G07UEzanvQkXpX/p+CWNXREPmyXQVHc8sXKRYWaVRHTRCEEDFY8lJu7PKvXClbY8xRdoHB 7XIIHNocSQ2a08a+Qqe+dXnIsQCGe0UhrN8O5AohIPta9x9m/4AQcwRqMhofZAgYL/QxfqK+CEVW Dl6JXWPbBu5VTq3fi2H9sZybROGim7oAgYtyywkB0J+Y6ysOQH+dSGQXDK6+tMBbTNlXvy0uV/jT mlorEcNhFiLXtucbSpUEkjWCrsBsYigHn85xF5kT9QKEUIYJLjSFBRQSo1F4kuZabDr8CQtHzt1G +4qOlM7R+B1MypAb1WHzOp9C7+9ddEd1tej0u6a+XhHiNPfGGjRKwdm2CsrVkdIDGPb9k8j6AjKY jH/+KXhptOfUpeek/kFDGo88viwvhNzDD2BzAbOQ88G7FlKSXZKt3bLM27qcDhSCnW/ZFo3XbmcP 09WqY1iCXLn7d0F5DdcquPbnwZd12UpkQbtoRdm5Z/T95rPuSTSlHzOdIPbAlErQPRITBbewFfxv 0Xcn+vejkzX7WIFGH/b04y2pbpJYZvtp7ddCZ8eHi1JlIuaC5QDSnYn1EwNLEh3oP5nNXZeml088 1lJLp/J3c4wofX46jrDxcpNZRGbN0AwnXIVL6hYT6OwHroJFvSkWtN4N9zqrV8Qw5JtIGdHpkeYw PAQhbKnR2hc3NFtjl8VH8PLCJm719YpKSnSrprCV6jn95mN73roNPsLeiwj34I6d0N/AukwAIqU2 ZXXtnFKiIIJ+kbRQqGJDDkAGCoCGiKiE6OPVBO32MEBxzeXnjdJRBeEoQgGaJBnN9eQbwyG5Um1K hRTfiz5D204nqai3Sl+IK9F2jQqA3EaeARWzKqc66FHiCRJ0Gqqki6ThELm7UNwP+jae5+Ao3BXC TrXWYH3tIQwzj9BW4gNQNZ9zQr26jibpEXbP4jdby1EUdZCxj0xm4IHKaDz2oXuY7nrbYjITya7J D6GrOCCc3WtGz008B45cpBhZ9Ni7zNderAIf5kLPd2n1z1o3gdtEq+vaNUZRtYzbuySvhMz59O9S +7hdt3q/02x5RSCKwKZBjJrSMXlED6MrQJL9y+CWQjWLuUynhaP/qCzTx0+zfwkrxpHVtivSe/Du XTQRRNZLH02+HIfUybIqkOkFp9+EKVh5OXbJ0U0OFP+CA5mHP2IrQMOHnX8AM2IsDlpbTBOmgQEr klx6L8pkLdntEDDeqQH3iioCNrK/NikwYqZKsXXSszTa5IH41WShIwSXil5EjlFAwgk0VgQ3nKhm lP+RiVUoB/EWUqHigijw3CbtbQfgucx+INV5UDUGrhfy15PacmgKDymGR+Jq2ojslNwyJ+KJn5n4 cEHJZ26eVb22XNhpbPuCvxSClaP2+6tQFszb/NDJzxvHPpw29qzOr+MQNEC+tN5F6ZIdNWaV+veU zGpFEjvBpVyjZqD/YfUhZcsIsL+w77ZaPYzaiht0CIKmSB4S7rJG9PzuCITt6opqU0ovTa09+mkC BFuXnQnkRL4rZIzJ6STOlG4YwvuUBsWJj/sWJ4kQBvFb+JadnS6bQygmyV3eKM0YTk1KnG8hYECu g8gk8UScczZJBp0BYop4jF+13aSAUwnzRB2JGbl3HWE5orwj83FwfKQMc/azQNjb4iW9XhLqLfaj 0fHCjjXcokcjahm64e7qS3oKMSJN9D7Tq9HeCpaVZUtrWSU+0aIDEwDF73SyFSJi+2bEr5k8+VhK yTE/lDXzr2EFrvkTYpQXenAQDcJxBXxX+VlIvw5yfpgrT+BW1syVPLXM4fq/sL4eq3yPJxjHKS74 gpYPc/dq+puKGRxRTDpKTrQUHTcmx4uBdv7IOAYedDOPub0kSSz1y4KhHBcWZDPg7jr3bZuRBYx7 6kLH3euoAtgtASANnc81zLiXpVVyHt06AI7OoZ/k3JxpLA+PcxwraFTvdLAgLI6+R5djprGjxMpd RqkNaJl6HxiqobYMHPlEF3DNXDyFQ/9CB28jaVnUn57i2ErqMe9ieVQ+tAdtyvgoQSfXe02Fuusl 9hPCeS7Dj0SOCquK/q4gXzfNPtpnKG3Y8DWVcwg35CErEzuRYhZ+4+V2a6+6boWUIIfvSFzKA97W 8b6XrBlcHPavDttGFBukDK9H7cmEJ8ovXrt5iMBxQRkP+HQjeve89krme+2WfqgJbos9k9BIXbFi 37O8rd+QGTJMzXKONYhk+eXcHNAGJgsztLuc6e9LC4zykrcuKYR2VPdihHxPGAm2IIdfAMfqYT4j Km/yATS0MsgZoBy1u8iQdj2HVpl3xoDdqtE/wkGTkVLU+ZOvA+9vxqvpaneGqjCS6Vym4GbNLpED l6ZxKXw4KsJKMNHlcCzrtamXOGsZ6d3piqR9giYIJZb+p0HEzVnE5gEwFzGNXtu0skR+Z80Ez2MI alLLvRIISAm0xraxDesERLg2Xo1yme/QjLmPqBU2xRBonyTEZINP92JMe9LQTgDT92mq542awLnR NqcoFoi52Ez9K8TifJXH29eK0+4tYHM5i2ojhGH2Ea9nHQ5CMs9LXLNOpw+2GS0hrZkoi9nt0Gvt 2iy26ruEZQJZcG+6AwraQhSwMfLk5T5fs1tZDZ69tQztva0WbxrRERpWEoz0xMswaHHEAFtEvRMX MyPKId0Jv8JQ5AEfoJHbQJ7MGwFYX2P9JFKAm0tjyUFQOa9Y7YW6rM7nlZZ/Nu5QDvn3pZiUxMrM Hghh2hiST1HtmHGbNNiXU4kZW6Xtce0azfvuPynacAlVO7UKqKME7YxbAUEIgkn+fTwAg6/VLfUK xNKuQx4p7dA4xPCL3xiZNG0Iq3YI0dVh0hOs9j12x5B1jFJfTP10Y8qXDNkCRhlA21RYxvwvoANs y4sa3GrO1DG31op84tddBc43UaZCAWzBjz+r53GCkqQ6ZNQ2E0MAdKsyLrO3dq/fnZCqFze28dti N+QcQnDkXojMOJwRur+tM2qCMzmlulL46iSeLcG/sbQmJ3jt64DE1w4KOLNu8J1ZkQQRZUutVub4 FynAaHT44ZtSp5Rbqq+cWj7vHVPNGyOmd1ajNXqT/FajSdnerG5GVMu5ea7RjC4BG0XZOp1U/3TH EnA9Os0L0zq7wcQTTRsAa473T9ghZajpoOGBIpJsE5SQNwD3eeTNQ/gwmFaqK+ZsE1OC86GOaS/o Q7LVAe1ecPs9W5ZE6b/55nD/ba+ib190ljs68GjBZMyiGjMQeE6KOdLyxL0E0cAkLuxKcM4GFtVt zQGy1EUFYI76mjI1+91cItKTVMurIgoITXEvGEWCDL8tCGCdgCG9jVfJzK1O4bGW0Szb8H/T9m72 UCuHu6iaM5Xv/YgZM1j/Qkn4LRIAZ20aywpXr29dSzdZJSzz/LShcbt/5SnSxcsL7UhnUXA7kd9b B6rnmbIgwiuyHtLv55/YsDTZeEYflSRa3EMxeVez3dKMDmuC4xXSZenH5eA0CGP/tU3/i3LELj3h ppPdEjs8Uz4TG7Nz82vfeubdGKpInrh8RC/ZlkO1LvAwmm8OfMP1/Z/7+ztkOSP6ymT5sylipwVs 31n026m4ZA46Igrby8Af00/YD2k8tcoQIaHIfIEmlGluAKgOg/LH+S9FmVQQss6V5fntJHUg8/T4 EJFB3fy/mnL/zfpTs7p2NvsF74DQhnO5/4ZIaxWaVy4/qoldtxgsnVLp2a9Hp6t0xJfPzNy0HHHd Pe2sXyYrgnOmHbbACimxLNjme7eWPX1sOM5WuDyjSUjBqBgUC7rdIHyr6gNUF/Ak+fC7UnqQ0800 II+uNYJ60efaNmHy5FE2o91Ytb7hgCIosIG2kRlXLzYoMc/iw2hluJOblYf+nZ7HuHq8Nrw9wApY hdwlYcNe1mL856xSoahYhjFOWhtwx2TuJTuadGjJoLunI6ipjNyPOH0EatQd3KWi6m+68Wzxoby9 mYOjmTs9Xk74xZTiDoV/vE3Tr/eFBagVrbUwXta7fhAN4TBQFS76NCxUNizDRWWoEzur+M1zDeLd VcbD9PV0elVlZc4MYZhjdmwvGpmTUK07DUf5dW8ubF/EZF23oWCU8Touu8Y0YXnsmGG9O0/4tzg2 5LYjDeX+c0ttDfr+JK+VM7Kxv5VzTeV9uW/dEjb0SKxdQwhT/WYtz4iv34woDRw2PspmqN284fe9 8d5kaJB23RdtxetVX7yNi6DadZ02doX5VuTQQHyoUa42OrRbdagonxfaRISpX5bmz3o2RNdwZkC0 HcxnyM+fy2dK5QxugCtvxvdDLKRaIrHjdoc+607facCBDOReOxrMvmSu8DtCCohwDjt/5lSXq31e iZXqGA+ok65SmQjDecMA/c+1ZSQtsEjZ5pQuzo3U3h0AxpDICyUr6/sLKfcltO4t7vi2L222gdW4 kgWmFMyhIpF08zyjGY7OPbaoprYcqJtzJZJ4/3jOi3BVfPcVfLI36vIBaVZEPMfkQJXtah5v2zYx oFEyUM8ttAlJ5XbYW4pboaSebeeDCqPNqHkG0ndClfYhrUcEU0Z2G2Kc0/k366S5QP51ASBo9bnE hZvKGAz6YCvXnJ3neE61Ydx+0mma4QBLEXxYRGDeEvLfhdtRkFMYyVehFJ0x64NolJb1ZBfRZKk3 6NbyROGc7ihqJlYPuLC7dLl8OQ9oeC4ldNNcNDDjEuXWy8g70lKTHrLZd20R9TYoVYLZac/oIjFY t+1IxPmBi67kybMrfu+9FLPfmfKTBluOFuLXyAFZm/BQ `protect end_protected
gpl-2.0
98c57e442555b7509b383931edc5e1bb
0.921571
1.930969
false
false
false
false
r2t2sdr/r2t2
vivado_board_files/sc/SC0720/SC0720.vhd
1
2,049
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13.11.2013 09:58:03 -- Design Name: -- Module Name: SC0720 - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SC0720 is Port ( -- -- -- PHY_LED0: out std_logic; PHY_LED1: out std_logic; PHY_LED2: out std_logic; -- -- Connect to same name PL pin -- PL_pin_K16 : in std_logic; -- PUDC PL_pin_K19 : in std_logic; -- XCLK PL_pin_L16 : out std_logic; -- X1 SCL out PL_pin_M15 : in std_logic; -- X2 PL_pin_N15 : in std_logic; -- X3 PL_pin_P16 : in std_logic; -- X4 PL_pin_P22 : in std_logic; -- X5 SDA in PL_pin_K20 : out std_logic; -- X6 PL_pin_N22 : out std_logic; -- X7 SDA out -- -- Connect to EMIO I2C1 -- sda_i : out std_logic; sda_o : in std_logic; sda_t : in std_logic; scl_i : out std_logic; scl_o : in std_logic; scl_t : in std_logic ); end SC0720; architecture Behavioral of SC0720 is signal sda: std_logic; signal scl: std_logic; begin PL_pin_K20 <= '0'; -- TE0720-00 compat! -- SDA readback from SC to I2C core sda_i <= PL_pin_P22; -- SDA/SCL pass through to SC PL_pin_N22 <= sda; PL_pin_L16 <= scl; -- internal signals sda <= sda_o or sda_t; scl <= scl_o or scl_t; -- SCL feedback to I2C core scl_i <= scl; -- -- -- PHY_LED0 <= PL_pin_M15; PHY_LED1 <= PL_pin_N15; PHY_LED2 <= PL_pin_P16; end Behavioral;
gpl-3.0
a8928df15e5c2159bb44836389189faf
0.56369
2.857741
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/arith_shift3.vhd
3
8,402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MeYNlap/nkGPSoFpHNtAcCwhOQw4oYqzkAjjDkunBxo7XBCniORpnK5yKfm91NalUmyulqBCBJ59 QO3PSonKPA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block k9qimPBzXQs7YIVLouZ/qpDREltM0QWRdfBvMXq8qFTU1SZvNccsaMwKq4TmZLD8ToukJ/kOMkve EGrjGaI4WFLOkGpVXQr0ubXy2KuDTmzQcLjodHBSQi7onXXC+Ru1FHtwt6N6b8THKjX9Jf4/nLIb 8tMPO5l7/Cbl/zH92r8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block esOo1HJ49dmd9XTIscJD/Woub8MdfPloBviJt1ouMFiHJIyvvnhGIqHEgbmNHMlSb3Y/2A7BEovf 7YEeeJ9lBMCePhj6PvdlGr4JBbFAZ1rAupcbwD4PyUwk5DtZsNNddTQqH7BKT/hWkTN4HCb9HD2A qAP0try9K8+v2xmHoUKeivq7lXQlShr2R5uYZh6wLhLOm2K9c3dY6pWUDeKZ0r8tPLSX5aWFp3mk Mz8ywY05NAse5LbBiWGYesaQryWzBRnrU2TNEL5tlH8DMGiBtXCxoDe55bOWeX7/z9Tdby2Zn+OY eSmBgmKwXLnESdihM9jyS26Xz6YiZhx+mNGGdw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lp9SSIumbcnMVntlJmOvI0Cy7DHzSmce6S6x0LFUNyaonh+4Cekd33+EAeDUzCrTWa/cvmtRyz5S +Lat5mOX8dbopo0HvIg4oHEi4750f57z1XnfJ7bt28dYxuPXiSZx+XF49hccNwlzcUdp3ZVMBLkp MPAn5DqhoRaNq9TbAFs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D528TdnQ8riLTb3pbIdnKhAkB0unBbxH7Ufa8EOgXZWqs2xL2pCAaPRqpXGLIYA3UUvE/TxEa/YR H3f2gzHUicdLWjU/jdAVLCVhgaMFZdgPd1AumgDr5ybdTMceAxlKz4uvCf/KzX5VKWuMsLmWWH5q nWOWUaYzhnB4hxw0gR3wEwGYwz7zidwytokuXwCM2+wzuV+SPP0juPtKaXLwm54GTizNtxjuRGcc ZHrOpvABogetCjRckbEC+Us2Yde6A+t5ILnwyOgeboY8OEtC8UNdYtX69gwIsky6Pl4l1DHzjiMO Mdr4wKxnOJccDrTvNwWW3dS6wEEygnojiY4d8w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480) `protect data_block kpl6ICW6biBZAYxs+ov4XyR/yl23Vp8yc7fPoUzEH63S1V3udxUx3EQmGqAgk+0CLxoCgM8Dsx2C D29GMdnkYdFkCMdMNaqQri7mb7RQBbP80bwxxFFALw4CP+frmAQ+jN53Q9FQIOZilSCOwUI5BaDF G/3HvzKLAoUyCOjhb2i8Gsguj9cbViA9D17YjGPCwijLjkX7NBehiMZ//snwOyNZyjgWDnBmUk9Y uG+CWwU6HlRE5F2JrGSgxMDyIKYnkgFDbCQx4ktSMckN6TTGMkw8AMLu3eo9pnCgErjIjCoj1mWM WmmpPZaZb3LhKy+l01jvmMQJqBAvDGIJGYBrxPH4VfNHhP37DBWlG9r8LdqzsgB3ZtJ8DDpAYEqr qOvzBbkc3uw6V837yHmGsaJ8zCg/P+uy8CE2Rp3+ounY0GtaHblnxTvrfUY6KeLmzPM4g+QImJ27 yZCI3wvZ404xjVLzSEDtw3Tdj52a5KNxcZAoGmQMa7qiuyIWw18jIkEZ0t3/S1tNR2eHYEdPDqBt b42EPaCPFMr/k7pNWlR9H8IE/RlTShYkwUJve50Rm/G79Chmgn8Ln/IHvweBLdwwIn2nXDKkJ6RP /xBzWA7o6Gd2NICDSkjLw1KwXIoHzISZWOJudCwcLTXF5pqQwDqSuS2ngHNPl65WPh8Jb6hLIAz+ AsySx9TK5+uKFWLwve4VVGoVNAtV+4xJ++0dO4J/MEKDv230SfgGqCK29zEQUf8p013ThXl7ekcw Wbs6qm9P5ptywkgBAy5SRSCB2YLWQWBeew4LRbeS8cZbRVuTX/l8CoH3ty0ljNyZs9QyzzS+0ZXl Vm4gNTYp0Hqqtu4FujilSxuS8wcWLs9z+sBWiB9YSdMkt1BzkRnDOqOkEHzlxDlUfQOn5Q27wx78 xgKsg8DJVwlAWvmQ054rb+AKgkU9xC6dUJ9zS/c89BfufC23jNtMJ/SKxnjKaFOm7m9Evrc4IXmh WuhigMujwfGDwGPwGR8S7FqwjvHZ24k/y4WIouLWh7NvXFXPnn0pDQtQU4L64Mxm+moBho2M62Wm 0ZAWQ73CF9woqW/Or1s93WiqDu8Y6TDquET3Nc/h17OZeV0oNx1qU6o9r20D3VAkZ2fhE9lLDwt0 ejhGgFEUIm1SKFMLx5Bn6/ctZfmCXFqMOIjkMLtcmquu15vYsKtoGbqiNIBFYEp6yWvoatiulleN aBRPa1qWKYHs3dNxYbc74qDjNzg8hevGzQJjjkEEMbzmwVXuQDmE8SL9FnCGDDaPbZHbRZeSdk0S 84Z/dZV3FincKvhVPx9lPsS/9E0cr2k5z6WO4Gze/38Fpbfz6OxpGBp8QKyD6Fi9JVUJGwrJQ5JJ TiMjAeEodIvlpt9pBA6HTvrbpcVKsejgeRC2UOcquvNFte9e7I0t8ePx0c6a/FI+MU9YdVzwI45q ZXtWQLQn+cOpbUzL/WoOhb7UUa7afVkIiYcL/a5Sk/ZltmYHJJE1mJDKZV7HMCz2H2i3Y1v53plY yb3p4WRx6Go9I8S0oLX9ZlL7pW2+rAy95kfA8JMX4K7N8PGB0CoueJcU2PrxT8ewrbCG7Z/14/Qi YpXhwOcE7Yizy7TKN2nTXwM7wPcAQEZGWQ7wMK7smN3YxAXtpfPQymmGH4a6i8jG/TSAkhZ7nbWg xjgdYI3CQtBONXkKw/muLKm6YDiuCJFUUb0y1SFd6HvmuDjUliU0FwwSn/r7aYUFnqk3QWlJTzyz fz4nOXOJQYkZ14ZcxsVdFUoQ7voMhz1bZuFaMXq/5t5X68YH9cuqPyaGxAO5KiS7BVIhLsSXmEOH 3bw1On1L0dgfgcTTVYm+6urfVaTQkVCQtOPTlLpVfZPFSArr86/8Wslo0MvThcTv8ERwDP8eOCV+ Iq/+Co4GFKPtGiOc/dfTDeA7jXypyOtnQ/X9sBhZxKrZXLG7ZiTM8uNs9sZC0nXjbfEiA4/gyhxo u9EJhwgmfuTchrFoI3tQLbOgWxSyDZw4Tn2e9+F/kpe2Fr9uBgsA36mvjvqLoquPVPXju6AIZNnD S/z9v8Qb6S1BuZjWHnboyUA1tyD1a+oG+eNxSdrLjoUyp4l5tf3GFoNjO7onftu3/spm02qZCvMg UMReOG2jd+bVAH6gy9yL539t3aS+LvQyujhQKRVNbY8UEIM+Rfu9wqf1QVWZY5vEXY1xSYKZQK9Q FiEc/X2oJA/5pKjp4f2QXhsbb1FQ9EZgAgY/LEDgKfKSbt33G1VN4A46mz5OB2JCJ9XvxoTfZYLt jhduRPvYE7vAEj4E6RI5+xkMi45FIKb/ydS3ycPPMGVGC9Q4XPuFi9A/j4jMiCLLmPQ07I0bUc+I oRFAhoglXOezHmSZVN4c4lLvdeVXSZZenXPCn5+TCF12dcxcNmtVmnth1CSdab2qVaGS6+FjHk1Q OIj+TXF5rVc4d9Zh55Vhk8i3wQWu1AXI3K8FTCusD+sBdIGivWsSV1XGYWt0egdHeRWSdl97NkWn /NMvi3MmjJ0zpAldxR4kUSBjUxWsNlNOSd2KtJEQqQ4o/CfUtGUBJpj4xcQ6SVCvhl92ODpksxFB Zn4x0kfUbQxcIEZzoL0M7mKrL8gqQdJw0PB7x6lfv6KS13SyKfM7nHqXe+kd6U6il/FFaNkfkooB qiJ5irfcesNfsSC8/NwX/vJMTnsFwrY7V/WAcFIGhMh62cb3SP3A79ElgE6iL+Tkhr1iopW4Q6u7 9tapL9ov1OBFystMfPVgLjL7RitqkTcCwFN/f9PxY3bvolqX+nO3+qQuRzS9frUu69uWbedOWbFS Zy0FXsWijIx6g91iOq/95X8w7G1DmLJ93y5kEZCM9Jfym+zCADejzEmjG6n9kkBxcl3SM397B8SW XVvipTcSfLUMl/NG5r/198jJ8i10s8Q6QmQToJf8Xb/E7gbisuowXk0r+ZVXOIGBUqTAJFtPMBoM LAId5fqrpQaVBEE9aQ/AAtEZ0esALvpgmGebMJ2afnn7QXISC7jNlhk+98C+5u94XCLTO1icpGo/ 5wDETgCrvUjmL6V71PAio/IbSmZe12QfUtooPopziG1TKA8bg48whhK68thiBrbsDufC0MxqOwrp cdqf+AQbDOYP/psxq6LoL5B8LHVnDPqYEaqxsLHv6sGzZBsnZLsxyd2ng07isR3xfRNAIKB+NBtP VXDyK5uBoMrayxI1zhiCzooUYt2p1G1AdGk+PAIXixnutGGleyEqP5zSykfSKExEIL0dVTQRR+dl ejgW97Ff2N+LQCR/mLvGDv7P1JbG3BxaqA/8FYtdJ0TV8T4PdVn2Nv2fuZmx/DSC3kIUisc97yrf MwZrTe1WBPOjQeBo6qn1O3bIMZLO/dVsuTv+nN0Y22etUsU75YO8dndX/EiwLg7xoVou5PAq5M7+ 5jlDZo+nAKP/HUjtz0UpDFpPvqPCaNHFv6WjR6cpQZ8nkAKtSiiJKAtFnJlMfLdMtsJC3Tcer5XL KdRzlJDCfcCcUc7xzcLcE8UiCJi+6cPHJvEnSC+vtFgO3gUa2qe4Jw7vmq9Cf+KpJ+mE9e0R6MHF PmA6SL4q/cG1jn3Zn9V/FOp69JuS9hi6n/3wxf8ynJW33yz5wZJrDsrmky5l/O1OBosE6NXnwJ/D sPxBRxWS5Rk8kuw4e5MNH0EPnFdnfJJbl7p8BeUPg5L6RdcCQMibhLoBEm2qvvvvdYEuCv73TD3J Jt+D024a+GwZfuNllwiYNwlR9Lesbt1dsJ/ad6/DkQ0jsjIoZ3cUTkApV6d0ILhLuolf1DAFnA0Q dA82ehVCIKOD0/BVDfyMe8NMVM9qUTvVAt9RrRpsG+7QjrRCltMRWXnvNeSfxvfWcwJppGRPWXoa oEvp+nNPPIgCim9VXlCDp5MgWwMdr/uyDiiwre0h8h3MR3fDT+hqjyXdGc3J/LEtWayy2EDTt1jM UddcM23XxSLd6nPhhiFReMMr9Wilgdc2uMtDtexRT6hQiYoYQhpgEjzlwdZMzZH0Xkza3EpaCrNx w/C7wx9+u/XI4MvxjhYQLkUBk4bp6Y/crBqRRCMKdL4WUHnb/Bi1Z5ZMMHFVBdgb4ljZkZJ2W8Yv U9BJlSRWFd7WFs2EPvqF/2bX4nzbhMOzS8fd8yQfm4kkafNsnU283WTzzGL4/xLxiUD7sFrkHwvF Pp/HRTWDh4S+CKO6ePZNw5xT8uxCYx8g1X4YUNLgjtswFV5aKzCeMnHedECip6THxPfG3GViJeRx OjczaFmEEJ8LQAsF5ZYnUD21aD7RYyEJ7N/9rh7E/N2qZAdVdQj4DG1bmbOkdv1lQ+HM1TYnhJZb EuBNNZP7JBTtW5Nb/Uc5BII/G5mFMQWL0eRUuzcxwPiZPSMXzEOTCZFSQZhdDSEs/Xcyn7zXpnbW nzKv6Q9oWDzVITtFp4WeTDrO2EdeGB/H8LpCUmZq2tGjL4qf2foLVW89Jc4r5842iOnSwIVXOTtH +yVSfNbidONbsF2zOZJuqvKJNcdsDv3umoHvhjVr9xqJhTF1ccyXG97gstqu8m4gyOnEDd3DRXo3 f+XGIBg61b1uUS6s3qaR9weWim7grQ4Qmiqvr0mVWjRQRlXGoR27rT2ip4poVGvVTRBoSTHN06Qs ApW0i6yyyIN2mp3tbOaOdY3T94JQZmAJ6wuz+TJ3W1HvZFxpyKJqrSJUthjJJGjckC3U06LOEk0J FFt9x7FdbFBvb07G15hjHfh++GW5/QJHly7ee7h8MD96Fj3F1BfIZo1tCOmY5ENENqzskv3J9i9x c+Kr0Y31XjwPx+C1x5Ju3nXBVnJVE4jKvR76ad7JK7486ahSapJVKTofTKt9DL0JSLLAh7twG/lM 8FCUqUQaZhVZj7kj4q3BtU/q8P2xCQlcLeFJ5VVh6p96ZrpNlSthzS/NO0s+xQfj5U9aE5yfaiGM Ucb+AhG2wplofSP5bGizqDuxvvOiaGSriYX4CITMIsgrCTYflJBrLJyqqrydLjRgravHcu+ir+Kp zZIYwXwco9t0z4lHyQyqSEz6lIaTbtlpPNF+0TJaG8uPC9MAJOR7LNSMgemlpccwBcucw4KgPets EfZmwEKfN5uGn3eN9FHnGcpT6AW02XNXdbyKKaLRTbiQ9Llz91sc6c/8abcsgGn+v5OAZKjd6KFp BqvlUyrxYTLn4oLNB3UdqqAplszx2dTcFOuc+lc46KeRntptqy7cHffTfpSA0SYCulUE/g9uCozR 86gQ8wVQ2qw+BXifkip5GEoPcoXbWrUDiXDBpkPOjWvMIj3MREhPLOODX82f5JbERhgh1821S3q2 /0lxeGAhSIr9MSeJkHJHcepSMThJuwVFbOesn84VgsdObiM5QrOl3BXH6bFIrzBGpBYxsOTavClt JZbTvx26Xj7U0Bqgugf/gZng8AhrMfJTZY6mIThO8PJSglgo8iCN2n8Q+6J69z+wx5fckhz/SyN9 0bpsRdfum5FJBrx6tUiHJdG0AMtVEG7NV76QjAnZCR2A6LL6dK8csvaWt2+U8i4yIEkIYdLaYr0s YcBWk5WeLGTFRvq4BAgJPgoOJlbrQLbuy44u3bIirC9UF+GlwEAPSKcB06lOtUISFW/P57hkGB1S 7ZDe8MihPiIIXFs5BTnrklO4fEhLQcv54lGLHHDWgBHixH8EBB66Hxy0mEdzHhGNveG+BB3vGmoy uFMWBR83EIZHsWGWuoi6KZ1BiMuw5kyy3FJK6B7tonE5wCnNB6YTKUm9Rf63njw4rdebLlDvNLol P3FkylXgBC6mRNiunkLQFpNR3zCRWhOoyslHfdAnsnB4VUIjxN/vFawq6u16rXKrD5wDxy8qnbE+ 74pTFAEJYtjm+A7LXX3l9WmNUQduL4vPYOHEHOKji6qYLw1EzKQ7PLEKWsk9MI/GbKsjuDRtIRVg 8BOAudmM4ezpzjA8dgDcRjLF1vCLSOjMmKeNZkSAqEzKBQ== `protect end_protected
gpl-2.0
38fc2a6bcb2a0c39425cdc9361090cab
0.919424
1.920457
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp_recomb.vhd
3
16,444
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ECB1CkPIwKHsQioRWfZLIOSjK8lzdwnjKvmDZn12pjrcz+jvILNDHQEXqxZFjBeT/LJLyQ4JmPIP AwGAxTqDfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zr2idY8z005mlmnfIWX0+yuAdPf//uziGbl8KlyF8VmDvE7drBY+3yfB7ktomAp+eBsQh8PAOxmw ymTVlVp7JoqkesEJ+ZvVdRAJnyjW9Znuy3V6Gg340sKKZqp8Engi9AhxGjDhVzRLhTmbG6FoTw3X mHOfT70g5mVl9eWhKCg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L78/1/9DNmv56NWqj0hU2bwvMFFOBoq6BW0sPVmPVC0dZjukHdfAiAvAFVtqwmKtdkUekbvqdHGl yiWocJknxjVXa2eEEgnPrEr9JGbCTT2KCNmyc/URU9cBEkpOMSTBGLufHKZFfbZX1phuegCCS3A+ otuY+vWMPCC3w3sAgAEP23UgRPikpa8Goat6QTbmAxkrJkQrZ30ACEJoSN7dqj++DoXSYjM4lsfM MT9bmvdC/eN9QRz8woYRUskJAvzkm+MfaU+kqY1WTAlZ3//cy2QLHPXeFSTb7EEOWC3fbh+pauyS DNLU4OD12zXxQPQ4dmIR7Edyym60aQFjmSA/LA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Gpv/tvnwmky/lA+YCagMWsJ3BYqLPf9IQYXEOs8WwEKzRN4Y6P6cnenDLzo9R1bd05ihQnAegI3e CUiYfObqXpaoa8HzDeGI227QCa4atFHNqWod/zD7RKF+FDq2smi1KzwANR+nVTWQH1QoVTR+fLMw FQfxdtPWORlA09ub8Pc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DhSPadUO4pTZf0cUchNm/U8EbVd0EEccXaPSHMgKSl9K5uAVyglnmCl6DxgYq/GdIn1QV3M8EYLU 9PTRPNZlXcxWpRQhCbk4NFcsPiZWPnZpWdKpC6DG/KYK8nJXdt8Zf3pOYHtNEc7SYgdF/HIn31Ui 6Sh5HbBbhTm4qnvYNHCj/CxU9Y6/cqyegQLSotxBvqVR6cswCDE6xZM7bEHGqu1NLttGxKKgX2fx PJBDpCUbFkWBNXZp6zJQ1d/cIFEyzHWmO4iRLTiZzUkHFA8f9JRDX7XLF5vGNjL4gVECkJ45U5o+ rmjvPNSrR9urgnacR3kDi/qJY8f9+gffCqX6rA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10432) `protect data_block JCf0wOEhMe0J3yl9bzebl/weuOJ15zw0MwHZBseCcMfrqxVMnyBINvQXqc6520dDvN/1e44ATZB3 Vn0EG3O7eGii41NBeHk1ZJKQ4cRR4a0cc90MX0thSYwt6CxFPZ0zSICfEW36s4CEi/G+nC225CoN 7AD9bZJcbyBwJwSmzIrrQiJrQ99T/U54Knbf5gfwr/jv+bLmRN7yDTd5dELCdDHwlKyiDeCQ33KL xzDWWqMB8V8B8VD1Lhl/ewp0gWvriHm0Juq0050BKrPpXlAKgTOBrMM5cRBGpXlKHvqypIl6oy8J mbgA/ZBCdDNZIG2HAtOLIByVypa7bsqcSY3N4p+58SnK8uuoNv07pK3oeD24PoBKS4o5qaH5D/X8 mxszvZlZU0pO06ny7jlAKPCvvrFaEYqgOK5jy5ZYOyBJMrQ73A1f/KDAkLvw4IS9QMjMLsi5lgk0 7Ylau/kkYHQt+kFEdmTkqS1ZMyY7v5ouwgm0ncJYgmq9wKZ3ex/EdjGwnqZ6VRQ8dSVCD8d2DEdI Q47MWQQVAe9isqsURRMJza3AuNpnmU81gt8QBuCRrZF55LYPFE8vjwPw/0isc9C7wE5/v/nHoJwg XbukEAPXju7jE0WUCULC2lodu22a0Ro6yxGM7WIHkiJJVuv7mQmDR0jVP9iGTVGwsNNK4h+X30Dd POkkS3eQcjx/DYKJ9BTiej9m/qKQatlZ6Ii48xi29/pvwyIlLoBseZ7mWMF8hTnEwhMsZI5xaG7M IMcsSv+RXWNAUQ7YePBQKc2KK/lI2QHrxdu4kbtZdAf8RdfjANbI6gbRh4qyHkPEestXWavSvTwM Ed5in5y8Bb/0YTo7NERFuN32yu3kXlTQ8nwCgC/RzxwcMy/dpRbB6QFJ0JFREoRgaBwSWLmyCfzW NKj4ctlRl+9ExIr5WwxlqP/603TIPWlIRFDomf8PxrVOnW6g+tIXY0r5T2yhDHpviT9n86PCbz1M jpnZQCkUD/NFPwROzv1ygps7VjCjSPiCDVVsWZvKArzHfhcZIM4PwlBb+FbxXx9M0LQcNprylqze JS7NHx9lEzaaraIs6u5iSRrWsaO4JSkLh4g734J/xkbrk9yjZi13vNQiP5CnI6RwD4yGJVaTLwNY NE74NPWZuDOpi4rIfqU6imIVd6GfBMJZ66SreJ59SWZ+Q+gunqXJDRnVCJEt1J9wyRTnvFGpKEOP Fa5Z7XmMoXUvbloMaJ0stX7UwvjzGXH5a0fMo6wq3dqMOZN1mYUbgtMhyfa5T0n0u33fRRewT0cb t3D1EIwALPwlXT+Migz9lbT+B+TZQnBzp/Ao7pK3Z58kO70W3cuuhGhkAwM6Lo05cUQd17g6nqg5 N7YggYeM/5z2zNJiAlXKzKWfjtU0rGJXT6hpypND0p4nzBGdkT/oEd+AEoHqWwTUhijpmnM8rY+X vhzJQZF8K6+tMt02399ytlNtB+3aPruqzlBbNKBeP/T/PYIKMRDlVwtzzvWd6xYQj/+6VZdZ5Iai lcL3/JdUJrGflByjEGDDFvEkD/vh2nbfeLN8Reupmf/K4tqITaRsIhqDYf+8nclEORfCNRD18PSF /y+ACJlv+9lx9ovjM+yG2hGnUfUfiWS85DIIc/tjlSBzfrdGE95pTow14pmbuw1Z3Bs4iTpKcRlM tjgpw8nIG4+qJzUVuVW83B3cGklcuW8yJDSzIZ0R7PIR/eM8a5YwHB+MTKhl1K9zouQzS1oGOmXp vJsLyxp1sHmflfT61fyCjjn2xOfb4DHVxt6MKE/igZ5NZYpvt6eE3ONlT0hoSw0Yazio3Ibm7OD3 UqSCTBRvr5eI1cHF/R0BqzQzi6YTkN6f5gU3kI1tLi+i1MKy/vQLSoVSqPMH7XhtY8qMaRDHzpbb J1g3XQwBq860dHwSnLZYyWZU0pUu37XpdaRe8L7+fp7xxrzDLsKY4Pr0RGKyB6mh4XDHi6A4fycE 54in+e28RHpAHhf/nnLfE2DyR4Hvp79hNXuTSh0rM7r9wGa3vwX0wZzxS58iRkD4XuvzLrYqxUmh wpyU2fUSaCnsZ989XO96Z2fsW42a+Ed14ULwiQbz6xGv1+nWjfNwGnSJBntcyC/z2TT0oUmIGumQ BFYdbkm5rYrIpMkOpBRC5X2nxMEFR4g522iAjN5gjgA7wCaviW8sINXhylvDZSTO0TTHShXZ7yfv 7gpNcdI/eTH+ja2nMrULGg/S+w/B/nJXJFhKE0jisCxCMeUuqQlNwS7WGQ1YYNR0GJnkemnGxvc0 ipC4t5KmrYjCza2GeeSyL/fwJMk0vR3MWSspQ3/hfOTrUTUwXcpIyzdT1VcSGwwiTXowuqqoaCzU 8K+10D0E/MAr1JzvJCvHJbf87yJ4xVGuzLKtxpdG0iZWO6q220j9A62yuBfRielWG6bYEYWcUSxw iaRWOTKTvcEo0uzu91/45D+RlMeEHuSYBU2ay4akytvYL+xPefG/JMV3H9ljkNU9H6v6VzmarL/B EP+lQ2/1lVKSugo4LuWhILtSQKHL/A7bFeptsl66RMb6kItrb07RZE4uFRcLaOc6vrxkTSK0T/Ru tLmntkaJo7GlUGPbrRF1QLiWQVLDdlSsq9xmcHBwjhJomiFy9nDUK9w8hqiSgNUSMPso1xqqaGBh Bk+18U8qDlbFm+RbSae0XLvjoVlWF0nRyRQtf5mRVjMl+CagJu6W4OYselsuRuwGtmqPClWYK66Q D1/mLnur1LuNYmNK/gVzYLtTzh8LDwD+rvsez3wMg4Sh09kwaFD5Jn2xHJAo23/xLYlgOCFQAujY D+P0s0H52NjY3YreFQ/ra1T/eABPVN4qJ38lPH7kSS2ebfZjyHof8GrLu2MsQOv2dtZCOtIGlo+r 6fVKzVsdlLFBNQ711067J00bY7RSuJZfbRMGnHzU04B9jpM2lKtQcFRifLyLd9CcK0aq3bijGmIw 3RPo+XGpV4PiNkhhGITUmBQXPBDJGPEGPtVkhwgW58bIJ0eG8A7fn28hclTiy2AMquc5jncfHZeS mxLZ09nS3HznDAvSARsXWTkgmDVZSY1J6dUY0W19CYNhvq1tJUlboE7mbgI7isZEZJ4/aqhVuQCT UQVdF9eJSIUsZigiuwTR+NcAszBMOzdcpX6D1nrsyPlNKmfvQasJFiz2hVi99FaK+CzOma8ZSJRe GOwYbmWA6m+zY6U15fbpBfTqzxIwsom5m180z4fod8iqzUDRPuKB1FSwYOhtMSRmwVdQU/1Rb3+x quD0Kp5A4VBe5X1NH/dysOk+xsiY52AkfWUtwMTPHbKEv3EsKSKe9Eb1zcPFIZDc7Mc0pewlQ6RM Gw3SQ/fGx/Z5iQOAGVW4OI+5xXBCDUoRbW3tn9plpDh8hzMLMvqqLHNE+AaxnAoUis8gq7jCnyJg Fjeh+UrNkbxY0Mj0RqqSTyHDdqzN7y4mVUM2LWZn9YuL1N4YlPAdV0t8uasDH78VtLHZ7RnhiUI+ NukUUQQI7hv6bwBFLXL+tREcuT5AX6DYamhQt/UiY6m0eaUlhOgNkyPPmxxzmOUEUr7Lub3YLcaJ bZRcVnC9/mbtW5DBO2PJCSgTGAuK1PEDR5aXKKV0L9NGOjlwWGkNS9nw4iLpPct6SDUCLaIgJjPW IG7bL4zgShDXwhtVcjwgWch7IymyYGf/RCqSF/HQP49JlwRFze7GjRSiSc97HGkAym6Rqp7skPOm DE3dDrzU4MgzJ+l2TGTuPpjQ590/yQ0gMqpaUUMTMBnVdxVXMEj1zaENrdkJxoHZOq5urDvw2AoD hd6sRfJKqUjRNwOTRIC5zJiQ1qkdpX3k1Wz+nM5SrWRwdtGBKWupX55jiBrXq5fu+FoCYqY6LwjH FT8PRlNmb+6QtabZtR0efb+tR5Uk25rsmAN9uo2298y7jpSwYHftCMaHizH7OKqzbUGgx/bp4OX4 1ORJmLP65Ca66JGt8ccObvDzoJapFeerqZFyPllR65LOjbXgQsXeTPnLQgPuFM+jNEDorgIehUmg otJDQ1bHHaCFlrmy8q1BOLBFW9AVXr7MSZxw23FRcp9h+BWF8D/LkIQNOoogSWWwxVCXcIYK+AMl Zj3PAIvDUw2RMMhq03tSmZ6/88BhFRbqUZo9iDAswTpDgRossYsjnfdF4L7JONfy3Jl7iBFQT/7/ o2WbD8tYSPRuRyPLfNmJ7sOdGeGkwinw6HoeDpfnJ/a4hQqW9Y5UJeEQtzyCUEK1nQR2VDOMK1iP 4FYnyUAs23asHIx6PQH7vcBgaHE6EfpgbumPgTXt2i6u5auBaU1YXC0UGVJPE3S3AYPJwiZDVjzr CCjVhgTg7gdg1dSjWYK6NHjGRdChESTDzBleaI++tcPeA51bkBtxXYCUH2vpcHzRo81GCVuWNY/t I1LxrRdt2g4t7IVl2R58auXekvZXo41JddLdigsagzsc036wwvLSxjYKT3bcGSOkNfvook3mpAvJ CT1g/4p+0cup6T+dKsVs7R6n1l8+tHdH25pxDJg2kB1lVVgnh1knAPEWO3CV3VRre41xjZbhgBTz xdmraoOIKhaJcsk20y4re8ytBzCTrdbu0PUGcgcjHh+XsYcKTfIcZE1AYnYiBX80A1j+8foh+1ts vdJd4bW1cd2zx5OTN7aG4YYcEkuO4kSEV6mUI9xKUkVchftHbG5Q2VNQDED0CGBzpM5QnfsySrjQ o4Rcb4cA68yunorGriETTm1W/GBLWPiwPuTMZ7BC+KzxlFlPG15+MMKy+3Up5TNkXSr53FwA5cBM YOAmJFul5d5uyhazuzBnqR6IoWeEQAa7DQzLa91woBiuPK7A0fgtXMV+fHxJviwbk7sgaCiF1dgd CG2T0qZ91y8Y7k9Kc/OmkRtxAp1bVpZh5zTzsQp5SNEw64jiKIRihGpiNRi4Ikp01tIAwvkHB2Ef qmJzVO1FUYubbH6Xc9CCzjNHGx5PUaSqWSSFpJXZUJwnaqy0dux3i0Jvf1/irO9Ssm4kKoLXRsWA Mxn0odBP5bZVmpnVkcEPEHa+wb3IM2OjHn/7WC7lIEQCJTghJUavhkFpJulJ4TEvIdZ++f0JAypY WaVgUukYCF5xr9Dpc3VPQOqumsFX+wb7DMSpxFdLXDPh73s3eQpUKNfYf/vgER5+IOeRp03Y3AGa t4tFHJGL1huS7dp7R8iJzXGwEp4jCRbH+SERjdClQ6Adtz4TjR7Vu6Mmq17i4hBQ+rcd/U13nnUT Kw6Ggc5bBi/taEzdCDqWDmQz8Px8gjVVOlLPAZI6SfvC/m1jCb/qznA2DXthYi7tKv8QVL5HiHHv 81Y81DHFqoJi9IUt+KSbsZgLC7B/WPfl/qUZdEyIrSYrszyq7CbKjotXvV7ck6bqy9+d9drBcUXa IT4Z+uLTnyh4pDkxwsARCopYU6XpQZcvKFFJ+SPtKRc9eygDGVPbv8iYhdas9tWh9lIXom/L6Hxp 473YcWmGiTh9tJjSdYLH/2itwsVu4AiOodiQsLKPBKcdWZH319/rUkpr5pp8G3t3Gcbaqjr55ozz UzG/4XxceiJke8CEdyCOhyr/cv3nMByCHc8vwwBw/Y1PIjA+AXGjituSuzzqcriFKPl8dl4vwpNk SqxtV/TrDrcObtYSu/jctKWMhL9C1pFKYmslBPO+MffgF9R33rV78AHV3HC1KbEITOxrOc2WUCTv ijARI9fd3BFDcClZI8lC/stAuo4iaBiYrfwxypBeAdFHQnhyf+yj98w3UqDbNuc0rlBZkFYcToIV tWTC6JSsitwiM+4nFuTcmGGRMwtB3UDgwJoYAJLAIVTvwskbNnKpg2s25LqGHE591T0myV4w4mqH 4/+cNZQCvgNTVfzevotxVz1GUDVf6NuzBVzKwNtRO+lfS1h2YMWfpop9SC0l3HOjli0vmSA0rNic tT1PWZLedMT5c6HYdnNK1VJFXNqYq5Z8kHFi6JXUrIze7D2O0R44CEs6q21+16U0ApIfaxhNtZ/9 J/vEqAFvzzg8nlg3yC4I8/dmWCJHLRQutqLVcU7krrkAR5omJzGNeJ/ETkSp3LCNb3GkawSstQTS EYkpPTAlsnjfw3Er4nE/11xhxAlOuJUtOsbEj55yr3/wo/MICgEXrhtjDJXx3pC64KCPEdut7mlE 37jc0PjDr6LUk2ltm+PX3fZgDqOvRBE2r5fRMO2SHrTfTJpqfSo9q/D+jau/91JowmCx2XxDTw3X fDBUBQVGiZKW4HX9OlAK8LtzabZTbrE4FzwX2SkLK4gYzdQbbvTk5GVjF0OPGeyIU1IVQchrmer3 09fzh8X8yVmP1DI2d3NnL4ZMzmup5vcpuoKjEX7/tGFTpCEukcvBP36QSZxGNKfgkB8pwnUA1kCL q0xN9S5Azc62gcIp+wxZAUgO/qKCYUbpkI2uaJ75JKQ3YujyqL691wnvaKZ03ptmsZOmP2Zn/dd6 PcNFaJjPwqpj4iRtGBfGDhj2y9rbvatbxlfqk4KIg4v+A4SXmVDyZyIkzYgNEUWMrU9PibZLyYoW 6POfOfUhYginaERGK7rmxoYmSM3sSShWgmx8Ff1k6NXQnkXecoo3JdgG1mwJGKmnJRu6NJ47eHb7 AniCgeHv/BNe07y2YXfyJedSOBG/SFZb/1n22fxltPzivlulmRT7A0Iq2ir4BTwOZ4i4BSc/z/Hi uh6I/rpGKKLKeT7nZD1R/8DNAnSx7U6dmTEWSrMzvatTbZ427hi1OJ0ZuCpJxZqwEHKHPlzXrOdN PyQtfPhtouEuPjpFI/nf+DxmB2cY64yLrc/8gNke0fKb18hrPiMT2AyAbfAovDSUIQAHZJpyCIHP 1g3iRy7oRypln0Mv64SROuF+tcLkLgWvrsGT0lzwwicaDxiAXLRbnpzdKrB2VA9z3vl4c96K6DmO pFHVZKsKtNMPwu/c+QxUSjT7d42POd2ExErei28NLX7ZttRHqYlj9ajJbf7thj3BMKbKTvecivYM r6px8y6JNNnPa+0I1hCPk79IrXomE6UrwT2fA8fFVs0KaqJwjyJBJuoUSr32zVx3r5gREC3f9pzT gbiJuvh0DtE8pJ35jmJUFLAE7NOCnOld0UkyZBvXqbia+MJg8KGuH2w7xOv0Pr/YH/+3+hOYrfJq YFN+AbwCgvbn1dep6ZZcZZUThWwwEX9boECXL8emKISin8IquYkg2m9uxn/TewkxPfL9qMK25juw RgFEzTb5noz8sfZ2Qm5Ff/cqlf4quviDzeDxGLqhe2ct/87UBxO4SHSd7PWeTiIK/23T3XCzWdSw lRMg6xLwsoPoahAtdJGExci7M0lpn1quQw+dWQa16OwB0zxOKPl3Cta/bHyAlD0NhumNNrJbtdMr /xbBMBNhU8JmJGXdVnkpsSxshvoauGLbCzMMi+a+oE5CEZ6o3ONIK1fSlvOOEEIrSmfrC5Ts+7S4 Eje0/teaMs2duQNtQEUanQcUoulCMV2rT3mQ/XBFycBwASSxPUb6LIB2YmDejV840StKITniOCi7 SM+sOi/QxWyLVLCsigFOsTdr1Sfv8OUHwCIs/+9x4PDvagZflUHpiTZLKnfNt7CXcWcpgpsesUTF wf0FGyYs0X/pTsecFJjZsXtIng0Gwwy6t686ziztR2AriE+SLV6s+8gxfCFbxaby4M4PTTGqnmie C6GsKJgF9njtJluw6cXTASZ0KIO3SI2fmHIwAPbvhJ2OcogzW6A9sOXKbvfgn/iibTFU8ULwiPQc WdCYXsCd85UlqMfcSsnkxqY8/QNrb4JTcrGLA+1Q0zU9TrhptqZWvw3y4jPwkudTjf4onzD+FKEL eHZ3HdG0NF7cKwzGpKiXwzyw/XbcYCGD4yqQRh9ZyjmoLL4lEsH0SduftwqFa0dJqOwcpu5NtUp/ adl4Q9F0CaCQSBxWkKwFvyg4RtLQuttJhqP6Bh8CDVo9f3+TZrtzzEEKfFAcmxosxUPGsFG59ODr 7BEPxedBuEMHL2y9xjbNDGbKIgy7nzdeGlyC/m5i76NZ6n4IOQV9MJVVWyOIdskhEZkXsr/LL7jS 93eXUHXFyXCEDEkqib65BHSo828dVd7XdASesmWa2xY2r/EuNjldEJPRzDNjy9j5B6qxWvhwqhxH NdVRUYRiZe7gnWI+bKZGlCmCGrjhjKt9kpm0X69g4Dameeri0rTz7MWYYEnFM3k417dmi0Si2JAo oVV6uM284WoXrrMnoheZ/Ty6iEmiNmOweZJjporqgxfnPymThr2lwFiErI1kg0Ust7Msj9ZAqCxt 1n/dboA38ro9Lm505xEMBc3imWh6A4KLsGsn3tGuAXnXQeUpgqiveq1BP9v7t7xapl9nuyAjFJhw jk6Ja2aJruVeyDkdMwspslFzmxLbDLy8MKns5GleermzycrNGaIrAeRuHRY0Rro45p4TEaUpgDdZ uaTFwfAZI48MZbfoQoBtVZ841V0XjLRs0AG0IyCNgbZAnprZ31mmuqMH64dls0apXdtNMeadzzUZ DizTg4flEcogzR5JJDdSWgJKLKEym7sMu6ZxMCYSjoP15tAE7jdMRCSR2L3vQZCJDv3QkeP1UWN6 Up+XRwajoC9HnL/1qbH/7wBDWZ1D4NdN8cNQR2CQFu/ow4JynzHXaKU8rBMk5qQClE56JGC1IJXb 3IJh+kJvN6Y+SihrdeKzTfeNUv1BFL9f9/tbvnStwzKkJJAVMIETyVLOSJyPGADuBgfhtS1glA3E igN1VKhgTMJSlRXpK6iOHFJzHmp1fBilxzWmlcRnbX+rf/54rf9ft7EbVr7v8xYyvMZJzFTgaqLr p6nS/sktI5tGvsQpxV0Gyv4EWwXhBEipWqprUCljvoH41MOv1b0VlZ6kaUlAz+OxV27hBzgxEeSt qnK4w9GjgBLHQ8fxxxsjQGkJL7q1scMWe/WOZvjM/vkF2X0ZFo+89hupe6Jz6Veef8ed7xiNtPwR SbLyTWUzcy8vopra38KZeEuqFV8d0x809B/Ivt094t8WGDsvPKTVCiIrI5/MUBI8cs6TH8ZySvdA 1y/okpNIVkVcMp9je+PfYtxB//kmG6ZVErib93qmQYvmYcGSEIjulasnslbnmXsHknMdXt3AGfOw HNv2FS2MnXKRApg5Ag+DkxDtJGjKT9ddp6hEh3xUvWyZzXFJJv7yV9R0/HVIBzKEnJxv5jP4zqhx YkXNHMlcyWTWbKBswr9Ye5SAtAoPvXZi6N/MTmrJz47w0KSzeZ3zzh4E+TnIidQsh5mvyUE2D0wh UWTulhwlOM7w568zjaPtQOi2okhQdyau2/xKg5M4zGMhN2Lc3j+5W2ObK3WDEG0xKvd71QKSlkqj SYI/DD1Ehk3DvricBx7O3oXKDSSx0rVJZ7hvev2h1ZHdUFbBww2cCAf8Bzv8qrSj4uZkR4NneAGx +vkT1JMCCvz9J1YsdAX0WQwJ98z+eoaGD8cDlszx/oDf1Xy9BaH5ZPvuMJiyJ1O+eJwIS/eO0Juf WZ1nFH5ibWFr/89EQNWV6BQSo4rkg13vMdoHcCmqzObilbUR0/lJGSx9LZwtx9bLz8NqjoVg6QXL CT3nIXrHDbstL6FvcllXgZ0YoCzlEr7ZjEceWC5OLjXd7wZ6sxmJVXEpPI+NC3XulKcLew/el3Dk b+aAlpRz13uI0aRlhPpNcsTmkMG/5Tci8UySk/wX8/tZz8C2kr1droWcJ0K/dsyg+W9MAXyU23LB W+YLPOqRaziAF4tvh0eZKy/DxPcmAuvVgSJpC5z6fNl2iJ1QX2+qoFmfHA6gVgC/G7GpeohFDHrD l0/rNk/ARWtl55mm0hWaUMiMpdsPe+ZhfLjZ6XeV+xLr5JoSkQcuE7vDqbxaHitFv8DwAJZnaYBy IYqY9ElaJ3/3lIrXXsbe+M/xJtKeeKIZT0eTVVbcld1J5OPPD5uU2PmM1H/bRrT3iXcPRPq3yi7C witQuO2N44xQ+tPuO2ZAE0YcKomHwHbdvYLblsBOm5fs3fW0lIIHeYoanmSJeX8pxgHQI/A/CFm9 j7UwMq/CftAN2t/nWqcdUF+qtFeCMENN+W9WTW4nakn6AT24JLH+8hKos3FlWbNECCSTr5E9u5YS B3AAUz+IhnAEeRvEZ1vDmsTXuQBvwJrbR277AB+zqG4joj3WVjwDQ7S2BPavQladh7z/FazH+PSf vmFk+e5NsCzbc9KlQR0z/Sb3z7sOE9ZPQFsU9CtqRZpJr7H0M5IjRps1W4ellHfv/Cyb+0Nbz2Y1 csTCvYYOMpSLnFAJMHLXF2cICRfDCwcV1hAVngHRNJhDapkaF7ry9cRLGBDbgOL4UxnpbExHZirZ 8cxBKBWhFE/tseRjny0J8h8W2WcVMTUeqYu0oeoW5Em6tuClekVa6x4knDkxL5xiCxhbJ8cRTLCH pe8Oexs3hjSzyFGxLMemtbjxhCROQ8xhc3BOJqK4DzAs/HOuFTQjOZplZRV2dTvUpITLuRzDUFN/ W5tzLQBgyYyYA6TRGvfPx8OO/6A0RjA/eY8Ts7LSy63SLPtoy3AX9ji1da1q/vT7HUNomSumbBrz v4bwGgbbr5UH85VsTSBoRuqHcZv2Wp3mE2cT/Epykp9UZSqiZxfx/3ALZFl+z/H+uE5xSQXaZiIe EwmrELCCVOwY9+DfLD4cVPYWz6s9rHdzLRCMpIpVUf9fdUUiond6gMqZ2UWgN2kGkHhkgrW2k7q6 LMUuqD4Mcygl6YoII6UMZJtyzO0aSTlsPkoAjMSTxqujLr3Md3uVKp7rkXvuoI0ZkYisAeJyUZ7+ KLOJH/m06/dpEBEvV87NALMndbAcI2uht8cdFy+FCBkVKtEk5kguSlLT+MO7rvdY1TfqIDozltB5 Ti9KlHqn/k+Lkx8bo7QTmW7jrVb9pIjB7CnJL0Ui98BVQ5aP/j0sfQXhTIHBtuWFX74SPpWIILBQ 8yWJEASN4dOIDFviEj5Ad/4gy4TJbo2xRGPn5zP7KVSYCCrjzaiJCxvl7ESSznmI7dF4Kc6B85oT oBEBIrnVf9bG9NsKbE3dYOkO8E/y6je+aXp9zwRnKRoHky2fw9m0uSK5h9f9ryywkBWueaD+mVmV VTuAqstB6pyFTorFr3QY75OAttbDIYb2hlnrDRTX0vsAQ8NrHrM5TpRuFlY790/BM3ogZnFrfjuJ 26dWc1S5Z8Xy3B5OKw7j7pFBBv9SQc37GRzPyKMuU0vDlNhXsPCdDowrYUkx/E07qKsA/rnhflch KRxGjzdVPRmCjmS3OR/lir08larfMe79qNNIKRntzxXLQDIx2gQ4/bRQDPTVpvZxfFFgEZ9G3GfR NKc5A8YF70gXt1dzWRczklWqvDs6G2QuxFxWTqPFDJ/Ds3o51cYHKQQ1gclWu6+lc44PRiJrRmHf ewR49QsgZ4gs7mKRTQebDMMfMCvsX2rL4bslLuj9qtPlsL7O59MZ0vWztuWvJhQH2Mdwjm54ua3W xAcxKeh8TxoTODMzf0tDoa/qZSTa5UkOP5Wp6vY+FA11+Dwpfk/meAfI0SJ3+Uh6MvtYX0wfS9dL 1rC2Dz0QNV1SgG1eDMJzwEfTyW6nB9ywfWNFJQo5bB+Tikyp2yULLD1th3eS8XEJ9F8GRSMHEFRB WHKCCNn0u2HueEu7BA8ztLJmgfRFKRFUXqlyqcjQW6PSFO4jShL1BqEdio/QR0Ynz/rwNfFDEAq5 utOgSInF6R8Njl3K2qJ5TjGXZnDwUJi6fQJw36WXoyn4Hr5XwDRrq+oLUJ9yfiC6sX1K7aZP3ysf we0N89p7v/iPa0zhQ/7N1tPn16Dr7dUAXyA2Mm+aJYNhaoOn8OEabvhH7hCku7IKH5WvwAW/gIaw p7jN8GhvvvEc9bO0F3ljKL9h7AViLl5334OQkCJhn+YX4udJ76v+12ygvXt3fmb84q0EVFrFpq+w ZjbSLd3LL66v3NDh27xvlMaA1n6uKUt9+PUAvZlqR9UCwIbckg84Ql1wnBQLF4a+EhS6kjo5BviO 4iFgU4FYJ1s9njagzRBAu3E/DwWrzd0SgdDPjJrI3UQWJGxRQpi2SC4chnc2/kN7Ax9hf2CPXlDO alT95kx7FRYkk464d+cOfdaSKt7Ni75YN+bimQv7pHRVXWMKWoowNXj48A+lSPeltjWnTu92Sas1 tSdQAQDSXnsHO+R8CAol6C49CvfrGQ/ceT81lVvf7/3awYf9171yhkBYVuWVH6dnvYyUwEAypyJ2 CQJ2a/aWwqaPlJmSLX7T+HVgpsZHMUW1O/CjbSfDIDnE/9tCLonm0DYc2BvJuYS1WQbhUs0S0OGJ FLfrnmhC0cXPN+iAcwTKGI/XAqbPPLgaBvGUuSCxwsCbDydU5Eg1Q0aPAFdATpu6rVYVl/nB/jxS vyHSh4SyMxLbUS9VWnj9ot+svCxgInscCf+qcs97wfHpvhHzQjdcxUNWSzHWdS1FRwz1t26tpeBK tVX7JcPV7DPwMLlI8NAXynR5Ym+yjLlt8Kjq5ZRpxvl2WvHwqL6KKSfP1+12UWaLPUHiVnGFJlue AhYhwxWSILosgpBUYm00o+tk9q9VxhzlC2ONukPbJeoaiDNr2EJpQqwreWJLKhIethVV1oGBO/tn L+z3oFC4JodAuDwYJubftCa5eSrF+xsmUHXqi5UPju96KhPGxDokMI91ZdHDTMq0Thw9isnBdlO7 V16dkfNkC/F/w4lvrz0InxUB84iefjFSurHilu32YsUHzODLvztehyU4T52XDD6uHeOvdWq59OR8 iCOkxOoMXp27iagRVaIw83PabldRFUHvKIWCl9Bh0lStr7GvmgKop4m00P52GEG+JEfNiRaKn6Um XSWnX1x1nZOwnCcZWd5hmowGyHbNleAiUKxD300+xSbIR7YKl08IfDsl7jfZtQRRb9NvdVX+auCb HM0IcLETmNZ7/qNQM8NxL7NZk9cR96XGwXhm6yNgxJLUT/zMnJcbKrG7dXN5XS0KuYlAJqbHlZUC xgpmEkAdY+lkaNgT4znG3P7yErEbRf7G8qnTMzR7fYmvKbuMhtoVcFk6+6OVCMhysDyXwCW604qh XQ89JSpAcmreVurH1EAafCC4Z81cMVqr9q+AcpS3A+PWR+m0xOczycL+9YZUvoCjnczLwNrLbd9s I5dNBzVxGX5NtOuq5VoPnfPrN7427lG6lAr6If73xR/LTdlwlx4UjGmZo0kqEaOC442dliuKY4ix MxtqsdFUmWFTo80U/JfxDAih1NAONqZndWBP6AYyoVkxGnNnAARARVze5S9GOlkJjVdfhPvGaqKY vcI144jSGts94Ff6lGhhQxq+VEQWkSuVIOMv1pOZ9KD7XpcFDYjjeyjgD8BWuJH+m0pM1Hx6kLU+ wkvtXIHTI6KwTb6j0sB87uYbzZEBoipRcu0j5YQbcT4HeMNvVbL4yPurVILTulYfZHZMKeiQYsw+ x8WaSPsgSpvwEWcjJ270V43nFjVIzDB7Tm+guDiijwEzkDxnOHnBcHyQ4wq4BEA7XASLkD0bVtEp BEvUA5n14/sLripXXyQmo1vHCNDGDiyq5nm157vk+wDYmV89il6JqTspIrcYjCQPYFKbasOCyRj5 BhGQl9iIyCzh/5AFwuaeVupwWheFG4CGHAFzhOFlCNU10R6Hk+RAiqiV2HtMzN+nbAwXOs8QP9Gf tnJhz+uZLpW4/ujogdBxkl/e6CGzAQMNKV6W3kYSKeeydlygd8itSrd05TWxRuYeF56nKoFQ+TL6 jort2fm8C8oSmH+gJGBpkY1ECD9qn+lZxGSylwr9+W1yoNeFGEN2d6OGqrzCb5tbLjTyeoaL/GKY 7j4+dJt8w6GninzRYhcflPLU7Y3VqQHad3eKw+1SW0NPdmCp3eXo8EOhiUZFuKSajBthLxYHpRyj WA== `protect end_protected
gpl-2.0
d7c27a2d5c024486dc77df31258303cf
0.936633
1.874815
false
false
false
false
UVVM/UVVM_All
bitvis_vip_axi/src/axi_vvc.vhd
1
62,695
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_scoreboard; use bitvis_vip_scoreboard.generic_sb_support_pkg.all; library work; use work.axi_bfm_pkg.all; use work.axi_channel_handler_pkg.all; use work.vvc_methods_pkg.all; use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; use work.td_vvc_entity_support_pkg.all; use work.td_cmd_queue_pkg.all; use work.td_result_queue_pkg.all; use work.transaction_pkg.all; use work.axi_read_data_queue_pkg.all; --================================================================================================= entity axi_vvc is generic ( GC_ADDR_WIDTH : integer range 1 to C_VVC_CMD_ADDR_MAX_LENGTH := 8; GC_DATA_WIDTH : integer range 1 to C_VVC_CMD_DATA_MAX_LENGTH := 32; GC_ID_WIDTH : integer range 0 to C_VVC_CMD_ID_MAX_LENGTH := 8; GC_USER_WIDTH : integer range 0 to C_VVC_CMD_USER_MAX_LENGTH := 8; GC_INSTANCE_IDX : natural := 1; -- Instance index for this AXI_VVCT instance GC_AXI_CONFIG : t_axi_bfm_config := C_AXI_BFM_CONFIG_DEFAULT; -- Behavior specification for BFM GC_CMD_QUEUE_COUNT_MAX : natural := 1000; GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950; GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING; GC_RESULT_QUEUE_COUNT_MAX : natural := 1000; GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950; GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING ); port ( clk : in std_logic; axi_vvc_master_if : inout t_axi_if := init_axi_if_signals(GC_ADDR_WIDTH, GC_DATA_WIDTH, GC_ID_WIDTH, GC_USER_WIDTH) ); begin -- Check the interface widths to assure that the interface was correctly set up assert (axi_vvc_master_if.write_address_channel.awaddr'length = GC_ADDR_WIDTH) report "axi_vvc_master_if.write_address_channel.awaddr'length =/ GC_ADDR_WIDTH" severity failure; assert (axi_vvc_master_if.read_address_channel.araddr'length = GC_ADDR_WIDTH) report "axi_vvc_master_if.read_address_channel.araddr'length =/ GC_ADDR_WIDTH" severity failure; assert (axi_vvc_master_if.write_data_channel.wdata'length = GC_DATA_WIDTH) report "axi_vvc_master_if.write_data_channel.wdata'length =/ GC_DATA_WIDTH" severity failure; assert (axi_vvc_master_if.write_data_channel.wstrb'length = GC_DATA_WIDTH/8) report "axi_vvc_master_if.write_data_channel.wstrb'length =/ GC_DATA_WIDTH/8" severity failure; assert (axi_vvc_master_if.read_data_channel.rdata'length = GC_DATA_WIDTH) report "axi_vvc_master_if.read_data_channel.rdata'length =/ GC_DATA_WIDTH" severity failure; end entity axi_vvc; --================================================================================================= --================================================================================================= architecture behave of axi_vvc is constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX); constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); signal executor_is_busy : boolean := false; signal write_address_channel_executor_is_busy : boolean := false; signal write_data_channel_executor_is_busy : boolean := false; signal write_response_channel_executor_is_busy : boolean := false; signal read_address_channel_executor_is_busy : boolean := false; signal read_data_channel_executor_is_busy : boolean := false; signal any_executors_busy : boolean := false; signal queue_is_increasing : boolean := false; signal write_address_channel_queue_is_increasing : boolean := false; signal write_data_channel_queue_is_increasing : boolean := false; signal write_response_channel_queue_is_increasing : boolean := false; signal read_address_channel_queue_is_increasing : boolean := false; signal read_data_channel_queue_is_increasing : boolean := false; signal last_cmd_idx_executed : natural := natural'high; signal last_write_response_channel_idx_executed : natural := 0; signal last_read_data_channel_idx_executed : natural := 0; signal terminate_current_cmd : t_flag_record; -- Instantiation of the element dedicated Queue shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable write_address_channel_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable write_data_channel_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable write_response_channel_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable read_address_channel_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable read_data_channel_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable result_queue : work.td_result_queue_pkg.t_generic_queue; alias vvc_config : t_vvc_config is shared_axi_vvc_config(GC_INSTANCE_IDX); alias vvc_status : t_vvc_status is shared_axi_vvc_status(GC_INSTANCE_IDX); -- Transaction info alias vvc_transaction_info_trigger : std_logic is global_axi_vvc_transaction_trigger(GC_INSTANCE_IDX); alias vvc_transaction_info : t_transaction_group is shared_axi_vvc_transaction_info(GC_INSTANCE_IDX); -- VVC Activity signal entry_num_in_vvc_activity_register : integer; --UVVM: temporary fix for HVVC, remove function below in v3.0 function get_msg_id_panel( constant command : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config ) return t_msg_id_panel is begin -- If the parent_msg_id_panel is set then use it, -- otherwise use the VVCs msg_id_panel from its config. if command.msg(1 to 5) = "HVVC:" then return vvc_config.parent_msg_id_panel; else return vvc_config.msg_id_panel; end if; end function; impure function queues_are_empty( constant void : t_void ) return boolean is variable v_return : boolean := false; begin return command_queue.is_empty(VOID) and write_address_channel_queue.is_empty(VOID) and write_data_channel_queue.is_empty(VOID) and write_response_channel_queue.is_empty(VOID) and read_address_channel_queue.is_empty(VOID) and read_data_channel_queue.is_empty(VOID); end function; procedure peek_command_and_prepare_executor( variable command : inout t_vvc_cmd_record; variable command_queue : inout work.td_cmd_queue_pkg.t_generic_queue; constant vvc_config : in t_vvc_config; variable vvc_status : inout t_vvc_status; signal queue_is_increasing : in boolean; signal executor_is_busy : inout boolean; constant vvc_labels : in t_vvc_labels; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; --UVVM: unused, remove in v3.0 constant executor_id : in t_msg_id := ID_CMD_EXECUTOR; constant executor_wait_id : in t_msg_id := ID_CMD_EXECUTOR_WAIT ) is variable v_msg_id_panel : t_msg_id_panel; begin executor_is_busy <= false; vvc_status.previous_cmd_idx := command.cmd_idx; vvc_status.current_cmd_idx := 0; wait for 0 ns; -- to allow delta updates in other processes. if command_queue.is_empty(VOID) then log(executor_wait_id, "Executor: Waiting for command", to_string(vvc_labels.scope), vvc_config.msg_id_panel); wait until queue_is_increasing; end if; -- Queue is now not empty executor_is_busy <= true; wait until executor_is_busy; command := command_queue.peek(VOID); v_msg_id_panel := get_msg_id_panel(command, vvc_config); log(executor_id, to_string(command.proc_call) & " - Will be executed " & format_command_idx(command), to_string(vvc_labels.scope), v_msg_id_panel); -- Get and ack the new command vvc_status.pending_cmd_cnt := command_queue.get_count(VOID); vvc_status.current_cmd_idx := command.cmd_idx; end procedure peek_command_and_prepare_executor; begin --=============================================================================================== -- Constructor -- - Set up the defaults and show constructor if enabled --=============================================================================================== work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_AXI_CONFIG, GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY); --=============================================================================================== --=============================================================================================== -- Set if any of the executors are busy --=============================================================================================== any_executors_busy <= executor_is_busy or write_address_channel_executor_is_busy or write_data_channel_executor_is_busy or write_response_channel_executor_is_busy or read_address_channel_executor_is_busy or read_data_channel_executor_is_busy; --=============================================================================================== --=============================================================================================== -- Command interpreter -- - Interpret, decode and acknowledge commands from the central sequencer --=============================================================================================== cmd_interpreter : process variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; variable v_msg_id_panel : t_msg_id_panel; variable v_temp_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0 begin -- 0. Initialize the process prior to first command work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion); -- initialise shared_vvc_last_received_cmd_idx for channel and instance shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0; -- Register VVC in vvc activity register entry_num_in_vvc_activity_register <= shared_vvc_activity_register.priv_register_vvc( name => C_VVC_NAME, instance => GC_INSTANCE_IDX, await_selected_supported => false); -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; -- Then for every single command from the sequencer loop -- basically as long as new commands are received -- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable) -- releases global semaphore ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, v_local_vvc_cmd); v_cmd_has_been_acked := false; -- Clear flag -- update shared_vvc_last_received_cmd_idx with received command index shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx; -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_local_vvc_cmd, vvc_config); -- 2a. Put command on the queue if intended for the executor ------------------------------------------------------------------------- if v_local_vvc_cmd.command_type = QUEUED then work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing); -- 2b. Otherwise command is intended for immediate response ------------------------------------------------------------------------- elsif v_local_vvc_cmd.command_type = IMMEDIATE then --UVVM: temporary fix for HVVC, remove two lines below in v3.0 if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then v_temp_msg_id_panel := vvc_config.msg_id_panel; vvc_config.msg_id_panel := v_msg_id_panel; end if; case v_local_vvc_cmd.operation is when AWAIT_COMPLETION => work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, any_executors_busy, C_VVC_LABELS, last_cmd_idx_executed); when AWAIT_ANY_COMPLETION => if not v_local_vvc_cmd.gen_boolean then -- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx); v_cmd_has_been_acked := true; end if; work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, any_executors_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion); when DISABLE_LOG_MSG => uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness); when ENABLE_LOG_MSG => uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness); when FLUSH_COMMAND_QUEUE => work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS); work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, write_address_channel_queue, vvc_config, vvc_status, C_VVC_LABELS); work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, write_data_channel_queue, vvc_config, vvc_status, C_VVC_LABELS); work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, write_response_channel_queue, vvc_config, vvc_status, C_VVC_LABELS); work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, read_address_channel_queue, vvc_config, vvc_status, C_VVC_LABELS); work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, read_data_channel_queue, vvc_config, vvc_status, C_VVC_LABELS); when TERMINATE_CURRENT_COMMAND => work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd); when FETCH_RESULT => work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response); when others => tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE); end case; --UVVM: temporary fix for HVVC, remove line below in v3.0 if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then vvc_config.msg_id_panel := v_temp_msg_id_panel; end if; else tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE); end if; -- 3. Acknowledge command after runing or queuing the command ------------------------------------------------------------------------- if not v_cmd_has_been_acked then work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx); end if; end loop; end process; --=============================================================================================== --=============================================================================================== -- Updating the activity register --=============================================================================================== p_activity_register_update : process variable v_cmd_queues_are_empty : boolean; begin -- Wait until active and set the activity register to ACTIVE if not any_executors_busy then wait until any_executors_busy; end if; v_cmd_queues_are_empty := queues_are_empty(VOID); update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, ACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, v_cmd_queues_are_empty, C_SCOPE); -- Wait until inactive and set the activity register to INACTIVE if any_executors_busy then wait until not any_executors_busy; end if; v_cmd_queues_are_empty := queues_are_empty(VOID); update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, INACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, v_cmd_queues_are_empty, C_SCOPE); end process p_activity_register_update; --=============================================================================================== -- Command executor -- - Fetch and execute the commands --=============================================================================================== cmd_executor : process variable v_cmd : t_vvc_cmd_record; variable v_read_data : t_vvc_result; -- See vvc_cmd_pkg variable v_timestamp_start_of_current_bfm_access : time := 0 ns; variable v_timestamp_start_of_last_bfm_access : time := 0 ns; variable v_timestamp_end_of_last_bfm_access : time := 0 ns; variable v_command_is_bfm_access : boolean := false; variable v_prev_command_was_bfm_access : boolean := false; variable v_msg_id_panel : t_msg_id_panel; variable v_normalised_addr : unsigned(GC_ADDR_WIDTH-1 downto 0) := (others => '0'); variable v_normalised_data : std_logic_vector(GC_DATA_WIDTH-1 downto 0) := (others => '0'); variable v_cmd_queues_are_empty : boolean; variable v_finish2start_warning_triggered : boolean := false; begin -- 0. Initialize the process prior to first command ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd); -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; -- Setup AXI scoreboard AXI_VVC_SB.set_scope("AXI_VVC_SB"); AXI_VVC_SB.enable(GC_INSTANCE_IDX, "AXI VVC SB Enabled"); AXI_VVC_SB.config(GC_INSTANCE_IDX, C_SB_CONFIG_DEFAULT); AXI_VVC_SB.enable_log_msg(GC_INSTANCE_IDX, ID_DATA); loop -- 1. Set defaults, fetch command and log ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Check if command is a BFM access v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay if v_cmd.operation = WRITE or v_cmd.operation = READ or v_cmd.operation = CHECK then v_command_is_bfm_access := true; else v_command_is_bfm_access := false; end if; -- Insert delay if needed work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config, command_is_bfm_access => v_prev_command_was_bfm_access, timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access, timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access, msg_id_panel => v_msg_id_panel, scope => C_SCOPE); if v_command_is_bfm_access then v_timestamp_start_of_current_bfm_access := now; end if; -- 2. Execute the fetched command ------------------------------------------------------------------------- case v_cmd.operation is -- Only operations in the dedicated record are relevant -- VVC dedicated operations --=================================== when WRITE => -- Set vvc transaction info set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Normalise address and data v_normalised_addr := normalize_and_check(v_cmd.addr, v_normalised_addr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalised_addr", "axi_write() called with to wide address. " & v_cmd.msg); v_normalised_data := normalize_and_check(v_cmd.data_array(0), v_normalised_data, ALLOW_WIDER_NARROWER, "v_cmd.data_array(0)", "v_normalised_data", "axi_write() called with to wide data. " & v_cmd.msg); -- Adding the write command to the write address channel queue , write data channel queue and write response channel queue work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, write_address_channel_queue, vvc_status, write_address_channel_queue_is_increasing); work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, write_data_channel_queue, vvc_status, write_data_channel_queue_is_increasing); work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, write_response_channel_queue, vvc_status, write_response_channel_queue_is_increasing); when READ => -- Set vvc transaction info set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Normalise address and data v_normalised_addr := normalize_and_check(v_cmd.addr, v_normalised_addr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalised_addr", "axi_read() called with to wide address. " & v_cmd.msg); -- Adding the read command to the read address channel queue and the read address data queue work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, read_address_channel_queue, vvc_status, read_address_channel_queue_is_increasing); work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, read_data_channel_queue, vvc_status, read_data_channel_queue_is_increasing); when CHECK => -- Set vvc transaction info set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Normalise address and data v_normalised_addr := normalize_and_check(v_cmd.addr, v_normalised_addr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalised_addr", "axi_check() called with to wide address. " & v_cmd.msg); v_normalised_data := normalize_and_check(v_cmd.data_array(0), v_normalised_data, ALLOW_WIDER_NARROWER, "v_cmd.data_array(0)", "v_normalised_data", "axi_check() called with to wide data. " & v_cmd.msg); -- Adding the check command to the read address channel queue and the read address data queue work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, read_address_channel_queue, vvc_status, read_address_channel_queue_is_increasing); work.td_vvc_entity_support_pkg.put_command_on_queue(v_cmd, read_data_channel_queue, vvc_status, read_data_channel_queue_is_increasing); -- UVVM common operations --=================================== when INSERT_DELAY => log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, v_msg_id_panel); if v_cmd.gen_integer_array(0) = -1 then -- Delay specified using time wait until terminate_current_cmd.is_active = '1' for v_cmd.delay; else -- Delay specified using integer check_value(vvc_config.bfm_config.clock_period > -1 ns, TB_ERROR, "Check that clock_period is configured when using insert_delay().", C_SCOPE, ID_NEVER, v_msg_id_panel); wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period; end if; when others => tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE); end case; if v_command_is_bfm_access then v_timestamp_end_of_last_bfm_access := now; v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access; if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and ((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " & to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE); elsif vvc_config.inter_bfm_delay.delay_type = TIME_FINISH2START and not v_finish2start_warning_triggered then v_finish2start_warning_triggered := true; tb_warning("Delay type TIME_FINISH2START is not supported by this VVC. Waiting according to TIME_START2START", C_SCOPE); end if; end if; -- Reset terminate flag if any occurred if (terminate_current_cmd.is_active = '1') then log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, v_msg_id_panel); uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd); end if; -- In case we only allow a single pending transaction, wait here until every channel is finished. -- Even though this wait doesn't have a timeout, each of the executors have timeouts. if vvc_config.force_single_pending_transaction and v_command_is_bfm_access then wait until not write_address_channel_executor_is_busy and not write_data_channel_executor_is_busy and not write_response_channel_executor_is_busy and not read_address_channel_executor_is_busy and not read_data_channel_executor_is_busy; end if; end loop; end process cmd_executor; --=============================================================================================== --=============================================================================================== -- Read address channel executor -- - Fetch and execute the read address channel transactions --=============================================================================================== read_address_channel_executor : process variable v_cmd : t_vvc_cmd_record; variable v_msg_id_panel : t_msg_id_panel; variable v_normalized_arid : std_logic_vector(GC_ID_WIDTH-1 downto 0) := (others => '0'); variable v_normalized_araddr : unsigned(GC_ADDR_WIDTH-1 downto 0) := (others => '0'); constant C_CHANNEL_SCOPE : string := C_VVC_NAME & "_AR" & "," & to_string(GC_INSTANCE_IDX); constant C_CHANNEL_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_CHANNEL_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); begin -- Set the command response queue up to the same settings as the command queue read_address_channel_queue.set_scope(C_CHANNEL_SCOPE & ":Q"); read_address_channel_queue.set_queue_count_max(vvc_config.cmd_queue_count_max); read_address_channel_queue.set_queue_count_threshold(vvc_config.cmd_queue_count_threshold); read_address_channel_queue.set_queue_count_threshold_severity(vvc_config.cmd_queue_count_threshold_severity); -- Wait until VVC is registered in vvc activity register in the interpreter wait until entry_num_in_vvc_activity_register >= 0; -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; loop wait for 0 ns; -- Fetch commands work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, read_address_channel_queue, vvc_config, vvc_status, read_address_channel_queue_is_increasing, read_address_channel_executor_is_busy, C_CHANNEL_VVC_LABELS, shared_msg_id_panel, ID_CHANNEL_EXECUTOR, ID_CHANNEL_EXECUTOR_WAIT); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Normalize values from command record to their actual sizes if v_normalized_arid'length > 0 then v_normalized_arid := normalize_and_check(v_cmd.id, v_normalized_arid, ALLOW_WIDER_NARROWER, "v_cmd.id", "v_normalized_arid", "Function called with to wide arid. " & v_cmd.msg); end if; v_normalized_araddr := normalize_and_check(v_cmd.addr, v_normalized_araddr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalized_araddr", "Function called with to araddr. " & v_cmd.msg); -- Set vvc transaction info set_arw_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Start transaction read_address_channel_write(arid_value => v_normalized_arid, araddr_value => v_normalized_araddr, arlen_value => v_cmd.len, arsize_value => v_cmd.size, arburst_value => v_cmd.burst, arlock_value => v_cmd.lock, arcache_value => v_cmd.cache, arprot_value => v_cmd.prot, arqos_value => v_cmd.qos, arregion_value => v_cmd.region, aruser_value => v_cmd.auser, msg => format_msg(v_cmd), clk => clk, arid => axi_vvc_master_if.read_address_channel.arid, araddr => axi_vvc_master_if.read_address_channel.araddr, arlen => axi_vvc_master_if.read_address_channel.arlen, arsize => axi_vvc_master_if.read_address_channel.arsize, arburst => axi_vvc_master_if.read_address_channel.arburst, arlock => axi_vvc_master_if.read_address_channel.arlock, arcache => axi_vvc_master_if.read_address_channel.arcache, arprot => axi_vvc_master_if.read_address_channel.arprot, arqos => axi_vvc_master_if.read_address_channel.arqos, arregion => axi_vvc_master_if.read_address_channel.arregion, aruser => axi_vvc_master_if.read_address_channel.aruser, arvalid => axi_vvc_master_if.read_address_channel.arvalid, arready => axi_vvc_master_if.read_address_channel.arready, scope => C_CHANNEL_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); -- Set vvc transaction info back to default values reset_arw_vvc_transaction_info(vvc_transaction_info, v_cmd); end loop; end process read_address_channel_executor; --=============================================================================================== --=============================================================================================== -- Read data channel executor -- - Fetch and execute the read data channel transactions --=============================================================================================== read_data_channel_executor : process variable v_cmd : t_vvc_cmd_record; variable v_result : t_vvc_result := C_EMPTY_VVC_RESULT; -- See vvc_cmd_pkg variable v_msg_id_panel : t_msg_id_panel; variable v_cmd_queues_are_empty : boolean; variable v_read_data_queue : t_axi_read_data_queue; variable v_queue_count : natural; variable v_cmd_len : natural; variable v_normalized_rid : std_logic_vector(GC_ID_WIDTH-1 downto 0); variable v_check_ok : boolean := true; constant C_CHANNEL_SCOPE : string := C_VVC_NAME & "_R" & "," & to_string(GC_INSTANCE_IDX); constant C_CHANNEL_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_CHANNEL_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); begin -- Set the command response queue up to the same settings as the command queue read_data_channel_queue.set_scope(C_CHANNEL_SCOPE & ":Q"); read_data_channel_queue.set_queue_count_max(vvc_config.cmd_queue_count_max); read_data_channel_queue.set_queue_count_threshold(vvc_config.cmd_queue_count_threshold); read_data_channel_queue.set_queue_count_threshold_severity(vvc_config.cmd_queue_count_threshold_severity); -- Setup the read data queue v_read_data_queue.set_scope(C_CHANNEL_SCOPE & ":PQ"); -- Wait until VVC is registered in vvc activity register in the interpreter wait until entry_num_in_vvc_activity_register >= 0; -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; loop -- get a command from the queue without removing it peek_command_and_prepare_executor(v_cmd, read_data_channel_queue, vvc_config, vvc_status, read_data_channel_queue_is_increasing, read_data_channel_executor_is_busy, C_CHANNEL_VVC_LABELS, shared_msg_id_panel, ID_CHANNEL_EXECUTOR, ID_CHANNEL_EXECUTOR_WAIT); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Handling commands case v_cmd.operation is when READ => -- Set vvc transaction info set_r_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Start transaction read_data_channel_receive(read_result => v_result, read_data_queue => v_read_data_queue, msg => format_msg(v_cmd), clk => clk, rid => axi_vvc_master_if.read_data_channel.rid, rdata => axi_vvc_master_if.read_data_channel.rdata, rresp => axi_vvc_master_if.read_data_channel.rresp, rlast => axi_vvc_master_if.read_data_channel.rlast, ruser => axi_vvc_master_if.read_data_channel.ruser, rvalid => axi_vvc_master_if.read_data_channel.rvalid, rready => axi_vvc_master_if.read_data_channel.rready, scope => C_CHANNEL_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); -- Looking for the correct response in the queue if v_normalized_rid'length > 0 then v_queue_count := read_data_channel_queue.get_count(VOID); for i in 1 to v_queue_count loop v_cmd := read_data_channel_queue.peek(POSITION, i); v_normalized_rid := normalize_and_check(v_cmd.id, v_normalized_rid, ALLOW_WIDER_NARROWER, "v_cmd.id", "v_normalized_rid", v_cmd.msg); if check_value(v_result.rid, v_normalized_rid, vvc_config.bfm_config.match_strictness, NO_ALERT, "Checking if the correct ID is found in the command queue", C_CHANNEL_SCOPE) then -- Correct ID found. We stop searching for the ID read_data_channel_queue.delete(POSITION, i, SINGLE); exit; elsif i = v_queue_count then -- We didn't find the correct RID error("Unexpected read data with RID: " & to_string(v_result.rid), C_CHANNEL_SCOPE); end if; end loop; else -- ID have length of zero. Using first response in the queue v_cmd := read_data_channel_queue.get(VOID); end if; -- Request SB check result if v_cmd.data_routing = TO_SB then -- call SB check_received AXI_VVC_SB.check_received(GC_INSTANCE_IDX, v_result); else -- Store the result work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue, cmd_idx => v_cmd.cmd_idx, result => v_result); end if; when CHECK => -- Set vvc transaction info set_r_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Start transaction read_data_channel_receive(read_result => v_result, read_data_queue => v_read_data_queue, msg => format_msg(v_cmd), clk => clk, rid => axi_vvc_master_if.read_data_channel.rid, rdata => axi_vvc_master_if.read_data_channel.rdata, rresp => axi_vvc_master_if.read_data_channel.rresp, rlast => axi_vvc_master_if.read_data_channel.rlast, ruser => axi_vvc_master_if.read_data_channel.ruser, rvalid => axi_vvc_master_if.read_data_channel.rvalid, rready => axi_vvc_master_if.read_data_channel.rready, scope => C_CHANNEL_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config, ext_proc_call => "read_data_channel_check"); -- Looking for the correct response in the queue if v_normalized_rid'length > 0 then v_queue_count := read_data_channel_queue.get_count(VOID); for i in 1 to v_queue_count loop v_cmd := read_data_channel_queue.peek(POSITION, i); v_normalized_rid := normalize_and_check(v_cmd.id, v_normalized_rid, ALLOW_WIDER_NARROWER, "v_cmd.id", "v_normalized_rid", v_cmd.msg); if check_value(v_result.rid, v_normalized_rid, vvc_config.bfm_config.match_strictness, NO_ALERT, "Checking if the correct ID is found in the command queue", C_CHANNEL_SCOPE) then -- Correct ID found. We stop searching for the ID read_data_channel_queue.delete(POSITION, i, SINGLE); exit; elsif i = v_queue_count then -- We didn't find the correct RID error("Unexpected read data with RID: " & to_string(v_result.rid), C_CHANNEL_SCOPE); end if; end loop; else -- ID have length of zero. Using first response in the queue v_cmd := read_data_channel_queue.get(VOID); end if; -- Checking response v_cmd_len := to_integer(v_cmd.len); if v_normalized_rid'length > 0 then if not check_value(v_result.rid, v_normalized_rid, v_cmd.alert_level, "Checking RID. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, HEX, SKIP_LEADING_0, ID_POS_ACK, v_msg_id_panel) then v_check_ok := false; end if; end if; if not check_value(v_result.rdata(0 to v_cmd_len), v_cmd.data_array(0 to v_cmd_len), v_cmd.alert_level, "Checking RDATA. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, HEX, SKIP_LEADING_0, ID_POS_ACK, v_msg_id_panel) then v_check_ok := false; end if; if not check_value(v_result.rresp(0 to v_cmd_len) = v_cmd.resp_array(0 to v_cmd_len), v_cmd.alert_level, "Checking RRESP. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, ID_POS_ACK, v_msg_id_panel) then v_check_ok := false; end if; if GC_USER_WIDTH > 0 then if not check_value(v_result.ruser(0 to v_cmd_len), v_cmd.user_array(0 to v_cmd_len), v_cmd.alert_level, "Checking RUSER. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, HEX, SKIP_LEADING_0, ID_POS_ACK, v_msg_id_panel) then v_check_ok := false; end if; end if; if v_check_ok then log(vvc_config.bfm_config.id_for_bfm, "read data channel check OK. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, v_msg_id_panel); end if; when others => tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_CHANNEL_SCOPE); end case; v_check_ok := true; last_read_data_channel_idx_executed <= v_cmd.cmd_idx; -- Set vvc transaction info back to default values reset_r_vvc_transaction_info(vvc_transaction_info); reset_vvc_transaction_info(vvc_transaction_info, v_cmd); end loop; end process read_data_channel_executor; --=============================================================================================== --=============================================================================================== -- write address channel executor -- - Fetch and execute the write address channel transactions --=============================================================================================== write_address_channel_executor : process variable v_cmd : t_vvc_cmd_record; variable v_msg_id_panel : t_msg_id_panel; variable v_normalized_awid : std_logic_vector(GC_ID_WIDTH-1 downto 0) := (others => '0'); variable v_normalized_awaddr : unsigned(GC_ADDR_WIDTH-1 downto 0) := (others => '0'); constant C_CHANNEL_SCOPE : string := C_VVC_NAME & "_AW" & "," & to_string(GC_INSTANCE_IDX); constant C_CHANNEL_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_CHANNEL_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); begin -- Set the command response queue up to the same settings as the command queue write_address_channel_queue.set_scope(C_CHANNEL_SCOPE & ":Q"); write_address_channel_queue.set_queue_count_max(vvc_config.cmd_queue_count_max); write_address_channel_queue.set_queue_count_threshold(vvc_config.cmd_queue_count_threshold); write_address_channel_queue.set_queue_count_threshold_severity(vvc_config.cmd_queue_count_threshold_severity); -- Wait until VVC is registered in vvc activity register in the interpreter wait until entry_num_in_vvc_activity_register >= 0; -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; loop wait for 0 ns; -- Fetch commands work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, write_address_channel_queue, vvc_config, vvc_status, write_address_channel_queue_is_increasing, write_address_channel_executor_is_busy, C_CHANNEL_VVC_LABELS, shared_msg_id_panel, ID_CHANNEL_EXECUTOR, ID_CHANNEL_EXECUTOR_WAIT); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Normalise address if v_normalized_awid'length > 0 then v_normalized_awid := normalize_and_check(v_cmd.id, v_normalized_awid, ALLOW_WIDER_NARROWER, "v_cmd.id", "v_normalized_awid", "Function called with to wide awid. " & v_cmd.msg); end if; v_normalized_awaddr := normalize_and_check(v_cmd.addr, v_normalized_awaddr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalized_awaddr", "Function called with to awaddr. " & v_cmd.msg); -- Set vvc transaction info set_arw_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Start transaction write_address_channel_write(awid_value => v_normalized_awid, awaddr_value => v_normalized_awaddr, awlen_value => v_cmd.len, awsize_value => v_cmd.size, awburst_value => v_cmd.burst, awlock_value => v_cmd.lock, awcache_value => v_cmd.cache, awprot_value => v_cmd.prot, awqos_value => v_cmd.qos, awregion_value => v_cmd.region, awuser_value => v_cmd.auser, msg => format_msg(v_cmd), clk => clk, awid => axi_vvc_master_if.write_address_channel.awid, awaddr => axi_vvc_master_if.write_address_channel.awaddr, awlen => axi_vvc_master_if.write_address_channel.awlen, awsize => axi_vvc_master_if.write_address_channel.awsize, awburst => axi_vvc_master_if.write_address_channel.awburst, awlock => axi_vvc_master_if.write_address_channel.awlock, awcache => axi_vvc_master_if.write_address_channel.awcache, awprot => axi_vvc_master_if.write_address_channel.awprot, awqos => axi_vvc_master_if.write_address_channel.awqos, awregion => axi_vvc_master_if.write_address_channel.awregion, awuser => axi_vvc_master_if.write_address_channel.awuser, awvalid => axi_vvc_master_if.write_address_channel.awvalid, awready => axi_vvc_master_if.write_address_channel.awready, scope => C_CHANNEL_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); -- Set vvc transaction info back to default values reset_arw_vvc_transaction_info(vvc_transaction_info, v_cmd); end loop; end process write_address_channel_executor; --=============================================================================================== --=============================================================================================== -- write data channel executor -- - Fetch and execute the write data channel transactions --=============================================================================================== write_data_channel_executor : process variable v_cmd : t_vvc_cmd_record; variable v_msg_id_panel : t_msg_id_panel; variable v_wdata_array_ptr : t_slv_array_ptr; variable v_wstrb_array_ptr : t_slv_array_ptr; variable v_wuser_array_ptr : t_slv_array_ptr; constant C_CHANNEL_SCOPE : string := C_VVC_NAME & "_W" & "," & to_string(GC_INSTANCE_IDX); constant C_CHANNEL_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_CHANNEL_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); begin -- Set the command response queue up to the same settings as the command queue write_data_channel_queue.set_scope(C_CHANNEL_SCOPE & ":Q"); write_data_channel_queue.set_queue_count_max(vvc_config.cmd_queue_count_max); write_data_channel_queue.set_queue_count_threshold(vvc_config.cmd_queue_count_threshold); write_data_channel_queue.set_queue_count_threshold_severity(vvc_config.cmd_queue_count_threshold_severity); -- Wait until VVC is registered in vvc activity register in the interpreter wait until entry_num_in_vvc_activity_register >= 0; -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; loop wait for 0 ns; -- Fetch commands work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, write_data_channel_queue, vvc_config, vvc_status, write_data_channel_queue_is_increasing, write_data_channel_executor_is_busy, C_CHANNEL_VVC_LABELS, shared_msg_id_panel, ID_CHANNEL_EXECUTOR, ID_CHANNEL_EXECUTOR_WAIT); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Initializing array pointers v_wdata_array_ptr := new t_slv_array(0 to to_integer(unsigned(v_cmd.len)))(GC_DATA_WIDTH-1 downto 0); v_wstrb_array_ptr := new t_slv_array(0 to to_integer(unsigned(v_cmd.len)))(GC_DATA_WIDTH/8-1 downto 0); v_wuser_array_ptr := new t_slv_array(0 to to_integer(unsigned(v_cmd.len)))(GC_USER_WIDTH-1 downto 0); for i in 0 to to_integer(unsigned(v_cmd.len)) loop v_wdata_array_ptr(i) := v_cmd.data_array(i)(GC_DATA_WIDTH-1 downto 0); v_wstrb_array_ptr(i) := v_cmd.strb_array(i)(GC_DATA_WIDTH/8-1 downto 0); v_wuser_array_ptr(i) := v_cmd.user_array(i)(GC_USER_WIDTH-1 downto 0); end loop; -- Set vvc transaction info set_w_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Start transaction write_data_channel_write(wdata_value => v_wdata_array_ptr.all, wstrb_value => v_wstrb_array_ptr.all, wuser_value => v_wuser_array_ptr.all, awlen_value => v_cmd.len, msg => format_msg(v_cmd), clk => clk, wdata => axi_vvc_master_if.write_data_channel.wdata, wstrb => axi_vvc_master_if.write_data_channel.wstrb, wlast => axi_vvc_master_if.write_data_channel.wlast, wuser => axi_vvc_master_if.write_data_channel.wuser, wvalid => axi_vvc_master_if.write_data_channel.wvalid, wready => axi_vvc_master_if.write_data_channel.wready, scope => C_CHANNEL_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); deallocate(v_wdata_array_ptr); deallocate(v_wstrb_array_ptr); deallocate(v_wuser_array_ptr); -- Set vvc transaction info back to default values reset_w_vvc_transaction_info(vvc_transaction_info); end loop; end process write_data_channel_executor; --=============================================================================================== --=============================================================================================== -- write response channel executor -- - Fetch and execute the write response channel transactions --=============================================================================================== write_response_channel_executor : process variable v_cmd : t_vvc_cmd_record; variable v_msg_id_panel : t_msg_id_panel; variable v_normalized_bid : std_logic_vector(GC_ID_WIDTH-1 downto 0); variable v_normalized_buser : std_logic_vector(GC_USER_WIDTH-1 downto 0); variable v_bid_value : std_logic_vector(GC_ID_WIDTH-1 downto 0); variable v_bresp_value : t_xresp; variable v_buser_value : std_logic_vector(GC_USER_WIDTH-1 downto 0); variable v_cmd_queues_are_empty : boolean; variable v_queue_count : integer; constant C_CHANNEL_SCOPE : string := C_VVC_NAME & "_B" & "," & to_string(GC_INSTANCE_IDX); constant C_CHANNEL_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_CHANNEL_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); begin -- Set the command response queue up to the same settings as the command queue write_response_channel_queue.set_scope(C_CHANNEL_SCOPE & ":Q"); write_response_channel_queue.set_queue_count_max(vvc_config.cmd_queue_count_max); write_response_channel_queue.set_queue_count_threshold(vvc_config.cmd_queue_count_threshold); write_response_channel_queue.set_queue_count_threshold_severity(vvc_config.cmd_queue_count_threshold_severity); -- Wait until VVC is registered in vvc activity register in the interpreter wait until entry_num_in_vvc_activity_register >= 0; -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; loop -- get a command from the queue without removing it peek_command_and_prepare_executor(v_cmd, write_response_channel_queue, vvc_config, vvc_status, write_response_channel_queue_is_increasing, write_response_channel_executor_is_busy, C_CHANNEL_VVC_LABELS, shared_msg_id_panel, ID_CHANNEL_EXECUTOR, ID_CHANNEL_EXECUTOR_WAIT); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Set vvc transaction info set_b_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Receiving a write response write_response_channel_receive(bid_value => v_bid_value, bresp_value => v_bresp_value, buser_value => v_buser_value, msg => format_msg(v_cmd), clk => clk, bid => axi_vvc_master_if.write_response_channel.bid, bresp => axi_vvc_master_if.write_response_channel.bresp, buser => axi_vvc_master_if.write_response_channel.buser, bvalid => axi_vvc_master_if.write_response_channel.bvalid, bready => axi_vvc_master_if.write_response_channel.bready, alert_level => error, scope => C_CHANNEL_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); -- Looking for the correct response in the queue if v_normalized_bid'length > 0 then v_queue_count := write_response_channel_queue.get_count(VOID); for i in 1 to v_queue_count loop v_cmd := write_response_channel_queue.peek(POSITION, i); v_normalized_bid := normalize_and_check(v_cmd.id, v_normalized_bid, ALLOW_WIDER_NARROWER, "v_cmd.id", "v_normalized_bid", v_cmd.msg); if check_value(v_bid_value, v_normalized_bid, vvc_config.bfm_config.match_strictness, NO_ALERT, "Checking if the correct ID is found in the command queue", C_CHANNEL_SCOPE) then -- Correct ID found. We stop searching for the ID write_response_channel_queue.delete(POSITION, i, SINGLE); exit; elsif i = v_queue_count then -- We didn't find the correct BID error("Unexpected write response with BID: " & to_string(v_bid_value), C_CHANNEL_SCOPE); end if; end loop; else -- ID have length of zero. Using first response in the queue v_cmd := write_response_channel_queue.get(VOID); end if; -- Checking response if v_normalized_bid'length > 0 then check_value(v_bid_value, v_normalized_bid, vvc_config.bfm_config.match_strictness, error, "Checking BID value. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, HEX, KEEP_LEADING_0, ID_POS_ACK, v_msg_id_panel); end if; if v_normalized_buser'length > 0 then v_normalized_buser := normalize_and_check(v_cmd.user, v_normalized_buser, ALLOW_WIDER_NARROWER, "v_cmd.user", "v_normalized_buser", v_cmd.msg); check_value(v_buser_value, v_normalized_buser, vvc_config.bfm_config.match_strictness, error, "Checking BUSER value. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, HEX, KEEP_LEADING_0, ID_POS_ACK, v_msg_id_panel); end if; check_value(v_bresp_value = v_cmd.resp, error, "Checking BRESP value. " & add_msg_delimiter(format_msg(v_cmd)), C_CHANNEL_SCOPE, ID_POS_ACK, v_msg_id_panel); last_write_response_channel_idx_executed <= v_cmd.cmd_idx; -- Set vvc transaction info back to default values reset_b_vvc_transaction_info(vvc_transaction_info); reset_vvc_transaction_info(vvc_transaction_info, v_cmd); end loop; end process write_response_channel_executor; --=============================================================================================== --=============================================================================================== -- Command termination handler -- - Handles the termination request record (sets and resets terminate flag on request) --=============================================================================================== cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset --=============================================================================================== end architecture behave;
mit
df6cc38df53b97b8bcb65b3b3577d633
0.563299
4.017623
false
true
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/synth/multi_fft.vhd
2
5,622
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0; USE mult_gen_v12_0.mult_gen_v12_0; ENTITY multi_fft IS PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END multi_fft; ARCHITECTURE multi_fft_arch OF multi_fft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END COMPONENT mult_gen_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF multi_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2013.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF multi_fft_arch : ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF multi_fft_arch: ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=29,C_A_TYPE=0,C_B_WIDTH=29,C_B_TYPE=0,C_OUT_HIGH=57,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "zynq", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 5, C_A_WIDTH => 29, C_A_TYPE => 0, C_B_WIDTH => 29, C_B_TYPE => 0, C_OUT_HIGH => 57, C_OUT_LOW => 0, C_MULT_TYPE => 0, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => CLK, A => A, B => B, CE => '1', SCLR => '0', P => P ); END multi_fft_arch;
gpl-2.0
b79f7bcd0044d708848f4c9e0c4699ad
0.678406
3.399033
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_nr.vhd
2
31,572
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pWvKnka42+vKiKbBP4Oia4Z1OWZ9K/yekn5poPXnfPRqp/OnPiYdhEOGoi2DX//NxFFUBRvN7IQ7 wfX+6TH/Jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ezEs3AfBG0l9aWY2OjK+dHBh4TYxtmE9uajSlqrTTj5jhKLR57/28ifPN7gjBi/1LU0E+YVW3gjw S/Xz82ckOKLIak1k4Vz4h0kce9TWYNYUvIDvI50CyftikirmV28lQcmaPwLZ0nxY8gK0QrW4J3db gge2IVsrnHlt8MHD9Mc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uJBfgHC8C9Bj9n5z5OvXkUKkddWxrN9v6hlKBYHIlOIaeyDUkMYxYPPQTK1S8YEpGaz14UMawu/E y2m7wrVfULmkVNXuo3vmoEXf+tfpvTZdEUyDRo2A/8Hizf9o/eqmAPjGaOVh38sF1rX0wQirFELw dSOhXoKHdDVpzTON9lZoCgwYPlfjy4c5rRUgxwH4u+soenJTu11fMwADx2P6k9zNiNKqplrdguwj i1eVS/HDKR8gc8hXqN6igXpIYMS2mqD0z+JFXx1qFVPa+TKHKpMDbx7Cv3rF/5AfbDOFWxSS+Fgj MSC9NRGm+33xTjR2EGyXh26PuyJIM8OdDqytBA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kEhjZ8AsBsfuyd2+1ISeUtBu8qUbZ6iBovK2AYRZCxXwav/+nG63AvwvUe1oX8VO1EKUJ3O5iAny pdGDD9QkAJG3hM037J8oS70EVqpKTALhAGjh4qiXw8xdJk8qB0qmj8lNnKgxKWkuI7mi0ajvKvvC YyMGQ/hXQuGYgdMmDCs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HjmUk1yoy3f+pyv2dK7DpunDxnq4CNGQeAWbSleA1qiDK5zxvUCmDeaWAk8gKvmgNocKfYYLc3mR IkUVGY3CQx14PTqbybmDxNFIxTheua2sV2+yphOkcf9eibl9CWbzvuWk9/tCIgIM79JXwHQBc0qN rl9bBt0s107YBf9w/icMF8c+oxPzAdLrmIg1MXEhULYg4U+GfBRVc7M+KwGerYfv/ceD8PgO3Ieo MjyrgYkW9ZlPLhADihslOAkLvVyCXj+qJbNgBcWPZnHnHjLqWCipDHSBfCcekjZRFRkkNpBs/PZZ q+nDbI09F+r6oTCi5gEtD/XE764lFeblJr4Ryg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21632) `protect data_block +eJDkTdmvqLSsy4DttS0QUgh73ymcuF5yLmqJQOTp1TPGJgeuHLPDXyWMsiTf6sX+BfxDJq8v3ee 8xcGTeYdszg/q8ML3dOlFtcKMPgBeVerqSbS/pqqLUgB1bJ4ilKjUtLnIL+PAXNeSqao9PkMCM2t +ip6Enymzl/TsEfojjO2yUePWhBSYVzNj36e/O1/VDwKbT0ONmG+aSMER6RbGv3ZSSuD0+NcOa+V 0ifSSCTIbI/r2nciPeuw/K24qJSw8mbYukTiXE0G4nnI92K8wDdIqaqP0oe+cWinBqjH2fE2EkbJ aw1ZHJwnWYH4PNewQQUSH8hp0j+YUdF6ss8lEEhokzR36JuwwTGWBIBIK1CnTcSHN8lMOW19H+Vz 4Obl1rKcC98JtwOI9LVJ86zPoTzdqf0T1FjrbTOXFgYuNaQ+mL/7paZ3ft7LFoIuGLxBPViugeTN s56rz4rMwQW57nvs2kP11XY1Md/k7L8wgINKy0GdMfdwvf7IGBldFDGSXLQcOGrbfUg2+XcMv69o CUpq9m9j31Ckzj5fxr6a6SX4nTW/4RSiUJp14vGHPTx35Fs1fb6tPri+iZ5eM3gtZ1Rj0SZlPSqB WRkcwC35BxlexN4GtocmOMdZ60UmjNbAy17kSLae3JmUrG1A3z/4XRnKQJOU14FHmUPaxf2wiTul wlY/WrV5kMlFA2YeqFRMt5Brc6rqPnNCNuti5yzK8QQqXvtTzIQS2qZsz5t2pV9sglH0mAMqXl26 X9Fu5BSQPh6VrEAYXgEw7RNGkMELmla0b1LelgFuo+XAPxFSDPlq6hnPVycBbWfRu0AvS5HHjBPM KzUe2Vxh3j3oxh2meixJGLDV47rnrs+cEmucxnd+GPDqXPQK+M6Ps/UZvkLMcuOlEJGJs/cZXi+H ZIJIYZRUGwXnu4zwDvfIE7r+A+UQxqrMm4l3uezpegSrxAdv1+AToj5/vxSOoeDwI0LpdfVpfUgd s3uqD8N467JLVcc4MwmemsboeObOXdW8jd+wKv3S6yiN1vZ/3piiOtf+djUT9GiqczVsajv17d9H GftArSZlSypnp/ncd7hSnMdxdXSsVjeZLJPp2o89nV+XGqALkRoDFFnshfvdvdadPazIA8rsGtEA B0wB2jbTqwK936yNNF0nOCtX2GSQe/wAinB3bgL5pJ8x+XzKndyG/neGjwYwI+aLQOCMwCfftsuL FvXwVDpslKRLrUFh7PPCbJjpjs2NoG+N/Zetyw1MPwSYlXmul/JxNB+pqMcwEzR8H3Mpbjv9ewK6 4Ix5KU1/2n0TR8/YfzaNq4MuJ2pTvtSo7ZpcaZ5fVCwYyIzYbnRs+NGqgnXuCD8wQeGMpIHLYOHa /QSNr5s0X2Klte10K+QUnbADrJ7zrNwHXuwulsBgegk9qY+fWLuzUd/6cSAfW/ceMgI9m6Gi+Omp /YucBsPX3JC7EBR8kSrlMBAKDjNqA7C8IueVXiDM8X+CSGIr8zs6Bg5O7S0EMVExOWSEr8j3Dkty usab1Wrdy2Zj3kfv78uSdYzH7dYmGrBR1CAFSCljoLzrBE1VdraUuI9Rc3BwCkNhDoWwNPp6Eczj h/8E9cGMGc/BWtsSHYWB2M/D7JOOw8r4rMDZjoz2geIZLBVNwU1pgtcG/ix49trDZ1IJk4Aw1DUH JDl8pLyqWBzvwHUTevkQRQrfxhYVbueQn05ExIZt1EXiByCG6wcS6OROajeXo1cFNKYijw48JWQ8 N2XlGHytBYjuG34rlB1rs3AB1SmxsoWXWMFmAWT73oWqvq2tpqEIvHVE6KkmdFy/jPmt3z8l/KEf 3Xae/mZRxtFkkLp70ex/y00Fuxp/Bk6qrUaPmAs048Bkw7yp8DMp5X5obns+nQbMzmglB9eYZg9d xItWEBbQKQg0nMZRhwtsIQDOKU6KLg3jtxpN8FD+wUo47A3en2UuxlSdd3f3RZ6z0OuB5sFdQ6cI KYpghovwYO4oz6KQE84oQfjYS+FId7y8OMri38eSeMx9voEM+2jvP1d1JU7x84OSH9x5W0hfCXrf 5sSIdTW5u6wrs1vW0cHYZ4lM/DQI5Y9YCd7BnhoSEH4GVQOLTGG5tF63m/BECiCgeSd8Sm4vPxI0 Bek3tRsWCzCDryYa8Sq2ill5maLpNY4BusnSkRClzFPh5TlQZ9Esr9K8P42odU02K120zwGpvSPk ekebu4Eek4dxqQKanqt8MWJUhzf9aciRhP8cQZH2BVDjXUEIQtCzH37FjlNUSwWl+ueY5Zf1cMFx e2GN+xmGrTFfSHYaT89TdjlrTETo5TLJnhSg+YWprRbofkhWGvPAyYAva9GeMAEkrjtWO/Ax2vuJ XKvFumNTbKkMmSuGH/lMgKawUlz+Vht3QjnvAslyvSq1xWfUXKVadTy8LOlfgSfXYrzOGwfQOEe0 FmxWQ77fELFh3eVmCL6jbFNfXHAleu8KPGUK0JK4N9duYiJVsdPRfpnhKfN8h8GVq8wwZwsu8g0V M/AoLQmF4yy/6pjJ6HjKNnpI1yJQ7krAycFYNU1+mU/H+tRWWxbu0rQER4AkbwqJgIfCbHApHV+x pCqYPlFN0sjYImkNrgncA4Ffr4F7i82kz0vGAJltR32FVO1xQQ7pnvA/KBV7WGpMABPvBvLL8uh6 FVlZ0OAhSc2W9QTxqAXZ/CH4hAbdlb/c+8yIqMFnq+AkOrdOq4QjnlrMu4z0KJUTve96GU7wdXKL mpVC3RDyNdXeoiWZ2XttVrTeBDYr337nGWixBcWIdC+wKG81LqWu7mY9S2MxG8/5wG0s69zAc5BT 1gGKzVQsJPOzkPqUpwa9vTnhiSEeVb/21grpMTx+WfFFyBrRp65LT5tHBy3ugsF6wnNnFd6YqEkd 7OJPt8Cvx2Ydo1sZs4nVAYNgIt2kNvzdQLbGwrDeWNXr2byHaV5lR7TnlqhV/c21cNSCGhL561gl KGmwZwF74h1De7X/DnFs1zi//m6t8Pwz5cgghdc3CGJq//VE1v1vtaFeoZPtixWyT3a1HoXd+1aI /dqf7ixUgExfFZU7XJe8qgmHjMDhdym1ewyd2+duu7KdtDk8zT1caL3hKBUgQ5Jr+UqcMZ9Ne5No nD6f7T0n+g0V0yc4I51Xlsdmwh/fsbEX+H5qI04Gl0TmrXc///8zjD1f8ILFcOgeADKCS54FajM9 EKx3rfvVlWqT1SRyYmOJvOXoTh/qppktxj0Ye2R1bbBw8oBhrySZSqfFzMzevpENoVVA0G6D9/Rt KquaZb36CHIMcMVSmU2T3K0+4LWVxm44jTcZkKB2fswH8vBm1OzTHd8Rz8OQ5S2Q4aMVhc9JGYb3 ytwL7PRyXyceDgEo8jI43nwgTOox0PYHEymZ0Etnn41IVFS1QcUe286CQ1aqTWpgP0SuRGKkOwO8 N7DgRGP+wuvP1M3iZ0x5gE1+jBM8pPcjoTUCWefWrxpLBnmVe+tW7WPAIVQgz09iwUEUenzBrvP9 zZihfbjeuGSS95m6W0VjxJdiwS0+L6HdwGVifdVC2O/5Vh3COCj4aLjDvhNWOcxKJCDql4EN3Eiu YrZgTxDAPRQtRFyDl/S1XpzdMNd37Od9sLPu4qoIWveIbyHVD48gRUFJLyTDtmh3l5SVmLG6YSsc bjQNiJlYY96TkV1KALzCa3lgEWHe6kXdV2/wUjSnj1bEo0V857OJxQx2zkb+5AAarViWeM8/K51U WB5G7QoOBupv68NIr0fTijUr1Swf6e2MMxBgMyPJaKjVaPyt9jLy4YPA9ItR1tTvjVzgnSGvFb1x E2s+7BqqL6I+4KOO//dFL9WJGgj0o2X0+Tho74Ka7iCVMUdxa7M5Ue1MtvvREzbENJtav10PE7S6 veNbB0YejrFf0y69ton4RpPgT8gwtoXhJbRq6WBEohqjGHvqrozoDA3ofKAz+DEO5hjx0eDZbCqv 6F+oYh34IDYjDLo39RBKEKBjzujaEekX/EBMuoaEVITna45wl96jhcmImFt/OSl/L/ZSfBvLMm50 E4OxODwLNNIe8bkSu4L5lmAwFStsgUlWwuuXP4DAnljmFaIli2oypRGu4CkVkZ9GkaHhc/CCELgA fkv06SiKx2PO+twbj4DHxQFvFhHAjUev1p6pLJKXEAsVem8jZnvOEiS9zbKPlLDLBq6brwtiaAYq Q0BsMKYdcYyk0OAH52WxU5d0PwRh9ldI3Cf56Z9gHcX45+xKa0jGhmLfPB0XClL+Z1JH58EJE+kX Ju+rrjJTBbBvgd7yTI2EicO+jyiRlNY8ytHY/jC0kRtorj8ZiKfXH3YRSqtNbMdHOnwNkwKbwA7a PK7SxYfwhTvv2KYz32LmkN5XjshDiisqeNhUCmI7op1HvFFqKZbiFz+ObSery/h10YnhvOBAFQN1 ZCMXx9U6XiRKWo449pL/3nDTL/nUNkBy1x8DUYbwmAnIQmE+fuSBE2Poeq3baiZeZ71R40zVENtM DUJ05bgb6mkFqhEuLss1R6FzJSM/UV9spNm6VbSKGPDRn2IvzvwfPVi4xMgDrQ63hSCPOpgtjyv6 IVFcAS2vnZ+E4jyKP67BDiVsETyUilEZM84uyKc0TmPEvhxOxYB+n1Q5zmISyz8Mq0YM89nsyhqZ U2+cxMctTUNblLZoD4S4rtc3EbvLtClbXAZ/ShKzkL+6ZZ7CDLLW4dlEzDVe6l9873xp8rJtqA0e uBpbNwSPw+W4cuLAtqz8TsJE//ihvGdXe5cxKmvJugBKV8CMxlnCpWPtIuwXq5hOLDh3YYFZfITZ ZgV/84YlohZOMPC9uJiMd1EXWR5kjN0F70XTIBXAde4DJJoFlD7JVrzDtnq3yU1IA08TsEuUF0Du M7b4KJ+VhWpo3E+oRZoUt/sq1Ut5puTyXrzDfDV90BjJ4qtvaUPMLX4xXg00802tDrmUf2hVfy9Z nhmCnVx1fuoQV9ivi43AYvu/t6JXSIjN8SnXsqfcaJdy7cXp/IVWoySFXcDbghKdV3K8CX+EdL/e X9TtJM4UoSbEH/0SHPpsXTYcytNsKrzoCKSv7Pf6wY4c62I+Iy6F3lzh0VoqgegtCRzhxqeW/z5o ZDpha0C5xOrvofi3wmZUx0S07vmxxgvXtSY79WHimtGD6EhAWMzWjq2eQltJ5uJRWjiV+o5EwPo3 55qxDSM0qACoGM0NyLmql2Bw+jqWU5rHRP2Xvbrz9n32Vqxur6GevIyfUaII1U49ZHBeTDDNWgDP qB9kkx7COEIsh5RQ9l0S0F45QG8fJpik01WNeLBMbaJ3mWAAw36SJLYeqFUjfafcOIk8SYBDfDe2 xvGauw9RXemsAhwbhn8L/nZ5IhS27VqbZ7UsNoqi7Qq7vi7gio0MEg2FjojY6PYjSF6Fz7qHXifp M5NMOI7/oPVmd+ysjVzuFDbGSnR8kzlWkGkp4BVrhGNI8XZ7IKZ25CJVo+wV0yXfzEquV32TOpop KMOii2+cYTWQs+dZi/luT4RIrZaO6Zvx5qfaXtwxxvxuHyQZmAVfso+6QgCZN8vsKDF9ST2pMqn2 yD7pu34LosnmN+5ITRfLFAT84q/PRLfrjstYRkR8c6GlytXa2GQL/FoH17OF/K6gS8YO6Bp9AJd5 VIZ90LQpmQFugV5M9/X7HwQV4PTuTWRzCYwPz0ElyyMSoV3XNyeD4EC03yayqvCmcNeshbQL484a 8og3hTpHy1PjFYHPOrpBkEZEB/zc8bj0+DecViRpL25vE9RbzqVVk2IXdZ0/ACS4mbbXIvBjfBtd 6fi5XFvo6gqukQFrn8cSHFeS/Yox5d/ODHNJTAify/tAtqLY9woFZoBf2Y6lAFBTPSyRcuGux2BK DOjLqrRyINOYUohuOWkKCfKhzYPKnEjFDjOLJRCfOEl00JajCdYtmMpwgLRX0CrY02I0IFe1CDLN cFlWlvAEhA4/g2YEVXq4InaEdSI02aB2SNJkebPoPFLz4cLqFVvnFsWyhhoVhqX+H+aNBOWc03DT WM/kdrPrVGaM3imvntX+cpgoc0vk01YoWtLhjsrRcYZ1ZsunN2qsaF2vpYw07b/OpMRxmyi5uNWn NE3lBapPYW9XPOtB+BEcITgiTmGOdTWbugDH1Yiqul/GFzgx2/ijN6x6QkbW5aipV3grrveDZ5OO /qs/Orf0NI36/o0gm81fzjrN/lIw3RbwtrmMFRt/L/Rb8gQ31dO33uDhcxBJ1cJiERiGYRzYHbKD ZKUxnf1X7VnB5mIKq461OBQuds/ml2nblaOQ5z5OnwiprE4h8w0dwq8o1UhSIR8rpVHGaeYD0g/m Z8l5y05LfCv0UnIILzYBw8RyzEfKVQaMPJ0mBFEngJusnl0lt8oQQZ8n4QqBwRK/4TurPb3f4ydd +T5pMvLvRPCvGM2HnHoqZiNp5fAYdqzFtUkp9W83IV4/jZ0Rsz7X2UV/7fKoiW8M2QzhME2Ip+LN Qh8shgl0z+l9cjpe48e7WC67uj30DLmdfnci0juEXYLbjXTNjV9QXaA7ONRdLnNVkeXkBeurZoOF XJmBHBhak1Q7S7ejfSk+EaITp+9RiACfsA2NOnydsyZW+Y7peRFrwxzCrgsYlo/tJKX2I2oHaz66 VDh+gtCo2vMKJb6JQm4uayVA/YLo1iXkIVuwpkbzaCHa9gJ53yYEv3SDWYlWszpJsXeLiqse4Kgd ze2meZ7oZZJJ4KLZYDFAJIRKucPf91b1YxKDVepT6c0oRDvwaz+p3ziVobTl2h4akxXKIWgVAqrV plaiwbsgbxzcQFgqsTd5S7qmB1YjwBPHY2credpkltUsBWle+wDtiMhy4LrEPdTFxL685ngO130r u23rhpn4skGcGxhuupaJJDrNOMyWfEIZjPkn6nr+GM6xj5lewIbHSe4rGcvTV9KUMahiDbM4DkeE KT3NvRQUMx28HuiQ3u9TSUu6bGNizZgxQxlFPX+tWnoFJPOYCm5nm9rpMjeiAsGhCk6mOdTtkebK O4UR6/SlPK46ilsCjU2Go7O1VzLw/aVwUYBuBUg6xozrsqhZHkhomFjt6sgFsV2auWG+ZVqgKUkD Rw/xqBtZjWw1oCh83WsgtAsCofprbZjde8relg8Y8yNfN47KQOd6mQ2RMjFC6MpYx6HH1ZFrTAYG 94KpIyC8his+2KK5hVXpCNU6fTz9lk2Ef1eQ+j+EY4T3Y6kD6Am+B1Iy3S2jujn46lzgs8d1ZfN6 1wEwk5/PJBblyt7+7B0Uya40s3DtJB6UqMfKMvrfD9zGjbxMm8bA6ZkFiLNQlrtsttFHMP/AsRTl RK24dyO85IFLtaW2E/lT1cc3AFV4zu6m02ebeGOCIvN/1eXG6MhNyFrICRrmoBArNN1RhAxOpa7p iUfY8kjdmOHyFHE190dNgN7hYX7/1KoWMHfNRuxvU3X6/yRXGFrb4En1IGmdmY+WpKWRX7uCsGpN MVbc4C1GGd8TL/Wq4LL1s+SpTRnWHoMMmfIHaLnPBJ/HJkP355ivx89gL/kQFIpLv/dyjQiGPLXp NinjmPM3DfFuvaTX/qFLH0cTrgy/xqSAqkucZTMdD1CwmyxXhk+MkbpRzY++NLmL6WvFO2zbqP+k a8AcQIm48tTVQruZdP8X0GMOrhpMTBOhZgj6Oj6mwMK1tm4+99xSL2vLh+kaX+Pi7DUDx+RhpEv8 kgV+3te5Co5x6ashNYWjBPdfBckDrsBaM2gaNbHR4vt8SKXLtUToilzGsGhAjjCiSha+EhFqVrUF hyUhvmsYgeNaSx86hLPrsqW+GWEgoIHwcbeckzYcGYhTw+SfmbFdc7oqsjc/pJmgsg70+DJj42fD eZhmppiaX8cl4i42zuM+fSTDTZPZSz0Bax27DflEljhIcyZKgSJG9ouCFW6daNOxDZ7T7P4q7siM RvKQ+hcSRUNlkd/Rkd0+ZI7dbh55wJwV9oPApGzqJelpdb02Uw9t8CESwkBeMxLfVWLv6+qpmcQl Vj2fb5fDKRwcQ6yj5QsiQGUAEQBRmFiTuT6fnheOeBmhQ0i16rcviHalKPUhEaTSxvH7C/3GtXkK VR/Rl3OasFP3iIbP8+/yXVJ2weKEaSubin9kC6ZJnmKs8OkVQVfWyn4RDvvKv/UZH/dhJ+hMtvLs 0GP+fdCRGY2ESwyeIfHk+Tac2Nbxo+8LtRMAj7aqvza9kNfeZb0JKB7ywWRCAhwwZ2J/MixxGKnr CeQRHzbGHW8xb/mkytQu8BQu9+piBFn3nSCUZph64310EQ4fDHOOub6iQMytf3ajnzfSbJmgvY/5 oSynq9rzu+hVOoLXIR3K1XP+uuGkr9RCayk+oimWMHiH0FA+GqYAzMdvuEiCb8QqOOtOutUkM4I0 2zgjZBDBPHLQE1UqoQvKJ+HpLk0achQX4YJOX6TPyX8tGIfs4vhovZErOOj8v6l5QBPlJGDFARgk v1sjozPAS753Ije7T9DPNfGMQ1BVi5j6iDFMSuiXc8A7R2pd9GeH8lqDI6pclp9E+SyY81pjCkGY Hd1zc+7uudhX/DForUH9eGyWwLfXkUPuesyiMNpbsi1wel+O0yDQDc3FCXqqBrjCcRzz5bIoDajh v3aT+kPcsMLySsp2l6VMyzwY329LwHLxzPyN+XSP6kpCWy7vri5iA3hbl141qauf9/BaxPXY91tl +f6ajQrtGthTbjCUZYylsBupX680xqmyOvh95f1UuU7k7akP/sCnY4Id+JNquguqpbZ62c5qhfSt +O3EHHn0H3sn8FEA78YfSNDl/amHUycQDj6NJTPwxOb+UZoDvPB44umNN1XUqc4nIRMQbS0mGhYe ytS+nrXCFfJAZUb2jdX8CIJm6gshDp86ThuLE7mn0Yf+YNUO2fgSeERrms98PUx4WiA0YbBl19SN RXksACzvFPt34Fo/up7f2b3mnZ0f4q8nX3u13HvElniOVo5Er5zmcfCt0Zg1b6en+/EQuB9ftf+B mv+rykuoActL83wbu5+Jb92wEySdp827QaKEjzrnq1UH/ulA2spzUWrLJZp5CfRasUyvSpTk4RUK 4t9LrHvVcdoXQ0FtwNLhciVuKpBi8TNqsOq5kJeHs7YrR3Vij3x6A/3OLzPlDYXfbRrCXsXx/eR7 NQqeZ+CmfbrSJEkhoiSG55R3siOEP51VvnBZwlXBIqueKI2aKpA8EGb+lm54VW8m2y9PRBZ1cDUc PGZOwK6iAkXlaIL4l5Tva+raY/YNyS11itlWavrqASsIQVczCXOR1xsS5xsJWe3abtkkOqok7MzU ItUo1GQlxF4VI/P32yQjcCIup3Oy3r3YSJkhWW2fH0LbXwCTOfak7pVW3z99m8IG2nbTyRy2f2wW iFwIAdySmbIPzBycOum5XdXHEdO8B7ExBWF0nm5WgAuRSpCRB6iJZWx7GkhFKS5B7dAR8S9kOtkq bJSWLG4zSJl6sYV6T4GzES68GSsXIArvKwEGeEMOH7dQC/3SCJ0UDNU8nMHZaJtMXQ7xP0GoJIIS yCGMHWm+ZAEr80rCynHekjWPxyf4FMAkkxTXfT8dbdt7G/yLhzZ43rndaJ40wQ3et+J1vwyQCP68 Z0aS96xDDvDIhb+cBD7PFUPxF71Bs3htJvdBTVaYy5cS5laTMwpqVLJbPqROm1lIJ0ikXzf0ZKLy Nhc2gryXDKsFtogXTmMBpaCpXOZKj6zlluQmhaxY8eoT6qqU/uEkFCwqUQwv4iZcz/PPeWIes8XY cJISyO41lq3JhNc3rDNke+iQVrZ0xHxV+rm5vdF1zsrgRPvmUK/26F3m8vA7ViufSS/fOZygZa28 fkJqzaz1BCpE03xVLKa2WSQKmUs0l6+3B/CZJ8bBPQDlMwCeB6qDHK87wRnfwaZ+6a9cXHbKmm+Q 3LoliKsnZvCqqw99Tft3POBmsKMmVmz90qkmT8S5O5nm59ltY0Uku7mmHWEm7hgt9sfZ1TKo1Z37 P3rK45JeuhvA+5hvez1p/rvTRbFKA6CYWWbmnluWNgCaXvZHRflc7HlyHbpkK6EJnh1sj93c7p3y Se/8e939O1QH8kvPwJWkRKwp61NZDr19tzojWvrWErgzws8iFqMlPnVhAfjbDLU39WvDN0klCvXp JADpcVGhWx4YZn0/CyDO3NDre8H/KIgr6eglQSjxX0npABYDa+v+JULSYVJ5Pd2DPnfG5VnVpS36 PQClbj1Cf4JaCoia6BHRwy4w/L0GQ4ScqV0ZJjum+OL6CiO02FCeCy7I0PCjKD3Ue6MNLwZ4lLHY v/F6rNgRWNyPiZWkdDzciUFJYkXZdv1fZJMU2YNqQbCxawaTAn2uDU3SVy9FX61i8wYptK8Q2ihs 0S4kyIF4ImmCNbMCIKUk3GheHj6s2Yi5Iit3ZmM/e8+IRlxW+xFSaGiIX7jf5ld6r9JBOO2ILYAd MxarG/9xDsJXOwQVDgjaIMP8fxRgvInuoE3QD/iJzSQMyIgoJowHRa4hWNGR2hWLMoksMcmBfmki q/MmUcc/pvppQHVb484oFv67ghqE+vCXBASElkvw3MtM3V1GUAvSwwhpgVftHzG4a0ApNLkgCgnb a9iBibhq0sInS5JZQ0AJ4Kw1ZywwCYGgfC5pAYCI6VIsAqGAPzNqGdtxoCbQ9SDSGBXMtD8FoAY9 HAfZ4eRWY7oEwRg57dul2M4IJ/u42czdmcbP97CW2Pat0y6feb1srVVUq7wjB/9b37qphWUxUGFx QJBn2o6WK4fbdQHfJSyBY1NopE1dcxBBAxc9yhSETHH8ORsWw+7v68pSBFDCrMZ6oRVuSOErIjfF rz+rWvREXAmQEKE/YaBk5VcEahl2pFhwhRQYaLwbO0dNOsQE9gVDYA1MgdvezKW2PClJJrqyN7oc QVOInH67OX4Fln+SEsuGL/jFwxv1X10Wy2tA2BT8Q79BwkwUhr6OxLh+PVm4G5Jy21BfqI607pNJ CqOSz5XkBo12Q3AxXlfqEtv8aG6mtKpiwhdQeiO36loXfEru+B0oCTiRvJpsZh67TztBV8u6s3H2 VJ114U1vijJ2JsP7IKY8PzMU3wpOzbQGkHqS5JicBAOThc/+q5THx9pB1pHXbVeYheFsXX4k1ARy z8qy2qLmRlRsgyhmO77RlhS9tFJTiAiEeRZZAOGlAW+zwSO6i5Y9qjrVTFrUdq+P6zFGRACvrwIX W3DINEQRmUNFxCWjZkgoXcFC5rgzIgOTMOi1+5ukTtfgBI5WfUC9BNZKOyGyuPY+vcE60hJTXzBT 3tVEe4fhFlr8wVFoCp/MTW5EKf6YF+nfGN3vBN+Qy2CTRwzGj6Z9/QpJlWl2E6hTvIE5XDs0XLDF JYAgAlo97srucHME6VuN8ddasPcPzgb2VSmmh5qQCS8i5whA2sx0cCL2RtZ0uNs5ONZFnvDL0RXj n5sUQys7Zk0d8Yy+QYbevuSlECNPnG6kTmXL8ZffPf0LVsaDdZBalk7soTWj3J7zk9XNKNB+3lF5 QsuxaBF+CW+viPfmFwLQVUEParN8FrE+sVRO/UJq2EAtbRTxARpWrkx3nHyZe6boF6NTX5z9VMj8 FR0k2b1BC1XV0KCoNUDTALfsbpfpAwF6ZC3fBT81BR5bKtLBCB2zTmzikaPSbEOBHWsUoJXJ16vN L7MgW9gn/I4OaQ5bERM3Mk4TRSomabsdfeohoib/indZS6qkmBeSXRgU5yAimeZs1pUfnbjEEGES 4vDDAknN21tvdxIpNgkT4OMJQDxRDbjgnxiXtkYBBttyI3plelqDn/lZfEPiKdXhZ8z+lfgTgS4h TXf1etGtrqCB033WILG2Zg3TPTQ+Q/3MNN0T67wX5dY3o8HinRM8vOA1Ot+p3nm1EqkSKsXZzwBb E6Gb8GoJ5KZVwsueAVbA7s8xKXIC+mswIIBkliBK8bIHnF33RIO1r4cOBIZwkYdOGUOCGT5cV4qN pHykkTpLsOMS8wgaJRcv6WxyTqgs7BjLQ6ZC+uBq9EUIGai9h+JfZCg6bmEO7RHK0aKsr/De1I8g OvFWPHiql1Bh6bTlcKpIB1gq+WB+3WYnkJCQTe2uDDtiC29U3goliMR65+0OdRftU/Ie2aKY3t4W R06dq7RtPJZP+YoPIsBDXgVQeuWmBd8q3CNP/RcJ+bL3FvD/u3CH9DAgwohrxbjunx7zQQR+Umkf 5gy+YvNBwR/BcCvNaYTvUkmh0MQhS6GGlMZkUL/EdRsmQIDB7I1LL4R1BZi2DA1ec6plCOtY5v2p DirXyh/+WRHPxv/HuP7pS/e3axqJ1wBTj5sMGC2So1oMbJqSLcVHtryGTFSakxx1XGsJUJZUawll Mvaw6DKUbLOeOlaon+2bCL/uPfpqRdkQYdxFtsL1mzGHCOLz3UBTmhNN64ZHfw8cdBfur4U5/r+y pxHSg/QtPb77Hs/bo/5THJZQZBKG8n+nFHYqS9b6jTaGg9XDLRNWLri16XkjQJ9RI2RiF9hrYdHo IJSWXFZQsRs4eDA/OuorMST2Tg0sCEHD1TdrDsw+TcyMsp970ttgS8Ijqi+Cv0pr9uvALuXqBdoh Ocj7rjle/lGpvK2yOfI9ZkOYZ6DsZj1/xEb8EaAJ/+y7oAWk7zefNCRDRERbV5jsnPiiJoaWJfJ3 +T3jPhxqbB7o2+5+0jj6rMCbRfl0K1k2cqzBK7PcSgeAzZBRRUNcPcx7jykg/U32n3qVYAaqbzTm 35TxeDhB6bS4HeUZitV4Hbrx0FuWrsLYrF+XSmyoAmYVnSySlgsIRQ2JPb/AOYeYGRXAHG3U5Qk3 CCwdg0vEDwdN95cczRmKZuxyqRkFSq+JqltNMC22WlzfuBHdhmezAD84Fn8BLGZcHst7tL8Fc9nJ ouHV8gX1D13fw18xngzNuntI5N6SpB686MTRbAy9ffH07o2sv3gnLEKdBZsjpqi7nXpfi9MiFEsi 873OkfWDSA4lt8PMVZqC4IK5DQzIJ2agfb5gFDvnz+vq7WNlqETTdgXpFnwtfYdC8quAI2H7GYI3 6YmTJQiy17zDOSiLXj6BuuC/llJ/4WKENzxtvZ692n7rV2EnGw16rKqBON+JuKP54pi2Hq1ZZgfS mgSjXhjbITjM9tNLZj8/74feWIlYBqf3yqB/vPImgjtVHrI6xb+G1RQm2nHLI14dJMGzAQ3vpVM0 C7iovn7vKRt1CJhX+i3VkTkek0fLs9Gr4F6TyMec6C+lui2hIU/uGp21YTmnCUKYsgkOGck+BEiP EMY6mN2Mxbxe2iWOuot1CcSrH66p74eUCuFXFZSl2McJpm/62t7Zkm2FOUEvOMHQ1UXuwY0ZUQG6 wDmWJnvYK1A3emoM8klk6aF2/OPAlgVkFUOXI4NIZ9FjfB4MW33PTQeF0AeW8GifNNZVJKUSJFE7 dajsNkXORoub6jvtxjn3YHBsmp+m4V+0VzxyDGyNTXOqj9E4/YQ5f19gEp7V7YPE7nvEZs1vmSVn RYseSI5FiRNTZwNkRsb8LWG2GpDCk886NeTZU1lBRbiEQrHs4yVka7dN2GDQ2vxq0SZFO1nCH/qF LO7JMvMP1k7F/QJNjJacvbbYOl/WPm4i4IzLX/afKc5mKmOTAIss8sQ1+61T9gy00atk97AxIwtt tJKFRfMIXnTyMJ0z24MogbEmOtTI0C77rbERVnHzTh8eYJPYXquiqMZwy+oYtRt4mpV8JBKbJKQm dfnwXNWA1NQPrWIfr9XK57m8gflJYbYfqIlrTys4/wVIpkWOAnVzj7owZdhbBsA0jf74hc+gNJIQ w83U/r9KSNEK2u+CrYkr0t2S8/C1Z4ea6bUzpOzasgvGvashGzXPj+Njj2sNiVPvXJyEZjtowtSR 4T1xtYXKU9TJdDfP0mQdo1MrDHLKhHopceytM8XA6qp9BJxL7+osWhZ2LmIiNfckmSxWCCyoeA6V RDeu6pBlXMGPhSnFzuBUDQQ/73lyL0LiScKRsvr90ZdykL1fwuRFgWttg0b7MzoNkDpiX0bXfrQr OJu/+PrVXDWxF0AiHQd8h2mIRSORJKXeOd1mS8Y1+2qdlflxaL5Bpl0pAUKA1SaAHeBjvhdJiGzV YM/Srm5Or0VTEqNi0o8yKnNDxFM229wUaVCFCDLOXa/6Ztro8UNW549yVqRK2NUVdB4gFxQ6X1DU 8qLPDhPIbxk8sSEGH3t9tPeTD6xIfpQelQen9MjJTHpJUlTxyo4OYZMi0/hhAlozUC20vbhOEo65 MJZCLoOs3jJztYUUnCkzedtFjytcCt4yQpEt0YnjekTFyxWFHu4avrj96PaaUmlT361FSUpPMP49 gJeK8U1MkMwfSZg8m0Hkb7021JkMaAt8aO66wgdrk7F369GUL2SjARIdR+/oAxMw30zK6XAYSGmY itZ/QPt0+Xq1ukvWTCYh8VViZx/kDr21rU5Tp7TKkrfSoWnUx6+4elJm+yr1dyavwDVU91/WSUEs wASX/dD08As0XBYXMQtQmUBFP0QIxY8xqLZge5NH0j18ZnlOg/avPagssubhYejrsNCHX4D7fmKp bhdciGKHuB1ATCJ/2C0wesRerquNvexlrAn9tkXkMnEnJ6oaPYapr85lQfyPpXV6TjRf8NSy+iJw Z6MXSlug+kEdGgAmF7akWwJEku9OJjaOL+i+BLfq+W8/D3T2nCzB4ef5t0NnbrTPdCQAPoXq2B9h h86Gt6LADYoynKoCa6U6gLYCHT+xEDqtq5K2jM3He+BmlS3g8YO4q6y/MtfkQOcs897zake4nhtr +36w9eyT80/oy3Hjlec59tl52c0MiCzyMhKAQCGE+02QqSJikvT2+ma75wk2PlpeKoeR7KDfgOva za88EjopQNFjMejVTnKjcr4FPblogQe6R+tHD4P88BO2QlP7Si3+e+M1lamk9NSUWeCo4F3e98LE ENuyY/eLxur7TZsMP/Gl1OLWIyd6KzudcERTN0E1EbTSo39Y+1YgFExEWfGnqLYYMfBEZqxnAODy AnC1F7brHQYp31AISl5a7au0g923T1GC/+1iViYTvEZXOHmmC7K1IaISyJMJkrVLMDMnxRYKZiuq Gfi/7OxL7ZshBOeFNMnMjjomEyY83ULRI3bjaRKRTxy3L/iKyKxOFJY1XGS7cd+tejt/miyzA8sM 8wNdK5dJ0AThOSuXUDzBBbfTcOqraeTlrmek7+gRga0ge/7OKR5e21m796XKLcE5zi4Xkle1lpAP LJvF0uHBDwrbOehogI4sdo+A355XcUCFiK+UpAkVqVjA9TkE+9bkyz2xt6hL4xwm/42vvUAbJ2V2 k8443vXPbOVS7gVMZZelPNUfLQK5RKYh69XkP376AES/hRzze9ivJUrx5KPyZEZ49tnPO+NcUSmw 17UKlk0eL9t7P2rPnX6SSLUDvRzTCINge1CLppczqXYnh3unwnMfvOMUETzbVNMqFn7k5wcBd6+P 0mnRL87VuGg0WznS7kQzrA83E5JRiM6w1+x+SEs+Ve8XOOC1UxCKV5JSSnrzQUfyMvOMTHCr4GDE 1T6m4k6pESBZ+aigTvmD3ksZ8hChQ4stsJTnDGRcjLJJdz6LlpKzv2pXMCzmbXUFZIrQnMXpS7um Ld5t4YBHqHerZVzUF8JRGjVkzYhfkS48CR4p0qz0tTq+waICyWC21e3+8R8PaJWjyRq1wu8/vynv /PIlFhHCHTt4ke5r1DK5DVKadH4tEuwaD+eL0kdnZk+d/BjPLdy9Nf74M1TRNRDTvjID4Pc7UFvW 7bGH7yesbDLXNecaUOoeIbsD+Sj/qHrHvVA/J3BR3XEDfXIS0sUz88hK6DRWb80+qxJaEVjemgO4 CiSVZ803PJr/mxp5PKkfD/nZDNuLWX7ZZ1L08Krhstdb70OW9PAhE5xIRvceCNtu82xfs24nifNA fpP7/JP/8ber3rkbK2J2sVnGmt8oEIAt/RGX0H3RmQNw+xtzxmYd7n10Zw0lRnpmRFbzcDj84RWx /KycrSNHlaa5gytMGt5ddozhMkFDVTAxulmRt25BhhD6TsYMtqkHjjbhrnVlupjez05ubrjD1qVm WYzsLytU4OagjtzgJuCWuyob4X2MdYAqK2Al9IJcvotlJDUsw1Vm/1XBXOKUoCaU+EDqIuF/iMm0 zYN1r8yS4jmw7wisYEu6R7JA+viDAc4cs43ePA9p1zneqmse0oUWrRmL9IPE8KpngPnxkTRAAeqY gsV972IVeItdoqIDRMwpQGJOs9M1nRqBSOBCywt0J9L5dWOzvY93WGiXsvugVaO7lKbNt1yQdpTf tO9NxwUnqlDmYEB+AogSYqk5jQQ7E00RsDS9bWLFPL+PZibgtYvNd1Zchc0cMChdNq9vArFJydgY lO3k3rhbRchsTIg00CU5F7a1Bqzcf+P+fF1QMoZWHqdz68GdNZNs9u14K8UNeWDfCBQZkCjAVMN7 4/Bp2H4ybSUPoP8zvS2Ncs7D2NaG+XBPjlr72GLD2z+P3jT54smbcdC5HVParzDO3sAVobhsZ9Ua wr5LUZYViIl2p9a74uRrFHHzEdezXDLJ3g3NsOLz4YX/98rxqqH+mh3Y85pHANmNK57fHsqSsA5V X/v71GoqXsS9LAUBQkgOEfiWgb+rEwueNFsJtnj90ex2wYFKDlIfhTZQrMCz9BFWN7b+zMVmk1lW l/5/jdKgRKirZF7Ql1mRrAAQ8UcLN4qY3mYJ0YuNbgNDd+DMXMbmv+BU/lrtrYjItbdvaGU7bIvv YMf/8zu0AePGhq2CbTGZMXmdM2aRTE1QIhLk1NAHHqvU+/+pGZYslPstRBxpnStwdVkYn0gRRY79 s91W94JTE1NLUh5QzT9bIOg//deQB6MOE7BKCThTLHydCe+B0tU5FlzRzNO/H0++dsVQ1iuFpbMT S29xVZWEYlda4et4hbbiGM02BI9Ew65Nkds3bMGQTeRLtlP5idclBgEfuriU8G2AqyyrVUrxrWa6 uQUaQP0kb+GPfnd/fEN0Xxpsd1J9JJeBlWIzktG63JRzxEvnL+Q8tFhGzmk0tAK7wvk/8hHv7arS 44b7wN6ey02kWcm/aOGrY15SUyF2UqvOfywHzVkPhHoIrV+xWZbWZgNx5ruIbgtpY+STaWrjP3Qu gcZO18xw9ljR6rd97YOOddHOxkltU/NDgBSFr1FmBHHotzGbYjRv7KcKhGMebg4vfavO8hzxz5Vl oTjPCOQ0DAScPTHR45QTIGIa1hXj76td7+cApecREW/CqtsrvvObrIAnWr5KmdFIXoKo0oiJC5sl f1rS8Gz+Pcq+atpVj/eMOZsZbdKnEOYMPl89cjpLuCEdlb47ybrclbXlc45pi/zBI8IIYcevX7gZ vvtOBoV1+sgSwwrUnqV5lX8H0IIcSYS+yIyXcVc5I1zoJjRWZuu4X/xc6TJhZDrGLKQqTat1ZHel OD0Z71kkvvx3HMmxYGD/6q69obammg5UYnt/5xR7YgNYoMleNtD3XcMYIyEXxjINtFEp5zvCvOPY 8Xca98Lfgt4zMFG0E1/Urgq64xdPHFuPxtCTFpZlwW4BE9KPF9B0E8q+BtG/naZZRy8irb3YdPSA Sf+0iiPWLn8qKUkDmOFefurAnAeFuNfvcjmbijs+TrVupsmmUPKRkDJyHtE/4tQJgGzAMECYQ+pP WRyquhF8AhQ8kUyN8isv/B4dLPOMFfvLgCYn24KhhoH46w57qq0Rtj6YDfxAUBx7f+TpWqm1MbjQ j8rFFY10DWdwCExNNmhVE4aLpc4E5XAMPAcUdeIPjnCdRIb6kdg8sBl0/G2ZrRBFezZhAsdGeK1u VVByOIDHBVSwxYdN4E8G/ker57jqtNwqYBbORp++CvR4vuwTBp0R107Srhglyw1+otUw/l99dwEe efx4SuvWqKZTyR+NpqUm29/gPE7S7D7kKIdsUBdJbpE2zgOWLJ3mPOYhqx9faW7D+KobhVrdeOOm JnA1GgjJMi6Aix5yqzUoMe7tDl4rio2tagSOtvVCTLxz2wbzId6e09reZ/kIu+5zvc+JbtrFDd1p xReg0ozdNnkDSymviYXOnoK5Tk/7aOWFk1J+OckbdygTgbrQ484VMB6CUi97vmSMW5yUYPSEr6We bRUjPm3PpJaG2ZZr24vFU5bCplbFS2Fdwq5MoggLU76NfoMwPGKjrAmSscLTAOoZ6XIJwkSDoR2t lXbudGC3SGIEVfSXN/hG5NxivYNNCUjgbyazGMA+YhY8ac0gywtJmBd1YDmGBeJhuGBdYvSVccLF A/XsVaZJnvYgNqELjoG4rj2RvHh02eKSmksPisbpx54sYGh3AUuwID6icczHl/vDl6u5lRtysRby 1jg4JDYy8np0X7bNoE5iNJVpIMT0hFOhy4ls+Rl7XWv80Jv6QpoLnuC8oxU6/m8d2wP+AJsVvTBy cdMmJiAzdOJ5WazaDGT39gl6UTHsPY603Uz0zoprIFV7vlLr4Q57HvM6hO0qHhF/DMmBbqr6L0oF SanEPJA2DdSFKtONViC9HwqDbghRB4yC1Gs+MNn51u9plU3/TpMRlDtTqdPS/oB3oq7/Ur6SSm+u +dGezW73PnoOz8CxuEGGathNERS9bb1HStqVdjq5UsxEkSDqF/mr8pL70Ux50GCcTJvr0u2u4JM4 OENmOG99oo1FrsUS/T7cGp8oqywslLt80/1b1G4hEbeVGgvTFdhKjcI/NAjMOZV7xbzh4r8CJgrc 8eOucZDhFTY6Wqm9rA4cEyWMNyub0nRCoUiOWu3/K+qbZhOazupn+3y4kKVMcM5z9QVXnQn6KADL Z8FuhrRIzI2AYbiR5Bn3tSWWvdxSI4O6Wm2w8OSCEpimw8nQpicA/RURb1a+JsjAdrRV/Ubn3ZnV vedPOg5tbjT4bmk4k6cwBZozO1dPVV/IDNrQRpr+C9asStjOV3xYZYsNk9oDb1OMbAG2yxUEdJB2 UIzno4Qmw8kUWwZBfZEB+RMo6lB3ZKzDa786HoSB3QRgrhgThYpBavF1cmEurtatrSY8jXpNzLrC H1SmvZCQ9GqVKNWVGMTY//Dj4AdTzRwxSrMYUiosCZHULGl+n3R8cKZNyA+phZIbxc4Sl8XTEGUv JqbYsfKRd5YNWAzP61+UOymw6WGitFOdPVr6Bz0Em7f/t2Pak2c84AbUWoCWfGrR2ukJVdCyT1ek cAMOJAUBWpeN6zJhVJUJarFf559w4DzI+rQyqIMe0MXoI0aFCES3ltkQmqJSgH5LpJZmHpL/4Wx1 zHDiG3qPuLNYE6IIzr6GVekza0SIhFovsgkG+ittIEQxR6LwUpuNsJSoKCfoYQXflbliKN6A9hZ8 9S4NdnOoXnPNP6+jgmVNKKE31RqiLwUtLfJK+zHdi++VqPWBqvE1k5oq4TD5UNNGq9kNfQCatzFE GjMcvfED6Ftvv4WQrtmv719ohj6nrabn9Pi5vJVNxUJPN53hFXW2s2ISAit0+M3rm9LcqlVwRYTf 6gS14cf1IfBAroWB3wXii0x3gGc8OjgTKlIjcl+MyBFSrmDCqInj2fk+OBYFTlHeLIOB4aLqmPQs 9IVPS484gFZ1W9LS8RBhhtrwmIDSQV1InV34MT3OXYxoHMdaTdcGXWX/Q2wxqyEOkM4z7BwSqvqu klCWqMd/iWtI6SNRdmKO5tXUaxmpe123Ktmjo9S5zkmKj+HYUbVR/cEmEPY5gpWx7xRPC+4zH0/J ZbMMKJjuqZVo630VrR4XUkOHsdRji0/VYsDLnwai6w9tEsmkAAmgaL7pi2BtOA2QBfMtYlucB8ZB 4/kiSFXMZxaIeMrDwiSA5WfrVsH6og1Sj8WWFmLuenUXCVAdRQsPq9xg0S3sRlq4SK49dxq35FLQ L6eTe4+eJyHvWb+mXH8Puav/bs6VwBZfHWC5G1KmTQ1eyjn9R4rB54p/rljy8AMhiyclPebmOttc ew9iTAi0UyG4CZhiY/pJ49yUNTM71Z5dVQ1X4sBSXiB0PwcNDft/YNiaEyw2pl0ELZO57Nu+LRUc 9lOu8/TLncJx1y2fE97RH8Igk5ger0p6ISvl3WdIvKWL94vIcGSEZTMshAbwF/xvOV+vRVXDDVvy ZJ7y8Uz6YR/XpyqkIjY8X6OI0OKRhpIQ6I1Y/lG2XnHN1XwOndQfkV07xpWf1WTV8ilJBe4MyMMn Jeuy/+qTR1ifDskyDbJYwuTVmKSZtsp94tA+5kmRdxORB/dcCFpwXwgXR3xFxD6scO/kmJue+dBW jy4cXu1RrnyTvxOTM8Y4SXMdqoHF2Uo884EswZZ5p/T7MWkI/UHaotjH5m+L30xQmFty0V/MKYiI UaRdZHKHZ8ESmYaSofeupgPJMllpWvUFIcAfdduX36acut6+kSj+9zzqp9IJTbHXYuwb3lx/3FWV H99WD0cechbNPTm0qJuQbP1OEnPWqBqOt7VgolyQU32hqbem1fZpBG4VcFu3L+qW/UthbNey+eGA 8rRCgYvGCCPQQQB9ZTM8ndUAprVHNUT0YKkSJ1y9S6r/46lpj/Sa55CD4tgapZ0u9TlXo7FwO7aA vTue3HoBcNHhaC4QDf36SL9Ac6E+BzA/LWjSy7vgmdwV1R8DtpPqppKUx+QwL3o3fvp2tVYCvbOv qAbgzHfWu5Kot0abuYtfrahOP1CXpiglRUzjKCbhEyWSN4vyqHPoYPcac6GkG2FyM9lRWmIeJD9z SUm32zu3+m87C+4Zj8XegGEb2eWW+8XGPc7U4XpMicdeJmbg7pZB5L+zA7ovBiWpAcEJMNqyy29Q Ui4rdevHOCs+TM0Txa0TNw8ExExudydVQ3Qfq8RdHLiqJUmHk+TTsSCHuxstBAUIsYb6w2RBf/yb dVA3TyQ2nbmMO3j1W+EyDq2RfmuSUowYJRzM87VdEIG5ivAooBFjs0B5IhjQCfwgioV/FoPjbKYC 3XxTxemDiQXHN0LIR6cbtq3J4xgE/AgRhrb+a2UE00BMJ6x46aK57bn2pPVipY/ENOIPwcp+POL8 rWKTvum7/VgbEs/vxDh6zOE77bomSNA8136ZgLpXy37SiYyfPwnKem7Ddu6uPgrb4FOBu3jLnqp5 YRKt7VpzOVlCV8T2J0iNtFRnmOSYT4jg/Ed/a5CXJ4AHtokRN7aiVDCn+rQph27OOl04fnP1Nqgf N6R/70T23PZjZqTc/bZl7v+VR4fuDQ7zwGR+NigHK8hyUYg6e2JGVaOpM8bIwAAp9Js6EMNvfwq/ RcsfVOltZG/SH8mBcerEvySrNt7qqyrlE5brG90HpU5GkQ0t6jm6uhtrm8c7GTOszZxGrySWu8et IzwLQoKMAAZQZ19GwcihA/jZKT7AdUrk2rMxWZjgqfBiH035UfLpHEMxoL7FKkRUiS8+JAF6I1+H P5tCbYPMk+31hNPkoQZbTUXCzqwRk2UYnmPJGBpzdfwYZve438gDSt93+UViQxM8QTc8eBhWqhpu 1Ay7g/XneWLyuwhlDo1PQPVRd4fbwaMO7IRpmSuDepnCgMHLY5tQdrC9Sdo4huwz3bNY3c/SysJ7 r9I40qF+XBtYOXV6B+XYHuQOM8kySfIceKXwClVh+LEfy7pAii99x2wqEZtCCAnxqKftA65SzsaG kR1dvPHSNPklYUCTdVQB7SPmjoiA6s+d9FYiZV9ht7wzGmiHTpxuIOPlOyeiMYIp1ZxOQF/aBqje XnJG/oSB6FjL+ITCon3GZNSWDW8j1yHS3+pwkpq7KhuG26u0mfOTVMUPbvte5jaeXP2hRY5qkeYk JRAbjNpvW0N0i2f8ApCfAsN9yp2A6mgE5foH9gSuHC5M692Edy4rTI2SBhksFGg6kFEtXNms9GM3 lGpf/AV7s3ysdAUNATxv8gDR4JK3lSwzgA63gl6i+rc89onl/BGLvUfNkhj1XLHTYbejrD/Sy5BS spOc3r6Lk22vEr6hiwNlHr3tqjXsRi6HjSNqK7/J+XIWJfqDZLQZySfXsMCKlVv53wHByBfVOEbT 8qhPb4SoCaXrFYH/UqnZYfY76TSLcRdm8RyCUx0EoWB2IAhLcyJZx0gFIiGhs3gPPOOTtUD8NDUz SergwJvLnfTXXCNgx3VcwwBLWRiWj2W7jH0QePlLmQkjTZBTYyQvhFfRZPc6OXIw7TIZ7B7wbW/R nx4j5Ohrh1LXUk7Z6oNWPPV1UIoPrZd0aEi/tLMIYl/hJaLR/pCIGN3V9OCc1jbZzKpq5ubp3EI/ q+X9kOVmXhwOyJlTahrVjlyAvFCbu+I4Kdts2aPQ3Mh0rnQ9X4iZK0MI2+wQMAlMwxo44qSjP+/H kAMAsoHZHt1gzs2VDJnMhQAXoN9xXbad39dHMNPyMb1cQXdmzT9ka0UbH/KZwySsmvaUuUEd6V9i LnfG/Z3B6AXB+HLL/kTDytXgdf5aqDP3akJt1L1LUSBh/77vAHomTsK3lyszK+G6xYd5/5dC2JTm vUAZtYXwaGFwDbdjhmSnXx+9Zy1uUkxQcvh6bG1+IHklloIHJnJBKEOWsQjYH9q7U9uQRUABf07Q pzRXsXRvHWuUodaOltptcWbKT32uzJO1TSLrRcgOYjJ4YKlyF2reMsoQeVvx5v0FrHKeo6c4F5cS gOwmhyagILxXL8K7LGC9Vso+sEjUC5FH1wnlJBBiza7YgmyCuG0l+yjOiaKRn7iEreAj7qta+HCk CiGTpw0OkrOzvCEJEBOK9H3oAgqCkCXsbh1kFA7UlzpI7fEHWdJUZQYwfME5scGmrq38sl7gyg72 XBAr6wHmT35WhY5j1vSbOQCrBDy09rqp94gedWJBWBVffBtCldW9DfWD41fFHV2uXY6PDub7kvb7 hTJepa/3EfqxERGX4yn1Nbat8ImdxhUvLh3bfw2NPI3DQCYwhIIjgU08bIR9vRrREA5Cvi+C8gNi VGRlmrNEYu06tpjltK6BccbPmVOwOnvzYoTCkLYQCzdy+e9q8QeUhlLSEa9khYYE/NX50Ai0hcVP 32KMig6HH6Opow51Ej/v6gDUSEev/H6kRMNLTYBKk6yi/LGTH+xeQ1gpjKG5krd4q4+YQFMe1PSO c75J4y6E46JM6Ve/JRQSKs1PCtQWaOjVAtvRR2rPDj6cGhKAChNsSjczAlJZUYJL7BtLqdj9P6yx BFfoy9YJP42hb9Y2Xf3lUiAWQNWEQzWgvZx0oOhyQst+mqWXxjzFtX3A93UrYxrkfHym+B/VjFOk AsAPlAyUANVbmn1hXwmH0jx4f0tFpQQ0LN2nqmnmfDDyeCoyAeyC51rzxMUY/etyJH+C8QKpMG92 TdvMmDr58YTyLbSw/60s2YggfMgaeSsPX6qNkS9ZsB+jLZoOQ6tJz21904I1FQaS690TFxw4tm35 RcTyHl/QDgkZFah7lyh1A2BDcWcGjaLopqI8KVWKMxH5DV0rU8gWLrabQ2Ua751E0GSxvA0uWqnK e29QeYtJjDQgoCuLedV6KRDBBkOnC/uJUn4WV0OZDlDfXAQ3FFPoCrObj2KuQ1JtmQBnjzD3EtgH 8MOjS5+Gb91SK3Tc/Cs3vkU3fSaNa+ikCYykYKEfQHAn3dvw3jxqFYt4lyAbmdxl+gJECEaDyMlC 9aVf6VyBIvPHkweN14cUV26hzlni8dsB3MbIwFT8UBlr/iMnfAksGRiDIGx1lDHjKW92JjuoQX4E fG5kr7kDzUV329SHqFH4NZejrSR104wxoYTXvCxoXgCRSCU2Tug9WUuh0QHB/jcMiMOtCYpOSE/m bIDq1M8md5CO0FBG34SDhJJUNazpTZS/jzCNobc6q4SP63goeCuSyma9Avj3OtDk28taiR3T6Ifz VxZTRIMC9R+OW5/OMGEdJhVQjJxUpkFfQk9iDdNBTPl4r158kpY7/kEdOwIH+2WfG6EEIBYemDgE GwnH3sT1fXmhnddqGGhD/icQNDwAm5Ye2dN0MfobFON1bW8PzuTIQlOB344ugWfbpniWPJXhcw8E j9sOrm+W69byVEhgwd7apSs6RWK5QRD8F+4nFbe7JxxVSch2oCT70BeSrZG0M5wLqezTsKo1Vj0g 48booli1opgypxQQlnVGG3BZc8SeE8yA2fgWTiJNn8Bcx2IAutTSljV2MR1/QEGXtFVVJ7SUMrxc t8kItaTje3MJXhfATl5auZtlq7dDRTj8Ps0pK+riO+IL735MA0sU65uXHmEzj6Y+t0QPFXJbHq4k jlWlju9s3RbbscZcMF2/iwYUJlEGTazklyodZLT9XWMrhhwANOqHrr5EJ1qbm34BXp/9J3j2V0Yo wjVquLhUQzHXzmJ3eNSFDxTGGOQ6n5pbHPSbt66Ag6gbTcAgK+ne/Izh3InbbBR6SjsESGpPoXGX SoXssiQ9ELPFCK8rzqZ3pwe2TZV/Do2JJl0TpUTpwsYzmHixA0rs9EpIMrK5tGQUlJD2576CGhVL rROHcPYhpd/VeJKCt/AsAuwBJN4SkBI7iIfXizfsPxTYfKTz/o8X0P0PBEwTPDedhlvVGysA1iGJ h6yL9eXuUTgjQVmguHUYcxn3axiNC6K4Pr4HK9Dhc/8QeNXH8GtdOSAqAiM8zNASptR1zfOLz6Z2 ioMYH/Lmc1azh5gQsp3ktomfRXGrizJ9vT2nODI8ewuYhG/oIZWBqBIEdBfVCo/zcbivFDxD1LBh zyTUCHK4KBjY+VcFNOuzjw/8mPgwVA3N405LEaPTTcysUQxpBmaunepA3jx83rIln92PWkl2tCNT VGEywWaBK+Ynx4sXEkbIVLcqwQNLbn1jAB5C/MAwQXnp8xcehLsVU3jwW/jgYjD+8x5nLHwJc68y rlJt6k1DJ41nMLeSXstfbTLFs9A1lXcQP7Yr+omMNMG4RUOp1EX8Gs5hw93D+y8E55g987/4JjEE /lLKA1CnPUz+7eaYvANa905ssqnLqIX8tacl37M2sggs5G84bc9qoS+Xr06/uB12ar1U8mb/vnkV nIbg6UKwePvp0Jmq4Ddw3Qt8MbXseR739XR6fVIKsqUMuwz0TamBjjcNVv9YQM2uhyGtKG8i0Mp6 qQKFVfDRHmKvvKp8TSZ/v2pNHYNMqZVK3ce9jRDpLvTsUzoyXqP230WVgUYGXra0L73r9npUoKVT eM/DkO0xaX+awf/ICOCwd7K5lddkYCEWsJ1Gs4g6X/BKiAZjnpGnnLS8dLVk0rUYeAKhFWaZYv7t hUrhinGqLKX2V8Ur2YkGBIErUW59qqtYs1nrz2LvpRJKSzJAkwdetqzVUynaaTfDX3obFuE+UWTx I5B0LFSVjtZ4VJvpGBuFgeO8mX22sl9HUq2zgENw4s/kaSJuTdRTeGbGjcFT2xxaFUUHzy8hJ3kx rOvdp5bD716iGSOax4HXG4SfBOHHnrkP+AI0QG1+8XLG5sQC5dfpAhitH2CHVvW9jk52ZF8o/WqY StC1zVyasxjRQEoq+cFarCPdFWQ738cOwWCUFhv12qaWD63wKKveHpc29yowuLS3QkKgWfwEfScb /E2in7VyIm8qAtB4ZKmiKptomH19VPNPdBlLW7dik8BpcZ2OWLt2c7egNftk8LlrLt6hISqD2a2l tud0h5qSsLsw6MmjZUsOttAmcqtGdowhlYYJNw8/2GezAoCxUR6a8WBjW94EbOEXZp4J1c7psOPu M2iWKXIrV+0Hfs6op6P5knykOzLHLyMy+v1ei2ORDB28ejggarLtZsc4tzDf49upIgWdYml2SJGX pJcruCPjuRCTXVxSqfsV5W+VPDLtcikNNgY1qfzgeye4r8GRwVsc5K3grauKI4fc8yazCkqOx7C1 wVQcb6o9vqOxCkKBmYzmL808AZsNXbXtgVoRj147O44B9Z33QA3G43acYZb+EcyD8FlOcdH8+wBU EIy+ztO/IdMHwK1sRsR2fhXtDvsayuAdgOIrMPq3Ek1Q2432atuasNK++BaNdXBlzVsrgj2ihnwS Yp9OgonkHKcJpaH1S4n0YUOyCGatZ+tOKTHGcvIOI7AAjcpa5av0shRKVacbTA/vY2GlLG6TriDp 2Hi48iBPIBTOIO+TCmCPZvvGbR/etoOaCV1mM7X72XqxpJoDURLfa3g3XR1t6aA4W+xaX7yWyIJR FHE5zeB4cfTEjXNXOoXfAXZLj8OMk97iHas2GJ9K8oaQ9toByy0GrJ17qWDf9JyVj96gKMi7tLQo p0lyqBfjOVpIWcrcWoXo0JqskWZ9yI0qad7EaQxj3zUpQAm0s8ynfTc7HaQChEjOS4E6SWtodIar KOpXPrjC6bgrHPTbgB8KreDBqZn7W8xyY7EBavtrfmjtXoCG/4igPrnd01rLh2ujnVcAQZji4VpP FF/UDtGJNBpm0jqP/DAHPYAZtpyZkMZ3N/otxryhmXdc/RyEKOB633PiXxAp1DHYZAmUwn1HF9x/ Fbps6D0BZSD42yKvjkad3GxBV40i763priZtMj53pJq2LU7baiAyWUeD2JKSqnyeLDPH5UghVu6L bx2n3MSc27JQ49l8Ifme46/UrhBGYOeC6RVi+0rXn7Xckfp54udwe65INCyFUZSuk57cl3+pk1EH Lr2JxaQ+F5dJ2dRxTpuiTB0BfUzr92fHz3NN0U2jNb69swpCjRCu4Pnw+css6sWFtRTQaEznZptN 51ZkLdemXnxF/oYGFmMyESBtGM+3KW6uRLZft8b+3FNuZDDcv6f35dfXpWOC8p1z54BisQqbQHGT KOrfzsuCB/gRBLfvrWWae9Ks/timB8fFMYICgtxNah3JDWv+yB4V01szryDRwSNtB3k2SbrktBCr cmdQ8BuOq+odbcNXHmwYRaUiLWD9fB+lMqgfBvEC8VSdExU+ytJZIHQYw8wxmlo3ofENie+EbeaI U0Js1qYNXdCvY5Zo0Ib7eq/dOWQkLtpjyYbSEJYdVy/iaUAIb2Lz8zx+2voDGzv8M8ByV5pxbuB4 mgSP138zoiONNltRjOKGyjjC2Le/t8ck0F2lIyIPp4GMLSnwNDvQwM16/m+Zcm5BXxAqReSfExLb xd6FjrwhLYtivjT/RD2NFBepp64qVtuGcJDWwQ6o5k0c3fTcTwr64U8roNMz4XK3GkIE9sgKjxl2 O22il8EHggwjHvia02NY8VWv2+t8QPvU2nFe7SDy9PONmXQg0DBiYGxRymbwHfQRRnq1CtWpvSX8 WJqZGnHpPgpo2SA5TOUuhFyB7V2JwRRLoiOMrN6lMeIw6fgTB3M9OiB89Tpt27baika8D9kDL1EL cdbjud3+nFH6WNt0UOl7BYperhtvKT61fH/zpEk9xlmdY2l6hXBOkdDEvF/GdyXJBG9nL4NMTiGx Jssr/2+bJptdIQilgjD/u99ZZlOJFWyWa0gg0qjbQEBY9RA9M1/Q4EY3Edi/UgzBZLfRXoBGkVKG Lvxl5lwqF/3dUnMGleGP3KNAGMDLFXyFTns7sdv+20OOl8EdVf1979wvzdMy7QrhOuYsYFvP0C2i 2J8OMTZ9GOIyzQKvHiTJB9UsYin2iWkGOjr3+iYf2vMBzWxrEYL0VMJljF6JH477rs0n2WeaGIev fMVRxB8nzo7rxNCEMdNPGKMaUH5XnZ171gYocE2FUxcrGMoBFYqAmkWWohO0tqosJxhWn7Df89jX WhzWdnafkW6xpmg0mjOcfqsEx9ZQ8w0YsrnawrRZqSi5HWBUla8TNVTaSMHtNFqheovLlkwelwct baYbIrnr2ucrWgIu97C1MlgpVU/w26rUUV8N4iFQAW6zLdxFAd0LzrANvEm6WwKoTNifb8G2Gt/a /+5iAZEmKLkFPBGyA4Bnf9BnvHCsoR7X0pZBjr2TyvEckc+2uGr0DLDWY2kkZEA5U6Tj1UxipIsx 9IE61m4HnBdm6iUlfFMuv+l8m4EZo8OYtf+7VzzUeZhyJkHgxnOSV7TdDZlmVTdzYwo3p1f3MMp7 6PsUKwhF62/9V9QL7cruqzGPQFE1a5v2+kA7J2GTP4wfLIwx82tv3RNEChQrUeMyJqP6oopsai5p hQnIUu/IvWpsfNgVNLDadW7BPZl34D7QUGGxg1nWuegCONyol8zK+uJptpih+wvkeLu2wmNfadtl YLHVlVRC9wPsW0UIrOZxdtLnUg7eFujVUW0snsrcJSRTG5P50A7tESqfHISxwmyCM8y7Y5zz3xgy l34ViRilojw3NGsW0DaGDSCO+QXQ2t8C3aoFKFRJ8CEC+du+cWLkLnpdeFGAPnVo1DPJLvDruAVK yL3Q4nqzKoDNqpZlF88fh9wPR1Rg/Vq0b2AJQzopdvXrakr+YzxvlKxsj/86PVpXvw/iifsc2itB Vw5eI8h8jeMPkoUX1omRjje409x0RgTtAdbVfVCy/oly/0Oo9ToiRVjHV0DIls52fkFzADEiopE/ Vr4ytOoyb6QRxHcMTyiyqCWwBMvYA5YTYtorYpxKExLx+htSDZt7Z/dsryVJxJQJ6IWSR+xZWyZ9 lb18LUCbTZJN6PFjTQm5TiXqcf/WO3nPc22HUVEFrgXhZrMHCTDIQ0B2f3ViCqoy60dOLT3w3GYk JEYJOWgX6TrFddP2LVdi7wDzqzZRbav7EIpkXuoUHeO1+Ijr7zgdhr6DdXNkgffXeUb6x/3Onxn0 E1ZxDaOb3YDQHoW9YXJLj+CFvMeUjQ1t4g1Uite06cywfhHGRW67QN9XnfUGseSMQwFadmdSXYQ2 ueBooVoBKnsAmYjfvsMAgyZySIa1NhfpnKdIthHJKwM6pmfbSTVAHU7Mbrig6JL0CIZsemy8DDvs 329RkNpZy9sE4Vxa1a5Ug/grJjzuke0jeit/oSS2nzPCZ33ikZjlmCgd0WSSleZ9TRnOYD3HAfZs iwGIxG/PVWh85Pd8n1BuGexH+5xTSiWFPp7s1+w= `protect end_protected
gpl-2.0
e31f9feed5972daab7a74916a941c108
0.94568
1.836863
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_comp.vhd
6
19,013
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f8MHw8eBjp/vEkaILbwsdOfEec3stzDd6tDaQaOGQ81FDgj9/gZg2nPxM00u4o1g2/RAqazwaZpN Z2S314bOpA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JTVuSMba0fVqUDV0nz45B3reP9jTrVuqutIrgRVnrHnoxjd69Z+AyX2Ts16uryDGu9vd9q9o2QlP BUuumUB8rWK7/vYigspjNtz/m0C+bBt1LJAR5l8v4wG+VVeppC2pp7nqcg9Wrji9jSUokGitEb45 SZrCmnndsHw5E62tfUE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wzJNP4+qsdIHYAD+hWHtBHK8vy3TaKtgXo4cVKH1MqrdllxMix71m2yNXzf5UG++fHnDbVK+e1k8 upoZo1L3Cw0QdN6r78nJ03jdHTZ/rCpwNcwIW7bilvvHH1d/BF86ocWz/8Ldi9fljMbdM9qM7Ax7 J9r/jrcro390w6SgXAs9zXF1BulY1jo3IOcHnV8kXyr1N7PS04ObSyu9q4Ckyp0he6vjjO0jGM3c hpfw01Ib/Rxd+qbsCHF6TZe2fSrG0SeFMJuaWMtVjMnsoTvc/aIlWsD5ADpvMa3kiIbwLgn9Kz+I smSl0oBfW8Zel5ekaUyOKPteXWogzlFUlMOf8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SoeMF3wr3t5jKWmXm60R7rkOVdauDsfezj/PjMXHpedtWFz2yl/FRfCx5Ofy5MK4ZnuvVO3mNXNJ SENkRQ0dBtkDl2k9z6/6opQOeBGrpTbC9RvK6M60s+1aT9BfwkmNjijBSWDlm1S+b6Q/ZybpAluF 1+9gS7IVz0NNuEHdnEY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LAAYR1GN6LmSwg8itcgthW2Pfb762qjo666S2rqgIcP8kn9VLZohpkSP/3vn3/W1gM3fA/s17f2e qlgMdz3QdncDOxw7cuyu8U2jcfXhIzXBCwyb1jl4DRsI0nBmZeyeZxBzEs6KmAzo1WDDuqwC1Q4N ijZzxPrA3NGiPjqVUggtzUbpwQDhbZngltBS8cbMDZZLmOMg3SnGdt3+vDz5w58T1sqEdpN/Q4t4 8WUKT2Zko9Tm7upcXSqXyCJGS/FuP0X9AAuDNbF+uTNQS4Jx7BWU5blQuE3TCQH1DVTib4XFD+00 e0Tujhrks4kt2HZ+o4im2rwa2cNIerOC8INW+w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12336) `protect data_block sCcc32elSLfqDLksVugEQVuI59jNzd0tgp/OZkVfN+C+mGGuzg9hR8Dh2CFAHjpGM7LmK7NII+qw X5UXNvqjvdvVyQ8qV6BgH56xliHa1ckFp3NzccjVOcKlxbYKmMK6wRsTpltHZxRHo5P1ZLBORvCt T0ubMoQubTI1P0CwD+QsNE8c89CzE748LogdXucwASeCmEpLXjAtzMR/kxdPJas5SVyDOTmGufd0 ZDRp3U9qdaUU9yKjH14XXwd6n8XWtIUW1rJY33TSIWpY7EmuZWuJAXPTGIKwH1mHt5EjLQN1m6aH odj7oKRPNmh5CtTaRM8uN4loMs23V4tbuN8rchW3bLczVkqxki56xtNPS+LacqtDwTYdCkmefnoh TT/AaBjsIk5PL4+JCzLkH7vHmni/9dKWWXz8LUuXuVavKJ87lKimK3SgR2MdzEVjEhpfzwVAzzjN /UqCAF+/kA3owumUXMqOd2nXrzRwn+q73lM1u0LjlqSPW2Grqy34S8x+5CEybetd3Nz//nAvdBID hZ6BPjVBWi5SZWgolBIoKkBa7b61LG/UXuqlFkOFrH5+ByIkIXaTHbOHgum4KvA7z1gMWfGKoR8I bcJyKnvFzFityd1m1qABqRJ1Hs6BaXp/gc7JKBrZh0uftHi5gGBnTDqPmxAzOBJIwBi2akwxTW+D PbGxJU3di1Ek9GTAcPmUDATrgQhQUt5JDhcGpqiNFpqnZZ6vhRzfNTYXEpavr3lQv+b6BnwG3b0V w6T8UppCl3aYzMLIAdVQdLnOAP5nqpimncTkyNRLo2+TOk6Parhi17SVVbLtkqA3gg4zmikagG03 5+jApyk6MASErTseszArtDk1AfmXOcbbAMC3gPzAcfDecL6+z0wu4PMTB5O9rEnq7p3WaZCAS2D0 G38OtjoH1g3UHLHvSjINStvHeUODCB0+M0Yg/MfJ0LnuIzqEdD4HbJYkNc4BXpKmNBzK+Xj6o+a+ Eoa2/fMLMuKsRDMfio4qh62AyEhbWtE35cx4AUoQ4vsewZy9UyEfIC+M7GpfbYdzmg5ODKdozih5 3km4+B8AYvSKt3GBMD/UKbOnmJ1/nkhxQ60A+88Y1ZIoKlm6U/vwvNYeY6JzKG3GhHQ+P2pv+EwN dyBqqhFCNuCrIC/sAVzSimAasuLQbrW5FM9unL1bXILtys+X7RS4sG5U57HecLsNvvIfBmfc4FBJ owIycG4nDFJOJy1IM2H8sLzVowYejiy080ifqk3XZKC7ALAqGkj8KQ2ARiR1+cvp/R99j+ryIvrx 03cx1vHlsS6IEWDSfWLmxvGwcXBMjKRoP9Jz3pUCR5ozsg+OHd42hdLmjo12B1OEZQgFp+JbxWJy 5qzPJpjGgd3SXiSnLYL+Ehj5JJbuFYvyHu0jurHGdLEVpcQ+HpyxtKBJGnok4ydaC+6l275m4oKn bRwSdU8tPX538Bgn/taMOkZFjOTqpirHyp6lYP2eXMZ1vueTK3HHiCkjRG0coM3wgoII24uUlTRn J3DHs6VcnnIhTVsbPyiVpSGWNP3gzH/FdXFBlQ9o9LyY7CPuFKFcSmR1QGb6rf8ORQoBDRq0sEiU AhgSsDZ6ZTSkuxm1nZk8dGYGs1ELaR3zd08hwFUf0RDaf0CoH0VWx4Oka5cQW5QBByr5+9mJCjMi NXvf9U4KUIPWZ4TQbYXqW2i2w3db4KyUzmOrtQV05SXsx+vpITDYNzHAjNfLPcbdw8boyTTAkD6u X9l6po0M8q7ERmxzkvXQdel054yAAkdxN1h1QT6szEPSGVXHD4bf9dSQq+yjQrUAgHzdw86IcYDq ClfxKT3+TVJFP9TI/jBG+03M+neCW/EbkDyo26QGwsFiU+q06zNfNw5Dk6UCLteqJtZKeeob9Iey a0AyfPtuwFEYwND8GGPpHQNfYVKRdStZGGDFy2PHVzb8JlMDe/aooDB7X8EX9AMh9CcN62dhgJsF EJMSpfxIudTVoezIk81hF0RmVoVBNr4t1kwwQQgzrPKzfq5daoLb95yLc5lZIsoESDwblk0ZAkkg rafwW1Tzr7td8xtJNIzV2O8XHr0WFsQ3Nha3r84CzVCLtkcYJILORDCYNTXywlESX2rKg5oFHwOR 9KynSc3iyVu19fprC73foSdnrF7PQ1zmgh6bwYx1dLagRNAqmtyNIvTYsQ1/xxk1ENODULQZc2Z9 S+PN5HQCvVk6Ae/xR2KCHvBn9g2GoQ6tDxUrPgjck+BD6aYfeWfGI7T4O3L81QMl9VNMA2ZDhRpZ sDIfLzRkfujlY1Hl8YVPq//a0arG8dDOVgsWUYZguxZGp1a2kSL8PENhFCOBvb5EK1cl2eDLzvMG Dyc7vt8hu2qD9HTp+vbNmyV6aBKnY6hxJHYvBUWFzZFUdMtg9q76O9q6rRvL3bp0X+Zp0I65mO7C 0EVgf8kDq62xI0phMkhwAOZHcI4WAE87/O8AgBTpVAPL+Yk6UJr7OS8/0tvZCE7M5jsawyxTYF9q /Fd/sB5hMEIqJRouVcMfQ+bRiai8DmYkjvh/0FL5La4V36GVmhvQx5rQOiI2DFt3LOPm2k/oCM1T j9QcJ5iupey0e0VtiJqChtchQ6WYrdzDXtpLOdJA5kZ9I1ua/urgWwU95SNEC6t1TmUn8UhN3U4/ OHiVmug1Ej3zZnn5xA9GXZ3/DVe9h09EdyHgDBtfvPtqbA7ul2iyqjhfjV2GTS78hWk09URq5o1h /AYit9uZdt92AsMsuRUiSbzYYvPEGm8YPv05Kg0mmgxhqAmPRrupr1EQE6+k375xO1YruTNExGaL W0foaqd8cjFtbkcDO/YlPavKVjqelCuX6JW+4/dHeNo50sjKBt2mVfIvA4r7R4/opQMpQOCLRb9G i/fT9JTr/y3m6ch3j2oLrpUCNYD5jWxoa1ukeT+HWYLR1sVEUYgKLJ6O2mz380HfJrROM2/qxqlp DEAWEbXQzTEvn6n28aDZ7tEp1G7UXi5AYnZl8wSBeIqCOn9DZjDq8y9qnG4VTJy4rhHf6J2AnHfA 4qypyDwbh0qsFuzX9CDwfzRKwTF+ux9ou53O/+Ld2A+z5zZT6E2dx+QJjFmLVMRen1tqLaoTPyay N0rudHnJRkTwfnfIy5sXpYUsPpEJwZIuP6y60u3RtBmT0Z5abOsT/8MJTkNTnZv9UJUisrnIDvIO ndrCNN8KLZszObNx85sAhhKU4sev9H3dYqM89hVUD1+A3rzGbqgOMS9/Tri5IMSz7oQKlkop4ZvT EysGF/oj3kuE8V6HY6YVospkdmkWU9RwLcKsks4yUWQhD3uv+YMywnq0eeZ+AQBNb0zu4R0qXfi2 dxs0saZF48vC3NHscy3RnfyweSzUoGWyIOCePkKadip6KODG6JzCfoL/hMg/XhjNZFHpE3rYE4r7 hr6Gw02eUjhAj7oB7TV19HGXS0sYdluaFpRHJe0KvpZyM5z8fsi+HCxuIiifRpCe95QFwzfD65v0 gFKUMltTVZM3DxuSmyfHkbpOj3eqhTJ19pYTwbbdm1cun3bTzx/gY11RWa8D+ZKBR5HH5OUScd+j SjayQiXuj4U68BPxU8P569rA5iXUJzZVIFO0bbdUhDWW9EKoYPNHce9KufLEOhatNzOH9Fmypgn6 TNJNayTqqqq3IPn29Ojlm7EEj1aRw+UVb/YolHTNB8Xvs+OQ9ykfToccsn9gGXjn9nub7b1S2Lo6 TbjqDX/S9tCFOtDc0JSNcYeW2RgzdI+25MDv9+1h7+Tv7iqOm3sHQf0V0DvBHO/K3qm4uGl/u8Gf wj67WCt9K+WFp3clnn/eyFrGD8Xuz7VD+hrP8pVsYrpAqZ2u8eZPYj+5vFxu7TLNcuHOlVqrVPdU d/RXq82H2ItGyViFMi+gmP0kt3cJJ42G+HISPG2sf6/D70dZGXRka6GYZHNA8jcfZmE3MYJMfoBU B49dcfKpiY3P8dcdVICZZCNvATB64fDBal0lLiKj9SDnZNgCAeY2HCkaMkSwR3ZAUBjG5q9TGAKF 9gIrg7S31+zH993G1IG66L5F8xp1PWc1jEaMaoQsdjqBR7wEZJK9UDXomv2bMXoB4y5kY0P0++Ec s+0pFmgZsJMLMaCu3Mx/R5lTka6HWedhZ7iRvO88KHm6UIEamIJTy6nEhD6OR2TRcUYdXGxbEwpa ST5sUAEpwAD12Hx5/NnKNDQJYXq5ddu4p+NPOci99fkMUVfnW4l4LEYKYqlGhHHGoDcYgvmSmlsX X+r88jkuShb+IppMcgZ5ufQfiWpX2QqT8RRBX7bMlDeSslKUvCKDpWIcJJDzy5HTzQ9e7ZxnCA4Q qSAMTw+7MXQOExo7n9biporz52VdV8O7qse2tfOs8228vm5IxzzXcDuHF7PS1U/A1DvNFn31xK/3 NzvLOdvcoKaA6RgGUW2Oryg/4/d1LECC82XGpslylW1nTi8t88NU0XsPgKxJbWncKE266/NPG6RG ZaS0zJbrmF4gOvGV3y1K19ZE24plSFny4FecI/+mwBQtjRJFSd1/eymtDXhLlK0l0QoivmCI6fdJ m7xMHLTYtoUEQJ3vmyP3RqqFKsyCtp3/q4s58uiUqwaLeCDNhyuH7iBspHv+OFKDd5gBWh3QdH4Y tBk/e8bl7DfzI2tG/WPFZspCfYySERDOlWsBYVaGJ7zwn58iuc0Cg3GGSlqAkpSVqOMyXy4JBKX6 hZGg8keNINgr4PZ2s6BEnu+dXtNCCOZZ5p5GcsilSlQYmGFAcIOwZxvwYIIz4m/crDbgoiO+dWbm /Gb2zATcKaN0W9xjONbBuGVh651Jqf+lx6plCiSs8boWKrHgEbIAaigU7nSPLTVVuifxclHVZuWY DnlkYz9nPoIQwgzA+uMz8H5EAQZ0wTaVS3NFWXhpSLHEGEja3uv5UE7lpG9GMR4Ql20WjaV8lrdV 2TVR7UWNMlhRU4FEi0W4x3jiot7jt+ApHd6GXV6Xwpj5scy+feOl6is5KkTMr+iCNJgKEVagcsAc OZuEXgGp40IXCCupTlLnvLVQNjycX5tlDP+ZjLzc3bS2d3RxEEdpFRo1pPpj6AyJ+G0xBbinvEJm WfdWKG03zPzKNJPi2AXMXvOxG+tzV1Ym9hNEpTSOzGtE8MvGU/Yw+oHEO0R9aTFS6j/d4TVSh9Q/ HqCfVYi8ERAja1vRgM300KUwG6K3VyU7eTc/Y1TrgHcPBDl9XjQDkQ0VwKBT3Vf5TQzd/NG/sf00 h91+YZqP95XjtEepwP2OnbEETfF75Zt7LYqZe08t0bruP1gyaaWivDkDMn2Q9eunSM+CvfLypnH9 ffzu+SjWasQaSFD8++ILBwM96LJ/owBY3F0iiQHBCMUrm7XHG8/bsgyuCELAHPAlxBTbro3wqJ3/ sSJ+2syzBoNrIOGdJ5BbjYGykcodUSWT1GEqUyOfNbRKRkjWnLWIOHtiZX+E0yzTR3WbCDJTOfSI arFDDEPFVDqep+bVkwhCTDrZ0gPAcQnSwG1ZJKAuxXZVnbvCXeMagLakUOzE0p7X3hp7xLEA6nJ6 ylCFQA5O2wa974tUwsh4nRfNFw6qwdHoGoMChhFbYqRr18+Zwqgd8/rikcXA3DIpZpNjO5+GXG34 +q0VFTms9jGfPDbFCsWQAxTO8BmTf9QaQHP6hKF8SWoZgLjbRSNsmfzIQfH73dpMmRm2kLesjJ9L ze+GQCHXt4GJOfDgLfh2v+f+TwvX3GaVOdjURPdE/6lRkO7irAuCB6C7grrxnptIsxMF+kCN5jFC rpBH3qYkvIuQJ36Ggrg7t+aXZR9dYwNOphgDadvzIT3SVBng2aC0IFH3E65qyC8vT511n2FOtHAO aAYVF+MIFfDSrmvfI9F2ICMeUCPADKmfoZAbYHlWx9v3MPcK/fVpxVxUNnZNJPcPISyJy8U7jMlU 5DVncbbyWHNgDrUytTVWQEHM7GmNmCTOGohlDfBHh09g1f7LNwk1WpQcdFBsWrM+CBOa1Vq24xW0 au1ZjgEts+C/fnkXiVX1Fz/d5l9+6mgHsnV0YqKDEaV8sliEIuefJ/SLt2yiIZyVPxbHc6Wlt5Ws v8HeMwl/7cUXysMinwxcap/GjWr5FRx/byCYXPEzxo8jjXz6t6sbxEb+isazt+djNApMNg6n14ev nDffAClIDjq8DP0NQx4wP1fwVSr8fMSOowEhNnQc/5wdufHM6g2cvpOh0eXub/4y+v8KmKHarfLZ /lgwG56/MicrLJkmw/bMmabqmagXxf2amc1I/G1fB2asF9vVRmsmgwwFb8XaJtFOEkFakk57lHrt P6LHMmXKTVRewxO2DiWRo2JslD0UPyHgI9QUf8btymksuiY2PU+oy9vr0lmdKpMTOARGq/BlmL+c +SyVcjBQHhMPOvVWe/Ie9rMi1vgu/74qDKjHi8zyC0lDWk3+MdOWSSHC7Py2bdQLo1AiUenGU/Lg nrSoYWRtvRr21aSXKllTxxbP2mN//88GXm4QA9kiiMsoPVAFvjqn9zFmPpLmG4wJMUjuITAa7rWR qHDa72jQMAlGVK2PlcCWXF3xRES8XdS01QwcvwZ2LpefJfEGAoxJOoCxr0qJVXtHbtVwN6z6CgvW 3Z5Pzq9x66JJ/jbRUYFAVYwiqirK72wBrse+a8thD72Y0RSdetyU96FvBQx+vLsGwmQ+ItR3gDpf UPlG3pHAQnMvG4o73yKZGOO+GtE4RhYPfW/N0EbEP9bGrtAuYKHeg+qcTOsn0r1FzuaFjnRUiXzR YPbJBi71XlRzF7J8lZ5nHZZqlxWUmrh4eVlX3PQ9JhtNJMR9ArkrChZ2cWHqcBOH9Ye/tKlhOmZ2 PeeA0TBKB7buxHyuw6recXfItkcjXAuBDX1kgMXId+Q9+lKIbNUHL7Zzzzv8pEGguKBLONywHyJg jR2c1fjgowFAr95ml98nB8Ai10QtuErkfhzYjYHCfyiekB26BJzW15Dq5UVUBMRaiWp06lKSMXOr +MEEYXD7yDa/RV96tvGF4MbQfx8XAX/4AwQoaLV19y0nkVrYfxBFfF34NNS+TxQ8/tF32W7DCeAd BIXHgNmKDQSNT/ZrLJe5uWMMr77FrLhuypRygWMu7qC1qzxtt2L3WQk/Z2mwAcq+7NyIuYoPtVxV 2Vdxd47QYHlx+pX5WpfF11hUhKKi4lJ5/BZw2HhMUz9+nUU5z+azYM01Q2MbkV9kDDmz6BsP/bcB uiYXMQlvDqy/6Ix1vpGT8U63jO8EN6F5lcjEUuVRGUG1N+xMYTvKmNsSLyg9ZGBTU2TsSLkAXJ1d J9nWzFTfrJQVPT1BTr+9fmcx+P9EESFoxlcMLAvn7Irn3nPw+FYsT024hemML+NGysA8npqc6thm Em8APLbnYBiJG0ZYh6OAOeJptGOuY7KA9YnVCe0ld9bLMuajvf9QPeDoZilbGjz4GytSp932cyD6 GH3Xbc2/u38gc63ZQKpYFKlSXXICE22Zi7aiT0eMn5wQ6IDWadjjlTNTrIn9Ba2fideouN+SD9XP FKYT+bE49gq8Ff5agurXg7ZeCmEqMtQO/KZ6DJ2PDExh74yXSfmJu7So/7NX2gD8Ye1SiiEZd+Gs s+8v0B1FT41AOZVoQxNV3yko9ofIslnupjf1ls7SX46d9dgwX7EMSS1K9j+IrGO+5/xzh/PY6X2S oH7ilnEIw0WoOMHIdpcA3IMzUoi5j6nLW/0wYbHM1qYUENuNIJIJ7tqEOh12bqdvzu+OZKF3jDyc KBPDmXqqXfA5LyB2PrJBCm+okRnq7zSXil+2F9p3267uBivGBRcO6YeB9AA75Bu7gDCa+aipbqew N6elEpagohioFtpissYxQspn74tEmW7GckvfkyI8TG79XIvFt1kait7MJpuYop1inDDV7PepoZmq TIkjCu9RF4+mbop4Rr+/77cHvcKOcX6wQ0/R6V3YCMdu4G+9zHhmr3MEgfWUJgGmV5T7g/Lg4Fja znIsqY3xKOkFO/vC5p0f9ximjtTq1MrRJSz1tqsV5KHGQ+t7HyJftRgTRrxAGGj4zHEPRWncBFR+ mar1X9chDAYvptLF6TZdCf+UcHaGMb8RM3UN/c7hHDB/PSfmTNhyALfNDuiVIJEyyLbB+tQD58rl ZNkml0q7MQPMVtnivh/LdWuyLbMbQ+C9eBrwgfoxyqkH4zuLwb6gb8elMukDgT2hpzcolv1jzHyG CGLpquy4nUwBH+r2Be1HZUkXPH9EeaT9nEMCusRvHrBsvJey2wTdVoW+n5xLcefEW+0R/n7EpOlO /jfGzlQM/0/chCbmRZ1C3UYgokj5VBTNzUh9aY6n7VBZ5s83IKgHB1p7CxRsFLx2uSZoIHyDLkRu qSCJSBHR5aaoXRUhv+tqnVFcehP1wHqQqDOeljNHpAWS4drsZsSC2yUa+aOnEwWR+qPfxF5bHicl Tw0XtPZTJDXiP9v5Xy8w3+AXvX1wQxqLO/SCNVNFCM4r69iv9daBTHnKLV7Vl8mvjMODMF86AICo Awz5aayafQ77XxkLsWJjOoOCSKXWFsl+NMMD4dU1wcn98jOi3ywt8kgMOBRaFlInD4z6xHSslnpJ NZ8qLkqZ1AGevBEQN97IUH5o2g/ZyUW1a3b2RwuNB7IL23BEdDMj1dOmKtH5yK19t57mdOyRYb6T uZlh1CGViiAjuM8h1CoiGjGDP+Ns0R6t8pjUS2E07eXAWYIBe7mWvVssYuMxml2t16IdFUcr9tns iiVLwUbV/vBOY8aJ4SteJ1o3ytfA9+RPvH+zNoP/TGUDMM4ficug9LoqXwtVJW13kWnkNslpOTHI sR7dXeXl/lob2SPmSNKhD2E41DXhOxVm2oX00EglxFPBd9VnsptVKJqm23a89PbmXgFvmRvaBFTG MgWGQtclE4vMztuMqEhDB3kUOthLEKb7FxvBYXw/hLCXeOxyJjb/V/pQrgLJCAcjnZvdDqYwKcJ3 CQajc58ZlpdLI2CSA3/0hEHXm0gLlm4ff9WkPrGTmYP+Kjvkp40QyuxUkLHFPDlgKujNooCUH03N tMRGtg1nYiJ3CXydpoBUgu1f7IlVX41OS1SoA0tNyo5snz51sIRcRGMVz7PNhiAeOB/V/UrF5ziK B+3qPeX87Vmrwv3/t0wzQEs5iDyroHLrNbrf/kcJOjzYSfp5l6uzdForGH7eN69BlU1UsWTabfQn FGlSQWa7o9/zpTEsdchlzNBe/Mt5I0IbXSL5y9t1cLEVWzqiNNgn0RHy2CJHn4RwuLL//zquBn4f CFCzk37FXwVSbkV+oBzLM5Yf27DkHMH/yfdibZyL6jeigzvRTeX4BY9FPLRKXDSN32oivgO4QocP bcL2mhNJonZPEVhXECJxRdx1KDCrqC68X39ZZ9nmUDXqhECdrM+xq52I5yY/xeEiPv5DqtcKoNik cwmaONMYZrQlwNfOKmIT2TCJBWpS375+ScnaIEbRtpbkUXiw14i/5ciJ6wDL0A5H9vTkWoAjbzPL +NyO6vj4LSQlDu0+Qe8B/c2PuJlGvRPTtfAZd9AB7Lun2xzUtVx//sOfuEYPmgCHeHZXC7oYbRPb ejnphL8IuSOPW1lmWndVVmTnVJDIUmWJsgauEaA3WAKomL82o6Mafqzsaz+PzMEJo8/GevVrxIkJ f1SPKmqYxmor1PPUkxp0VPAz3w1r1vcMk0CNkTh0DuSO/SJ9IUUH3iP0sHssXND59DYY+RW3lo2Q bWkfPQ57E+NrHLieUivJyLzmmyGLllaqnKHOIzjachzookPOAc1si6xELW0o7SItYyDANXAJE4KL nVI2VA08+Fw7R4Ek9JbuPOTq5cQbdJTf2RGsXNvOTV/CWbtJRjqRhf7xzQxusDj1MtOF/ZMcUts4 W6wGMFrYxYri+GNB+IO4PW70+eb+jF5/lKwjsDkf32vEu5TH29qULQP3w4Ld1kI3WmFPAJHtxRM9 1VpAG4vUC4FyOPoTqz7G+gmdcb7ALAX3QRuyDRBqFc+0C6EFnsR8Lj37OP8UxikNLKzFxfi+jmNj UTtg7AB7dFCMcoTo6IFvjzOGg3iAQwR+Xv5M0IRkVMltZXtXnWaL3JpLQ7+D1BmPmJTyWHfnbxAM iwLK8OUrNsoFXu72ZfdrGcRrUTHHzIfm+VS0zHudkTihvX6tHkyKRNs+tH0CVFtFSsC7AC5sh+tK ANIKO/HQ3I0yFpgeI3IZ1KQHS590uaNfoGzUN0tjr2XuoSu9J2XYRbEWak9buDTLlbjAE8ytahoD bIRh+XBrAuPqJZtIHPiqvS6zlsKt0z6tzkfAYjOdcuyRw2Au+0D1umBvHOUSRiSQpR6109YT3sNn +drtmuRO0MK9beqOWAD9L+RUnHFUtXzYu9rzgAgF6oHjJhopL1iVw6+yUzE0ufuGoGDiocNxWOwr GmVrRvQYenB87WXrWgKWTB79PmUL0l+1HOa/TzcA/klV3Una/D3mZcljoXmhLrxMWwca8x/vEpoy 9iF0R6Ajta613zjJETFoTQ1dkNZf3qh2Dkk+9YRkFtBFWZkh99nx8lztds7/HxAzgmOgAI3O7eyH 1oEfbilztRnsoEbgweCBkXDrnDQ2CLin94IoFmrqT5sfO1yS3Y4imveBMNt1FQAhlGXPwzebQRs9 pbDhnY3pFoYcLO/MQwIO91pBO+u2PRL+/4F2/DKhH50NGc34cQn6VkEPPwj2+TyEcwGt8PV9/0nc jOcJXgllbndzVLUu2JaypsmLgpVMqbB/1QRyEtW0Y5A5xzxGqtjHr3QkJWH7LhgE/b6oLXnbWJBi C+U1Ir6wYzr+cYQNUomd/HP9B+n3Fo+XOKJf8Ggid6SouI++OYfrj4rVQkEIFxCAD+/83VNrGloL DdxbJ7+1bQcP41KKy2UgSXJ0c+vhijgr6xUpuyccaTH2xiUWJcP14c430YjctI/pdO7IA9twjUil Q+dsiAZReWWG5KpW/mM3qkqfSsAKejp2DBEWowyeb2tPCzvNF0ro2pLMlwtU4SmfvIYCXbZUU6yf btVIi3paF5rcUrVmBLMzlIpG2DchLl8UWobv7YfyYUJ/aOUE2FspGewYn2SYqKewb62+A4wLr0+4 5iHap9OczGtmzVWqIRpkKSQXSGhNVOcQRvvyC9nLGH/6JbQE4pQXNZhs3JHNPZ5RY/liXvBxqRMm 6+61AvfoeJrcAkDQwQNtWROzp7v9gO582NnyC85Gg08hh5AlaDjRCXWtjSAD4eqPoE1gYK8Z/8Ok FLScetVs64zOvLRVcyVuC6mOhcRXQqb/We7O9zK5h1qkENCS0WY6fxOl/h+9UyKxim93rb5ydgQE Vu0CMSnzjTZ2SgIwb1zdl1aK1HgR+PmMx1dmHdAgzJoy3q2nniVqsdmgA5BSXXs+T5on1Lx6VAmw 1KxpJptXh85VrfnOp4vayJYBkYAEn2E4It/7wz5gVuVOGr/D5JakwEk2klHe8zaN8/uDtPVkd0Pv Qd0Ftt1cB54K6MYjfTMAo/DGYOBYaPnXLA4pTv8vQHvSYxsrrCh+0DjvRKgPw1AhGnOKNqpmNMmM 5+j2v0M4TNmpXjVyHt+/+SmkD8GN4YnjYEtq4HdK1RViGgEcOFH1B4s7Or4KIFQOHUjV53r74WNG P3WShcclDlBjwgIKBrbjgQ9GJjygzCtoeQ8ntg6sPWLx7hSlHwN3CE7KrO3lBORbZiWefA8ERxEb 17uW3FJZNw/E8qvectVHSSVBqlVGV2z/EZjgJoHsEW5DjpaepCLuuN/Y/kmG5kzbwyr26NcycjH4 XhNU4AOkOUN/RZo3xpFz9mtL8aXRHkFMrV3iMQNs1+NMYcv9t4fCWfYiPSwOkSc2TIaVDLU7lsZ+ 2jBEIbETQA+1L838IX32lRuuHr+eOxjdh12HOl31Ekcuo5xOhiTS57fdp5xZVYScbJwBWr93/Ymn 7PZJ733cYkDWcvNrC9N0R4S3w4AQq4XxsyLZCFDivV+44zbHP3Rlrq9bSDGYCWVHQWp3MjYsMpzC XOuVuHXvCtyAqeodAoct8d0BCY6sA3OHk619q6VuxBW86STudJRgGUCsyjyGvFvXm05WvLOdmU6P 9bxyJIEg1hPkMyCUnYmyGUWsDJVB96qLH+rh/VOhxHOmiWezhO9g5n4+SdhLlMF1yue+cfVYb83k 0rBzBLd3WSb+xqPu4KJWiQgg0WsnGElw28v8o5AQXngH41qqDxsuKgSzTbSati5WohfQXfkiY1jL bvKjZth+hQplCNzJs19IUjAZGrzfsLTKuXLzQ5r9V7rO97mDnquVhHqwwES54Z+VXG61XmNxDVdW qYxnd5TgL6Rz3v9C62Un/Dnu+KdHAxXUja9L0ltRHGRrXe0dytROp48p/+ggVjpeNtO+l7gHG8vJ dxvphNhmIYZIz0bsqkvxVnsahdrtPWeVKfumgfXKSLsoABD7wu8i/2cuE+3Rt9KcIc2HKf9w53Wz C1Fm+cM3Akr834sBUU4+sK5UqTJOwaba9Xy5wgGEcu+R8UDfFISVfR6X3StnGdW04T+TWQzUL6Ip 1vyUIWqvQOgq2Y6Ohz2400JxLA/dgZmBWf/mjvkP7VzG0WEL/fUupYl4i8DN3hCcq6q3kg4Qp4k9 MZ41ZO+cguFgdVCnkLj6nW5LV2BGfNlxTRyjq0GE8giFdNOqsnuN+YKDRDD6AWhfUaTq7S8Cwrx7 I2Ly+v6ShQsKL8GuCFIeSnZUu8tqjlA08q9NjxpOWpTxxU9r1dflgnkU6V2Y14QaW9mvcHgBevWC iuQOH7PFTTAqnzP3xr+slDJXdDEXLWObIbEp3olg16GFTetfrYzNP65ksOJn2Wjk+evXCkbz2Iju puS6AC/pxhX/3EvoA+iC7/e6HXlz1znA7pWkz8h1SPUtfNf6jwyU9aF4GbPvP6Uopig2I+eyO4Nw fztuvQM56UNy/pnZbLXx0VuccVfB3oQ6pKWH7XgKp9w//4UivK7e+ORdulW0VwQQ2ZteWVPP6RBe ZSc2tYOJ4t6C8hunOMjRLCLnZzcrwvs0uQXoP45dijksnCVcpIAo+Xg4mg8tAZ6rSTL7nRUHrv1O NKAeQXyGB3XKqcA8IrtA2CXmmTPV8X9RsTZgNLPpuJR3Lw8YEDuqEk8CihKUlQPkkP9qUrJ3GedY A8tKgcr8ll9s37kTmGVkLTsxHCZTukiTs+KRYrW6PaWEP0MlhFoRcsQzpTvs5fFeHpcuQH6yXSYi IJ8k+D+S+1nD1QZCQMds1ncwMGIuBlnyBDtnccpdBVg6BbEQCYl+C5zwJcNHij1OC83QcIYCaLK3 /0aDUzacsYhNVV/yV+PH64pSuQ/JihybfJfCKkeUUFxNTyApJEsosI6c2UXjDS4M1wOOtsDFTdL3 Rx9j0vu4Gc0WjraMrnkM/jV0WvH2sYWXo5YN+nXbzHuvrxTukN2KSewTlGxCqaKiSFSeE5yTA4C5 du7xYfxAOnwO6dgdnqYTIHXwuzcxZzeHfcuyZaorfjibiCzI1H1CV5FKGgBniCefffiSIus8ea8K A4Qz6AD7KHG3njZrZsY7E09i+YAMn3o/2Mi0XkbUwDOSEA4ftbFWkS9u3vvSukXQDaE9tqHc3TZZ BWUbDrDs0Kp5k77GPKkbHKt/lfBIotw7qyoUx/mvCWpwY3NZx+V1gDpI1UNWnjxOOESUtq51P5uE 3mn0t2ICh7jYKbr3mphEtYNhRAYunwdwFoazZrPnfngSbtUdLounyTQFGks9heg17gZztWg7HzcM r1QPUGUsG6iFlr9A6yGL56FzFbhsZTqmWg8MJpn70eSyBnHTHA1OkBBlCb0OWtTZL9aRekw87SOY FQ6c4/7HBY6Df0hwTyzciwOtzr2iN6JS2c8XQ721vrJxYAWA4wkUBytUSzCRKseYU0UsqPaAhnpa gO4LmnfaTI2C3XAs94WzBu9erWOXkASvmhHzuHgAdNg/6CRYC3AfqzLcmESroZSFZSs1m/YYvXe4 QANsisPcaS6Jj+dz5b6IbObcH840hgkITt19dzdkAdA8gVWJdH4zM84XF/1PD4bGnW1puGZb4KNk M/4IymDdq+Q15d5PRO+oFJuB993NGeH//ftRCNsgTB8fhWnMAVsYfnqVbGHuEpXTyVWnxQTsfZDh DiYCOmiL0fsHK3rzJLrKUKBeHZ4iE1nQM7bXx7nl+hIIVd8Gf1CyfZ0buJ1tDVG6NnE13Xlb8Kjk BQpafRbBF6fOFdnUKAoQ/btAR23ayz0PBwpC42t/KgVGEC8iRYsHxVnn8w+dsBXjhzu0ucgOBT5s OS7QOgCFR9tuO+t2mclRa4aj6e9j/YX6OvWifribG7wF+9Pk+HCerEqUtc6ewqCw4x01HE0eNfju cRsUDP5JKqpHlOToNrBdk2QMMt7mZlGC80kGg3AJ+hY7AXuhnreJVdbs+kZ28+f8EgcliA6LJ2sY d3vzFJvRWhrinkUG1AMXFpD9JdG4Im+D+JPbblyKFkETPuf/JPoSelk8taYXueNoP6+VFVBId2ug Ux8ZqPdrWgH7jd5IL7UWXrbkOLK5jZTpZDQnIapX5XnpuEsxKrJJWWGK3rNf2VGF3sDW1xIe+yxN s6qWNwOQ1WqMIsULcHFMi2QlK2qUodbM/3j9KQoofgXo6LnR2Sd3zm5G+OsWbVKlAKmKMFUEKUnS 069MBKR0h2qApwheHzKy+V5VlX81tW86XPs8DS1NxrfIL6Oj3EIjouvGCybO7n3DYV//z5fFkj54 UofU81ekUuCC1VjRlMPn3pIWGQRLhIr7+g0LLDLuY7manL2KeU6AaSy+1mxcjXhy2FVKR4HPtowO yzn+s3O1v6SRJBamIYK6uXnGUjMKR61Fqk88V37EBlO+/YAW8fUFFoKOrqK4waitEXs5z8WqY0g7 dqqdXP2XFr3SflBeH2u38vxpH/b1c2f20HYqAvt6ZnwZcnqjFp7+kMnnFV12ViFHNgXHXUUYj214 Lrv5wIbg+ivudE+RT9V8D/1FeQMYLKLf+j8yz5UAlNPIQKozopEVSu82dnyruBxPw+DbTDTGKQkK Q4xye/o50USI0DeNUXd9dvVby5cbmY0ZwlT2Q72MGIM6ogBIjvH0Qv6zMCFUlKG/wlH2uSero0JX YDZfC4fVGAt9WADONlIYlB3+LrI26BUOtXP6r/2jCXTLOuVoOGKsluuxyja/T1PE7Uuamz/W4CYl 67bvWBuv1ZKu70Ss6tAjFcPTwPCgi7qGeLoND0bW/hmNhW6WBd/ALskes1qpEZWRiN+ksVNpY59T IRJYdA+CUOjIE8sC4PsVen3c1RxCVri0KysdylCuC5nRv5sJU9Ys5ePdL0Z5aHeoWAIW/dbD+ydT W1z3KOgd22TldoYEJThkPYNq2VKyrDOUWr4fp/YLnGOp9FXAGjvsGahob+6l/wUkFMGR4KqskG8P UyTU6i2jZSuYN5PAGLhgjNk0UjWLPjDT3/t6s6p5YznfcowlA3HMCgJmM08dRTjW4zRTfbqLiuh/ inebigRjxi2tMWgRUQUZdlhvIrukhoLSNpxxxZuFc+KwafepywHr+jfScl0ROZEC4OX0Ubz5uUFo T+zjsAb6fpkx4cHm4enacDE9rIsToyfqJpe4DrDHO5WyYZItR/wXT+/1v8zVUxymhOn2cA6sl7R/ Ke8j/rSlaBXsvztvL4LrmKviPgVDujhAlFsf2Rv5+9t91QBef0zUkJKQQRVFhcbLeDI3xmWjRimN r012jzFn+rf+HqMesojmMhTzSkgdUvIHGk83dCpXI+CGvHPFREAZHroL+o2Y0Jqumszh3dUSj89F tU9u3f3x4eLlj79iju2s5fS/EJo9/1bY3LOgzJf1ud47a+KZ+hAoz95paHOu9+xbDZk7/1QZHpKT 49cjCctt9MV1lOrabJpC4/cLoUb3Tr9bPhebxIvsNHfEMFcV5l+rnlBAManCBxyuACQUr5GfI9mU MPhmwgdPL3y96KIFsiozPVhKAtTRvTQDbQeoXRt64wXBy+u7oUMdHCl9ovoz6anPw4ziU5vOhRxS dDOojQfWI6xVxsRURFqFghiz1FEhxt0/KV0HuPUROZDnu34kMwP/UiRROUELKGleFzi21qqwvUfM ycuTTYtDVc+iAtJSt8jKwP6ncBeW5fLV5hFtghDCXzlNcRM7e+5DnjXDtF8sJ1bhEIco6jWrvxjo s0isirJXyQVJ3yAnGrsiTiGQFfSVtLTuR+Idch/jDmlJcgVvJifckQrKTcBFYjHeZpFPm5OkYOjE tl/lRQDP++Vi6eztajGDURqZj0lHs6P0BDI/EVSk9CGJMqdVq5YBch/rlXGUcftLSBBV6ZXgMtyx 6dloSS9L5Fy8gBSqp5C2mcFsc0Di8r0wpIBTmfl/e9GzzZliEO142uKaz+9r1H9IeqX0ifH6/H/I pqxPzLqBFPuf1YQniuQ6CESDnZY4AMZA `protect end_protected
gpl-2.0
86bdea142c9dcd21713a7bf4dac8f10f
0.937096
1.850234
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0_viv.vhd
3
21,542
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block C8AzdwX4vUux+wwRNLr/gOX8W3b2afuKCWUxwSO/IEIg1f6S+JHQyRcYZ2NXqoMdDGETtZ6SB1JM Eads/CaNyA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ejBy62dhoNxJo1DGTnfobkdWf2WvNK2ONuUTbpWevXeVryQD6C6a9+YbFzkn6PbY69tLhlLtMZpI LYIfT/lzLexLNwX8RGDgYK7/Cy2ZN7hOUW7uiG/cN1Mo8MLorvAlzZ/HqjMEx8gzQKtmOs7Vzs0f bML4zQ44wGWmc5KdlHo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block k/UvPGnmmPu8xoQRi01mphJ9HQl+jUZYoRRSUSZT+gTfYcyp7YOZPAKxdHzSFYx3cjDSBFbWl/8r pon9bKVY4dZusAka+mxBIcsavdW0LQ1OrNhAGML5LFQtkrGRPAvZcZsFtN6F4tzqVeNhc/DVCZpp l2btssW0z98X2NM0qfq/UAEA7V8qCyuDrKp3QdSt1nma6sH3NQ+vKk+uZwv9p5jqVhK83iy35Zik 1mNDbfjjPc9KxcW2aAKt18tyPBLJ8bjLExq2YZ4nogb+hF7KgHSuMPmG94qZtcn8Y6O8xPU3gzo0 E596c4BKmtwrxcE4BVkGHXTQ8cGmd3KiRXOTiQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V45kskAseGgJbumY258SR/izqxSuYCzfKShQfEJtrLKhW6sL0qhJ7A/h+ymtoUrTxzNmzuDi02vT ybclEaEhJkT2o0BldV4dlbrpe6q8zUVnwy2xhXwpsvN9KsfQkWTow7CtACqne9PZo6X2zU1EaNqG 0jnPhe2pS8Tjx9COZ0w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oOcBZ4+AxD1tkLeqBtbO5WJ7d7rfiTUVo4npSHMQXXqM/DFNK6fuERXa6mO3tggWBxtqMUwvtY90 pjK471WZk2IovZfCFFO9d5W9kFRkhve8v6c5My9WXFXOY82LvhBFDkKJgqYFbPQZEwVnSe+ahhdn bOpD6qH71uRJv4MFKMBI1S1QAGlJXh7Pv/2AsxlY1rfYWXob8g7l4AwxSDc+Qh1HNhw4ARmASlwH s9HlcgtELt0klv3np1CYiAa3Tpjt39jTYoD3+VStUac8kK/uE6Xatnaiokxi4/a3e2pAiS7MlDbO l6RLwcHKJMmn3VvNS5JJjz8tvT96AiOoA3uwUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14208) `protect data_block YyGIemR4q0OeIEon6RhnJ7xznBPqnPwDHiYGcqH4s81aaFFe8d174L5XB+51udkTukGvfUCZftrK WxpClz8vCMNRLExNEvwxq0KhLvRZcB+gAxLXOYmcWV76fEgNSZeBCWfNqxRUWvqk/9OVallUuG16 gQNud0Rw9sYoz/HFGgdjcTOOkLe0IEQ3/1Tdnf0q40mzTEBJoHuLJkt/yyeXKOoytSbejLmfYStt Q+BmptTbqv6FbJHPiFRByKzna7C0YdGIxbjokqKku857UDalTMCBKxvmQ0luSIQfxh7/SsXJLooY nk8yHom+8yz4LTpZcKE54LYsTltoV2QqWC63KpYZ1PER5IH3Ja0ICufuncC20ttrIDqQZVk/ooIk bmK1aZX2TfQlx230dm5wJ8vYyirSnBdYMfP1LGZLNfRKBVFqGumpACJXwlxVjJgqcvWCo5Eb7ELo ZG8tiSlxL1ZwkucAqXqRfN7B5BN6Oi6L1rK+9Z+wr5j+gxdBM0HQY0ZNn9fi6QXKYAq+BentJK43 5fKqst+ATKlY/VDAQWb2MiJEBwfdTNsk+Fv/dYritHAyJhlTc3dggN4ufjfcTCf41r2pdKdll8kY YYP3Fvqz0yDrluDKp9xZoa2UnikZQ2F2rGMrksUflRKoV9bYFxGUx+CynEfgHc8cYQ1QrfuUI6xy AkWnoz/XvZL3E2KozhWNRsxxrjfzxJIcvpYOL6JNZH5fkWNoQFpUQsqhsUmAXExgVtFTLHLppCZB QWbSkHAjc7ue+glJzNljAA+fFPzsdR6gq6y1KRazIyWDso2N1l3qdt2LFGyv3BGNwfe3dUcTe0Wn yoEmR/C4oliiKUvuASVKt6wPaPMERT25c4F3HSPw4CLjP3wAhkmisW2lnVCofah4/fpOhlU4VIRk Zmzbau4Z08SqH53pxWXmkbyXGf+dL5b+HlWM7/7SJ2e0lpSNjXOKfip/rXaRPg4LEm2Dk9lO+miJ 1pWNL1qNtnTwi7DM+2hIUJfMwfvzHQY+5lRDvdB3t6YZwW2UOSqrb1tKbbfdDrJFhdO8wFwSEoEM kVakGt37snCPBF8A7PAKT388OU0H1JERklyNFlWiWgowfudEnhjiObaXMrrbWhU9Xa1k8QO0yX/x EmbU/H0hV8ouQ2fVRzOvVYW1+dJL1IEnLChRA3uioCxGMTzcMZ66mulY5Q8Tr53vtsrejfpuUBH/ BMrFtS3fimfGayOqi8Du9J3SgpzLQxGQAbymfNow3XZZKFWMpqTRMN/w1OiGqL4OwFPd+Q7fBur4 0eQ1/TmFvOxGVH9txLGk+2kh1QO2Yc7ZTUPqxBMd6JrkhAXEwpOleAGOuR2lIKjV/sGl83iQwDUa 9Ftio2qSihF6W2l7khk4qlMdqRGPwXZEzuwqfH1fgI9p3vqIgLVrVuMMZ8y+a7+HP9qm70tXoHau mLH7HiWBcmP9nyH1YEpvPcspKl1AmhG7ZPbWmJWhb7MXPn0Y7oztcxaV6RtnImi2cG/4AXBJfq7l P732joly7y5ZqTfaulVVgO9ex/MD5jRQ/mCLVdTGDW1oUdYk5i4i47S6wQICfrCidSupnxV0cH/A B5Kp7YQIcp+On7edz3BLkLJXP1TsjvWAo+YrK69fPs86+Ytqra3WgctWOZS67vMwYNVcQ+PeMmR3 h6BE+NlBsHotGwX5npOeH6nY1l0hUpS2t7sa4exFkC447OF+1tUdVG3kr8Cu84hx5Tiao6LSvzUh 0vGhwBxTD4hNxXUhE/QxhVzlya8CG0YhnUJpLTqHT0bYS78kq5qpyqx3A7hu1hYyCb/8KZOjEHj4 GlsJ++49xrc7wcVDE7tzsdaD6x35Gpa3mjTB+6C9IHcQnG8FueCipY1ubPSuscvipMghlAUKJ+UK xvxBp4l9GWNNMrPAQ30PTyVsbfvKvQtyp97pO9XDISZGAJuTH2mHP4p2ktXtVq1ZertOap2MG7iM v+W1QfFldKSq9/AYKpBSb9RdxjR0+fFAEDm0AcbtSxNylkVz0Ryeu4wDE055k9VRdeo/RwDfU7Zv i8oJghDU2shFivwK8Yg+HOAJ4RMfZoJFuYKt4PYge+hLJWHhQQU74wxLgullJ/2GEDoldbWaAn5E gcXIb5CxW6AaBF3wVoR9OHMzzxaPCYD38yaij9qrJriqLZdis6jCOBQEcYnvRjKsWjXf++VLhptW 5MlYWeFgHBTDDpEqSAwazjAPREh4cTkS1FUWQWhj62unlrAV1JLq7rZC4n/2Da8qwnqTFljMpHu8 +h0v1n0AAFCJTzyc8TuU5HgnK4MQpMKMO/2HUpH0koTi2IL7+yZsf92Qvu4sK49HSbtrsnVl8OwS 3YMVNjA/NMKPf94IvuMCpjPdkv+e/fl62YB6FDqrVDSfRYC/7X4lJxIxR/fb+3JmtIVLD3A6rIEI DsflhchPR5oicZLvCBMVDdtIo4CWGwBVCPDb+qPK7Ut3Zs5BoP7mTKCuDJiQSzx7yunLKkInppmu Uqzz8CLzgyJOmU9UgbiyZGstlNG9J5L4kwZhEvIB57srl6obPScL0ymlkPbnEYgEMhZ5xjeuwImy QHBHqDVLVTVJgCc3JBGiI+9Rh+T6qBFTs1TrA7G0yS18QcBh+leh7JxVqTcjKbPcecvrfuaQQmZy Abkc87JMDjXR3PZCjkbQ2MBH4PP3xt4w9eeJQVdULMLIY70QRDxwCD2hZIo3UghRS5TzxYjtGhd+ WwdN1KfSxckQD+f1Y9eyvPEBB0iuADEQ45p9HiCpk51ZpQNEyeQIGGO4JwpBGLQqutg1AR8fA5WO l94Ig60ewerhUiejD/g4AaPtNDt1cr4jI/UomkIx0cuXiP8BnxNnMMRKt6VChTwqo25jblUjRpke JkflsjBi61pMK5FAhv9VlTUO8Z8xzVu+ARnnTskLtbNwQhhCYGo7JPrlnM4aqxC8i5f090JJkGrZ LDSzU2ktVYyj+G9/1KWM7wT4kc9Asj0GutXq8dLyL1yUgHEg61AJ9CNLvCdureD5S2OMgzf3/Oya MRMAc8wLMsG9UDfnD2I2+9f7v8spiTsZNQUXbP0krc49LKm2NeY9IQBQMxNP4S29NCuj7JKb4A4H 9IpZQVTJgon9uLDxsFlg8p+0UPUZyVBDoeZ8JeH017H/gVTG8ZTYj6GqFCgMqbsG45G2O9QFajAu Vli8AoqPxpDj524YRIS0d5RTCuJWQ5+GwsaFIZSUC/Zv80ZRHRnaixBgQ8mxLPIGJnCSfhUteELK BzH1r+58QjvaSGODnGw8Gzif1My8+Va38H2WE9OTuO34WjMZqwz0SR7X0vS4SKv+qkO3rncybRHf tr6M1PPGSUK28GSJgsF9yqO6J+wNI/gw4uloLh56yPg7fduMlDR/AXwmt6hcjfyrIr6VpK+b2hDr GDLXr8IHLAFuW/FOSxyjfUqwT48tICJ0uCksKAtaPHE23+jSP0m3pwTIrxfdbfTtN2/g3k4kKEu7 WFfudWw/90no6TCk55pKWzGHUCnYcoEGixbBPItfQSlU0Y/MTFprLwgoJa5p6JAaCX5ICdGLWjs0 qgUzvr1GA8Dhprq3iKRlqxdvK3SB40TNsYD9tbXkHKJh91kHL/jQE6YVgXrMkifc0MR9jSARL5aF 4zDrc2h5RBCHmKxHemWJHzCMHog4ad9cembnLlcZW8ivvIsCJ9cjATsj0IzMHYULgbW2TcMcLw+O 9x4Z+VPw05bkIHs1FfAku+6aAlc8jsccYmI7i4R+DLDWH/kyS+4jX4XGEXQzXsAuboZJEjzifxU0 tpjTcZ9XyHIlAdT0L38xTRRlb8wh8FoXV8cOP9VPLIjjjTHmTUcnBBzlGtNROVGGBh5Qrw3NPgp5 WoeyXlF5BOWVxWXSc19jiyZ6eF7zqS9BNKBpfS9EydftSkwCVUqnxsrMB1MLE0wTvePZjtszE4pB pwcFPe3KRM0Z2QPA6YS2gGkwN6/OiH6Wh91stfxk6SugkflIFga7mxQV6oDAC6GwSgBcwbkrYqLf UQPfYcP15U82/SGCqBpTDhjEujBYeefhWOPKUa4ckdFMfiDWA1HLzvpBqvceoe6i4tC53RGGUGt+ FsRtJ85/Q3L9KlrJgSU7pL0ZEKHceCEdDRCad0BNu83PS0hQsWL2eyLIDYNsSTG08lSttG5C98mm YaiC4Zc0oILArn90e64UJ5dG1SJNtE6+R++9uMoC+yns0LyS6CNaI+8KjrzO++oBgTPVu5XNyFJu BrDVHDpNjngFEkJugD22Otpy1vQYQ50R/q9hRhJV/4tQ8qw62HC0ZXMEVnFsMMA63a/U9239aU7/ 8+ETvALofFsSYUm7aZxiBKuHCMkvRjegexkHTdNLePVZgR+rXKzB/E/Au/oIP80uzsrt76j6kL80 beeTyPKc9lDj97dD2rr7XWT6zsweZn83Er4ODJnhfosH/Wp1fspCi409mQmRSyJ9fzYn9btfmKV9 rDJuKzlNNIfGixgv8aj0ii5lnSgppRArY6EmTd/OG9tUbK3Qw5k2oJ9VcmHnc0B95ntT6j6UhyYG wdKtxC3dXso+Dhk9Wa29PyoQH6jROP6j3FBViiHAORQ+tfXh90glc7XIzO+N/AUMJn1rLjFLPJpr eens/IP46VUMNX1r0xZz35K0POIoHAIGTwHlKVVFHnff1MdJwrcL7eBcei70aH0FtXbIPXOyGUSu GNe3a3/29nhRRcr9ChR/vi6XBTkEF8Xs5pWh1f6pDhBCrd7970wLxfioS0xHzwapcB2cusPOdNAV WUJ9C2zv6pUyolSeicB/soIEaYwEdtVrBipd+VxvyLiXPBTfpRiSVJcfM3OLvv/D2JzHHV+T0Bn0 2oZFx0sk7GAfzYZQzakvH8KTNif9wMFbbRpnRL6DSRtftfn4Vdz5vuZd2lUOzkSU7artP8D+1fz6 rsv2KFyCaB6uTMeP0PXcsfdYTgBP4uONytsgucqrFXMtb7UTKdwqDwW+r9FZJ3vyjN+JvniVj9eY +EAkt27S2KSxI/a67Ncqi8bJnOfXZRrybtoAGz8ltpqcdEli1E1apY3zwR4dcSUTx2Cw4rfPPrhQ jBvOdxYNrlFrl5kImQbO00etyGLb4ghMeHnJRg7yGg8rC3LlHUukzR1KSyScNSlYS/VzCdOt4GVP uPenHR7F8BlH6G1yrhHSKhGTd7OMzNuemUVmAAt4GFqxIe3NTrzM+JMh2/oVGold6+U3t1dOkA3R /GYXK8/GKxqcUOHhq+BLcOjqftxtZptN/IkMESu7ot1A+/A3QoZv9A5J/Bi5hgqE9xn/JoNh67ok lTYlYlROG4g9SoQrGKj1yhfBcdm58SP+SylPdheMiLooTRxm7eysDuPpGJi58Qr+zeuCY7lDj37y nfRl6VPK5B95lTBsly5zRUNlrKZCzJGCi8pttUZ5B7ugsrwUpFVpOk1TxJKBt+hNAawaU6oBO2hb I5T4IVEQa+H5JWJVZWoovqs/4Ji2PuxAO33SxpHgmTtEOu52Mle6EzZomxPZk5WJT9Rw62ewXaNs Ls3Eq0lj4QeiHGa0p8KiQsjEZmkxL1Jwa0xqm3V/OZsGtKkbw9CuevMNsVPLHAf/0N7qL0yiFq36 GMNNfrKrBOafF9IfD0MivTVFAz6UKAESYE4tQOW0C5hN1Nrdz3gg4IyFycShdvYxzJecgC+cxWQN YNbCEbl+a+T+oiBDxMkt+tnkfzJbH/VYBpyt80GzV7EENTpwOXHS+IhbVdKQyez1+l+mQM3shXMv vdOPVUeYMASzFW1EnZRb0o9+TvGnk8a2E71Y6ENqVlKLVtCqXmpiYTtiYXEJuKZaZgm0BckDw8ET TiQSPt/Ptipo0eqp49+uT0VIaynG3YO9P2ln/Z4BlVQO2EkHrg9WQ20bY2bDs37v8i8N8qN/yhB1 ZjjxwxC7Ax5TAd1ZcrXa4R1frBreKGwuhzH47rGndJZI1WLH7TpBn1+G3DtWUBKWB7uT+IRdxvqw sw5QEcwt4KwiJySD3CkOgIWfkuHi7CiPgOKMyNl18z8UsgRqPw0BIe+l+cDW5MxKv/tUgpw5Kvx1 NXoEgsI1bVr7N8XGrPTBPyDP3FPgiFPS0V/AR9J1/eBpeYNYxbEUZzFV6FExpwVBonhqVTDg7Aqz UpP0ihHCMbdsj3INFWiEMwptV3/n5u+P52uVNnXok6fun+dxhmmE381Xns+MDPLSBJZTI4M5l/S5 Iu6rS8fIcThgpar0Mr/XbsYrIHHUKUit2eSzgMgrjvsPfjYGDMYZyGdvcEWqI8z1Qca93Ho9yIkg 2lHRjqFA4tQu25kzhsQs+TQ1d83VuNwkwcY66k+mPXAJtjn/skyQYN8Bvg0H4+Kxi5cgeCxo4muS KOy2BCjqvWmI54V4vyjjdgXtJApwIuImZfWK5k36Btt2GXvEvVsHfRjs9QsrXsrAI+Sxqfdz/c+o kvvxQBZCh8PLNZhXRnctGeRIjTIBLt1N9NfkskDObGuLONEhVAT93QBX+JwarN7ms7Lg7v1EzL4K WZim+516x3KIpbrlH3aVKZsDayMNoCYz4udB5iu9QFsbENMJAGKHDN6BQXUv7fjQQ+TisdmzIAyN PiPj37zvLC1txIbacqE2tVz7++FfKhRPIOzR2KFztuaC0KuLlnL72KvPo/+1W5/oB5jTuT6n6Y1T XJUMlBSuRo/nwoF2XNR5BmZ2dnKQtvYgOtacyb3LJ8Ux9T5hELHMMehnME4jMOIJFjJCLeBo3CkR fuYQj0+SwQl6ljXL/1MzWYIm+MI4u5jUvkxldEUCdO5XeMdN/9ppJdSFM+x/WAKhoyxTF0wkaAIE J0rzcpaIgzvjUygJLB2mRUjkpjG/hptItjqTQqpMzIf4YJ1jFt93v9W/P+M8Bp/mB1Uu0brq6S/j gPDgUoPc8i6Q+axoCPs/dmRL9Ri0Bzp9z+vtdm28TMkU/nOifoPEW8mkIsrC6RasUU4nHhPYs3qu knPqMscxeajXIudv4w4y111wxd+9hjmj81eC1j2oLDchlG90Rk3XWx++RtRJYmE/GIvsYD7XBcuX s7M9YaLOkojaEdyFDzh31A38BBhC46mOHZdujHinK6ScWWnixD0H99pvvzRwZdlmlw9yu5V1RgR0 BpIVQxnvujxQDuft6cPd9KZ1v9OHxEaRiUVSdoj8P7Pg6n6dOFvRThhEuPS/Q7Wj5Y9T3Q6x/+83 oWxueWSYFFDS+IW4kuljVkLNGeVkONHpQ/1H9HodQpBEFNkcYdMDMamkjEOv6iuZ/xGCBoA3AFq6 OjhbFoBTZLaSyQYJAPgQsyAGjTVLEhZWqwJkW5PY9TWM4POyTpVTz3qZRECtVQIPU8x6Hw8OpOS6 PpSUy8N9PTXWKcUX+wSdx7tFvEB7WRIPpWiJsK9cL6y9Di4QygFiwX2V7E83JwXQgFd4weLCtEsE 8+3blzX1VkbXmS9ZACSsC0UNRYMqczrGMfgUFft4hh5V6O7kudQG1ncEpr1rWIb6sVBayNCJZi2l yYQWEUSu6ukVG3RqQru5F+QeR+EvA7aQpKteE9Rvu6ZuXgqbzocUTXfuwQGw3zYCS0AzogsT+vSM Z5E9+zTK+M4Vc5t7o5Y4v6+eOHtNfzylQfCs5SKotV0eyu7qjzgySwfa1R9dGUL/EiDQ5tR0tze+ 2s0nNstpnAfvG8cjhsrPC5iXVodtwe/zpsC2+iI62D137uTBwL6sTljbeGfg84Folj3b9n7dLGSs Piq9yr7KKb3Z0xAya21RCm9BObi8LpK7bj+LpqXBzDAlPX7tBMXx7T+gV3j5LHK39GDiKkwCDHs/ cLbkZSA46Be1RENKpcFw4rfTXBF8tHbknRW95UnfHd+jgiDm9SAxPRLSgZ/T4Y6M9JBS1nkat6l6 Ks9tY9Ll4k55TEUfM2cwlx+ClY4GvAVD6acCXnsUaemn9sqljX7aHpPaZZHY5cuVNnot7tLApA/j 89sIj/TUHxpnTDEhdu+htjgsBdKe1ZQMZ4S9w9C6Lui7+gReROWrGfiVlL+C92M7UxGzQbZ+VpAq RrjDgcPpZ5e/eKWm/BxQMeEMzHY+10ucnHf0NA5SiuremLd/97HNf/Kbc7LGrWCUC9PoA6XwZp23 iaG35QNdVzXs+ZA2Brv9JUtaxa4AsXJn3XQpQ2p0FByV4AKV4rPIAauYSmVDhR+g0rmyauGYoXgB 50M+3QNSCv5W5Ax9DaR1uYDn2lAnMPsN2cKXVrBMI27esBgMfWkPMFLK0N2LiMdgblgwyihcg5ch HJiaERza+GfuWogcSPB8ypt5n2b8l46ojeXZCimNolM8oTaCKlR7AjEYhWzXFWje4x0IrltzVIQf faieZZqNjdrXpCehu+F/yUxPckUKeuOp671jaH8ewBU/MhduTjgCwiGiFcuZ/U3IRQlQyQZr4Z/R 9qjYOM6l/pfGselcP3yBgYrLdm0qSleEqybk3wvlkp5ABgsm4cKEb6QU74u7tjwYD2NVbQEhMmPe 84zBwoCmU6RMV1WN6WKJKBJ9RvbrzpJmX4TYBLPA8Ff+qEguIdAxi3rX2ylsEAKXKMykd/A7rAa0 dEMqWR9QHcnQNo4fYG26QFOG7z0q9I/jMcde1U1Bo1HbLPatNZm1sSToNNVXlYZ4/YKdH4O7dSAU I57LMULNuv9A7V7vqaSjvaiezQq/g9JA76dh5RBPOcx5AbAV84dLnGeomVSQ3h2Zr6nuCAea7HDr DPI/6mdW221VqPsRf3oR/A2DoXxnvgBQRn8owAvK0AqHBrisTEeRwFgg9oXATcMCUlIx0Yzwr6RO voiQlo5vtLciy++03nAWSKwQbvgItO8IpialUgnSxyJmpU1QVH0aPXhtbqBqaWLi4vtc89IV6eHV uPhAN0yc02JpZcsqqLdhcKvx99YmY2oPAIrPTK051+FqkNrxQDduqTE6TTGxV5RttAHFHLLYtB03 SxTQM7KdhjweoaP7HZxynuX7N4axF5+BMI20EYG/EQdT3sjcb6ghqMNJSE0geGPnu0gOwCrYIEQK kvGqBLQtQO9uyuopA0ybsxPyRj5enBOM7MbC8W/AcFsd+k9rogSlRu0pWIo4890GYpLhOybqoHXc E4+5Fp5RR7cgZZbl+joTixVQiwHoFj1a+OFAkd6s6U4O8m/FPHR14o8kZWulSMJUMUOiGF3hD7Lw 7yt8Xbk6u91O0+BvRP/Yv63H/6qby0JKOF/Kx+wZq1URi6XD0+sNWpjvvb5sMjD1Y+0mh+7i08Rv 6IQ0MaHy88/5qX8/bTshevhlpVfjVT7nDbrh8VqMvqbrt7XXTGe0Olk+cwyOjkzXqJwew29Ku7gi BWQDtYpILdGK8c5cBuIZQAfGtK3Q2EkeHamN1h+IoNkcD/XT+Zx1EevLiyKNN9nqkFJftXbEh++I ypsrc9+VPBnpApBWIVf9KTPy8ReF36Iv8w4wvGqh+QhNIPMXvL1q5Ajug+k0TDoqd81W/OPFrwVt wid6DgPWU23IomFTUd6K4N7tDa2ExZ3mJMQu7KEcrdlnsL3bKRh5c54zBfQnnW9WfcDxnVywA5z2 DkNyibtx21Zl8DvpnIb4bmkA9XETU+B08xFK/TS2S0nGW3odfv6bsGAcU4xfelusbSwGclX2oRUP iCoOIl1eAvoEMrRncQkacFTTKkfZyjVt9VNPeBy5uNRLHNDKsUWZRO5GzNoH4TgA2zZWKKGuHEKW SVRhsrGi7dH5CIlpHABhA+OrvVkavqmsJtl9d+DxfRjScBM1KQCMVk/09rmAu9uuDS/CtfoyxPJf voFavS2kUaPEvFd3upRAjkfUwHmVX9YU4ZZHqX8Yt7Lsgmts4MFv0gQ5K22auHlJ0yqyOabZP8ST V1tQTwrqWbzsHf6XYJgc4KO71/l9ab+zWLMUWJvZYcTGu/FkuSYNLHi/U6dvbXLLAEv13diTTtJ9 JRyMSR9Lt7eE0em/Bj5oMdoXGXxddz9fvL5C9yGTIOYISiANTIvlW030fT8oPC4sBDTo9Mv8Xaq9 Ogyda1gzAFnXHtT4WsP/i/Qx23V9GUtVyYa92OVoJpIQuYTCgruyUqu/6FpjbVJ7xvVkcWp06QKV 7XzninEExm21vwkyX/8o3cWyPYD70RbWi8+S3S4syf2SU/7DZHOHtk1kfJAJia4SkAOLW62H3qz/ Zsev1Lwqo3cyKV/iswLqhE+N6Kq8h5/4+KOgbOBSu7uz7j5PQLsk+u0Glv6ao80z8KJXb7twTs/7 dxdofhL6Rd7Dpzj79LPmebShvhRFEglhudzywLhFzbsAPyOZXEzBdG9EM8GLbFRuYzi5kRYOMDaA vYWj0Vr2yFhnxiKqj/WjkdEdDq2dMbcnnVUeWmjpw7QKM/MyeT5z4GfQxgWwczw74hpY5w7msk/n wbu58DMpAIbuEoQhPPVM6rlX0U0VO9iy74fqWbKCaOn+I2WISTpEAjkEp0uXKzWYTmTtmUZXkb9J 00UhgwpS+3LfCdlAFg9d8wJvkJSSFteXNOW3Ef6vFObEr5SU+YDXEnG97Pas0+juPYTUMazmqDAf uNjMLHNEENsi4uTb+hOh/o3UOfrgJqP7iqvNvnaoA39FoqFDOiJO2UeTezGvb/J0mBemLjj9Seg5 S8MbGMVJcXFyWDu56U4SOBjzooFTqhs+yadOGOEleUTGpjd7u73q055vFIOJ543Gfc0AUjrCpcHZ xxmEV0zERssPmAKga1w1yj6vC6x9NZHrqjvahrtZ932XamBAaCbv7rXXwDhzqT2qKANzDn4lWUti OUeD7+i0s9ALac6aO/hO5HvPfAGxh/7cgKvw9P8owWDGxT4zxERT1QrsYHtJQiFHuLpGPyiGzCDe oCuY23Qy8HRWrgkKeUh0tVu/1ipIVUanKMZt/9pZS7BW8HDYqJ1V8CLgR+GHY4N2gh0BiZVJ197X WGBelGX93oSvAjjL1B19sFVYF44ul9rW95ZBh3lAKELBSyZcdTuFIvk/ZwBIkZ/58UPHfFp98BDe GHqTOS3sXWDiKkeGKJS8pN07cHonz9YVSea0wef+UwAs6OJ1fYaItnlwxktxfy8LZsTMaHXUg4yK ND/UcH9R078f7nTpAOzVcWzvCcF6/jlexSDav+7JOUXJ9OOzlKVeikk0ivLnakJwHydSwPCmVAao exFENuDb2JkGDPeeRQqQxnNsuuG82pKUxGpnF3C27/BEdxZAhZuwALfGZmg4T8nE2H4DPJ6hPEIK uGQ5/ptQZxSbUSMmUwKX7awqzRnRM/966IwZH8KgzrgMxAtI5pTEf6DnU2JZuoUQXNhxMRKUM0hj RO43zW0sdX5JeDIT44XhIdKhyV+9FZC53ssjzMzTi7eTxapS3AniJjpaaqFthT5TNtcOJi+ccDTW SP47/Ef9q3KhKArAES1yAZ/TkXqtrjz/QWO45w3CfhPtZtztpyKPAv85nKQgOcp6xSyCemBIqFKV UTmihl4cJibmPzSFtJdHxSfDjx2ZGVyFGyJhPWen4USrypxZbzXi4sRyEUNMk6m3P/yTHuvleHat KGoefi9LGe/UOxCVjoJ6gTmCx4Ql0T1pefqFDK6M7KNEpnk2GG+K7WR7Mno76OKwZQSpiso3aBcu yiDrj6jTTNeIpldTcs2hUeu1gkaz6GkAANJFek9HTp7mNzcVQ4xr27mTmt3ahjm2AgL53oQWsez/ 8dFXPFiJNCHeIKiQE7Z6A4hJAhyfkVGg5o6Ow+loPYlVsoj8LbqteMgv9q2bZK7fVSLsTpwyDNPq a1HW5DFHrWiCi14v1aK8Rf+/1oxMn3rxeBGiyp05se/lXmM9lYQvuEuYaDS54sNlKvPVv8c4dCdb 72B3WVPCdtUdpApN2StV4UaouEXFebuqPzIM2QF36+mdK03cVHjfeHsHD2CUi+p2tVurCcoz0sI7 QVQljVU15RYBPtNYBY3ufgjdYnQXWqtDjXQ02fjbZAZKuZ+dgyr5KbdcS70BujEsvJVm4hjaXPvw KOjeHzaf80rp1R4VDiU23AMHdyybw3/Y5w6eq+cYoT+B5FJqwjYtXORcwnkRgpFqj757xo210Uv5 xSaJPK+UhMO11mzc36ym67c61KcDAW4VVkh6IBXMBbDItbHe3tT9VxleyAxLjZGqPjmsNPqUjOvD XGW3kN1AJsiBs+kfNcqqcKkL9Hf+Tsj7VZZqnakNJG8Wj/38NPXgGVRuFG7140f53zJKZd+vWmGJ 6HpQLNHRBRI8ozDJ2G0JWMgcfccT005LI3MP7r821El9SaHvow9lISNBrPZ4D9iGI2p3SR/0IQ// 34P6eG9Gga912v8opUGOAgAmnRvnYUiTEO3tHE6XhM84DvUjsH/0+1bmz+Ke2jyfwdaEXSNl+8iO hQgcZ/ZPBFG09BmDlkfQfFvaUdSRNx8IXcv12migS9Qayev8c9DT56TSp5uyNyxQ5AuZLdUPsS3j IZa9iJKvltnFWMvKL3PbquQ5ASoynVS3KEKh4sES9oDHLO1nEBgjADPYOsiFhG22nWJd7DCQ0inH +XvSGxikVxsMygicvZ2Cz67A5+orkEG/xl4Jgt8bYbYbbm+qge70B8+gJZXQBQjOojhMxF9GFSKU Y3kLyYJoczeGOK+u4DdqQ6NYCvhfvX6qnJNCeQZ3nJ8rO55BTa3BCnBIzV9PjSy7Rh2cndRoGlBX FEC09cyd+hJl2rPRvWwRihe3iOLfEDvojIfrBtDG1wFWLmazrjnWzx4LQhI1/IvxS3FIyN0rH+C+ UogKt5MCEa1HvG7Wg9sBEG7ISsjvLfnng8UaqczsUASh+HEcwfYOyjs7Qk3AN7rZk94AmVPyPZup fWTZCdc3vM2NG4rI6lB/Wc+EyVkMQcTZAn3TGmM05sGr+Vrf5ixal/EsoNbj9NJ8HnKbAAmUk57A zmXHmWGkhwrNryvKi5E3BuSVsizMB3vdS098u2GyzAZ0rAyccX08jowaG9guxmMrooan6LkiDXwE EBex+rKCAHCkqMa24tFmlu18SBuTdgfFjmuiCM1IdGlgdTQNF9qaireRMcHJZMsK9dy5Cti8qkGG x614R3GDtYuNNUV7ncGtCfCi9jNxWJWhz8N5VvJFYSaxh0X2pgD+6jwD4q0rGsyTIHwhFG8E8Xau bK39AchHrb3+JGjaYVJAQfJ+JGUMh5jcTqRcXcL6JP5qC9NWNm1NNXk5lgwEgE+NEv/XXILeQoKu +4Eqd5y3F84hpi5Ey1PBQrwk8p9LB/Tvy2s08uszGWKG1PhUCyXKFyfTIpjh2DJl25rtuG/v0cjI uICRNk3uWk89tFd+3wSQXKDtq2VBR49YjHpC9RZlTcl7xcfjmrGIkeMNZQX6gf3FNKOaBUHpXENS MoTck9JCsAP4cHfZ3pIZKQvUyRza+64u94yaJ5GLrqqbe4rd2TH0T+6Xsg8I++G34cLyfsTzL/UL qxh8KJJ+aeNjuPfVTDf2TzViV4GZLR4oW6qG/QMCpmbmRZ78YPRUdlWBD8JLVeTQg/Uds0eOoR7q gO97vWYsBZeaQPZp6PBt3n8q8EOKBbATn2Zizvpw3BS3gnbY45ec2T0lIimde1uaMSAwJq1juJNU eJ3NUOKtL/RoWB4BXS8TJeLsP49P1/2xSpJXC7t2O0yxGbwLfPupGe0eVirZMiAK3UD7ijEApLJh dq4UQEp9XAzNvMnQ8TnDOvm6x4WyVbcV3PVNm7/x+FRocI1ZJG37SWW0aQaPvcMUs+H8gV9eGKdP ny3DL1n2R1Ii6tvVGMbFsH7lJKexvGQuixJO1MovIEXaVQVoIMMUvR7Oa/lt7f+vBPbxjNRhB4RL nES8H1TqfAUNlc9SxE9rb/2Axpua1IySgRPKq6GD2rVaWpBPZZYtzJ60mw3P0HlHIDL0CfebvQyz YJ/DuBC7sf//GbsORUcSfoS2CstEAGKDZll6eCngYwcCwnKIUiUqDpOZ2hUQwCgm8Evcis3Lk/g1 256Q+Ho0wp4dux6J8jWoRj4hpVqGoflG43t3UDM283NmOyk530SZoZPXwZ+7HGH1XOuTrZ9JRxBf PXsVKPLWHVoTQtvlz87qAkvAoT1Eeydm2BHjebCU+PQp6Uk2WcgnqGKb/jkE8yUUFCkzX/5SRZS+ BS9Bjff7xaixP8h8uTe2mHC9qzPILqqHFG0S99xMPgjiSNPnF98VS9irW/Noc6dsbGAO/2g5L+Pa eDZgcXjVD810igyW6q0hv7jPVCYQfO0fudPcu8vWp1I1QNpE5slZRCTbrfAd3dnY7ksOIhwc6ib2 KrCV8cDkHkoYtcRh9+YtAARRj5Eq0GEA18q7/izEQ3rxOIPOxoK3qOX8H94OkMLMa2xIlPqClcbS GlUe+OMkocBPoeKAx7H2aWt+8cEtziA4N9vkA1W9K9vG+gDHv5ncqEVRaWQ2py+9zoPqeZ0yqm1x 7OqnKZf2jlvEBIdwuk7Pyag+csCsQ8JU7UtiOORJERu4ZUWZXNSyF7RUlmRL7TNKOfkqLB3OFLVg +4j6ktScl8eT3aGf2V9r4eqeO8RDTC3pN2q3VSGmBWE9U6qZzsG/Mj7toVGQ8WXYbPd94yYUxD64 2X4JzQwwdBJ9R0ZjFBsH0PSUf4w6DBVtX4NxBkxQo/EcNf2CYtKdNO8865rJUCLlv37wHtWZ7mCm 6PDVMotzVzuYaI7e9Kdk7KxIuBc6tO7aoNi3d6ZJcawIW/cf61jg3lFgMUAl3AMUkKpQBiGwnwoi mpcrA3AS6qcdXmNJphTt08F5QCR0S8WgTRwRAkWVuxnoHzWQ3pd2maWkPsNGq+fATqI8+Tl6btTD RN2rl5xpX8Zqhu0KIgShwIc/eI1VSwVppdOssXRjoZcysV9ZWZ4D9iTDCTS6wGso6QiU8NO7MvJ2 vGi8coXZ5U60wUCDKZLeHQxZCZq/XGliOYsK2qctdZrfCbSBRfmFwFP3FUH8F5V5gtwZ7GsXeFwh OVSxRoiQY8VEG1Ndk0LA/A4UGllfSTW6hEa7GUP3JlOmTlf1QWPTGqG87R0zgLCDYK5goa7UemV7 rhXRZ28ofDLiOYKejXJP4CNed36ZGQ9QMa8ZiPjhKi9LwXPIeEwSpNdmYs1uh43O9XlWQ6Frt1Wg Z3Jk/KUIotvSfYDzVl/1KldZHTSa5zdUqnkGWOnRZOnGRynB83bt/DhmK3dfvpRhpSXDrlrLrs7Q VutV9qCxW7BS2/p+33kUmZcwvX0SWyohCkDgGzme/A2kf9NuWDCauZHiiaZPCtCUQpezIpsTtJmq A3mEDuOR4Pg03HNKozgEK3/sznjzc9UPgzRFqOW0iZLtCKeq1BJlGT1vvYpSBJiEoi3/iOOW5ZaX VAT9NsQR6364ZdVF4ntZMzkExHkFDt9ztNGSnBluCh0EOXxJZ1GRU2n5HFjlfk0cSlYJhj/LAu+m WlTAOnp4MG5Vh6mQW+IUKc1OBMzV6MUVcL1zsSRJx70XQo3VPrvdwfmiUcVM+gEDtjFM2ErwYhBK NW4D53oB4BB/LsdPlgYQlq8dS+j7aLd5wUfyu6yMHjbIbxDFNnIqpozO+eSH0kHe6L0pYdUjnXMd 2Kbw9ByJBhLMdK7rhAKwU8bplGuFoprT9BMdBnXAaCHduATLTPV0Bzk1AhTuLGq0tJSTfJBJGdoA l/ZbF7q1dP+qQ/FwwTudgP8wMYY6O8INavRFxIa9KNUoVu3WSV0vkcBkQYURB4Pwq5ae//+ow6et srUvaIxd9/6ng2LY+rbLJPtimAoF+T2rZ3j19leruAICe/UEKYA78oEqUecVl7Z/YMZ4D/fQ1lqH xjGd2ncjXxcmHksvHMHH3rTNmSs1OsPxwFb9DL3V46NL5A+UciNxrurP61sE5CSirhIkkX8lWGAR 5b7LYDXVgv6eQnl6b9bIq7JvitRDtYA5UmnJjb6y4mAJR24C2gaJOvXMTzvFqTOYe9MsnM5XfJlb sgH3cANuHnTiUQIx3zCd7wCdSgh4EyvlxyugzUII3dC+5VAUto7sL+JEHkOs5lRC5YfkGWxbSO7e 0vZpKgbqa7WScJEA0kHPqoRL1pUJbBEXjIzCoqKK/nwffmn5p4lVufQyvwYzXJTe1u3nTVbBQtui nVzasE8UdeZ4imkIgEfnDUN1z6lXXQ/hF0oBbiGIlCl2QG5dLH3ivIMu1TaDT+2nbpCDMXiL2VNw phK5EhnAgv2rX/unLebde03lhVhEFsaxgfXrkf23b72ZZWTD4E2UrE8pr/Ud8kFeitLfe8xjLv53 0L17E5hZEMrr5bRaSRawbYffqgUzc7cR+a115mHTUoQ35BHV/cMf+SkgXj8K6gJEGigXZqth4j9Y A2U316+AnsmWHVNNJO434hFhqKs8tOyTp9BqDsbOd/5egAMGaiJ5+RqcCxQtH8R3Dq8Qg5BtmGfL m7Kd3QeF69bIbHJIrIr1FIzlDRq1OiV5NVORkOTW8rRCkx5j/YloERnRrtFdlEhoiRRNP6Ghw367 NgHgfjNCfypbEAduELD1qwZXGmDP08NJdUj4Uj6mkKgvl33iZthLl7KM/34WqUK64+AdPuDU1aER L39tWj1jpz9BL0bAgztYp6t2eRC48dP/ODTxiFWGkrI5RI2BEQqkZOe4v6j72FzFW4O7zbIHzhOL 6ptJkBtd0hS+cQoZEzzl8J80kQknvAJGzsHs2KS9NrfUJk05I4cvkzJzxR3ArBMY+BYo70eRbBgM mspxPi6Zzc6TqSMqO/NUEma9P70ooSW1DUQz/PPfG6X6rMCh6YW1WcVtjDqVTGeYSbOsDk7K7kC7 QIEQSnkRdLHxqm0vtYBNuK1denISH/k4uehGzC6EAiq1Mb8fyyExaTyraUKZI92KEyKrYd9G4Eum awnOdc+WNW4xjyMWpWl0J3kmHX5kpUKmhXTLbGQz9Nfag1XdHjma4ucmEDCiNSUTvhTUh2z+Tf2f kCm7stLmdJkDrk8TCKK4iGBHZQAOKKzYcQrJ4phnsaJhkAmb6k5EUooTlQgGl+s93SAt1Zy5XePA LU7ofZllmzSs8wVpUOaGmTPtv/O/93hDVLoegDk3veU0BOmVV2ZIRgMLn6JLxLX6Aap6WQ8/XSbM EIB1pIt0TNo3EnEHpGOsIcNU0qH5in5gGObl45ZVkgGJp1CGFQKGJB5J2whM92eSnWZ5x4wzksnZ Sw1z8OyHEZhmHryik/eKvbD5kt25xYj3bzokY+UfkXKtDvediR/SwjyYhv+ssHp3lhgxUnV6PogL F3j7JSpO0dobLyXTVMwrkA0p949g3Fvq2GcjIWg3x4HL+pL5NZ029gdvUQ1omAAE/Ln/LSEkFJaX PmCQVNcUN8nakjbgpw451Vb9BMuVU5u01GE3487HoMdMCUBPE5OigexL389XYjiKodTuIC+TTbBI tqfDeUMLRtxSlaja4dnxwVPRAzvk+5YNv5AFCk4ihYaqCpEQtHIPb0bZLHvHjY3Eqj01GOKXKghA 76YJErjfpVIoSG6YepThoC0LWdgHj9HeGfspEw9lINuK9AMtuGteVtD7WzgGehn6D9vYZnBrkhvZ 0c/tNp2N4ourgWaceCl/Tdh/CpHt3HKb7lMzO2zLCxEW6+DDIS/QuANRwTPE91XlCLiMqGtNmRxS 2rMv3WqT/zyUxcpiegiZ7AQeB1hEOhFVeNVZKI7XhPzYtFDb4XTi0HHLMJKbCKhLfoWkiYuokBGN LBZK5p14cmEwkV/BKBZ84Fj2JXPufa1n1a+1IATFlZtbsBPjOo6Xov8EyJbZ3KyTOdO9bfyMA3SX +lc/C3GrLYFCgUF3YODgeQ8TepcnqnDOV4qazorPGs7g2ip+gJq6ughBPYPidfmu1nwseeP/3pp2 ymVBd+C4RCu/tuncfQjr9JQ3m4tw04iJcsxwpIwSdfp+wt5xGYw3If0WBAs9z/UyY2T7otIkUl6e lyfF4hFORO/kxvWQ9Jth4F7pdcjzeiYCZEvUDisP311d6fJQEdVvxj9ZPakE6FauMUcq0a5plYjR yb75bFkioqggcEiYx8fjhT0bnot3svpkPxgyHC5e1wOxZMeqSCJNANQZtIMDoFx1HkMsPrexq8OU LDcPtHhWhnLeSDTL8xr0OxODDlJ1bI5vFXRRc2idISZEMKuENYFw/f5P+14AL+w20fQt21Mr9WaD b0yf0T+Kz211np8x7O0jUzYvD8R1+PsXRSF3v3rll/gRn4ktaNcYKYYjj41HLEsP+795bbqT/3+e 9HoWzwxO0FELVl5T1eDU90qp9V6OSwF3RfIYM2VMng2Rs7PLWMXc88anZE5xWYDH9/UWVRpn984+ qQbJQs5eZmnbjyyvzDMSCwvkSoEw09pYeTvzA+g1uGGnseQFo16HtHw6qvUV/pnoSmRlcoB8SUkc 8G56JLl6QyKq9VtAgZN9ngq3zNmNAVF8xV34HPgUAjMLxkoivHG495LDeJDZ/La5YLByJoSG9tyj kgL2GvZEgYmJl3ozOeVLwCYHMcYiVUJZKrUhDXXZqQsN6n2ltmzCMmhGjF7djREd7hFcL1y0hnQh GuT4XCKLCGyLp7B0UXrsrM6RcVcbDfnnxZ45KRjgwhlJpzwsUBFCXCrh5R6dduFDtEuIK8nXgqKK vH7FJYqB73D6MqC8vQfHV+Ggkac/XPDorv+/eFu6zzZXajarr4/ZCV3P+T9/Ey1HJ0mkEw1khJ/S AD4wy62AqRlKO8GQgnv6JyEAuOUe+lhdmnINCM87UsTBf40YG2rwxTQtcSfT3SeyUpSI0GeyxLRl GkHMLUxhs7om7sPditIUbTtMKYx5kYw5p/Uw7mygJlZBflRxS3FDXTPlnvKxz4V0EwNDqKBpsb77 hblfTdGw9sJo/d6DUkMdlq920SVKnEA6GrQ1SPdHsKn+JBXlCtKgE+pNzZHdcry4eGlA5s5c02Ub NSAXpg/YTzggBxfw5YKs `protect end_protected
gpl-2.0
e17b6d4c87ee8adf45f3cd878401220f
0.942438
1.85021
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e1_lat_dbl.vhd
2
37,712
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Kl/+s37zRq8mDewUv2U68xmmPzh7khpBTZfGYFJLNmxLXTbDSAO4VmqifTy8CMB84GWpP5p4//iI bshOmcLDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OTj4eU1ZhTyvhy5k6Z76B3QWV9WfGWCGIntKP/HvZ6hm/FTRLtl3z+1mXe1EYBFfVoB8zJRgilEI LDGvdEcacUGmIUQe9EnfcO0EKCeSA7IgRhZr98X9T+4djosepgX1dVKWuigFLURpKF5j/gCKELUE 6BZ4Mn38G9qUig8u4Yk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block g+5QiUoVb7gg17fEVeTkt9LOosl3/VFy5sYkRICkkNr5P6PUkbo20RMTD/z5cEAqrcc7scZJ+D3C tO1qZUVU24GrybR/Uy3g4eG/ie40zyD7ZAZwni27hvY3zQYKYD8qYBNtzLMaRoeJuQdm3vqv2V1D 5bznjmO26DR+D4wYOBsODO0jGoSxjP9gQOxbuzlNIloIPJwTusUVPopmk/03Gf3W930Vn8zxaIJ7 lWopjT8Jmgge24S16982+sXIqFLKuqGoYwyr7nPv7JiDqAZibarX0fHrAydjIWMsW7BFZfx4VQd5 KD76WmyqwPQXcfTXT1ZMf45WsIoKG8OXfhdTGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tdec8LLuIePbve2Y8TkcjyOGaXZotipIaCVkfda38TZGn0j1m8t8Unkdgqvbj7zXgp2fiuCdV5EH DAUbVbCelEdwsGBtauDX6u0MyXPUrtvHV1pEpfJFrB4UDdzmRi6b8n4NoBd+pgNWmXwEJXDG0K1R N5MlwJ6TIaY9SOWnh68= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A0qSJi3eBOy9S1DKS1tnliudZyIRpvah1CeDIO2D+wPYVoQkF+8rfI0G6j4fkXomp/db9IIlm5kn dZWbkwim/9DhrUAiu8qCSnCUyai622H15ywcmDvuqREHIPjnLoJxEXJmySl0IkYm/qDJpAAQ8alI lLcLnbLmV/WG9a8GQHXXXQQsQmrAKKCwFTJ/8tM+25WL3a1VjF4CftEqMPCGzAUdbbt+cQ88/VuA ZwNB24VGz+URNC0c53xgKdZG+W/4IsOJkT/cEkyJ/bb6JdeZmb5/KuUVPkZ6dOLyW6EqGWJa0iw2 IBls8g3uovmjlNHxHFKn5x7hxQGD2GQ1/oVATQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26176) `protect data_block bz5QmR23DwliuuwJrq6g4Ahz8LluHEki7+2aXXc6Jc6/lLyHJJBt6WzN2i8rGUHWE//78nqxxruF GDHtI9MHcsd+WgiuonWCdwzThcC10OXdAJn/bcq0zMdASIMXMlo6ggrBoPtQt+pPnEid6zIqIgby +6t+vsE1RhSTVdyZ6yDf0DFdXRHrXSxMQxPf1SyenXZGF/YNYWKUFYlesR5PdGUit+0xongI3H0B S4UNxk3b1OTrH8UakMSvs2O/XdDYKr7ao/gEUNqLZ3bERp8ykT/T5p9uwci29Yy3uFjNvia5kAL4 KFbR7+26AIIw5mDGBNSbANBO2TSJFxef+PkybzKsM7CO5EquEccg3NOOQ+aakMswkPIVT6Xgt+FM ywsQCQjHbHRDjMoRjz8DKMgShUNEsnyjT4JkivevKs3grz9Ut+DyJNKhis3YXp1LGvB5ekr2QO4I l61qhQst8y26NsyNbqaz3gO5UtLHfDBkOpf9ChlbmXvfSu3cfQ280CE+lww8v34b8eA19dnhL87K Pwg3125udsRZmJbeoQ6c4WY94mWA6dehaCGG1wxmLvKiPsuDhyy7UWRY5isfm65wpu4UmcMTvWvT i0vhfSZzDD8zG0V8x/BK44xcaJQ5emGcmhD3iFOb9gF/o57umdVxPyG5IBwVPeN81izLmFXXjlLa 3TAOoy3/Zi9vJY1lcdN8QHcNvy2PDaRL2ABv3yNFLhoV47z/0deCTrdBtKfhvOzNNa0HRI5W2/SC yJCjSYkqiUtPFVw9ib2ElSKdO3ElB3RYqd0hMdS9rgpcfgfvFau2LA9BVo3i2CTmCNGW2fLGYjY6 NFSU+uFe0iMjoD8q09mRnkHksfr0LqTZVnqOvK+Zgui4QivH50DY0Rpw3SITHAnByT5BLzh+HnPt WzyikSGtc6Po1gNNuC8JANdfBqYeOpYH1K5vNgh8o92ig/HkmOIuAv39QVt60RTfMX2Qb4/7VWGX fNSVDSpDBzjwOPQjvEt3STI8Ohan1dlUwLvH6XWs+Cj3Ekzw5Rg72DqM7YQ8FIUqGSIfWtQeNn2D ANRmYQHx+/Q3Y48EaCme1jhBANEeOO0BsJwdDBsUo+2HWkwxgM2kTED7YSFmT6/zx9K86EKnjVNX 7mlEjXPTD34IG6zadJpXus6H3lOGo7kQ3snL0ijMBKRmSM2TUzNgDmuylrEkOaS0DF0dm2BqC9JO xslamSoStRdwQGEtvW+RapzybReiblT5FwrKZq7ubo1GUWqq4zUwtbUM1Xg8HscaDoifRPKsSJQd vuBD+XaXg66Sq38pM2TS3REyX+FzYdWi5Eq1u7caddLXpDFjDTgr5eC+p+mOt455NcQSxm24aSor piqZ1oOZzV259MhJiV2vpOprhbqu3V+w10W4IXiAwv/L+u2GruYcZb0xmhVcGXtpw05X9pXlRcJ0 akf2X8adJl+Eg1q8CuHQGDcATli22iRyALaomsm4AWS3aTyIpzvxK3FjwtGBiKy+3J6Y+g9CC/7C hcV8xAfSxef/XGSTNuLoEPwLQ6vwtLgKZlcGG/jnrYCTZ+CpxIey08HztVIwDc/EyCQbjSs85odv snaIrDOx6Wwl1RbaomTkgbFgrPjWG7hsalnX7g5+CGPjR+ol7/h1HpjrMlzwz4Cdoo8Fgpbb6u7L kqdTlXOKk45ffv2IDUJ/jFJC7ghje/iVC+VusmjSmGmnhtMe39jj6rrlF4efWl9SDhoY105JIVSq 62p584wOrJdaf5NMDLXohKmDkRQDiElDUBNAN7nUUsp7TbHKnNVUE/BPWtCOnluUVdzeS59ovXck MdaAQUiDBgL78uuvEkl7VTTks4KaScAriG5D3stuEQFZReTQeIkdPMv9XXFKJGDzY5tYxDMFbbdE Kb4+BuzBFz15zmApzC4YDJLSnzr7ZZi6t38bbjFFF70BGY4kYQyUHPz29LTsr8FbvXPCRGM8hNiX ydrAfYLWc/pWZj6C836gAONrwdCZ6jf/+1Tfozc5P+joxjAoJvbB6tcDM5spBUAJ+tb7SI5P2WZH MSZkxQc1y0Hsi0c3gASS1OnzLIfVh8AJDfQ6iWJF1vbimb5Jf1vyuMZacGPrc080kZtYeksTryNC oGz0B/pBCQNRyUCQS34V98SvPXENIDkF75lXHfQVLTvCuaCMkq51m5uXZEKlgQ0YDWWYEA05JkOk DsEqPCulxfvM9H9WJUqibFXMj0IFr3Tp7GFsZtgjjWV/UMM5JWJXNvib/x9/U78lARdH6bDH+cOC XTp0yfII7KeQlIX6tRui7H+mxfMI4BajtjlVy7gYCrq5rNl0kR/w+K4Zte38xBzF5aujlAuut+sY xqhmc5bzLURQ+4UPu2JU5IbiItinl32sVlmJzKR+5F//yE9UvTEabEThVyYqwG1poD7Kb91AqI0K +37PZah4CXLuu7FBmB42E32wImjAIFi6ad1Gk5xJ1HPf5b1yG7eN0CDcK6yhTeaHx70owLK40FEZ qygjzidmvl8N3pqYGz9suLZDvunEtenEKld4DX16oxG6YmeG6GPV/jEHuJxAQ8L2nD8Be7wXJSSx Hnr4IQcfpu1CBiwjesaueaSdpEHmeMQC5B09V8WWBjHx8/I1vmZebon+8omJ7IKnPDQx7l/6r5yY EM0PlbuEPazEKMt3ozQr3R/pK7RqnJ5v28jtT2v98w59iR4bPniBrhaRVnmkxya6MWbukgRxXHtN sarWLt3Yac8X2NWABIsdBDOG1LjLC4paFfzOCCdEBjreBlLtaJVZd1UQGYEIgjdC0Bd1NtbVjekT 5y5EYYC1mkZRDFmFdXtsEjrmlDHg0XeMbu8Urp2Rd/WtDAJzws4eVRXwZWgWZEDOGyX9QIDZKNt4 vw4m6LArlqJ9aUiQHbpMUYdoek4j5+bnqMBHTrRoHOhTSFr1fUzweWRYqvLG25qISm6iWV2SesTD zj2CXh7eAwr9cqbV1+CaFSdV49DpgkoUtACKLIr9DPtlSJnvIVF5qsSVWvXiQm8HLFvr2LWJQyu1 DAgHzES2NcDapZdOn9WYYTB8Y+J5/OPQxCzNItDNTEDv5K7PEZ9jZpiFPt8MLzwZbvK2WUPDeoHJ Q+ST309PVqXGHLnoINueLitlmUIMd/WVHNMRho9Q6l6AGKp1551TG3v9V/mV+wdsrxftYY5YMrPV nW9MZjp6pWcYf+/k9IPqAWsl0LKaa8yTXVTtWTc/5oYl4/M35/iexMO6T1JI0dRy8FJ2NeSLnx3X Dq4NN7Knq0xR+vON2gaefrmIDkIhX2GQRG7MvA9ELGVnkxiDVO+3UI+dNy1181Lh63u/RDcuh2Wq EHJWCeJjoYTXttBDPWzYkws0br7eQZF0I0dRo/L5QGqyN/l/hg4Cy5HpdqQYjlwXTZmBwYh+pCkP fkt9klGY06bmwkcJGOMuf3pyYtsj0oNv2lyx2vz4PUouGM1EbpZECGQXCv8NtjiLrVqowxWeGSAz po95G9qBn4fCOnqqBK03eV54gXLmsAxP4QbxRxtRLFcZs2Loeds5dzGPprBc4T1zoFixFr0urgYH hbJvcdZVqx/Zc8V81QOlmw4hXrSmtN1WxUdMoOFJiwqU7/JWEqVy0cCYTqK4GIjlaBjlhqggdg19 VdsdYGVuLErRbUVHLZ1SHv+vqRgFyoPpewn+xJjHfuIFW3duFcBsLTqjRQrm9yVLb/WFdjqgzBTD n3scLiP/YuFLM0DNTKHvScvCp6IsJ9pV9gFWYAt6YtuRSp+blSGkLu6686rnLQsMaud1cGK6bBN+ 5Nc+MPK+76ehTJIQMhVXgUPR89CuiyNnZR0+XWk9ZV8DeooPZ11Q4KnQf8ixQdaeFBbRzio3GdK+ g9nz6yUba36gKDKGh88K+3D/M0H6yuNgiKyg0+1K31FdyJXJFncsgmAci8CNVReb3R/NUp0oYRzu Km3urGulLbhPlvFA1PTDk7CTvXZ7xQOH+/S0gB+PegqDS1UlpqnfiB3r2k752RJyodGKW9BWkeDV MumhdzdemDw1MFzdfDjUwa1+wjgLxVmKvdinZ7/oAmADi8nuE5pizDgS+Y0XZXuyhoIpozkkUANl ULpoy4lAe64382ewJnCGtJ723Ovb4pJyElwxfUVQqgAlUjj3oLj9GoI2+4WBmeV23lFg++/9RkQD AKMY4R8khWTKcycA7boWJ8CCbkPbGflg100LL2RQoEHYKlNaIhkH1Ehe5QE4A/hHnFoTG0SMaxN0 d/qbbJWeK9i7C8Xs41TP0QC0BKP0g/2iYR5t2Gksy9O0NltoFQKvIIB4iMsk0WP7NQX1b/3xeAhz +LbabcGp3Hyw4d+3igD/AV90LRDoX6iTDWfr3RsvvGXqJ35wRR1TO9tTl+kj5T5yKZ9r3TKiCMJ2 qHwYp2GJBVxofJsb7YNfJBZ61+0mTelG6o/tAl0nkr4tnhRsZDFxcg1AtKYk+tTB2q5BbH0+BrNj yPJTJ/6dr6mIXJCsdbMKM0pFmdgv8IMuvmsAmuZDDI/X9+wLyuk4gtkxDjie2bvhtW9/zweZ3XKZ M8TIQO+a3lODeUFBUZtHK9F7deysScjZkDMKDaEIuVWMsI1JN+ZSDxZoy+y0CEaBeESuytmLZt+m 5d3A6kVkKM9eOyxrXziPcLittxR3Zo+ZtvMFlFJrQXLwEAWl7n0k2Z2Si7BxizRbg46MgFOFgpAS +Agi+GqSGdvJU4ZHPMvSK1GXW4BcSNJE1FRExoYVvKAF6YW+fGq7VCrL+wgE11F1//8OPG483+Mw zzk4NpDt1dE7R0Z87Vdly09YsPwrmr2YndMmjnP+3wBd0E4URdobs07UOniKOTVQHlfa5/ikLraZ O7ZR3WKgr2bsKE9Z4w6F+R4TQHOVoEVx3asytIeaT79SO0NxtRqQHYGMkfv+KTxkkHoHhmViImb5 MGj9FnF11DOkv0pQO9wZBLsGHzAIYR3cQmLq+YlgcDA4b/BiWiK6E7doN9iZkAIseJgJIbZG4lPx nCbvexm7zgjiN4Kj8va+MTer1KISxNXUFVrzPhsWNQrSbjxhjqVPhbPj0bpBGqFLdnpTVgb5SWWV xcS+8vf9UQnR08OI9i+XwYzPJg0eUN5dZ0Z3/DWbc5GOE4/IEZj9i6bw0HCOj0FKu32dcFCHtuUU g944FC7Sp+Jm7f/jcKhh7DeB40IqQYPMe8qBJB7K6/368Y415jgIgPieZnCfSOzCEd1diu3OHDuy sFoEdhiXAxWkNpNUbjJUr7GcgphOm3MCZoRtAxu9YEmtch6P9qTWTqSHsZXXkSOhIsQFMdWEhk2g cEQVofRcG5msb4GIuMcGEM9enXDENqGFHNGmiJ9/0pGz5heWnRtc3geeROH75HdeRSah+FTL98eX H5yfA4hEGCXccFRa+cFam1t3yYTKCuuXSe85x1C7FY0+WmeeHH1kh7U44u1RJWlFBU9k64+99kpQ mh3RN71OecCM/WrSZuqUZ9AMlbVqkQrQ6FleHaiKujgUyjQqQDM0arAAR7qzei7eo+EFsToNSovg pJDIlkce/58LljXy5t9kxDrjPIz50OLE9Z6Xr2fHqICOIFGVtJYz/4pPU/ycg8mMp2cYhvuGPKhv a6KvMEe27/GwLhNHncteKofLe4H5SjqfEC3Bm+BbBP0TXMS3pCQgP8Ds2IcqQPyhGQCdlE3BItkM 4h46tZ99rwEXfOouo/VPCwnnODDBozdGQrfyAXm+mk/wj57PVRVW27pjP7JDiEa6yWWh9aMjiyvg p+Jyox9y/iHAuk+EcB9Q1b2ehte778tWkws4v1JtshXMx50aFZS5miizMmifywJNCevizDsJjtHt C0QoJ6hbPMPd4RC5ZMIOxkl+ThGy8+IfJ1DBj8pDJFdKlS8kxo8ogtfakAqNKn5EJszyOI8DqhkW tiCRPCA3CxUVvTzIGJOeruBrxJAS2/LB1+MA9h9xrLTOoO0XYFPoBMgghHKYZAKm7mOMaT+o3VMU tKLoBYab6QM8RuAwL1jfndQrIZcuBs5Wue6zIeuid0TYJI8sNGj3qgSjeIMQau3dLWKnsoqy1Kpk dBRddRDL2t+pL6XzjwK16agfkvTGF8pDFfyKtdVBDYHkWM+iax9IwyYbtXzNdNYxY2VZFLMd9PFh aEK757TzQEp3aaP/q/IZXnKdu58V8U1ERApmc7WL8zfL+EQNbc7NYmk92uMPp081tLdTadcar3Uv waWx/leXATaRdAHCBQQbOv3bwSDobxM1m1CQewwCJqXWpIijYrEotcunur+NKUExFgQPcpvlmaFT +8M9MljjFPzmk84skO3zzXi0rJfSqKJWlgs6ecghKAg1C/KYvDqaJnmO4i7bbsgWBlGu/mL9QiZD NXR5WGrtQB+L1C97nHB2kmU6kGRJ5Pb0wKWYaK0o3T4QzNZtPpvtZPf+F7hzI+PoUhKsR9ovOgFx iNMnGrAydFfX+cR2H3vGRElV6wvA7RZfTuY1+ChugzVnmr5yn8mlWvaxYBlFpxySiV72pfBVqR4R F+uy7Gt1+dEmE3WNm6x3eMyynWOgkg9emZyn82Y7fb17fxNvX8U/zM25r+GIv/EIp5ml8FcoXeXd z5b5e2sJ+zwjns1JqZ75JNDM4knKg4pBwtBqM+PuZ7d/uQIdkVKWqTkZdPgU0t/iZtFCu3yS0M3p g7SvBCL3yiBXUezyoDni9XNwrnjzuwQ3CtDZa+ZVyzz03CQTcHn8xS7f079KPJ8rlL3HGpnhyimo jepSGTw2nrrSmMkgnmsYds1USBEMlou9M7VkEl3dvVlytdktnyVvWO1s6brwo0T9gF1VduSetblD lNgm8QQFqhOfiIk+v2XanUHUWhIozUBEbYzoFp+970VidaX3TRCuUS/pCknZlsd5IDklCRQ/zH2o i6BXEmGbe/1LeGwpdossKNn3li8rudYFBh0GxqjjDl3dJfFPLTBfXmLgikyGZRinagb7qCZ9QBwV 5y4al/dS6k4jKFaWxMUXk+0xvf9mORqFyKNkf0B2Kt7N/QUneAQ5ji1i0jXZQGAKLS8oPVaxtL6S lBeXsj056K5DMU1e2VjtHfvMw+G63rjufSQZ8sVotLM2vZYriBH1zhzFCaNrxWqEdsHiUcH0eXPe 4kyJRzaMLejPLaOZCVEO+fLg5HNOWSrV6BBCuvwI0nTZ0sQudf0hLWSuHUn8rvUvgFHcA8zsW/mb YzRdYZ9zuReCD3c6FJewkpV7/zJykx6l2oRqnJ60fItIytV0yd/pz/Qn9hGlIIQluTUY1qzLhZDT DAjp28GdasazoJ60XVu3ggauv8uzNJIhjsMxbMb/rizfRLWcO4HiHebXzfLTDFaVbmxGSbK8WiMt uiJYkt6lNXJeycet0fY8hXKmTXQgTw+HCRaJSyZdzunLhVfCAvVt0B+NbUSGaG02VlwD3wiY3NJm atNQBig/Doll9BiScR6U7okGLccygoJNCxmfrJoNWrKg+hoqnKQFEOSNbGqVj0Nf6xqIcC+iBxnW P4mOSIHRg8RM7oWN0sZtwwNTEPG+EbsAxE65gYF21K23W8OsfvgXkbim/J18atlnutIS6+vhdMq/ sFYzkcAlri5gsmDZS4JEtyCyzmcI3DoeUfbvGvCk/7xegaWLOh+HI5/SP2+olcJgJUHnZE092LYo 9gMa14+yfTpbQB+52wM0C7ZwEiaYDw/UaJO0YUAoQadV9ipi4H9RPVLVOIFdn9VDBNyoHIEOip3P JYKD9ggcxtrVYf+FHfrRj0DCXSsnJeh9Gtdv84kvCn/G7He+MPfIzfteWxC0P6lt3GzckB/FIllR YNs+Ln2fbQvEZ6IeQ8PPCMf3YjiwUw9OSg/VeQGSv9IsA/1jo6yZhnoGjvDQI1MW6NmC/JTV7G46 eboFKa9t1ZTj+RVfc6vtPQX6LIF0rE0mgKxiO4+/QntaDA0vQ/N260IZ5kBvwP+blXqq5OghuJHV oAdx6wnh3X7+sbqdiFHQrZISsq0XtFwf/iLEleZ9Hj4Zx6Uv7Fs8q1rUMMZMwkKjt5j7I0psqFSU 2CtemhE4m98aAAVQ7gkIb9v/uZajD6y2ZflnRsrmt0S8GkrsbjwUuxwEwx2tt3I9jhS+gLHD5K9t Z+VS+vzVF4KAqXHU9YVEcbYShu65wPm/pCH5Bas+Gh7LXgVMkzb/fCXNWBAs55ZTfkub+Me0mTmf olyViolZrhUzFck1LvVS4/wZrOW+1RJC8sD3ODZWuZCfC21ik3kSTKkyM6sUm3w+6XcyGJcjtCYc RHQEX4sTnzxPEVrCtqAQ8dMO+vH6Vu+zVo1xoDzBsg9UvkCaX4F+KL6BGZCn9UuLOgIklUEVQdmG IeDwMhxq9Wuq0oxmvyyl+GIvNgLVRk/QedUxKxLkx8z/4nPIFH3pz2eIr8QDoIUDQ0Hh86DbyxN/ JnSGyZkYNzU11oQKVfTH5SEFtHBTJmUjsSmwCoMUrt1dtFisBYUywbaLV4e/9SVwyv1JGLbUq3Vp 287VUGow1DlWXzvCrVo+cUXD8JA5NvwjsFq3QDQ6LFwDOAedb5n+NK+JLbJB36h0KhngMUvKjQvg llIpin6J1pL0/LsnnO+dP4cEZrvF4gW9cLWOLWuEUsZISNnigHYxd84Xe0a91LQ294jH4R9fGV+O fAIdB3aK0gzjLq29nhEZ2ixs1Qea1ZQjHSVriv+JlmIWyfqo4LqAHVZLN0ZfYCZXpMAFVfkeunHl gQ+6k+TPHaAuy32EU3jqLfq4W6To3z1B1DBrKSOAm0Una6yJ4jTn7g5xZFs8CPdXti5x058Gj8mm yIHHEql0Rlc8gcMUsh8sPt8OX7ahvnex8bbgM6PwfKfUAJlWjU+FfIqyzTftnYgL2FfHmTThjNl+ IQNEprojNvZquan7p6hxsZUfmGKG5T7LDrYzdwQ0FryCmWkuUpE8x973QvpctRJT9LlSC/tZOvN5 1ESsJxdpqtkbZhs2bZhQ1Pv9D9SEJCbEZ94VS1FFh8gQJRCeiA0lT3g0ZLKkNMH1plk41jCPT2tv sty8zmoZPBH0GqNZHJE35H191fOhwKit2iHWON7IftAB+VsedDlid+pjI7ppsMao2FHXabENxFgc wKVhN47gbsBFl2XYyVGzwO+AHS8/xrSr9/CNEYewsNr3/pJeQjqrsaZH8cETJZdfHPIg7dyn7uEV JP3XoamdEOeUiFvynUpGr7cHFLXYN3NmgnFOPRPIIvbWC+npK5Lzwh8ZnZym1FYqJ+RLUzdENaGI DmgDQBeA1TKfQdWX2d+KUoX712qnMTVZgbLOjVKa+shLBQXziYIR1H6SlkjvZ/kH3Bw8GWwmtKc0 /gYFXCbXKYgoOrBburur+UT4GeS9YG8BiBJfyYGO3/jeSG58iMqJPlk4Sbr7lTBYHBkqTOSvbikV aUMwWO+IPY5HpwvW72lDXGou+vYgn8dMjzdJrUvSZiyJPRk6Ntkzzv4T2QlWwncIgwu4hp329bCN ouXfQPKifhUBZ/7241esGeG+AHI1w3foTJpl7kfU8EdWXcRS+xVRc8FZonzLloN6DbbvJR5LHc+1 ldCZOxsgRqY9jNHYfkiarIyv34x5KRDZTk8YJ8Fy2mHM4gZ8CAe6XYwDzQMyPKZEYmnWwmZ4xvqH b7Az1KGF7rA0HsxXHST8ugY3iQfSTRdPrjPPSEN6H7Z9Dxz6Brp5qcvziubTfunOH5gGGhj/m6s3 yVcOL5g4ZTe7rPIUUBrlUgLcNCsYCRt8m9L8Dh0kV45QtSM5p86IZthn0sFg3K3fs6moB2ZSAopy uFCxejX2F/GlLESB7CHEWwktuCbYcp1lBqCZ+Vqmt9DiS5qXcFjlUxn6/53kmCzLqivXmIabNSPS EQ0PJlTu2BUgUWgVmYdnIVdcrrSdJpeIgDXMSMGS/7AXke7aBiRYjf3wZv9xMktteTDejnn02Nex o25C/VfJrox0qom3e5tWVKP+eqKjFpeejJeC8CDi+J58aX5m17U4DaqRd0s6eSp8Fv0DEj6OM96M fiH3tawW9mRntPhrhsNY0j8tHCOuFnqNxIOTBog05LOkZi2V8bvn8LZtnEbh9UekqzgYWY6o9/0D yzNJa6KE7eMcvgh9Tb3+eRM7DRIDUQ0qzNLYrx4gupZhcOZWvtfO718iJmyTZ1NrEcSp85e4fCs2 b7q7cVPK189V8tOOQLKMs0dg3aly3RSsYGMfn/AyXHiEBI/HW5ZvoGoDc8TdbsnwCQepC0SnO4p7 9oAZLlwbvJ4kN3xWAbsrMPX2YeZViU9DljroMl7NdecDAjV+SEve+c4hwuF1D9f/A3daOhWyqfqm M0IvPB2wr+YYE4R9Au437OOL1vR9c7xJbc3RmP4Ny6rwjzHNFEmRTZuDS0oA6l5URMVxaY+4eBVj ijTr1yjKKzJPJwmlA4+yx4J1l0WB863jEzEATg0WTa619ylcSRRshaDCm+7Pw1zE7aQebdGUDs9Y Qj1goVrcY3Ys69pvdsPVGvVjBPSQpSWkNuWBIwspXKB65ucTOL9V0fe+lp7QbTQ6+rULso9yK0Mq umHwemtdIUObswLoqgKq0R7Lk/EJp2GbANhIzYpkXzHp/NarLOUkaDSU67qN87cqhZWyTsszQx9/ 1LBHXQ/ZMGsuMn43Gt3MFWPgIvrCiCN6ZFH4lT9vF01rNONEBIZ6aMwQ+RQKUr5fpN4AXSr3gszM /A7NzGVZ81D67u5PEsq+hXoecSoqOLnBiWqJBUxJWOh5s6AHUvPZFwkE12TvM5h9gFthMPVzURqr MNTsEDkHtvMh2T0cEIFRwC6w5c2oS42vlozYgo55hiI9v1/8H1dgO3wiG/NCrQE5iUpbM2yZc6b/ UKdizgsdRQ7IYgDVk8yYPweKhyOUUS/cdEcSrcD3qfMsNqrFmANkBYn0w9lctcC1jyIxczcRcNXw VpxmxFLmCg0sEkCGxzQ/HgGLdDp5D272zmG3hQgXdEc+pDybmn96PsAT3mUCnYajg46DKI7rCDQK dksQGWlFhcxm75LXX92T0faYbBNgUFf54IXRu4u6uS6EbtqK9nA0Kc0PoM0XoH/xAE3hNHHwpIh3 gqzhP+yp57uKHi6tI9iiPGBh4HpdHHRHKIsNjzXyJmE99q2lXiUO2WbwfiOijaA3eE1sluWrH8fl LEqFqhCiojEhOnA3+AoeJs1105U3NJ+r6Ln3kIGFvJxxkVcEZHvoUHOrZ4BC7Dy+jgMfCe9gss64 YkKkufyakqGODgnKnqjpkn8RmBfwJ32EQ1MFGUJWqk1JkJJBL/pnGQ6RYKPjdBDKb6HR0NmFTZG4 EuIzhLSZzzTeREULeMXXyq1gC+dwROXkhrQ0Oyry+egmA6CNMX9d6AVorrmPgAtww4UHXnzkqu1Z 44ySiZt0nPKRcm4OVrzwJZfeOyV8EQVuEWQvakKJ07x3Iv+UAmwyR1aehRuKLDRlYJL3YNe9Eq0u yir59zYifUqq94RadvfZYnXVOakQB9A5Uxdgy6TAUSP+LTMcud3Y4ktJV8QYJQcYMpELKp44pKEe fTA3mN1cCsT2ZK9zGXLbyfWnKxkGkNCh/kIK2lB14wVR1vHGwA200WmBDPaOM1SlJ4Wj4b+HUvN8 0hUMghCNlE2/qqPOc9tWkBIoLonv5YMNIqygIIZzfpAOtrVCOd/PA6Tgoc9sZbci9fkL+/YNHkvO WAzttwb/gsVhWL4iSiCO9uQtrlBecjaoTEwckg92p834NoguoOsLwcLJuUKFTuVWkQn/w+egQkSk v1WLNeHsRRd9tsE4yJLPicyZSdg1XICFnO7wVmz4YLuQclPgFR73m34tPKkllxjWnIA3A2Zjyhob FauQaj89D0oSp/901+7+1cnH35bkh4franXRWbYjV0YeaJFIVz459gnSdLnY93BuiPkS7rcZvN2j Zb2XSza6I+5rrvp2wEJXMImCe1l+oohUu/fgz+JaPMBfC8rMrFqvi2vHK5W9P4XtxQZTDAImPbwr xvlkBtScxNPoGMU7XHGeHJkb+useYtCA2P78Y7MxAnZ0OHZhaBep8cBUSeOzdNcCcD1xrahOtmnZ MKTiLg3T2i+bj4O+zxR1rS472kKkKgAKIoF8aNfecVPyK89A1dxdcH+2yCl8mbjH86shMrW70rLD 2RltLc4S9mTRYf1dqoCq2ch5TkhpEBAOeihalwGTF1xdIZvupFyfltd67MPFwcaLe7Ac9E0us4vL tX86iVHutYEbiur1osRRA0lZ+mdJJYZaOW3KsSuIBpYR7NmPNEoQ/iVJSKrxC2KXRmngnqS/kxJ5 COjYyaexg5KWV0cSH9beBGy+AsoxnqWiF5sXr6bMmRYH8SyJ//n21z1PGGdwKkyIQ0YMqEQdR4wc rfEzlSw16xMGx7pg3nVCnCQYK8tJ9ZblSF7JdPOztMe6Ly5MCpRUcpPK9g0Asf5GvZBFSLG0EaUF DciCbDMPKsNQdvDe7BXbqkebDfdAeqhIhF+XhfIYIoCOcirp4WEZvIERN8R9UHidb0PiY0BcZMfz bc+cGShOuVdJU1vd5jo3cekrGqvugSbi6f/HEmAVKZC8nVycJ/micycXgkSLh8gnifM/68zlHsua yWxWEtdo8nEzziwpbVGapF1elFL+5CjEoMWhtguHhPB8zALzWbD0nf8qv62ZPcISYVvOUKxE2L+3 srMR025kt+dCZXk/VrCpftjKA1QjmdgYTnr4pACa5/GRieqR9empch2FbmRa+0gZtLKC26HjwIiT Di0aZQ4LtN1ROGMGRV26wrV37bAxB8x2rn3E4svbuZyXZ8foTxuT9d3uKyzON/o8AmjgiPbOpRUG L+CQB7eweEJSgw0YHwadG6d4Tj1C1ZddvAVnX2J95aiVZjoHe54rX/a/xmqIZH17d5h0+PQG+3yI B79Iid4SXB0ebJn1ydgrCDbslDTVlgLGB+WvYvB5wxfaxTXtlY9bhGh3DhURg871lZr/Yg6s4ALT F7VvAo3zvMlepbU41R9J0AJIjXmDQjE+RJ+P5o5OKDnxEKKhpEEHT5fm3WjvOtWuh7P+cwi88qJm svyD82mwj6X1lJpg+vwwVWGvqjLINgz1RZ7pEEAH6hxyMQ5m7mRJDY4dyo2GDzxAvVjsarqDX7UZ 62ZON1hFLNYO24CsCMhA7dVttcWlnNeUOo8YQW9sHbhU7pi62Ds7NSXAsG4bvhzu2J5UsYGA58ML KZr9AT3P+r/TfKB9Nw8g8xFAjiMKPQiQKdMd3D06v3gtlHJZ6cqqCpalmn64yOwIGUQgDBWbYjve izzI4v/SNtKcsEmYCTcPTBiERNUDMkjrHLUCCKlnWOzc0Ona5z6pg0/8QxDsGKqbEMOL1FC0XG/I CpEwu1M77y0h1Cogp9QwY3prPn/4px8T9rjGJ5ytyMj+ROjwf9d3of2sTyTbCAlFJq6rNueuWQIz FrVHJfBkHnE/sckfsdrFM0PxB88vviysurTCyuZdOCT93Wr+sEQotf1Gf2g+vxdfSwsdqXXIk9aV oTnWJwGKnH1Yoa7/R+ZnK1gfeu6ZLpRuDMKlLofD/qenhYjWJr2PhwPmRYLPuM9TOY3AC77ryw6s uAGdt49OlKoVcs7Y7dkRM1/FvqXoucdYTOq4jAqzumSg89pSsg6JCmjFVUl/R+YwKtRyOMnVtVoB CEC58fuqtLnSyhRFXhhykVEj4L7f77oQ9yfYdR+Wo+bZ88wZZfNUBDoio6dFY8wuIRXnujpXf4Ns 4Hds7k0EIeIrKslm/R0AOOcsEQigezw/TC8RNJoGTNt+v5RGs9rq+8eJFlMhWBsnL7Jf6zT3YVE+ btY1QKJKY5VuNNfNIxaJQvxLYhTRl76l3PN/6CC/m6v7LW7ctrSZpz+hEeYfxH6/R+Xv2YKQVU7C AYfQvMrxImyLak6NAC2jCCbPpeoqaWGEXFZGvLzXHP1JEM+aNPk3L4Xxa8gyIQ53t/xwEID+bL5k ifye9rvocZPfk7Xq8OlmNSI+yqavSHPO07UjKHHATLS/EAIwzGWojdm933e6Sg1XT/Wc7OU+yqle fJ4sDka09V8eOdZrIlwGti4QqU8gQ3Os++M/b62zDpgq5/JGOr4aQsJqZENeCz8Jn5ce10lAPLbv O0hU09e2sScZRMHO1w2/sANOWIacxNdajcBCqDsW0qc5hanWL3YdPJTOvxoXAxoJCftThqAknzT8 nn9EqzNjCR9pJrZtWkiHc1mQd8pEl9ZewNlTGVnoqrm1t5JbEUKatH17A35EiZaPVAxVw11m8+PT vMHscuzc1rvz+Umsn1mUz44ypPutI72whZAHOQUexyK1HDY/h8BTtUij7XChKn3G8Zx7/IH4j/8x wZ9DkA5mDLhy6hbBUGnMw4bGWJLRKD5krZzj3EN9MxsqDF0YU9zlYqwt5Is9qkMmXYyRrJm3Q0So 3fQi7jEBCQQsMsytf7++HVH7EqLlCqNf6Qexp0rrQJhdHtLLx7Xcj09mvDidrlcZXFUSO4yUVPGi AOhM6ahYlDJnkrVtLqt3gumBNHIvTI7boBFLQDj1stKAQebsROg3DpW1JX1HCR88mK3SVaAom0cT 8Viea/78d9madCXoRD8rUUFLKFQDcWCROCrbnPaZ0+dOCFwbaaSwSI36cQMHE/FDYOIaBKUpB2yf zQRHxQCNrkxay9hx7q6rywCF6sDoye7SeaFYuf+aIVqtg8qNeP4gRuPke+uRE3Fsdw1+F8L88OXW KJUjhyt3iYEJtQqiVYkhUQm6jEpS5ge8tQZhTDGumg/Pho1NDxn8/H8FVPHmq7ABqQgAJ9bs7D8b UJdj4O3C4swt3k9zASTyNhZVdXBU6lfQ6JujV9onmC1J/E0qOSlJbe/pqeTvqRAIdjGyo5NnDtlK ueHcuwEceTqbaoxa0csiY093mb9VadLznWcwD1SBDOzfM37/n5VIqwpg0am3/gGIRWXhhCyeytwn +RFBNAA7tX9Ex5Nk2VdO5Bm/W6B1TlCFGquVFyLmU3X0KZ+w8kNzh3nN/xo60hg03YXXxVyf+sgL l2pqtzw+2v8/JgWKqzTF1L0hJjxk7Ivxw7rinIU736GBwHqLT3bbbag8NyIM9/sof9PxXWrjgXFQ OAnAqrb5je+ysvo3i5dSsBqbhrsy4O3tvodzFG0yyndr/rWmSDHasxvAZPz86UXsg9mmqCOJY/NH 75AivPp3OpaYaK/C6icrj8TITcfxb6j6B0G4F3Hg6YhFf2RBkp6rnUIwhMXhydynIgIfYwkxZ4Kp daZC6RTwh1GkujgTDKH1fWkfdNMFpjXB6NRCwkz5pj0LjcJfaAxZWa6hHkkOo0iRaaDHRjKO/0U1 PjYKd8VDaS4XKMbt+XUksUvDIuHNOK/byLu5nm/4dn/++r9OWVWrd3OGSNZLEsmUNsCyBcjZRsmF 07rMiAua4aR/+Yr3gfZQmPOKp3jCA+NAonzOMxWBKgjHgU5yv5aNSe6fLp8hFFAQ78HCgv9D+lNr 4UMdefq5izWtIhn2ZLcMusb+zosbI1XqHkTcLY7Ko3bUFfJzWknJWZWZJ1hR70kYGccJJhfHdJs3 /wgfJ0BNxjNzOEsFWFdLWmIUpVThZafEc6i0rfDIqO3eIVnhltaoQMksksWAslSohghXDoUU7o6n qERT1XQVvt4fHz0eFDnRnX+++8FBAKCTZqVRKfbGdhS2YFj8AeiO0M5PgK7UaSY+G4I4EnVk2cWx 5D8t0UsNp7yGjCSgL2Mh3C0+FkdWQMzjqG6azBxOmv0XFt42OPUDtAe0gxcXomy/d2KtKWC760S6 OIKb0lgIGuvBy6Hn8HqUXQU69tXfhvSbkM9+Bh3c5d3Mqmg3v1gHHJMG4ammcmz8DvZN+1dUv0NA m/oVXB4MFesgK3DRuHtd0igM19kzBrlPob93MmjSZT9yAKcqUPAoNOeyO2bHYW0dUSxDaNLvz7mk jhdhBcEdMfXHIM43HjfuRed3kK4RfmHmJcNBczw69oIiESVTX60eAmnyh6Ao/W0fqOd1ebpS9GvU Mr71sK+y27CmiGyH3KNHuCQDxJdN9FIAC1JGzXFy1t6Hv6LFi1iohekJeuc9QLPkNvbbWV1MA5yG 2LWghKAhSxaML8+gThoSvkouWomHWlf9k619j5BH3B5sp0Vhz66Tzw1Pwp/KnwmOFU4p2ldCpa83 ELa2nfl4akXRD5LtsLwOmcYG62DXMmpqE7/Gp9NSCX9nKJAMr93JDi5p7ovmJ97vE6lWeYFBPU01 y2EYOYkxgcN9fIpLhFE/K/E5U0eYS6LA+SH+8WlpuHFaIkCvhAXXX0imJbH/RiXbZa5f5WpgloCJ /WSPw34s02vIgOVpAPY3WmxuZCpQS/gskHvDPRP+riepcxo1h82u4OYDY1EYlTy5NQL9AsI+sLeK 1X5zpU5c0QAaQs3UuwpXilWU3nrTKKW4ZFMNRZW5c0jttM6phMX8tN01QOH/6IBLjNC+OW9dgqZ/ mIhMJFvHlfNPrivLWUrlh6nEnKvV988t2tcQH3YD2GXepH4Z+1snPMLmRXBGMYFeqwvXP0hYQOf+ hIQPEtdEOxZOCZjBeWAh7Hy2gKo4x0VFNWBb+vW4T6mOQIcYmrTLbnCKy9TZv+ZRQiVGjZ3O321R brK91wVcs61+X2NF1LPL0HQVc8ByJe2UrhEac8FeRi3SxvKzeEoFRZaRKkX6CnYEGzhPQkMi3NEX 7rIoj+Z+eHDTXoCpKbIUsL5PPqGykVz0HhNGiNJ3n84siAstXkdzaQiCDO5a3x2kF5Ie9AYPGf6o Ag45Vjn9sCmo8Ph4lpaBbXvWalMcuTslzMfuSM2m0Lw+xV5jx41L2DoEHeGPkYhEXZpregk785iX U8x+EzSOaaDRa14g8IiqKlyQ0ClPkW9vgya6vBPwBksD3UKIYdBVgdJWU3WhId9KEFcOsiWxi3Yu fXbDDonYPZkgEskOWUZx2IE0tzmeRmG9B26m8fGKDzvUzB13nR4GR7twYn9sfFSaHlYrJHEmwojd Gs1zXIRufSSt1NpjlKoekAtu7uaMdGuI1DNSr34svkYp3lLfrola2FRl5EvIkgHHAoEUpsNg3RBH kSQNFoULaQCssoxJzgEVVG9LWPkG+VtRnj/JlGQ/9huazzxhAAKeHBaGT+2y8ktXz5khLWahoOsX do/qkw3Wk+5FzlRBKrs+TnHXwo6FJseF5ASR+rfxU9Pnq2U2ZWqEmczxcSOBiN/d6scbnDZotrts hk5MULf5T2slTFsQGfr6cYYcNKNgVadt/EpfRIWQ6MATao8shPaefsrbRkAp2fofq1Rw7lXi+q/G 0ietP2pUPJuGMtR1SyHLRpHnHSCoRpmW4APp/3dNQ31Rgr0lUd1UtRfRaSzUoQZVF/4+3Jpf7hQ9 E8qiCUWkqD1+Ck9A1ZF/JPRcvTZzEKAjepu6RY+y+VDLBdL25iXaExLM/DgZj6wsIazJhkSXigsx BLHI0OyuamAJP+V8lv6mb0jPQZDEMvsGKb4+RuUKms7daTKMGEm3mmsYkrk1vw4b2oczmL/x1RCc N6k+OS+atw22Jixtk6UhH9LVKFVzP3vjqqjOay7Bq42kLYQyr4KN3lL3FMSJ0i4xZNZSFKly3pf4 a9OM0no2gGd/Hs+1yp8E6qGWWi66XBea91SHq1ipkuCZauDaAuUaLWS0n2DtJw361fIey3ycHbpk n61faQ3XFnjC91ZgXVoXywbAJqx7wgrNl5qFpf8BOAs6CmqplUZFnmcSblRdoyRuPc5rcJHS2Egf l0TJ/F5Zg2pLaAFpUP2Nr+1+k0Z7/xlpaqsMGwepzQy+KQFEGrBm1lmGl4MPLx6KMA4UNydJAaq7 WQ0iEhn+1yRkvnfrwohUZmVPmlHlRmWLokv5nFmb68PpgvhnVmmHSEdS/JvPjXfjuj+gfVsVANBJ DYmeFPytGMH+5jYaRze61D+p13KBXB6m2MfITXxrThQaRBB38+wVG1I8gzxySrbAVpSSmjymmyCr ljqNoaEHWR1mPbCJYQWeS4cD6cPuQ50MOU33ZvXRFVcsH/CE8e7bXIbMyyconDUSCwhzgX3amtZq e/ksay+g5e2Vk8rYg5Ozn9w1xbLkxCnWTRZv35KjYW5qwmLdkldiUegNdxCeE9FwLTDwXqsMQ7Sg FHNW9iqePlFdJiJLGnYYEkEIpXCQy5IpatqSVv6duvI1TUyyap62Qoj1RCEbhls+RP0UcTnapoYl 8v+2kah6g3tt9VypBA6CENqjuLRYiXrCNnSJDWqqNQ8oW1dGlCEki7JUi2w+yXdB3mkgXW6clvyx PjePj82kS0AlQ4BMzttqupfVbxFo53YZ7b1p6mGDFNC2CihaRxo9fGSuWffTm8MuIclWmc4CjkaX D7PXi0ktAhafbc5toMGEiIG9sZBbj7ZPg/pUcqwV+pGUOx9DMbG368bo8W7H6E6O/m6hCae01+E8 ECNP3jeurQx6TM+Wy9rI7fWS8YezuIe35IRup0AaoDq9y2nbmc653Sg2VSPyyVyC7MwUTS6wN15K G2uPUNCvbsetHZ8sbgc6jd75WH9l256xGi13RNWjvnMfOC+Sw5SzI86VS+Ig+untXTxrOdIrdSYu z1+v2xsU+tlp9ERFkfB5EuUXRL8X14x6VWdVDCUxCeMs2nOwZdwnQt3AIAFdXbqAH5LxgqAkaG+d favVSXRj23e+jew+JMiDnVAXqjtGK4jBJcB4X8Un9PA5NG/QNmT+RfB5qdb1mwli7O9FQekueewZ W2cwP6FjOvwxwukFSxR7PvXGx9L/sfZwX1ikL22QdHUgbC6TVpNkDC9SgsR+sKoDTYddecOzYYBU Q4iL+VD+EzhgXqlpqpAMIS+hT2C/zMim5f+vXi/iaWeHrRh9ozuSR4AIKgPxmEmh63LDFzOx9Wog y5gS2zXB+qLua4lujRui92IZRPaFTedS7iB87TvZdYZHS2XMxBQbNxXrF30WMx0cPiG30vieR4t5 RxjY6EsvuVtRFvlFJuA58CGWTM5lW3lE/UPHP6/Zd5+/Nwn9WUbCbZjhAfMHSMgaac7m7dsD2YEy JTAvO1xIB0AF7wrEq33DTjogZ9YImbh3nOVQRxfjq3B5T/LlI7WLDrEYcuWCxoCs5Eyhdey2UIky HNvwd/KimBCpf/24mOEVe2U2BhIhV+GErCt8gkYyPnJTuAWc6DoGBElxB+H043YARTDKSbuV4toE lBdti0OHgXI04fTm0nER5KvRB0mcjQyviGORYX2vLfjWM/8W4SLYL5xofx/isPHMikZeypOeBkaY diw4KikBHPJzgTSXwZHMF8fed4of3U5qhUW6jkU6jk4VHvrVbyzuF9RBEGq61Q3HPLce6GYx0hQ1 mZfVIKLud14q3O7U8P+JqMaJig0bwOn3SDicHWOJhcjlWehlM4uycsb5qYY5ONYD99qyizlJ/gwV Ct3fP6meM+6n9xEh/VFIk5PmgxC4ojWJnLsuxOjXbCnN51KU0z0NpU2FLkts8l+ncnaJUJVIe7ZB hIc9hkBhjgEkW3gjwcFhZ1w57wf9veEa+oH2QbkT7MJf2GuChUeERTpoYYlMOxLxAK/KH3TUVER8 GINR/MeMm+KEBy8WpiN4JgbLC80tysZaI+HHxJUY9MaWpMnbWK9X2yB8LEZ+sQRIUPVJgrzcnl4R +006dQy8NoKXi9c+BdSs/k/4b0LwQ701P/hom14frnxDb1ZotNlWdhQAqbHvrd1SsEB5zo2Etww2 Hrc7EmgEqo6WbKM7wJWkz856Yd9hpJHzC7ge/JsIrCn5cNxn5cWN0oQoUVbwmWLyiKKXaAns3Qnw 2nqZ5/rKPgxh7MjR0zXsDBLlURfMlIyov8FB8IFApyjFW7BIWcp4rQX+DMZJZ+Wgmx35WMtopJYt ITC+kOVxRZfNJlXJb38XPfzQOrcsCU2zc8sYubVMOMjIXSJjE9ipDiNBMdGFTS8a4jF/BXpGOXUK jnKnsxONHBsVxvVBAnjDVnjVRw6AFfrbi9z6AJ3Zg5QFtAN1QV2wjQuwF9nH0LovACcd3Jk57GH5 5zdGa4569e+Xx5Wp1C3pc986tdfSYAZqv1qz/PLKVJDc/ytObaTyaiax4bV+F8ekfzMU8ef6BTYU S0QWm+4TNLU9QvgEZDoivossePSwiCWCxbu/Cn+B6HTPCCe/UbyGr4GfQutGyBG/A4Ztq3bOmlp2 otBZZ318yrTL43AL8eb2z3RdeOoqPAav37+3MyUKQ9tukwbc7QGoP17Z09EPnQ7juZxZ/g7mKVYr RAbHtn75C3EZP7YU8kWgwyY9UeXrhaOzNjOmoLBqX1EyPeq/53TBcEQIPijF7xQ7oHlxW9NkA19n zXk6wP5n+n7gMMP960lAmPrRgKtvC+Zrxfls4nMxezbfl4NkFSgcBbJ515PCV/dqxTXJEeZV2iTm ypnfduvLRYpilz4sb3xPqAHKpVgPjWTdd3uv+J00SZmDswNAH7Lew0nyzk34dDHVQQfGdleJzYHa R8IkxDnhP60YEVkDQchvwN9BO4naENoXxk817eJv8cs2vsKz65LNiVr3MO7cAofIrwkeVUkNtux7 7TtvqiPW+vBweAcYXRyXyrnVfgxGci92z5w4telb5ouyPW867oMPusqH3hwZrP59m2GIkaYbfg3g SKI9BA3fuogOJ2DuQxTX+OUSZHOWcDHcY/AflzaZQCQyucUQ2P2kBd/Hv9MqFfM+u2hw3YeWs/yr ml0t51M3Ns+EBDl26XNltu2xhu9PjlShvXiPjPkP0O4PaeChWDunO6xE5WxviTojNJfsXmFAZ22I G6e696SeIrp/C/qpOiz5lUTUcj2xNcAmokxlpUIODnailHcoz+M87AxkcTkLucR3IK9a1+XYcwDo 07FEQeb+JeRBsrjilfl2lbsyWliEF1JZxYXkE471DhZrERMdTC9fHTyraPHiuGEf5n+n2H/nfCbr Lhy8yjcFoc/OtBBxsQef45C/TXUBvY0LJIkmosSHzic/QWmnFTtGCzMh8CX5Py+wHCaNYNxxQ4lr 1+DuWS4q04pypoZJ8SqnNLlc/UQZu00/II7bRQO7AzvCXd9w2MDUalo9ahTJ+UXwU1GMI7uAtlTY +WBDMGxg6IMYmhkZuYYtTuiAgNjR56JZuVbTmH3hw6pUZRK08qiMcRd2t7La6sFSAglaVy4D9xTd sTepFoR36tYYszUCjJvRRHB0VpHH1uDTmof945p5nf4zpB/OIesZxLFK01AyJPWNwrc+SSSyDcfl 3B4S/VaxjS0YuZ9gwtsp8LQIMbFGrWzopJrViZ8Bohxsp/TCuwIXLJLrhRag3JMk17k+DcgSrLLt QJ5nHI79/NI4hPUlJHXsxRoLKSgjdI0XhOGym5k1u0p6XnhIIkSzsza6t3hdGJ+bFEmO5nxEkK1Z uLcMOS6DA4e7kM0U5POmK7/Cg/li1DyHTdD4zbCyQA0wlFk+Qlg+eOzgC1SNHyAW1yA4HES2A8hm 4vZqGrlIOEo6m/TOEERrR9Z5UWTo+k1oWfm62hcmFb+wXArVqfPkLWpDj0RSfGFdSengdHuaeqpe EAh1oRS0BvMYKpHhr8loamI5GhO7WgOcacUGqC2j85cjdeKw50mE1p9qkEJZsEV/O1jRaaitrppw vhOsKGP0d2lwbLiwgd8RKh/57HKYk5EnxFulQmdkQ+1u7QLbOFtOvvojqEIfnsfR6Y6WpbMkDf2c zWdyJGTJ1gT61gXFldHRhtVyUJjv6mBO+woZUGf3QpDbj0/tdkKeub9F+N2E/kuBinqHgF+OgCah irolH/t7Wb54q3vFBUwYG/w7bwPFKIHOUPBE9Np8diNUZTMMaEdDz9xbtrh95ShEvND6wA1KVBJv ereUP4SLqaCROW5auAaYACRCw47rAJ5Rl2eDqhvxGvLLk3LBpnffzPLP4ROBweOOeQ5JPhimFeHx qi9bNuYg4OTc+AqIRDQ7SvTwosp9GxXY848JdDVmP4y/wDX5cLLwS6Lvx+cHxZVIZWsqxbi5MaA7 vtjRWPd52pCRSULV3iTCO2NqhqBHVXl6GR703jaF01vWZE9R9s4g5A5JaXybRPWT+6iQsbkskr2a KpQHfQE7rZF22rQPvLZSczHZHx7p8VjQpJDF98ueJPFA5BwXQpHpQ4YLQC07JW7pEGKoDBsjoYLL WQQ/okXIH7CUf5oYUBcitPCikBk/gjR5ESRnKNQXxMGT1HdKYidgIGbfle3hPpz6zrIbmKmmovrc QbBivaza+3qlVzl0cAbczZ8P0hdybFvnODVWt+pjzbd6FrdomslKT5F+dVOD0s5NnsRxxRbRj6v8 wfrJxWMMGUvuVqcVPzHS4KLHHcF4a8BFgKzIBgIOBCibZgYQ0mChoRSqRATnmCcIMpDFo6UE4sYq 22sJkO+LW62y6bCL3UkqmyK3toFkPrz6ZtjmcOrmVC/37lU5Emf8W89/kbwsb2iw+OToQ3gStDlH UbE7XbHm2lEGnjcCdd+PxD/8VKOHpFuMNTjVEm/xYDURcypmsLA5oeEJnWou3Kg95XF3CEWk1jFm ntJ9IWvzzlnYzUoFSNi4Ah2snoN8bG7NJ9NaWof2VBG9KBxRHSgvP7DglZNEHI8rK6McH4UF/Sth MoNA1wYwiFHlpUiUM9l2tJJznRNMljJtGEcbtB7IZy4WiPGmFNljpv9TIFGlOTnWUIIHYay6HOOT DS2+NZDSYvKwAYE7D0pBT4v3LSOffuehr8FeFlmekvirJl5B0dLI1IRi3/QXo9YLPA//ad/dqZ++ oGrDcSg8DBWHGUVdEhA0rM3R9TrQ1pL8e5vMwNlAPUWmaZQUa7qXHucrTHdvDXk8XKPBA5fqPeG8 p1RrNa1V/AYFc8S+JZ3h5TmRaAgHIG2nLQKRhDIkzjVqcCmhWUveBwSTp+7hFU6QakuvYGqfaLM/ OEiG509+/++/e3pwvUqBieJyA1dhUrCevTSc6Ku5DrW9o5xFZ2/af/g5Zl3hte0Guj1NsowRoS1J 5sf9DfOSlFKKDSzuSSpoi/YRySgnbZNMiyHO+twSDD05XW4v66F7s6tFNew37g+pmihUCCveL2BM T8R5BMI+xLiyDFPqhHIYLy5EIWk9zwmIzVtscuzaODP9XGC1/BLb0pHui57v9lxA7xdWP3/ZZddt zMjQTTeVkvHGP4scsDfijtFLAoZdaCJIdq8rnEmKSblJ1JFCF7aC/K3p5zOHyjmdYmxOWwD1B0oR 5QANP2EiLs4w6E82QUVbVjHmAaFuTNqLX07O180ndZu/7oHp1l7xY+j3PBDDWTHcUjXViFqSvNq6 J19AiGk8JVlq7EsDBrIt3Yf94t/qiZf55m00ncdMvdDH3bIeh39zlcfpLmYPAOs4Xo4Dw1h89cQq q82VljZgaVZFzG4QpfTzmltRlauGMQHsTx4YJnlrkNAwbzGx6agY3ywjGwHdF9Wqv9AIzDI3JwUC lOCXAUmDOJUoC2/W2KQVd0gScb3l5FgebjSbpa3Pi1oAtGZdkoJ/Nm+ykhzpdKdqlIn/NjY4wRnC 6r8h8ABbUeAzTUtlMgAOPLMYZwxKgwNDC3isTNqiCT8+oGUGZRDPbxtMa42oRLWmd65jjSEbSp2q u9WFnSqlsVzbpO6JJZ97NCoZwAfQoWlmzwUgCrHTx9T17dGP6Hw9bWYIVLaj8RYfeqe4Lpk1VrhL jDUmWkR8vA07smXLcC15KJ6au6bmUKRoBQWzQ4FYiar3tlpkgCXWH0uAOOJ8t4R0O8T1ERWrQCGa xOck0TjtrQ6i9LTlK2aloVW4bSW6CVr/HbbnsoozR+qXbS+3AR+enKUAgeijYHyf07Vgw9jGTk2c tgMUBXPF6FwMiiODYljqjP0DShUKXAjvFb0e9Cmb0IPsFGKgXQrbbZFXwHMTkeBS0mLNvjURIbZn LDsIXmXGtgqCrKY8J1wvZAl9KNjgSXd1l5f4KTvwQs3mt2Wp81uFY87cjE3pANjTiUBp1XeO9WFx J9iMrmWvN1LgWTAGHNPVrCve7RaHsWbgYwVm7L3ekLEET496ZoSQgm9zIrvq1O/H3SoSGrsg1XvO t6JCZ6x8JpJ6cdFMvy7oE41jeRkBvX9jjvZSwnkOthH2Hy4yVtWkA547WPum5ZiCQY9smTcsSRJo EqFZzx5W9REPtHhXOr0qPkIZDmCqHExVdqjYLwASe6ZildJxE0gXlUAJhFvQ8agdd72z37Ak5Teq jhj21x2k8x3C3gPuPP4DWdx0XyuOSXXk1VSNmEvU6PwGTCBdEPeut8apQlMSiLhh2knysLHc6JYd 1i6hcQ2mp7Yqy7sWeCTuga+7NBR3TUtVgQqjFTYLZ8a1ojfwWR9tv3ynjQOngwa7nDCKUtUHD94Q uc82scGixmE6TDu2K/5keBGs+sEJC9OjsbIGfs+7ZnSd/J2v3Kzt5Y/wN+BVwKx2FkNaRGIMzoRA RpzYRikJG+LNB9ujCtBzi0fd1b9zm4bXWMYj4dnxf/CdWAGIqR3aDxgBSzGE2myzIGbIDfmygi8h p62gpjc9CUDXhDQn+5j3ohXiq2pj5G+0VJD7/C3Oy7+1lMzo9g2Q7yWGPn4EcwS0cj8BJZYsk80I Aa21oermGKMxwdOhqELjXhJc4VIumkP8ak1ck2VMRqquv2CxBJgbxdhE0SSNuii7Rbz0wDv7O5Wo juUg+3opSjT58ehyd4IZzsjJCClory8m2AIqEx4u5ANSfnb/bPyovb+udcoItrQjwTm7IHAlq9b5 giRzVjRWjmcrJn9kfX5dUGsb4GDTuILlARtUIRijY43qSLNJltOJJE1ApvEHXGKwxnLmu9l606b1 b9LRla3IWNXRbL/+xKF+oQzVsanPCG9HH+ExU3J8W+EfIIhW6wzsJaM4HysHjAgaGXMDv/FuVgXV dDP4W+5QXNUVIgG0nHak+vxmy6yz2II4mtWDKC3RWdOS8SUXpqTywBb2gLA7d/5vk+GfXNq7YICA xrUz7e8QQ7oZ+wkYHCINibaQja5aFut/aW3m+2ut1ne1vg1f5DHQ/3whsvZYegzcUd2J7CSXDkg0 OUDY/6gXSqyHmx36XHTn5BkiWlNufm/WrjY8anIdv8m0WU65BpBNfAGcRd3Jqbh37YseBqejnT6y oHZB0+i1z6g739hrafU/gjl2c1nJS4dJchnWLfnjd0I70xYGWbe8ZxkC0siqdvuUtvuSgAigwrW2 LFxd/glSgHSE9vMt+PiCH9DGzeBrADB7h7oykW3n9m9RXPgA96J75o9gpa8ZwOCE9IYcPOLqD0Pw ve1I6QVAAAPrlPcWuj+w+BIZHtVTgP6JnqHobNdUEQ2B6T4GlA3+kskMuywFA0D6FoPvBOkDTTCe Q5Q1qU6ns7wNlDK2QMXtY7yKUX6uywdfb2vxAT7cTAlH2nm+Aj73SRNFeIAAG5rnu/5VOZAgEtwP FEvgNKWOlpnOM7B1WeOIFinNh9d5/9ctn6aszwmLRukopmxsVJ7ziKcneukt7eTIDWKjfiwAmOZK AhYfUs9q1bCREC18tKBXWsfIprWVBObcUT8qSwLckq6rl8/kfthggnvY+Om8owlfw4Er7QOXjOAc h86SePhI8RnY8LRLBObilH4KGNKqJk2+IWVkGe8s/6GfTOLFYP3c42CCKRakYTeDIMMIMQYoT1WV fYLFWKkAepYvj7lIzJ+xHSCredlwk6t5dRQzOroxmOLrm/OrBhDCSpWydtniDQlFk1Dcm1mGc6Fc HK3OJyCOqEJnlNldai0gsK1lrc2aqGzw7cSPKK8dpOx4HzGLSoG+zUs+dXh71ofVlSKpTx+kLJP4 KsnUi8gblNJayrP051J4P0ONelUAuTfX/0Wa+ONogiO2YsUknKqmQ6WKWPB01HvWcLgamZeY0DjD pf7C2+gkrFzQNwysjN2fhDwV5i3y8pvII2ahGmBjb4XKV3/eRFmr4EZHO4BhuLCwxPXL1tIxX3Jn GjNVdIwcd8p7LFgQDwdTOMGPMOnX2W8s06Eu7ZuHfeShskX6dgY5v5jM2RRKGs0Z6zj8u6dgqCy+ T4OCOHB3KMkSV6klfZhR4tp0Ntw7IGUgHc/yz8qlfftbZsd06i5tRw599wby6qKEUiK+tYk0wrUj XHxMmOfxEIvB2imL1iCjK+t4ZOKI+5WOuRKlv6rsVujW+Gy4rhR9F5zewqIOlrZ6Ju5lz3pigrAG IQhIomK79ZdysoA2lnDUMBA903h1vtjYzRX5OUPicLmwKXi3eNuU6n75A8Ltyu3bcf8SJRYDW1Xw uWJYZrNgVPSYOlxc2KaDtW6Gjn4f/UEAKhQb9Kf8u+ZWLHDPOfrYcxblGc4F302PVXRm8RzvxQOA RRgfyN8YtnpuLjoz+/Vybk+eWpj9b8FrdanvTgH5dvackRdj1p/kU24+3EDEoFaBJPtKvp2nFLbZ UbBa6r570b7rqpA4ZEedRRcr3GZRDvXCeRBHbgFwQu1JtfRl6VouJLeFax47yOoJeh3dJH7BdaKv qSj0npxrI88mBr7mM2F0szvp4cY18P2h6O21O9IyCq8TxGE6/VmQT6jKvh7iKr7h4V6J3oEgOVIm XFteQbJOgPelv7CIgdNYvZYRNGQlFd9h7s43WqU6PSyKKtcrdJq/eU3HGi0HD0Ev7w0yPfJbWdyB kvd2NjxA4I9mR3L5/42fhnGx68XRMl+k/iyshI6cuZSr4tjbEJx3SZOehejk8L8DJASA9+VU6LD9 gqV76HnpdHZnOasG7wqDDGIiU9+vNKHwVGt2m+wM++p7hAb1i7F1p6GW6cK8aDjpo4x9IDf1am5v uuWYkSsbTnMHnoIzo8FLPSIQnk+j+OmvuXpdmG4dLIdSvhAkp6SzKC96SRMPZ+MtkFgG1F3afAXR yTpwgJIpSR0BLb+oilKbIdxJbkCkoCoUCUtVtiQTNW+3ER2ZfJfQ14w/x2ytn4v9qEFOt6jDsox8 yLeGL5GuB7VuaW56+JPsL+jJLmATm1sdXGrKfNUvemt95mRsubUHpKnLAzkADauxS7K7A8lfge/9 EyTx0AuochvwHqSZw4ev9nLi9Ajoyphn5eL4aJ6vCh13GjomJtoh3Br1nZXBngZPtg52bwwYG1gG qy8uhrqsljPDQmcP5WRf1xhxHJa4RwHa55D8VrTQy/XMdbzev5PH637ox2al3SHslY1c2kIS+hPv H+MmPRlBJB2eXT5BH3rpx0VDR1HP+WA4t68Itk4JpvHKYkkt2QX7lh0bLY4l45ORJ0BG8MTfVUVm L/fA5hhUobuFqmm9earvX/Zu3yrPMVq6OOt1TOLRDSUHQqp3wAdGThV81+qm5n85dJtUuwdp+cQR LuokV8rwaZWJCUrZt4oC4AjB7L71UJXewfsHAEjy9gqZ+/615ugwtOf9yd4SgOs4Gtqy0aDfB80Z 0hQXICngJ4V654a1D3XGuYJuXMp1X0c0Xd5+RFKRVLlZ/3qGzKe+tl2uVQQmGGKN6mFCh3XbTY7w 37rAMgATH4lZ44qoaTZQYjriKgoCB96KPIWU+jZSTNbCH8qWueeWD3n8lT7Vj37O1rSlYzorxx3L uSW1zlhsHma4l41e9atNZ9oi1A9g8yzgsJApw1+US0yo3RDpkudRvSDZKymeOZVTbdYUFNNe/mEF wgTJetxtxYXffrOZrq7WvFzGncq1HH4x5jXI7dUGfvxaUCxVa9jyJGwLxlR4lRr4OKATXeCuzrzI yG5E7fYgMMVqaErMnQvWpSdeGGFi35LBiwP4qyhNfYHUYpdInV+2gXsBFYB/Zy6jHITqDfLPo3xb NDSWtkSSwYLofiNRYs8IxJv3PJ1OixayaiMx7Cg3lSlc0H2bZzCb1XWhGi1/Oh0BWJO0BIn20dMG 2UASuLah01ADW8cS/eYxs6t03pJX6epBvSQs0W6UMQ7DDYq5B0beaEzX5zic3/4dyevlGs3K7uyl 5JGaXc8NiulEnp2RKS9paz4BI2BBPj4/J1vjR/8tP30lt4l2tDQAbWTonwrCdNkjDD7fbS70lISj 3EtOM2dUYc+uefEjB0LFHzKpWwBSTiAJ+4yXN9VQrzKgIcfPXa3bU3SpcaEsolevP7JQeMwicnqR X9E8l32DVe6yKGIA+ZYVJUy/FDuMtY+fP40IXnW4o1VeHusAr9NyJSCVaZul4tPMUUa4uvgLff3d ys3k5Sumw57n8cNYgp7s5Suwp+U/VGDOzf6SkuwuBwMyo0ac66tKHyaGEynzH7FLDJDgF5BSWEID qOgka2kNwIFR4twYuMg12zEUYEUz4fbO9K3XEsKRlRYoUXVp3zapEUH92j+TdUmZXDrOX9hiANJM wN8jrDQ7Ajmq0frkQE+52LqxlLpvvlVZZQskONt8HFrTNXxxDqeUKOOSy/8ytM8QnJfme8BXmaLR D/jrTMYEaKXIudvjIeYs/MJ+sedvxvYI/3oT08x/AhdzGiMHVTrjC9ubQGtN+JIxpGrRKyrRQ3zG 7UUPN2X6RV1gKICybmxw6MUy7MfoOl0opXpkyurc1Ljtm8yqUi8Mpss2buu9KUIOdzjpEF6Q6FU5 R7+r5REjwb3DxYZ7RI3lLSk9lA4OeJOP0x49Bpe6Ka5VyjFfBvZM7fSZBI5fxcgnr5IkD3kXHS40 qNLLgm4o2+wIil0jH6KiIDlc9bvhYQ4i1x+0CZTulnJstA5UACTIJzcixh6evhUF0kgLwYJZ9J4d boqfZeM2e1ONklDHtuWRfSGseKDXTk+jlVo3pXg1abiotfZsvEBFV8S4Hx7630hhOVL29hZJeWJz d52EXK0p3PXE75LwE7Jto6iWDqdxndTNpPe9J6xGiUP3BUF5xyOwoPWfVVC3blCiBTGBNhvPJqCl crA8uSkMkyB0OKqLEbZ3IilLP32Ds14iNURi9Aj8Um69S2pBrkzoJRh2tIIqW/dQ7uYb+vuWdWjt GsQJWcSSjO1qBKCw6f484vDyRwc9f9vvW6T/TkIc5nDrkrzDBo3dLDCyQCEfPeh/6QoUWJv/ngm/ Sp636+G3PIVXPir3DjOI2YKUrguS+KCC8N8FEPqeyDMQIn5tOJgKSM5QUcbhVMvOgzisSqk8QcbM ksha+NXCGwp7CHLGDn2htkz0eMLttX+Ghxv/y1V/P8woAQyWO1e4QOb4NzhEGK45jsxz8r/Aw19K D7ZeBGyyr12MmoWOcoIs3GJyJhnVsrU449VMV8uHd/q9yV2KGXx7Vsmjn24WAiWpXOWiZd7wie/3 ZrQ5+wphSATokwFoSgYJN7Fmk9wJCSTT1vYO5jfpuHcQUVFLyFpjpHG/GdbHInoVQj7n4vVvuUIa e0FLkyoeS5dd5RvM7H8AdOC0AaVKYcDnMRBXCl7vzNrfdsXuWyNxIZVjaGEfazcHL0xkb/6lIV2x POJH1RpCt24TGn9BTpeadJkeR2EIsuz/4EmvjyK/E36UegHWKW+SSI+FcM05vAA/P5odM6fq7/y0 or84NgHO3aUSPf+npTRJV8OUw7R3a7zVGFh8Z1Mib8dnLbw98PJ6qMHNgcC+istJnjqOVeKn09yX hljCpQjNCnuUPUzNj4mIr03xG4NkMW3I8NL0pvL81DUNH8WY19N/slf1ZR+6DoPbFPfEbNq0Yflw pBsH8kcjOryMpkenoz4MjwWLVdezk0HyZS7LTfoOQGmO5LdiU0e60JunXTUSRgRoKqnb/FbHf62N 58/M+KGOtgLTuTPJrIUkNA3XQ7uB/gdludcDqlV46SUIufwk/IlZCu0Pxh2XCfALqOZrE9aZfwty 4bv4//NqTvTVVlm9xzmQbZTFknZMMT69BesccJaFiENXMSeQYLynhU25ZMxMj7T3vk0xbeis6Rnc pHzL6Ww2QFmlqm/mdpH8nolVqEr4Jvc1TJblBVjCswm9DntXIQV0HPXPkAYgS7Kr0AsW4FTIWA5v ZoyfGPOxhrts6Brg5bbekiMvkwkVNxGbB7oTB1zI4gPgmec10yh4KEYPXiHM+LxuVL3H59GuDJyE XIvmXHV/Uye0Z24YZHPPWuA90j4EWqJpjyo16JXeyFFjNdNaBNIwhbCFG93cOeCLfIJBuM74eBdL Gj1s8512QgySZKHU1h5DTaE1VCdZbYD2asHF0WQknTVkAh5dtQINoJUVYLGofDtknojJ01IlI5II J/wNUJqQb5WPsr6SqQll3IxPx+6oYvcetAwKLua5KH+IpU3heJ9HLbTi7GHZjeYjGFUwPuxWpUIj 02P6emfrTElazKx3BiZ0/3Acn4bxrBtZx82ouXxt6PJeNgZXbNVbo8wCAo8ASZ2Wiv0S+x+ikihM zL6sGdc8ihFU3lzysqM0EdEKFuUuie5n+nHTbe9PwLQrXM9s3gHCvKppn4+rj84xwzIORrBHkgtE ZgwxEOQ5oTNNl0jWOcRTdCwhGAhtR6sxxjQSnLkEkhoh7yoASqCZLn3WZFBSjCiVBR7ne+oE0emO 0IMSctBFIgOoJ/TMUzf6frNd/XmvAY7J8Coe1/J8dBxrlad4LxxARGLXMKiJBvuq9cgxdRMs33Qj lLjwUi0SzEbxDTbbjc2OcQfnbKHbFW4Sr78DzjAXS/KCG/wt5LhGSvem6kZW4cm4TZhGC55fonZR EiavtCEYuVyp1+B/MfZQEFJ9owPhyAuFjqyWBm2YMy4vt6+YQB8O81HBUsf0hZAmb/ZOvl+9cok0 7qLepysm/3Y7jcJChj3mIuXtN+WKVsXKMAQq/hTro1tBHmSDRTLGxnMCBPFufzVWCT9vNkLEhUYy BKtNPjs0muywNTp2LvTMImX6vTqTC91BD8D37z/8YDCchAaeZWjIZ5XXPERBUw4iozH3efn+Yllh khu/MMSoccEX7KSzjOiSdYkSE0ntPHzE8CwXB3B7Lvtb3lyxe+r1obxju133myM04vAfxduxbCFX O94F+AkQhVrIN6dCYCBXxXD6WU0+HNgeno1R4fuSf/DmypS0AtP11vP5d0hhK/Mo1oKdhZtbwrUM R/FV/+V2jm3pBhoCqIjOmIn6GuytaoiHQ+Np5cZvR/rt5mTjrLY4+J0ilRRuJ2G5OjosimR/9onA iCX7Wwppke9Un3/A04qPPB9L1Riu8DNp4E5+LqTKDkpIli9NNSst8vCX0t2KpV7TKMjHRUSYBz+B sOalzxz6sLvBbkC/FCMmJ/lyYtP9iEoy5tYUeah9wJz1NvAzO7D4/dC+IyykrhdXHDhpfZhTB8xh /xX/g0mRwZvXA9U7J0tw8GBLqp/tyEEdeBlkkbKDMPMaLJL43P4NusDyIv4Kr02CwodnWr25B2Fe Cvuxig/q7L0GrgGMNvn1xEcIxJi8sjoQBGLlBZT0JAGGuLWjD+mORFVLAPWL05xHl4PpZBXBGqSQ DzSZ9ocaiVigFuxkVzdRDQWMVyem+nl3YJo9qTewAU2fvLvYsoFuiCgrnklAFPd8WKZ6uq39YnzR nho4du4buuKncqArKXkJDQNNVaITX7TvQvfMr1xX30ty6vW/cYlJyVEAjQCC5bSP2EtquaCkmJbd 3D9EKY0qlvZHjxHwKVcHBHmJmFj2cCSZ3az3Cpp9bzctGEbaZlXoIkuZn6BFcw/X9RWplD9w3Dsg z2tmTc/KNMT5pemFn2TPnYk1YE7NZq1Jt0ifpSYHQmNJvLsB6usuQZBnnA7T9bLEZZ5rPx0rBYlJ RRaLOBOhW9JZClJWp5oFFBPb8f4aaySc+Lv+N7hLMevli1PCyoSxwXsb+DyzB5SAox3/egC+HJI9 NPI5hhVJOTgzRrnnGGGznHI24o3NfdfJVdU8MYS1FL3oAtdwl7DMzHOFIwNCixIs8R7ZErB/yU5D 7VeP9EJMTkNeGM6fD0Kt4ffNG4yrpoTCL6X2nTC3c4jdPMPZFB/oZiSP2AsWrXPnQNQYX+uFff72 100onuG8NnWl/1ZYVOIjPP14nTGxgmSf6Cx56zrNIrmkvqOj9Mx6O1v5Fya7wFkk6yyMrOFM1vyA IpGJquRCQdQlJd5fA55UhSBsUMLlv1lPm1/aeZziXvJcQ6KVWe7KcZl8D8jceeGkBqsvNfR9Ejm/ zAb2vYxm96PO4e4KhibwREjrfjtSdvo8MfF4B2vZB7AKEDkYCNg7XwxDLf7Id3ypCWglzLxlzbQL +TQYDIC1FEW9RzAP/zkeNVHq4QjZq+yPEn4yX/j2HvmwpfT1sLGc85Lldz3HvsP0t01iXAB13b+K B0dCfxsSQcNNcWeIooARooqh5eBZa2p2szrUj3jWCYmM3v5one9nmMwX9ywgkMNq7m6gDCqBcnOp o98d6sKEky7SlhCWIkIYmUzVRTt/CiTFuuPOKm9wjUrPc3nAsZbJMZ0vT6w6LX7ws7I+NhApb8gI Q/fnlaMYTEpYWsECIh+FHGPlh20xMAA1jiaGBnsSGE/5RWh/pwgMeSuMJYvc1iEPxVl2M1HSBQ6X Rcg6NIRqBrb/LOgV0ltNTmv8U7WPaNpV7tHcqsZRHf1TYKRsI3gCTQFgGXp+acPUVe3J7IIGNcWc OE6qVr0t5tGHSOcHiSQ0VErqM7p92Poancm6BzLpPXbIR6X5D+pzSQYRT50ioNEYrzj5NQ5ZyF5P abe3UL6EnQiijXwV7G8xXPf/SVdlslzRsujmnbdrMhld7k040cz0ZY5N1KxM4Cbvj4BOlnrsBP94 TBnqmpmH6Bo1CJx4/GzrgjpS89goWfOJbeyVtylvnbWGfoN56HOKfqEG0ggfLrRO2j46EYvcxQSI wNbRHuGiAJgiMKPc4k2OYq9PKsBkck3se69/t4Gd3RtveMb7Btd8NgpEDWYkQpp0+liKuaqkj/sZ gFnsOivWvHkKOrugJnxeIqY+yYlhjDZ32jeNQXjqfgVQhbing/iKm3JdsQXsrK9OHUOuMfvSyVjb 30zDcqSqCEacnyrgg8tZKnAF/+GaL+tOHbcWOWz72Biho0X6uG2jXwMNHCxNrRvk+7aQ+AQYQs0g oDYvsT/anzcg5OqrUljpVt7tjLBzgMv2n56gdp18Yzo/aAPdBjI6nlpZ6AraqppsCSz75aNdtHbf zYxgtfKE4NswHmSJ06Qwbu1eXTjN/x7tGQ5T0vaK+14NmZBDcDpE5R0a0/CpTsx3ziJCtJZYi8vk U5CA844n8OOsIcGrJL/33CetlryymvesD4wPOnDE8w2ihDmxZsC7me1ipLvA+LHZN3h0SciqMB+G Fhbu3MFAGNMuG+ITqvR6f/YHbUz89fCiRVfNx0RwtbVW0vsYxS3U0aBeXC4lPSEx7iEfXoU/Nj0u HQyjPQarV8GHs7kQXtgKnHItDbi1jv2T2E5WonfFkIKkM6TaEedhzyEUgsXb5lzfUt0zoEZyqqaZ S3DchQHXXXRmrkn02tKgmNCLECERduGePF1oZEcx/OVrkhPSE4XFrM7rT2CwqalBL5WvI1rLQ1oa +g+8YwOe3f8KKNS5BTr8l9or8poP7GEzIV1+qV2+b6iqOqG1BnxbAixUlgx7Pm0ucKwbFlk07UhM xUGQMnm3LJtTxotf2mJjP838a9LJs0xVKtw3Kaqr/XKX/d82ERk1ERoZDw5ZiUIKVSt8SMbn/WfP IGxLQzcwn0FNZ517qPC1lw4stbP5a9uiOMdD32wEhNKIR/60EunS5BmZZmYf/Ye9+UY6lrTO9vNW QaKDCG55yddnkjMq75ROZjA+1cGNuAu0IhlMX46rZ7BhF/Wer0i7w9752MwbWUHgUHNV1bzAip3O B8ZnULgy3lsnkrCB9U2S2nUW3Hq/4K3iobi9efgEdaLQccpIDyiw1jVq5F2fXc7A+8yZiyHnFl6/ DEhopw6y0TTpSXCzf5EXF5JSWtTcEmv03PIyt6JbvjJwOKTBQykwO/kBsBy6bpHLBqTHL5Sf8YJ7 7PvRFt7/VV4YDlYzDUdMCFMOeUtp0+G1jHCK7uqwQhSGYnU3tIFTnOeKZEnBJuYWhkiL7R0Dkhad gZRJl7DzYeJBUDPPuAdxqPk2N8KqqLBImx218nczT2J7L8Av6q0uI34g9jhYgfMrPGaJ4IIiDF8w l3+Y5AWjJCeDVUUvv/5G47Pe+Js4wtbji9wqkzq3I6nXtCVkSp5xS8snEwjwt0SjMM20cY6sNE7T J5qT9l6ImpYGWyoBnOr9CQehBB9WqRpzpvqCgzjXj6Q3vFfjHf9OCjbxZCeBWXqAXVhYclnVcE7A f6ivWL0kCOTBMV23pEN1ESbpaXO5M+diSRh46V0Pw2zORrVWycHrKTAJA4iireZVN/ES41yHIxzE PgtKCtZO7v9H8UEulBUu7+JByEUdJo9yfO0/W66eJq4M3kYTRadEbh0i0coBXfLNqVbO6U3KmOhm 3UL4zPRapFzkOl5cLLunx4E65zInv/V81x0GMZB2SoeVPwRGCMrZXv9XZutYIhcf5xprurotUw/q AphRd+pF8WQyAn1TU4AFI5mAl5w3QhAN18OwBEiBka4vx0lsI3jSUK3PjHtfOEddJV2IkExw1UY3 RexTfNzC/jjFKFSXNoknnMdIxRyX1++PeFQHfbDawvewebQJYGrxUaGazvaafqXRihR2JqrIyP0u QBUOPzx0snFIUwhOO4CoUxrqZhHYxwhw/Y7A9Jo20LgELRDlPPq1ni2H7ISjz01XgfovdPiIWmvE gd9GxIGeikZX1KObK/687kws5Ke+SD0xe72+BBjmC3gPDNSvjhHF/LGuC8XPCMIIGMLUFFDH4Qy+ ZCWrzeEpg8sVOklAXjx0Oa50vyu+Im6uwtMdbEXaZz6GePwAC0DBgvLIx7Gl3uzZ9Qw8uPWzs4R6 OjQZBW2sd+rDagU4pddIAdafaKqxRMHuc+3vw20Aq+utmIBPHSjsb2Kce+WkfVMiPumaaUq0iuMS WX9ApVIMAlz4f6N+XQ== `protect end_protected
gpl-2.0
f7b28858cca2006c4aaea6ed6ce3db59
0.948504
1.82917
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/EX_MEM_CTL.vhd
1
1,502
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:29:49 03/30/2016 -- Design Name: -- Module Name: DATA_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity EX_MEM_CTL is Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; OP : in STD_LOGIC_VECTOR (3 downto 0); RD_EN : out STD_LOGIC_VECTOR (0 downto 0); WR_EN : out STD_LOGIC_VECTOR (0 downto 0)); end EX_MEM_CTL; architecture Behavioral of EX_MEM_CTL is signal RD, WR : STD_LOGIC_VECTOR (0 downto 0) := "0"; begin RD_EN <= RD; WR_EN <= WR; process(CLK) begin if(EN = '0') then RD <= "0"; WR <= "0"; elsif(rising_edge(CLK) and EN ='1') then case OP is when "1011" => RD <= "1"; WR <= "0"; when "1100" => RD <= "0"; WR <= "1"; when OTHERS => RD <= "0"; WR <= "0"; end case; end if; end process; end Behavioral;
gpl-3.0
959ae8d4f23804a4d6cb78fb4255bc60
0.54261
3.258134
false
false
false
false
notti/dis_se
vhdl/r6w2mem1k8.vhd
1
2,930
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; Library UNISIM; use UNISIM.vcomponents.all; entity r6w2mem1k8 is port( clk : in std_logic; clk2x : in std_logic; addra : in std_logic_vector(9 downto 0); ena : in std_logic; doa : out t_data; addrb : in std_logic_vector(9 downto 0); enb : in std_logic; dob : out t_data; addrc : in std_logic_vector(9 downto 0); enc : in std_logic; doc : out t_data; addrd : in std_logic_vector(9 downto 0); en_d : in std_logic; dod : out t_data; addre : in std_logic_vector(9 downto 0); ene : in std_logic; doe : out t_data; addrf : in std_logic_vector(9 downto 0); enf : in std_logic; dof : out t_data; dig : in t_data; addrg : in std_logic_vector(9 downto 0); weg : in std_logic; dih : in t_data; addrh : in std_logic_vector(9 downto 0); weh : in std_logic ); end r6w2mem1k8; architecture Structural of r6w2mem1k8 is begin p4mem1k8_0: entity work.p4mem1k8 port map( clk => clk, clk2x => clk2x, dia => (others => '0'), addra => addra, ena => ena, wea => '0', doa => doa, dib => dig, addrb => addrg, enb => weg, web => weg, dob => open, dic => (others => '0'), addrc => addrb, enc => enb, wec => '0', doc => dob, did => dih, addrd => addrh, en_d => weh, wed => weh, dod => open ); p4mem1k8_1: entity work.p4mem1k8 port map( clk => clk, clk2x => clk2x, dia => (others => '0'), addra => addrc, ena => enc, wea => '0', doa => doc, dib => dig, addrb => addrg, enb => weg, web => weg, dob => open, dic => (others => '0'), addrc => addrd, enc => en_d, wec => '0', doc => dod, did => dih, addrd => addrh, en_d => weh, wed => weh, dod => open ); p4mem1k8_2: entity work.p4mem1k8 port map( clk => clk, clk2x => clk2x, dia => (others => '0'), addra => addre, ena => ene, wea => '0', doa => doe, dib => dig, addrb => addrg, enb => weg, web => weg, dob => open, dic => (others => '0'), addrc => addrf, enc => enf, wec => '0', doc => dof, did => dih, addrd => addrh, en_d => weh, wed => weh, dod => open ); end Structural;
bsd-2-clause
996a0339308412f983fa9a073b1c59f6
0.424915
3.23043
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/dsp48e2_wrapper.vhd
2
41,902
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cg00ARultjnGfpZ2eJhX1HFQLCTvJWhZ52nMyl7FFkdDtj4ZkU8F/4dvrEYUE0MNddWR9qAEpIHi aVwmVm1kAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n4srhckNPEgs6VjsgrJkkKLpRqMc42DfVmi4fckqZPoesnkVBSlw/NtQfBuj+BcPWqFcEuSHVexx Nl+H9G6SYJkHErngFlT5lxRRlgyAI6g6kmmYPxIrLF6JRzBPvU7kMJRIgFzHo4GjgdHZ8eh0Dlt/ 24vVJeTfoIWQicoHwoY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 40Th1fLw0MWXbJ37yIfMtHaNRgYRQbjHqGP8IknbJxcvFYZDkeTkgm4B03stlrSA6eHoqkDDyfEn 8Bbj/BBngGb9mYUw5FZzpYGIJLW3fSppO1lY1/KlZTE8o9bW0aqYUffA45NPfjYYgHhh3Ngu721u 3ZHn/xl/WNKI/tp7CI5MwGEZ5paSHYlswNu2DxCznhAf+WorYKnBrYjbW7Y6/iuNaG2MRjZ1NYCS zifuBqF4zdiXL7ghLLVwVdvii2f5tHFXkHipUsuT1ZZkMCI5hx58gygFzRGyPjWXr7TkssdYWJx3 tY50doennnxcw9efK5W2fC8YAN0insLKi4hwBg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TXFKyfRhI45tTdU5+dIWy7iZ2JSw275GysWQwBD+cmZT/faWHxZPlbGE6Yn8dge/yOKWXmDVnkqm xC/i0COGNE9Z4Oaz0dTyiixbKCQGEUN8Me9s+QFNPWc34c7asLlxu5kD9vkQhAS0dXW3xO605Sum kxlrgjO4DOLDob6j6Yc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sHqq7kjacQIQJvLjyr3s8bRZ67s7bMh7xmhppQDAWNssd3QqhP4kML4OYN8yDUu9tDVH3Kd4Mk69 QAYVw0N7fOPKbwHcKoIGzJv5f69B5ucpf2bAEpD4hyDKtchxGP2qHBIbDw+ACpJwIpQbRVCtjPG2 EMZYSJLON2B+vxZzw2TksxFeRbCD3wgX0I7FcGQuGFcP62OT9hYAd7ZQC5Wow2SpQOJY+Km+0+/4 rQFSNxIXmWcTUgaVAOONgKmtnri+95A6vXb3AbNrIsQ5mXMxNDCzQc00A2k2UYuU1+8+VwL2+6NW ZhdfhKwlYDqrGERs82WgvBU//PSVRnVjhJPPrw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29280) `protect data_block diWk/ggd3MsdJmFhjW6Cutla8C6M32t77yJP5OkAXGBuIFI+LBDpJC/s8+drECNgkAWmioKFUEbg AQ+MVQ+uim7k5jU0PKAjDGhniFmHbSkM+Jp+ddKhbUknvMt/N+C0vfK7bTLTDcPMDp91oLr2+LpQ 8vnTMIHUah9CUc6v7gG8XU50D/MJU5WE8iet9fUEPE3zIISHoSmu50lOsNMSJH+coFNhVmyIAVtQ adIHiNGau1He32YdE2+L1v5Jn5VLuuZwhFpoAdq3wRLm8hPkX3QStFj6KBS5uPQXtrw/K3xicDHo PIIlBFxoWacNkqMwR6m1L6ca+uz4yr7bmEWNL67Z6xVD+nKNLiHV7JDRiOb/jBmutihbnq17zbFE OPuh2I+zGY4jRJLYoi2v3hdbqCZnYIuLlfYoeRXmRv9WMu/3BO87LxoHMlOF2KUTmM0zFRkRpJlT imXwqwvguWFGdI/ge9eR5gDezBpi5Xu6vqrFvF/g5jedRJTVjmyGPr/qZwDfDiqNLobakpvSdOUu R5bkZykEqWUAXBSIphkRKcnNcyoGg1pVGf59boQGNwtMxBEwPSn0jZ/DMLsf3ufGCULy+tajwgf3 Bg7ovauERyQHeABSaeWmyN32gFobDY/WdLU1e4/Jx1oBAhe4KTTgg++j1kcx1/1GJ36WOEyRrAKV R9j71zBdb2KeJ6YNfmYi8AfzwuX8cl3UuXggwuudtZlzKFnjX3RZFTRANQ/0WtkskfdMkK05BgOl a8JlZUM0A4cyL+KTejnUGY+RL0NL7fHKMoWPyThFo2xxldFU2fLuCtxK5LgMI9gFZAcPc1p+tlz5 RvpDvEe7ow/Vp9QvgZIXMUHtWlAsuVzr5HQizkKGR76c/4dCzAL2p28de/1a5L40mufeAwHikx3T IdkoYVht/t+beVagzvAVVMG4wCib9XiFlXdaYUXqEU6UJNhQt3z6Z63LHY3TR907460StwCXKpcJ rOin927w1t07/xec39D6tuaWRQ1AtR4xKmFXxVKyQ27h3Bb8a3LGKbk5LqOPLNsP9N4KauMn9WMe Q6VB8T+Z0XuHeyHmkNfDEEGF7uwzz/Go/GRC965MUQpu6k+3GKt7+9eikI50m3J+ZYX0uGw4mjJF EwrbCBMSIwiBfDfZfVsly5iWc0hkdDknDkNUNKJ0ij4Z9t33v7nTug4vGtQeCShW/4cKwcprmieq aA2IYJg+amuaFf/6yOSMKPGILG0g9/z5m9bei83YOtbkp38u+w2dFCWbskS4ZCTf/zpOdu/sg6Lt vG1wBJLesKvxC52zX4X1PEGVbYZrS1G+mEOMfZeTpt8SfoIjhPx1gqK/I60BsCuGI/WSGMR+xk9s U/5JJrvNnry2YisCyzwuZjCvdjhAJhg0VFKjBvc7gwFKXOu2AunTndBiZW9SPCLXowO80Q1/ni5U F5pWw1XnQYoxNq/2xaWZ23AdLnyFmN/cVRCBMJPSUZzbblXfiDylDLz0wGUspQMYBtDIt2VwqE8Z +FYwY+Rk0lKNU/B3GoF9At41Ao03l0cAk4TEf0sTnU3ZBSYXQSApg4Mn1keNzueWVRHNw6GHpsO5 a2VIp6e4mCoYCoyn7b+4DSzu7HUEyAJKWMiF+GRrG0awPCiMVGOexNFUlMNK2kl/WtCL03MRDJnL 0dn56SAHEbyHmWhQiKuGWeYM9Gg2WcJSUKOIlMhKcHff/XiHY9xwlA0lNBzzTNqGlyDrRYWoe5B2 z1LqCoZcZ/3ppJShpZkPoy+VTT+TSY2QBXmauEFurTWw4L1jlZLMJWtY+U6uay3fHvezoLv3cNxy WMcVFzE+KDcin3sKGb1ZPEIBQrUVdHAiwuuKbPS19me5aMD0P4PEqsh5fOW4d49gNg4iCvy2bhmM FkEP+93Kwf6ZTrfFn01/4uxRM7IejBdy5hXxc2BHvWJlFIc1zjH6Y4J0jpGzAl8MISwuAL2kb8Dj hPf6D5U10IhZKWQqneTJeLZ6E1yVoFq87V9AlWUXSjHwrEMJGmWUM+cvupzdtfmrfJc+5LVunGuG sRP3+/rBXu7aumXE4GFgLWI16WiyrLpEEtUGWRiPITOuWSxYkEEJHI8n7zmIlLn7ELD1entn9ZKg vxOnDzLA+B1VnwgQX67XXchrxBE4gJ0jWeUj9hmtB1oDWo3sjqp7LVxCnI81+kR8Ks1Fx5t6tJIH I6g0VuAd86Kq3bBHrqs/8Y2ocPxw+yDrnoJkNQEr6Nd+QD8UC3oME09yYJriG8ky8BLLUJgReUcW 52g7jzNyVDqYp+n/8zdwVKVjOod8wJyDuk0ftgbN+r8gbYcsJfzLhhbesGTZg0iJ9lmG+pKAD5iu pw4LVOaQsOb36QiO7Bx2l7FM0xPXBLqwhTjVRIuRyBgoK4Z8xqXMfPCbeF+osiBwVZjpkBpRziRN Z2ldYgD2sYTh/hRCb7Org97M2jEcpquybJuP9/pjCE7XlW53kQqPC0xJnU5YD5wx+j0Pl7kEGIUe YJ4Rjba/o1OBqYJNUXz8qUaac1CctIhRlELDtGLPO5AcL6ESSTp0XLNm7YR9shMuEoT9Vm8LnEIV CldEYqV5mDILI2I0SGecliNI8ldhAyew38C6Fav1LDQlYN226Igc2lSVhq2j4jptjI5qvyUVFHTW YTkryKMZXYZ0dR64eICltq1uuM5apswN44gTCDCtG5sRFp/fDhLHzs7emvh7EYBLhxrxGfOtxn0V OtaCk9exoqMQpcJoXA07RrJRm8wYV7GMdbP9KppqcgYoxkPxpteYlb0FMdJRWzqXtu67m2fVXhKr N6/l/ES3Ru9OG5PGBpGy+oWlSGCtrxOtJc6tAzKpjSA2PH8z/0Qv0wiYE6PtD0wTVUb1+95/Ejga +dfY3PDhsX7XOF/PxhtZf1M7JP4A6bEkXy/y8MXeOpGWtoP2jhidQy5d5bvh7QQvhhxbvXnMsJoq QDxt5sfh//Q5Q9ngfKxlM783qHfoUZ7ACoaXWHLuqukV+4VA1kCqeWP3x5OPeKgxyt7tRjBxgv/S lVL3BSNoxQHT75eUyMz+B3yTQnEup+Wshc134UMaJDE7tPitBIBDr+uE8sd5tn1xEw3VnSwee6/G tXu+ww+pJIAXmaiTCUfkNUPkzVqIlvf6wZsrbqa1o2kUgun0LGq/vyEzeFEeEvLmLJkgk8zN81K7 6IXlChPDm9322HvdrQBR3aorQyfvEfG5aWQLqRu3KSzi4cB2zHxJYm9juEwfIb5wFWL0slevTWTC B+Cv6QkReOgmpcdHGHxdiD8kqIz3ndi7o+ybsU7vMXU8dn7Qg1y3lVysar5eV/iRHX75jPOxD4ek rq0gAlaa1sgjdSEbkrXlRoiRjKxG5b2HP1PBjMCt4TNvgcspporzENKKKkjwuhat5ZhkQ6006+cl 4/PNA9RrMis6FXvCSgAdmZDDARLIqpQNQx1GQJqOPet5CwSkEauov7AVj7+50pWeOgN32wFD5PTP FkwvuF4KIQCPf582ZXMjErI1vs2uOq/+446HRePlaOc9oFeIIb46KJT2VlNw+v6hzKEIPlw4u+Mk HXTaj7uw4Ro+KHt0/bzKuoHMByOktTMIT8eLggGBNhH1Sz3lgmdBPDEhR7AnjyqLDtNN22O1xAXb oHvr1fAXhGU6igxSKxqV7VlM3eClVFRuJIJfvTwjD1+xu85tGbaVTWPB8Q8FCyp8dda1LFzf1s5H Kydc1gDTk2YbD255lRgzyEbsRWVV5sCHP5XPHnva+F+RIhIRFfGVR8muYtzBKtKz8MKb7UW4EQ5v MsFTbSxHWplr1ASVQNSTsH+igirr3eMUvnrzOAssa1TK7FIm8rGsG00oJpl4GshgmTqrEKNBnJpZ 6KwyArYvQfZWExnw3oZ4qgA5q5AAGjWnvIqAo+m+3W+SHuLG73E0EP2BLdJtzvpl2H/qrBvQDv3P HTYSFaoQS0hKypoqQHizJECy49nE24q6JPQWNxHuzBG34ljDnR5Md9c2pvrYRVjyzJWV1LRRFKgP B8SsJZlTcXiBByYPhLlOv3Z3NAmGrqJ4yzb0CuylJhLLa8ilWgofs6Ddl8S6KNUpuiJP8652Ts/v BCL+C9zOdnBGsj1iAg6kHwO+YZxhjqG8acDUShnBVA7SUxwxaBrnqlydpK+5jcoY9+h3VH+R4Ih8 /fRQD2N0+Gw5RhEBcznWMeAMNTCBJ/g/6CRa/tByIu/7pB323LNDWaTocE1Q3b0/ccjKiDPlhUxc VlYQf3ovQvBJ1bTFadPtSRnCgw2ZSwJaNOSsHB5CDTVd+zN+FCt+W4FwZ5zMBDCnM3SV9GF91B/j pZ6iQSeUqdUyzI9FiToAuejzS4wZtZySVlVIPY2ZOjI1kQ5Qjq1+EjPShR2nlUP2AU3UiEHd1hmR C5zZYAthAQiKzzO6cYwyud4dVtmg1qmOB9ekkp7NfxwvY1dZfxPOLxM7tIqyMcE3Jig7vcUTfo7X ZBNgIhayyzSTHWmE9B9J4Q+yHxj+BjuTFVPlDokZcwOfM6/5ThJXsutmiaLHV1A48PsXHEF+y1Dp MUw9m48dIgWrzCGDiDyC5VlbdnPxO+AHCWMwu9vmXuB42sLmAybCnbyX4SoSkU6G8yB7vuvrEhCD 3XnPTNL1clzaEbzMfl0/U5EJP4vQWkcAvEjPg/9hfWzy1vNas8jTawSEJzTk5//4KN6PPrGbSUWV DmPBjW3/kSV2U818kFrAQcUJYMMFsYIcoPdkjJbdjQ3/YthL+iTYDOJPiGEnczAATuIHCf9apye1 DG4BS9zcCcNMBj+/USg4ZGHiN0ratwz+oD9P1Hxey5DhCs8mgQeGE8jXHDyn6npSdYU+JuUeWQKO vqnaGUXlJos5j7BTSjNw/WD92X8iXzzDllueCSZZcPNJ2G7rzdpxKp3itYVvJbGntyOQQHsqo5v/ sHg/d2U6RJr386Xxs5gsl8nMxfUece0f40TADMqG18HtWqzovkYnLIMc5EU/oI8tJ7scexvgbF8E pM/ZTCn4Eck/2S5MA5UbSf/4va/AtCXsoh0VxAg5cFMbWi9Hfga0QFWAYvJvd3oIa+etFur0bk0W q7x31Ly4z+mCDF4odB+HR/sTMzWPY1gh59sPwQeaRcMw6pwm9n3xYYq/uw8b92gK1m1xRX3aMyq/ AMloL3Pc24uG9QMomZ5GJSUmb4pKfs4NekPjzZEZKUrXdzIzp5Ehl+Ks1U0VpZMUlM7efGA+55fA c7Jnz2cMuN4pTBOG0BsPpv7wlgiBhn4/BtJdpxQOpYfsEVZQoIyMt7skvbeN8j/yzU4n8ESIEqgl 0P7rkQSc1YW32HvA+9+ho0geeIOdz+xttIMmSSB6IwsC8ZroaSR+qhuksu1lxcI0IQwKKJooCqw6 6fgl5b45Ku0QKtPpHQismNO1a5IdJtJ+chSzS0WV21jealcEqBCcwjOOKpk6X6K0Cyr5UYW4Cvoe oj42J+5vN58HPvS0LNuZv6/6T6bUuxFzcVl37xqbgQBW7c4eAz4tr7K8g6cEnZBwDdpPV+fBxCRV znu9lGvsnzsbA1SAageDyR7hTWzwQ2vNk2cplXnATdEVduArtVA8s+34+Pz4SsxPgzFKayxMKDCK 6YlLEQjDwLGLYVfdd5MDWZvHQzjndRm2RKmC/sUk0iWrID1tg+ktrMc7rJDqy3h/y6R/dk9U0m21 q4zF/bPM/cmGiZc0sOqbW9QOj4Qlu2W15OY8oaZtvSGOMqACuQ/FrLOOPcg3I1Ee2v+SeHv3XeLM kHq7XHn8SgCl9AiENf9lRnfT0h54EtEwtHB2wB/eovHLJDMuw5Nhg+nwZrRlke6bCdC016ZhCFV9 MaJwfmXpHAUzJ4uMwe5sfcjW1CappAOjVoAHPXz3QToIxGDKd7rz8iW5Qx85HaeAKdijoR23xAKK hfhYEzsoBoHLKYgqMNwfdXLldRezVniUTZs7daKOueWKm8NB8ZanX6EiJh6Drw0qgsUwYTre6Oud d71vbKk5VMbxNRsWpQeCwMX0IIL8u3dNJuRuIot+E1EzMz3uw+uh/vPwMLXN2P+4bWiebTvQ4Gkb Ntsxh0kwx1pzQJw8Agbnf9xsPQb7mYM8cZhhF0ZW7OoCw4Xg9A9Tp4zcwv2emqg+1WaHSf3Ubmld lpYtuPiPPe50sQmJBWSCO2+ce8j/6XGxZSD8Cq6qTlFAMcZ4Q8Ehc5bZ932fPhLY2xOSs2BdHzYB LmlnG2BSWqeDtNHUlJ0ACvlw3nR7aLfmT/13FI5GUSzdYN4LgroC5vNCQ1F2hjBDKuITWgzaK7wv 07lS5KQDOAAXC07Zrp1oF+3Onsed2nMDMYen+ay908njlGgqVEhlMujeMhhqRdCBWGCOV2Z2KXuF QS5XIqur1A/mwqMca9Z8glJ3kUnv4/mlXi5XWYryKhnyfwIqwXi5ewAS04bnZW0PX4j0Cq21h51E cXEv6krKk3/PIKF+eQCiapv2Zh6bXV86EYXp+V7fuxNTg3cFjRkmH0YTbVcqFAE+g40+BkpGM58R slw750dOe87FR85QzkZxYyPFrD/FlyB41OuaVjRJPyBVq6t9Ikh61EIDBo/SOZPh8jAUGn9/SXf0 Jf+2nFSeTUMuCODuM4FM8Ts5xq4JIz2x5m1vmpwE9ze7D3Ktpn3fZ6mCMU5qXYVMlmOH7FbqP40e mPn+QznPZKpruPDht+ppEFh11qmtqPN4OMZaiJzLLjKguYP/iOx9z7Uy8XKBru4dB9/q24kR0/pj 0U1NGadgf7gly9ck7qduj63rSRitucTGbWeGX2FE2v8OzQUoBfy4BVgyfTAHBPDSUHlyH8Bb1Sfx SBqstFM2iOa9XymJgFn9l3tsGLJB/Iv3XoZV/iGqqpqkgS2xDSEuwmatL5XimY6fyCKI2v1K30HA nfsXVJwHiMTx2YMPU71a67Mxiu/Fa+xUYHrdof5IJemf4Bfp6mbbXyaqw/kcoicS1u5yOrO413Lm 0zxEt0wsEFlw3rAW6XI9ChSnZ/6v18UOvCZcVJQrFrOtBJ9SuvL00OfnQRegdMbYfskiPTh5kzWi xjGoDVXOZeosDNr0VuGQ+f2/Ys3/3MKbAyqKXQw0+WF1hBOXatQCMUuBuAjNHIM4Rew/V8++u4ve AKvw8b/WStqsXbHi7GoAeJ/Hs4+w2EQzHhMRpOI5iElY8fMRS0yZWt1ouC7zjeAQhjHphx8xyfIq RvavdKMQ0VsqFlUJ8N/qQ+6Zz6hJb3iI0dlDU2Vz3rFCbmLsEBn4kVSR4D6sRR9SHov2+x6GaHfW p92sxaZnFKy4kvxVSLXepTbjVVgAEGBUFk76NCsviBS2BEVBkvxIXd6a8RhHDgS7zsUuzSppMv3L igkJzcXB0oLRkaaZT3dUoHm5dm5s8pt7Rd6vUHx0nT5xL8JtJDqwTeugFERwKVbHfced09RVYVUJ DCle6e1JAJQrhz4cyztSBX5NvVSUnT6QP+0NsAoCwbxXXcnJHBuuuy5Tb3zPJzWAVi8LmYWIsnoD 82lpNlvcp9Gy8D/2oFzCIcX04hyIsodF+BO3ERf74UorkYnzAyIVDTfCEjHCRfUWu67rNipqdlQ5 OGsOdRLDbzyLSwcJS15AjxSoxa39WVy6yXranf9U2c8AIktUcSqNSTQZ1rh5wJKVbTO4/Ycdhtz/ Hu5pir4BeshzHt4p7+4bpQQdCw1pbdwYEp5CToEyciMCQzcgocV85x7Qs2T/6aiDGcRFCqjC+phz LcOyQPJiRdBUtcwyWik+laf4Dw+UQluNNFYOxWWxcCKWFRlWqF22F6Qj+fUMsn7PVfPcdv/hBXSa h/r13xKXzvCuEyRYGg+FM7pcN70l8pMP95BKmaJSx0O5XD74H8vkHkid9oY/t4b4wGcpbNqFLqsq ytponqYE2Jqb1n7KFpVu8BX1scItgTGU62b9YyGR6984PngXO+tu/I54rKgKXlNDvPRCc0lNPCgE HXa1LPvm0TzJy8UFLfAlRsCcpyZjpZQKHZ0apui7vwQU/E4aaLpPjl5tX7MDH5fKbIBB2ehvljUc sDj6jJLQagJITgRw1NZJm/QLj1iz3wy08flyqBCuPseSZqB0J0eKrRFtHmsIVyzdnMiC7TrjPKhS nCyPO2g2nHqY4kTU/yqK5TBL9QmQXitfwrko+xdlTIyDkqsv4OvOGh2wS+5RRa0O1FLq7ZLKHlLH pffEjHrvMywNHYhvByMKiTJeNy9eZOJ9J+Ci6WLupWemm3hMZhvN0M5fr4AtjJXUADnS5UKGraq8 kaW18uYj/A4keeJl2qhhAZ/7eKN9KRjmHBVN3VYcNOMWsIxHJ5v2G80qDYeHla20k6L5abRhcM9I rjVlkPXwvrxUVVjKednwSN3DxxpRIHcsGM69i/GKeB/S08LY5K9dO2+bII2dXUwXFY5LQtmzcKww cC/bRkm4GEM/Y3epBKzDo2WXEdJqodQzPGMP7gzcSqXM44P5UY3JvvVn/8jM2KDEICD1cAXVDTqo NtDK2dLv7r0lZ1X2xNeQhQUpmR0bRK/OB3VMd4PPnXqysIZZ0HgUx/ynbKcNsZzW+239d3RvLClb 67V5QUC3KkCRrZsHUf/CqBH+sMZA1+Xjl2OWuS1H8OWTTkVMjyMwW1hNKuV7QyAQJN8+9EVEtHzk 3gb2FSA+UGuvyqt+sBpouKSD4HqLx8fd8BBvRnIb5hC+FoxERp5kq4pVCAz/QHIzYRVSvcx4hRf5 upPjHDAnnczLiMDJ+vH5sXNCd4lIQNeQP66r96pn2mRPJpbhvCU3IeOlda3Kaxg30/C/L/rGOpQU EiYLZgzM1s9zwr0e12IiaS31C1eA0w6wW2arH9gE0Tl+oMyVDQNjlX5KuLQa3s/r1Bll7Wl7S/3i eO+p2sXmrPSTyJhPxS2A5z9uZgUUmjjtiNQdqSuHO9Dc9A7Jj59YUbA8j4MK6b2xeVPfZGndCEru RXP3SjZ1oO6brtCgC4AO+TimBdhkbf8e44I/HyxAfuNXWIGtbaTSghe9AOQEAVWdB5hsxpcpuA2+ JaCCokZujeKvysnYLT05DFm/Y4nzkTNB53CFOF3vOmOpPlvIIqVxkb9z7kFirE0bObRy/qXaAnKH KpC1xOocHvuJPx5R14fuIcCtfpcVYLL2f3IuBEuuQu+9JxCBev64qjzzq2RGa1Tm5EcClNHShczT Ech1FKzQG8QIRZ/rWhm3yJ3d+FFs59/srMi1ICR5iX7/tHrPY9KoLoVvbmuu40eVbpTbViBLv3Gi TUMCYEAnGRsCWQPwf5AgQl88eedfn/CNBVDpgh6SDjEEeCp/wmGORJbEifxCfgOVPyybuUcdbCNG qk/RbES8oSmJmzfg0QidCJ75aaCYgnbrm+eBP5WeTbD2ONDeOIhMAsWqFSqcQ3eghqRLkkcSEX1S NwQbvba1CSCiUsEuOD0AH0ro05jC+v65sbLEGwloygFfp+Qogw9NmFIsEJCnMPv3jjWpJVKMNLl7 qLkQ6ucsY/gqY6GeSXTpcW9e5Z1AIXJIQW5xMBYBuEhACP4htXKswk1MKOoiOoKWlETZnVVCOjmh JO5qKl3MnyUPJgWm0HCd34vu+VeXkawJX8t0VipUI0GTm6ZsCm2COrMKeaQiJxH0UwKfXxM2Z83T wnhVZsT9aWBNiYnrOPGoXP4H1TMFPFeKvJrZzQuBLMMXmm26l4B85/y81rhEVVFxEM3AkbnGSOr7 Gdu1Ucw9Wu3YLVoBdG2HsCP2R1cyorWpPMUVApAvL2BLkZPami2t9kP0IOu87TIfj8xwYkEul+en bfBC9TVwY91giFI0u28+JrqO3Qu+wHdW6oJ8IdVjy8DbMmRbcNps7Ew/huMjqkJzNwZ26WgUQhcj A59qH+PanBK1IrXmFRCMt0Hxkm6kggDIWEDmCdYaUlfPQ9SmM7/hefspYpFUg3lFmSVDe8Jr5pXS dUw1NjLfV8N935lGoSTrAQpSDxix/GOBqGo4h2XNtqFyY14FJ0y8ydgo2LdHNmr7YblTveU488Ip q42UzL80eXM8S5hnjeEDva2ChcmocSRt8wcksl9/zA/fQP3GzYtRk4/PF5GekF89RoRe31iXRPRk PFrB07YJ0WqwJLmot0lvFaKn92R70pCHcOfkUezow2oTqOUesXvKe3A9Ct8VFasfQ/E3pT5MPiPW cZJW/6PmcLJr6V7BA16YaUH58oeOepyjJpKrDj6o6CDMC0ma0gFqlCXYaChFgztZTNA4lC4jlFlM mUr8QoPPqYtbrN3dL1GuaZ8edQprfBQs22eikGpPPxkQIya96xr5PaVRUyTZ0bYULENOFD9S63wk sprgVaBTfOa85shaZOM/JmjEr84U/289H3n2JVRlvKKjCvEj8kLfNwo6/Dhe67x6W0KdC7yFTbcS /tYWppsVXdKnC9OcWfb0Kvasijt49wznc9pxZRG/Zz/0Kfcgar1M/AxrvP6+Yr8mMDcj3w8b9LiS reCUgLnzE+q4en/5ryx4J+0WZgGqFSwUjhBycj2gx1tS3x552jFlY6BrDWO0xyYTC/PnWQlyRet5 6AQgVeoXQcoSOC8MJk3A2buoW9/A2X35gsbeAcl0O3jE4dPCb8tDRUpscbrhRvaeuVUDKeCTfF8r kalZylnM649fTHSkmxkrkKUAvNR8HJOSI7M8rzFWG/dKcZPYElWjIPcZoq7OZ/Nn3VwKDlLRaL6o QWvFqEaDwzT+xS58qfXkv9647sVsC8fqN0j+FS/7/SauhYdOn9H4GZl9sWoMMSWoy7LKsZ3WxVw0 4aMDFNtZ966nniW5IcBYVcG9DD5Y7XE6barxoVPnZvTlxBQx9wtZV7N8kIO42Q9ur+FxDPSr+F2Q G4Te4QrZxiMBEVs5GUI2vkwFAYB5O336KvY7gGwCUn3EUkxldyLz6I3ZcBb+bnFe5PTiMimwchW2 BHj57wt0VlQqQdJFCfIpyFA6Qnqvt0VLB89q7750P+Jo6kaqbIuXOU4fxLMgGYdceaiWKjv2KJ3H RcIGM3QwNGr8D92MDRHGmxqqbgaK4vQgyOfNvyMfi6+7BVOleh2OHC/OPvA5SZVkTXQJg5UJ/IrU wb5GS1qfVSEH6lkb/x1qPfG0rVrYHVYOF+TFKYbssMbaH0FhmVT+W+aD114eLKAv2aUJNqZBjpW2 Q2RLT9Qd3bmbjVVvSf+1rSMq9CvlPVvhh+Slfv0yhTnkB4KM1qFUC6p4+QQ8U0iDS+jKUiVDgrpI NgCoWvvMaoSREPMlXh2RK7Dm012SeMXJ36RuSZZ1oOfkoajhgIrp4yMJeNGxp43qXE0n9qh2d2Xm ExB+AN+Zcgjb2J9UAPD/DQ0BToboDES47C0w195hR9YH9Ngyzadc0UxICoHz1q9uRTPPoF3cX869 0mRgORnGK33vrDvVQpz1bgV47t8n9skc3M3X6OHnsUjN9N6gzIBB20HFZvayHt+o7dEaNGHFbEes MvcwvfJMOu4/q+UKonjVXjxeSWmpp2a4sYrL6Yz6ZdTW4VVBJXLsuS8bZ71veh2VcEgZLvS9nuMQ CQeodxLFriOCGOuLbvGER1+iBUp6SM5I2RBUG5pAVxKewBPu/pLqkdkfi1gy99jCxYLTZTh99jqn GA+AMtmwx3Rd6548t1ilxZ/IaufyJ2T3MQAancxgKEDxdqVyrEuCGXPKP4fVH/NT6v4NRRC59JKI 8xeY5TysQ41+QUQ9hH3iYv0nK5F1RNkgQfVtc8VMb7qSJJPf6ZaMC9mLxor0s7U5WTKIx/MynVkN 6PyZ8uyO9Af0i07HcHeMlSxFLABlMgJw6Z4xtmRX9pZFJPajYprKBNv/RHxLH2TVZ1xME7zkplFI nzSs5FI7arXn8emDE6xyet18Onjhns022HImZFVvFoJBAxrmLFhc+TD9SBxFPtDvG6An+2POQ5oy TC3jHE0HXwTAa73xBvFNC/F8ysos/OCoBe7HlYNQziKvWBo2GimnbwhBIkrPvSJYqbAPJeAxUrTM bje3Sep3ngeeYvhXyxWIUd9EcIXG4RzODgcoA3iTgaTqnMwSsjr2eFV2xSWlZ9ywRg0BBNXCadO+ IFV0/Sd8Lc4JGqh0ny+fccFywYDVCynucpCM9eGZ9OCjYWvycLezb++RhIT5yYNZFA0O4Z55kM2S jNAXtsguPVgPDN4Pu29H8yQVKlSlWzv3nl4sjYmp4KjL/YmTdQtP8vF1FeYK15nkJgy80d+uYoX/ MGuwCKAehupVM44bUyEp6pm5ZxaiOfUYZ0+cgeFzPTRxL3mCxFBi4zejP//eGyhspgTTQuKGwOIa 6Drxwyhvi5e+1Xj7V6L2WQu9AK+KJI+Hup0IXEgryPcZxKEQTFk6oqkAircnr+2P6Yfz0E0mJiox va35CtlClleZtCR4fcZ37aL2Ac6KS5lhAjClxRzgSAkKxlTsts0GnsMqjC7BUTNxWvSMlveWrzQ5 R3GgmzO40F0gCiAyiWP3EcA8hhpvrJq7FL2bH9HAmXXDEbJw9MiRP68PAHmsynRycJvzyb86XEzA E9HoLz/wnI56mmM55guRfLnyxjCSph//DfhMqTQd8QLXFQqba/kp5uHPqURSe+HmZvZ7MxF5VN4y ybM9ri0n/gThRP8oJe7LUb4KVUw8L4UXM/2zfmZXTYRtgRPVcc5V4c5hE4W433eWt8az5n2UTe0E YLAxcSOYPKSjmJsvwKT0X7bn6T9qgHweLlAtNoIgtPxevlmsGZiwtRnyNoYSeQv7S/o1UHn8iLoC lm9CQUou/Q7bpjeXXUpJNHvn15nkZDrsetZjHn9mHr0nrlwi/R2b5d+mamM9kcx7NTzgJ43uFmr/ TUH2aPqZHIq3SuQJuDdNvJiJ4w8/DWN/sCnX4VPvI/0Yimgt/Lm2nEScQiTTymdB1JoZptTFdqJ+ dz+PRnrogAQeHduvx3axm6m96ivZgzJ5eBECPTX8gfy39tj7Dv4wvaKbSPMUQA6JA2bxoThKoeqA kjn3KV85gFROc7AdwUsuR1JkQDfmJnzdqOIHHCGXgFTZE4Mhut43zJL6TRHxmUSHAuWFmihMpaoq 4F8v9NvM0MiwtlvhxsuXCwxShg0bBPjG3mQeHxlICJQIamwi4k/SGo7ycT/U/M4U5vJMZHYCOqdK t7MZtLlsoww1LHb/aIbWkx4azslb6sidvGOKWm3NNGaT0q6xneg2BFqEfguyUnBCTM6lrXi9WFXH 5yA1FOoULU6yQonIjaVZxmBuYjbKGwpsB6Xa04EoFMNr0ApP66KPNasn3+yGuHBOwD37F/31oMwT 5vFX2XONRzNhmhqagrvgb51Ksw8tXnZ8WKPjfIvDBEMGCGE1oFKF46n3zNyEGaAe4zTn6xyvvfuH RIKKAlr9K6MFh6/2dhX4cDet05s+f+etNzJRok2SB1tWy0A+jecVPOHMwnAWdX37u74sFoi8pesv /eSua4KK5Ap7SCxDPokRXYpVJZwhDxo6oejWJN9MamWyD6vaYyAEQiHXIgY4dzaHESonlqACReJ0 ycmbSDUA053YKTptoHpqCdMcHFMMfD2+Tf55gVoT4R7BpFTHSbsarPS+f37+GLHThMnTUqDy3bJJ JSdxt7fuVaeSVBUKFjkFlzYFREHoaAx2XBpm8PC/CfCURwfTpgddaLJcMQIpwn/9dysINkl6fNhJ QenWLnCmtt3iGgulikFwcCseHNpItXuv2J3pj5+5D1/XLoYaveFJLsjiPW9g5jr4li0wqpcUNgTz pmEcwh6JRV28ApEXQSTGHHNNEHNgF7mpgumBvtJWyPXa0xIJK7uK6CdYqZ8KuXoOy0FhbOHT/bFR 8iMsgwkTvdvj9cRl6uIfvPdSqc3H6fjc7+2QgNZkOrDtCcki/rgIdN4xN2sYY1yi2ZrWUZsyx3sm ITk3VII/Y7VNMGjUq+cZoJ+TXRjUt7DxHSvHJIuAEgtVSSk5e3ZIeRamE4Ml8ALZ5vNZv//KVh6J JgJduhDJw/Ggh+QGLHNaWr2KYeeFt7nkdNG/5qw7ed6EzS4rTCUrtC11eriCB6XfdWNbMY4clLbP GzqcTKCTR+4h6NslikhG7c+pvV9N7JkieBct2dnwrjqmIxJPjHmy0Uj19vEajvkgZWA0no0LA7xW r79buGICcidUrY3wpEcG25vAiy9aSOQv9D3Ez5UEHKTiYtXfFY/8LhLF22LaBuYSG1ishRFD8k9x DWPC10CoyVYQujfvtwOcQ+DGZKUQzBiitlBUFNYMDnesAz0LDgeTPNkqfR60JABeRegQ0GhNlGRr zEAPcqeFhr817zBvmd3i5wvu5Z6pF187/EaVYdVPGSeAH8jnGIvcWLptN9lDuSHCu4Qfl8nQ5w6G jxO9Bji3FhRRBRHHX3eGcLRXFFyi34ZsbpUMrPYM9F8PYDtiffSsgxKzS4D2V3iKjImmX9P/84Pt xZ3Egc0mOy8i0qj/vsyFteTvE/Tq7UTPV65/vMRp9rW1+RR9M8UCTQW0mCv4uqqVFnz3ueRc/HnP n4BFMLpwxs2ewqKJfnvsbDMnvWmfrLi5Ng55a0Fvwn4ihH79BehrouhHfoAnZ5MMLt9LvoRdpmgh SclAHxq9dVVDflPNt65VWO98TWypw7XjpB4E25dvc1O9GjmK+NcZMtKtzHpUmdXSAy+7qBS9UeSe kXA9NXys/ZD+/EnahDUel71fQ+ts4ukGGltuc+41qQbEs9MDmKGv9qDjVXH58/kZ9dtX5hY5YWiz ekixKzCeQi01J9Gx+2VOh/FPJTZ40G5WnZ/yZ4G1s23znm6X6+v9NH/LoVvt6OxGHwxMvfiNGr7y XqbS2QpHdTAvXSSX1xFOqxeDgDJRA7VAQbg2kXt+fYrxE43+QxZuaUQdH7qmhuvWIFt/UWm64cO9 VZkoFzgpoBSVZfciU8gzHmJI9KhgB3r4FyDvhFg02X0OPk83nGhgCjtvpztBeF770N4ZmS6E1K5U muecaBfuzlDSWkRNKD5ZMU3O1irNv1CwGwim7w1JTbwwsJnlQJKAbSeKAiZB4XMQJ5N6wcbOo0FJ ScahSxVSi4V9RWsHEprVDly0p/sskpJax+7rd2yl3rpX6yxuyFvewIEyBkwvn5669gHUqOyKTGK2 31gdgGnI5VIeOKHvpHsv+UAaekLKjZTBKR2VeuU6IG165GE5K6uIV99i1NQBaqdaF0w5BDRP9hVY LgkAmfiVof7XLQwvxf5FXbqKCcCQbL2DQfURfgBzBLd5dl+zxis0XurFBVLM1cZJs2HBiqiCdMMq XSoS3+3vE+b56RmBrMLvbwo692w8I8Cgah+pMcuWUo7xBLmsgeGsLZXrzYNA0x2d7A5lvTdcSf2s jcA1fBQ/XWczYa01vw84uumL+nXYQ+SA1crMmKWGQws787W/2r8LnqJ+0uOPSc9JzoehmrdBNSqg lYd97uFY1u0bt2v9FmpIJWYCVmNmWDern52horagtRGimQ/V0B+p9zjVssL1LA2yZmM7nqCW+76X +jXpcZFwynndwfbvt3PjyNXd3w868Z4BFO/L51pLwIK8gnMkRoEfxhH3+ry+2wC4X2MGFPSjGHbv sA/f6AC4f0Z6pmy8c7mvfuTs54PlswTvrOR0QqhIfhwXtY87Ne/Df2j8+US0Mr3JkF8WLy6Q6k3u TGzOTLBxXdFZaTyzIyvivk0CKJmfNjSq/HRiMaQBpWyebyA6QbjCR4lptiv5X6r/g99nWOfsCeZl U4TCFkQaSQ+KwajbfZ9EjUYBOizcsNsk9m2VwpB17ij7s0yz36M1pjhS97OcdFiRF5CYNqktaIo0 bhiMBeRq92upguRz39GifyMXO6IkCeBVedDvsmpS60zAxu0j5VU/ajgoQtvBJ3FzYIesCAM9CkNI /IOV3w/nA+kUvA7YqUjj1pEy0s4Tl72oqCV18k/YzSoFnDVGAgu+iGDQrRxo60fNimmB8bZP+jde rc96gVLzcqxUY1nNRQL5/4Mx/13qsnrncgsNw/GCExZSDdjzIkhcAEz56qF8akz8+HQIPQkM3w69 ebnkBz7vUzirIgPaHNRMPvJfF1Z1Z9BnsYsk/pnyNC3gBtRIEjibiXkrb/xTb+nb69bfrLLLeMQV frzufdWJyEjegwy8ULuB9Uzuru5jeqYOgiGgqnSpLPZsX+vwzEgX2bgV9i6VmRM12rYGwkBA0HLY 4Isr3dmt2rOVl+ESBCTdpI1WRegugnzso6BLcbBZ2gsXAjdximZfHBaOLTg2sAya2nkpGV58vC7K lE8tk7P9p9eGMr0CuObVaSL2/OCZ6H0+4etre45hpC2vbr2xntaGXtZcoZtuGfxAoglK+6s9THs+ RaM/VCzC7usvstRLcUz5XNyPSIlZ6wv3HECPcI0AHUaz02pB8pnV9xbd8ksrEFKrYqAo/KxwrlHm f3Z09u2BTsRs/LKH1reSQTppQER8JmvX33xGs4t2v6Hew519XEWk7QfPoHdkoVnMM/C5ZkRjYTQ8 SkmtDWjV5syw+WpeGB8vfCg/nTRyjpHh8QuQZlpibMcEnMZAWc3JnicF/LBCCEPL7DA7OozEvDwP swb28twGzgk8t5nSlDeZWLbEPhAxMzLgu/k5JTQk9R/gO8PBDGRiqJR0LkHvJJ68mEgTMpglAGHU hgWdFFD/34wgJRHi1aAMOzwuhkOR9LCj5OBDUrVfnBZKzSIT/OdldRLr+3ynlCxS3GRbGkCJCoCm r8yHEQpH1Bipu8DHG2cS/3ITKJi2Pbbfntp7JxiFna9SrJwEDDIIIuXk5NVS0++6XBz2QJ8vLH57 JXx1sKURmh8skbcEDXd7z5zYDS/ohuuDv1Ti0w2IOSaiXBsmDd1Cr2AVtpeQwBovFqLSlVb8Sm17 WVyM0mqdyoA8RTOQ2R4ctzTs/GNyotecE5q+IUCf1YMUDMnmUtEoLlSFT66EwHJfdWPHJckVtKpF f0sPCZmIQuUeyULgb9DoxnbP991OL9ZbFviV7RZIpsBsKI14gR9hAKoryvlXO2JO1PUGBj3lTyZ3 /e/omTv37oGesRtOxLwdOTNlacnNlGzWCrumlnM6+sLQ5GROxr6YL/XkwUTiuyylb4ZIIytZTKWr dj7tHsKklTGYacUUim2Un9T277MGDwUPtVnAxVB4xH5ApqGdf0gCxas+Yq8Rd5dLjvl4zZiQG+P9 Y6YqUJrXZHdybGqo9rE4+k01jqNa/HPJ6MDnxaQyh1ew/deFqgf9tCRyoU7PxpZjEamKX70chCT6 56exkWkKYaMBukni8jr/F4wXKyRS7kpdW8ztl2m/3SSCc8hNaN8T/sl5U0bw+GGktkb+meo3E+FI z5xf+rjEhrENAk3OSjOZlR4+c69OJxFNVtdzHQXKWCFzn6Znbg2oPpbPBj4Ctx4tOtRmpaCJltsr FPT0f67EFlVOSMBJQmTCaDg1fyeEaS4aNQX8SMh9V0sNj2y2C1cLAsi5U0Chl3TrIkz9DLVo1dSE HEC11D4hluZDZ78Wq7aCKhVAuQaGARkVgfOW24cVXV3dh1ty7cJh4wmA9U7OxPY9JIcqVHdwCy3c RR1tkXuHlLvnQsLjx+ZMvHSmEVw35BLxVkKXcQLwebjvrmCbHS9q/VauK7ospkQYkr4GMggDo0uo Nc5JqCXieAtGWjbjC94QdwyXiqpLx+UDbRGpK6RjZkrqymtlSlJ7Cou5zHIY6OUSiyoue8t/MZoc uNQ4nGP2ZF9cVBHy5RDEyz0h22ggzq/Xm3ICTbhedNCEEfmflgukQpU+m9/COjNfn//2I6SfyROS PwwFJY+5uwTNW77LJLmV0u36dfK1n6ixqEp4rlY2GnGC3uLsA8lS9E1mzvrFi8U+nxsENTUa9eUd r1cRNsJ0veqN671l4XMe77K0qK1Vk6D0GBm0Wme2v24IbHEnauztD0c67TUUD34bz2XOAt5ikRl3 MrnBy0NL66F6yUIGoZfaBXErgqP+XhjV9jbEJ0MXlvQd0nGsSUWJp2j1aHng+YvziLJDDPn+tiws xtvobAVHOymMRVEkZrdzh6ZpQTTjyBziKryKO4gjnxTLhHe0boOic1SZmc/uz+UqrNf41P6ADF7E jSQS6Pl7DAXVcgyH0ErJ0lKX4DaQfmmFLpSjklmRHCdiuVYoorKgGo1GOpsbCQNdiw2sP/LvmC23 e9MfOrdFyiBRHWbNHT3DqF1G+UtEncQcfymGrfrO+LrpYb0pNaXRjX07aLIejFB1L6nEHCrxqhq5 KLS0eEC67UGhJW5UjBcLaVx1lfACkH0bb3JO1AEVHbBNk61b4v/qfZJEaJ5OCjUbSvDEEM1I/oo+ Tj/PP4RDXKqVx75RoJU068WFZvtfwGhkoFg4VCTt3an19OeBve2xDY21yZxC32vOHphSYirkFXik M12/8OopLwe/AONlwxav6tlSCwIEdjVFulvM8+IiyYvB3iYeXtGPIGQVB5N7QmOhfwC7S0oaUn3A va/coBIByXHzLDOxOzJj4F4iOtWoKYZk4ipybduQ3Kk7mrDazyVqPxCNSJmTmL3MMKAe4GMPiBd0 rg7Oh+eUAnooYnq4amBf7XNilUxu0DaW2JNI9+HOQ9oDswkJ2ahJUDuTZ3TkyH6qZYRUjR814aCC RVwr3XD/E387MnjXm0EsRDiuhdZd/B2jCZVE7jHy3rvT2lTQeR0RFmzse/0B6/kz1NbsbTut8OoU GbKMmEBZZ3RwgL+pAb9kj0l6mOjhr5k9DFe/yIU84CvlWaJqZT3IBAO2K9QSe3AKOKgUMoN9qIRY jh9vMQdKdqroN8b8Cs7ZgVLC4BX+IwZJSHCqAZIY2KZVd31ABLaAL9BNFcT44TSRHnfVLBTQjZGP +hvCTgvpaSuOIEqrwnxp8v5X1FeUTO6WRkav7MUZqAtCVnB5B8dmKv6voeaL/zqX73m3RP2mXdHE HyLRMG6d663FVU1ZxBL9WGIKRmKM8dqz4VT30nefXsYrt6ZzB5rHCmjYjPYXH/PZC6RdL9gxazhg k6F21IiPUp5/uRh6TqGI8J6ifBb9895fyeeLSRrjSxJt6k7FGVJKnBgWEN1rPE/+qcK551MA8cyi sbxyUJ1u6k/cNyylGnaZh45pykQ7czphyHnJWYlXwphR3r5tTCDphjaT+OsFneRCM/Q90PjJhBGr 8wRbfigVF81Pt8nafhNGmjG4h18JPxsOyNoPCXi8yJMpiawX19QqMWiHRlxXUMgVCEWFh/0Wcckm 2z6fjvTthC5RMkGK0I7IEMNEKmv4F+DEEXM3tZAdVhaugotKK9fSBKsD5o4nNvAPPJfWf0NMyHy3 ReJ8VPo0gF3DNH5WIzi6T2p5j7uYYFk7REmAWoU81L+gvP4XQNkzyK8hkkHYnYZm3mR93NCcwZRT 3AZQK3QKLJAhQmBFkxiSS/NDWPAf0XHnCPNP/Z8lMcLQDsUSGZuAH1iRnbppx+5bKRvlcA+Iqdx7 OUKCkkfubxD/E8PiSo8TlkRVM35xwXD6Kin3ZEikg8aJdSWBA6mtgwIKLEQV7ATM6zJEikLBuio6 z6WGDQLQ/BnsY7l2NH+0eluAufv98Z7Xc5CCrfC9gEaR+ghK5E/CDfx+5yCQ9RugW+YkB8pF2meR eOVvx4fISnb98UvwkBnLrtddwLUjfLckJ2umweLnZMKsQ/YHPjejZWT0sgFlaORBi+fRpPsktLcQ HQOmdsyArOb/IB/1VQ9oHV4nncWr5PFj8dM0vcPVRuLASUDgHBlQK8sjB2QGj5pQY3dJbgrcAoIh Jfls0ACJzWl0LJt4A1Q4uQ9ehUBXHqPYjRhD7bGUPKic5ZVgS/grkYTmAghS91Yn0cu5O54cECmy J/yT7yrXClTtG9L5x3z8AH+ytTcLtJJr49yYWli2FCoWAIj7KqdxwxShcyszySvScKi4232wAgY/ nUiu9O54lm7z2Dz/ODpmw/lrZByhFsf6CwxuZeGkGLhBkDBUB8AGaMrYXgT5L5OQJEoFWxcRX/D/ WrNS14dgFvyn4x3VFXuBho7rA9tw3VkUZ7e3/JA+LQdkyt/ToKMrdsAtTF9RlW80pIWWWqmSw/Rg xR3pLJaxohYX7lpI05I5eujI2eiPz2M4RVdTMnmKzN4Qi6nFZt+PQ33/LmQUQrJ7+PAxAKzC0aJ0 2O1KbjP/Jfh9QzCOxUmY9GjgR6s2Y0jEMzq6XjY6RG7qyl1i1zv2SDqcbGpKmHTGGYacZfAlB7Ym TW7yFV42jIIXGLDvpcgLUWxfcdv3Sby/9zjrfJ17bZRDFTKvLHs9TAVw9DfEFFRCsW91erL4Ycf/ +I1/9hKoX6e5Xbif8ZrqBkjbbJdRdMbEwptPW6NI2WXLFoF1wvAWxIvM3rEwaX0tuk/sxGtcyINO FeE8caiktxIigTpObLhQyMt5Yrwu1zj2CoWETyfPM6NNx5pj5wSyizTrF3EX8CgbbTpr+ppfkF/u baizSnpQu1oIiTKb0v4BXFhvQEZEwnqenXee+g10dti4kY7145qq7/vWymvJdxm+rMdGaJdCrDaR AEgmNuRQ8Ymum0d2CHg2y2NX/6D9btOKbAKmxONF6tbfMy2UWpsndWMBJkplmY/H7R+Ts0gz1vUN ++Kz+uJYiJz7nB2jPv6qsIA4QVMxpOIi+5EMtrJXWHUscMKdK4IxE0e3ZrKn+YLnNbszHILyz4Bz dP7crcyoIT/7WufD0U7j3WjspwVBJm9kdCTcRHKhrDvAgyEcKttBgdRk81u4Wx3JmG2QwNhssAYt OkFI9YqaouBSHU+D9ZoNuajic1WBkb1ilUcvN+cp0zDysd0WwPuJrnbPejgV7/Ib1EnN8h/DC22O R/lQ6qW2SwafEb4hL1SEKXyi04vrAF1m4oenAfIEckA5j7RbDyeC/CQFXV4J2z20ixUGDvgaVGih hhpjH+FBLI3BExMvZQNQwPKgU9MZYcZhiuTjYsekSJU8ktNJkrCP+WbHp979XMSS45QliEtU/EP+ ZShWLuRgFG17qLGU4GLmuUtLjncng3p8UQotizlJ0GibuGEdlmI3CBE/8R53X1ruc7qUOBx3ogXR VdoCE28gNmgitwzUQ4znHUNjRY9zYQvGDQEi52fSxX+Bql9gp2RQVLD1i0RsB4D33XBC4H9dRo9k Xt42NoJHXrm4c+DfELL3cxGUZLlg3E0smqXqTvqIGJMkWHb8XuT7W7ONiDG5PfL7doV2AqJ9MjAW zLJVUgLlsCKcD1ma4hoEHD/MYhF1ZOL2VKRlImR5dT99Ap0ZuklVv4wnru0OrGIebdvvCa4VysuY XCAsJi0KZpPoICDA2fApQGZeLD2Yb6PI9/Dx7wMQku7ULHPkQpfUcWS40+Ys3HUFCid5xhhrLKJg S/x8gxEcT/G8aS25/li6l7iW2baW606Cl6JFXRQZnDsxezz+mRVDWKzpuKZgYr9Hg9z4hQwAbHIi tMUHoHl8JC5ppaT0DR0FREWMGUxmQJ17DZ2oVHLTDPXwOAXPK9x+qWk5ETrYxy4oxIDjmVgAE6dL 6LB4DfWNfL1xE6Rq45hBVB2PbrKiUFIGU6vj2ACkmErS8trdak7UDbBP4uAG3U4U2act3cXJNAHH pZJmS6XnE21taIT0sMYVuOwLIpmD786zIg9NVY08gFChfAGpLZq4BVcBEY3nWr5SW31OIIGOM19y k2BlOipMEjTe4ITxAWA9weQwqjdZbQ7q8aUu+Wto4aM8XH820qKKVHGhHCcSnhHGTrlntk53W5gs DfZBp4te9B3yBkLSrMw+037atgmAED7E8A+jBkS4eLGK9JGaoDZ0GpGlWU1qmkAuWI8tpJP6tEXC rA38dAge9qX6kHn/M2dRZ8bkis63vimU0qXNnpZV6LUr/Q0MfsXt5TyyNGumPftUL3lNoBqidcLF jWxesOyuHpI9ZkPYRa3H45bvEuJJ4c+j20Zl5Ll9jqtc0uMLtSUYj8sN7+RD0EtZlWIoIEcO6Wiu 9WLzsko85TLcinxWdM3ba7WNPFvki+OAw4wtd3E/HoB3I4k0FMSS5A+bwLQvihk8lOKcywL9ZS9c cS9jQDQ6WKszLh+/gtJhnHoRuPxyrGblnKXVN03TIEw9vNtNlqoLm0xLsTnpemX0wrGy8cp0uXZb kq0qcU7jja+vl/IIbMWzXcDxNYj/ewSdxrT9eGi/+mYn+WmRlAeOGfJctIAQCX/f1o3sPTFvj96X Alx0LuLhw/V+pdcJxOOvlU+ciGtikxtZtIYwgCRNKowyxZHC6JoesFtjM3mtjFlhgxpoVFphNI7z c61N7lk5Hr0t7bApoOZ0ii4tg6lC72ESN93jwzBeKxZit9C7Xf067Xxro1Ln82fQGOjFFJpl6UWA UFZMJLag1B6ahHd2ibSmNrcQBeBNwqPTv4qK6S70M/1u9wF636vA1Q8p5YG1OaojBBKzehzw/+Kb yInWdOhLdH7qZ2B2/5bqz80Ke+rQVWvWN4S3qETyOqi1fi9JY3MAJHpmgoAXoUKPFdVA0Ii74oZl KMRGE1YQu3WpoGOanIamU68FfGICjBlHQLfllOADLhPOK5zHunZ7kO5d+m0henXQc59IknbaFe88 HeBsn5bXgnOg7wYkmoFRK5HNaczNUhewNRRM0hAl3Am0XwR0TZb8SOhNhZdqYSjKQ7IKvHkVbZiD D702xJCAjFqSqpq+4MoV92dbruoOHAosMgsS6D8ux5h31kKvPYzdsK6Aen2A1XeINpowTYfZMwrr YDxBEtXU/+sUwZxPSMD5Rm2ta+ltNQdcYDZYn4Weo3hPLvjM5YV/SIHu/CLVxRuFguOT+Jt5/9Un cHxYm0TIXnUPh3tlj8V8xOnbft3zXMnU+GZ+1bHOWlqy6UhO9QacXan3L35D8IUj5SBzYrcQT3K7 aQiOEe6jPbgiLFS9lXo2XAXNSG63Zt0yxZ6AMsbQpnm15n8+yCYe1iqjefNQpotoJrAuGiB5Bcez GGmETlv1qXIK/iv7hYRKWz5A6ji7PuY1Q0Lcl5QwKn0NotNU34dbQX01B/dNI2vBaxdmPLASNQok M1wJQQVYM4FkuL/RsybrPaYE5HcpiJPYrcOMmLwnt0W0i0iQBOexbKvr0ZVbY1uq3vP4k+btLiA8 HdrMpfeM28/soemKAMyKeV/O0LmI0X6VLH0vGDwRSD30cVMnP/1vhxtU0TJ2goHVst8vTPo1LqKO lQ12kWhKuO/JH+Xes0UFld/ejBoj7dGGauGlkBXu9gZC3HYZEox0al3cNlTEIikQqJ6cHAqxJypd fSFNVM1AloElCmBdpl/4m4BEWQHRgYQ4Dxtj/jVlmDFZd0AO8HA57qmPl29Vq6KBtjU0q4P06Wi9 yj7BravkxqwVQ0NjcEAFNiEcwL2CrZvDP06sfvOO1NOJt71phrmH/TH4nVFBq/h8a26iNLzMDv6V N8EPLHLhA42hb6/1IbbnnjRyVzk6f5zXh37LiCIE6CA0POHswGtKb2qnprN85rVXsFRejnQiVL+z 1VuIBvXnxFOM9OtHf7FhDUwM1wXEjBt3tXdbsuwFWwmlMRXkVQo8KFM913kzIsH0JjPRAJ37664Z 9aqC1tE/+gLsTVEqrpu+X7v3UOCciMrIfhMPvULrS/OK/o4YE7drntDvG+k3cHH7/iEckJdCeLSe o1A9zcwcsW3LpZC0kqcQh6z3gdUBiUl+eiG19HNo8fmAOXSzmqwKR4gwuiGyskjcLuD2kXckDQIU LV7Z5MZR4U1anIKlslTBU/ghd6nmEk5lCKKnZR3KENOA+2kC6r2B30Kof4vJeAMO/SDXKe18cS0V jQt8d48XF6nzwUjFAYDIItIQw++D6bCB8BRDPeQnLtT8Ow3thl0UB0ZScIbxr6gjnxJ1U0MSMuut nYUCGFVmCTmI99W5vO0Dsl7VqhkzbczU0G6xJFxdqLHaviibXUkTjUZHj/DGCmgZUOd8KcifdeBU 03OGct6zDRhuW0w1T38oX0gR8tuCu+BKPYTo0XpzG7IGTt47eEp5dzhq+yOXRyMDLheThZTe+Fpa dgY9zQfcsoIS0SoI1I36n7/s5E2wE6JojLITNwzyAs//TGltqf8QxTeeBoD/U73jVPBq22kgg6GG yIhDD/h0KazsvI629nhK2SPfdRY3g2EJYTy2bKFE77qLCcLhv/Z9VwPSKA66uTj0NdnH/ewqRJjx 7S6zHYMGbT+hKWtiYQR2LUuTYNmLNYXlHRSFl56NqI5ZnOy6YMGCJmQAbSPy3EFAgTSuwcEZdzEM JmuHwc8nJQBwsrX7PpCZlccnZLiObVK0rtUz6jsLHGdO58zXiaFf/PohioaQZe8o4wy7dmj/gStw 6bxYKKAd/OD8uuoo2ZIK4s+xm8odJ2jP328tz4z+1z3vCIT+xuJEX9NxwukBnwi++daO7S4O+Dgw avLz+nWhSfKn5OGzdvg/BgcSNooXJp39mq977kaLIGWOLUtD7XxSkqejlLrwluLvedoRzm4WdO4n bmYf3IMD/zPnSoErLxojl/E2oQGMdEWobZnAHyHQZfr6lQVWiqeuafTEvhxUXCHa0QOPFd8/Tz9+ K4lhIiqZdpB4MbeMX9vSR/2q5PY4TzEQZrQFv01mugOG152T5P3spO1ii+64zGxEEMdjRUFochfw auaMcld8wsFg32LwkAVU2DovZrUGvkbmMD3tZZ/QSm0f77lAZPpYJFRvNjgI4ERZzIaTWmJw0io1 aPilwE4WoZYbHUFyQsrQ/VMEc0bzgmA/U0bZrDK+x43M/YverwBYqnbUoljHEyOHLB3NJJtv2ECU jl/L/lQyQbMVUPlgU0WD/Gdvu0HWNFAoHjcre1cO8cBhfJO5vRL1akCOsPhY5Yc+DrnYzc9fgXQ9 oATHSCIWaE+389uvEreSfp/HyU8D9GrIiQO1Wbo1i1jCP0fBpegU3ZStJN1tm6Mq1HISvEy7ZnkV oJ0MgkWX72JIxOEQ4a9OyegOqSBSshYqf+qlANIvMBL9OYZuzgY0kUJmnZ/hEdfn95cUaCVcjL/U v3UHt+kBG4Gx9V1g8KJJ00b+evvIc5IosViKPn+vmUSWVWGK8U4DlXz5UjB3YPSuqZwq+5S7Rsk4 ZDpwojb8PVRTEgrYlnEXygnesvcPQdLOSeLIVDzMR6R6dkcZCCzNyq3ivFq07gtFT7BfvxbcrrHJ zAAB+wEBNfzoDZlNTRg/wFsLiAHLwvK5pldLLA1PN8crqOBYTlPsVpGo5TMocPPve/8tXqP3n/2u f2v28gmz2WI0OBX7GB0PRp0ZRFXqtsDGxDgdRh87OnvPimj7MgoV28vA5KKlculEE+kFAk/n6sJx WJ3eRXxVXHrYeTQbTLCVY/rXcp+wFhUiVqJ2xLI8zCJMHTFWCTP8HoIvFJXehNFtzjvSaR5pv2rb B698wtJz7erLrVehL/gsL/4tVDN/DtfiREYavZo1WrqDncdtqD5hq4l969YQ6M84t3Ll/2sXeZn8 1oRZ+VEES202vay/SDL3mFeKhG0ZAC7kNCCdu+pqW5R4LKYtbbxxXvWOusLUYxw+SmmueO8HD1n5 ggQ6YMOxfnwSDlRf3xgqh4lpjryHrrWi0pcsk7PuPC6j5I2gi5QCKNaRwJ30x/r66/g3HCWSssho F1h//FOywZnTTiS92ZV2zdyraKRseBREdq8faQJipSzMUQBG/BeFj+F0S+S2kXUSRBMwjMvw22v4 3DtfCkjKKq+I8Il6OR0JFDTT9ZB0PwM4ZmLA9GloH7VPXAzTkMQCtAf1onOBiecKthKe/ditxvaN nCYOtd9av452sjv8/2MHfvrON8GgJQvpiLf1iGooy6x51gzzSWLEONBsRXRZqT/4QSHC8JdW2WmZ BiIt9M+PC5/8oMWE3nkykrLtGbDUA7e5/yRxEPDOO7Dpg4oKmq1Zza6miBwyRNtPGyylwAEbxXVf 3et6k6lrRQ8I/D8Yzsbs078zxNdc3ZR7Wr6EPWyL4PZjf7o5bnMGxDK9Vy9CGIEduL0JWFpAUZhx 67c2GKDn4ufeFpIfX9lZYbw0HbrCVIqwTtTabeoP8+oiLe2tiris8rFjj9xztM5LoaS97ie3RMF/ 0pLgHlldEeOa19U+e1bzhNKvetju937GLVbHYnEnkJFirSogkVL8Wcbh6n4ymyj8KzcNsAylYFF/ VXS/GclLIhl5sPMMFb4rHsbPuZyroFpfJbmAsg0HS2kmg0lz1k4mHBGCzwJeJyMFl0eQ+qetXgVz psLq7FVNPFpINQK8u7sZ5WK8LWcdjnbfyG2U7SNFKWz3xE0WslQcHC9PStBGQMtoyS043WzrZu2H PB5all8CAHNyB9+Ev1K/WHdKiFCKJw2h+2XLGaSbrOUt12ckmt+tjbXuOCGDOmd7rT11T9OaYVBx FHfPsTfuiFELs7SH1KHCjvj+fg0OPN1KA3edoB/tSqOZ02xhMYLmEhHiAo6h9LqX3bd47z10qUEK 9hy0/cbA+MyWBRBSOaJScTSPk3JPQrAzMOMScrJ7jSGAwiLjNbd8uOPNTsp8ZCiLxhGiwoNZpwNq iJE9wYL/MIGBcjQ6++7DjgEld4L6qnnp3Saw+5uU7kYukfP4i9MDmZJu2buVaVIszPPaApWinsNX 5YmQvGtfn6aET5706U9bhM4PkbJqrsYSAM8Y7y840rPDKem8hu0/BYOY+RIlooZizUyhSyd7A0ND 2SGHCWT3ssJTAeuNZi+VlZqf3yoxhSzkblrpng2lGru/qiZLwHX2WIarpFTlYmZ9gGUjvS8x964j Y8YzK5GvJugM6dMxKso5xP+DUFmCGTP0vIYei5Yqv3zqtYQl+CfMFJzVYrz9BR7we457JzHIg7B2 Tzr1z/2fjMkJsaURGvQOGGoGoM9r4FYevfMZ5pDsvLBGc2ryQjfu81NhEVKym3AP1Dv491eVKCW9 DM/dUIQGMOzi4VRbKIc1mCvD7kgk5skzXJG/wQCLuy9bUFPSH/EL53/0bpItCvXR0OGU/zkU8XUB 82Lamd3EFbx7SXfSjJ3bNG9X4WqdNE3CS6Zxz1litW+e6mYkOvVZ/QCkTiv4BzmyXGf8z6u8Zfcl PDSlF2cBxdm+SB4QNx46oNoGL2J+ni2+7Jb6JYGTPpK44VrklKXdQeTFJ08JcarFG4Yu2DL2puQU MjH2mr8o5m9tsx+Z+ZRkF/sLN2xUJmG14QmdWBOiNk2wdjoFC9j35UIC+1ApsntBRZY0LEkhjXSx YK+raiT3BgGdarYGGtu6wltnCSwwyECZG4CwTqGJMHYT3p2u8VFXtfEntfPb2Fqo3pFb7FfJh5Ce gPJbMPfTnXtTUmTk/5za2sHSKcItBen9A2Wa8iu2sgLgyj3y6S4O7VpI0qb5wnJzpNQYz/aoled1 ioXmTKK61vSJVWMVCnkoCp1CQ4dkTBYJ3w3vOsNZvwixS5MRT9lk57tYmkLD4zrkc10yWzUYMXVY +WAOYoazsioEPWwazypJ+DjPv8EkLJEhTUhsKc9y8maZ3yX3VnGFjWGtF85emYVkZw9FcgGnOBWs FRLWybkBLT46Q7zdeOVh82uyVWpzwyW2yIMJAwpuGbNWB/9dh3CD4CE6inV+4lEpa5MdoUURXk7W a9u1w++GGoMwwbhSHp/jtIGtBJY1jv1COcdHHTB9Ee1XshJUNUjJQ38YhWsdiNesn4GJTirujaWy we/CH2wNLK8brOJd467xBPgyJXF2R02/swwMqoRZpBF/qYUYlfZ9B6pY9wioRRywbZ9m8IUGNEhN zbMRUp9b2Wkg+FxlDXPUm8PK6uvoguwIZ8u53mSaEZTYWObzyALd0QdL9dxVCJEVZsN9Up6DIznA 75zKiDNOxgAFkhWI4kxOHCbyKX48o5abp+F+q1kBME99Rqa+979GL0zbbDuFlqnS1pwSyyDDNoHe CgUvEyOM8aCb0X4uTY2/xwb8Yu/kwmtL4Qc9w6r7ypBkJhHVW8r+FopCTmSGgFs1VVj5PTdr8Nss Rj4Rr1qI9yY9qK/0oBGhvkd68HxX5N3JemFwjkk5yEGq1R8QwuNQ5JDNfqh85x23yO5Ow+24BoTQ nSNJfTJzNcIrgDYFjhXOiK/cmc+O+bLGJr0JlxZO+1kAUNZRcQcN/HPv+ZMi2ijxkWoBOUlceLcs 8j2XnjOcc1l3R14yrow//c4JjJYyhmehIr3FzfQZTblh+f1Xzq8++SbY40Fw6Q7GIc1LMoYKLwuq YeA5rKvf+/e49ED7Oj0Ve2J2bCh2zQT136TT7+qBDP5d5z1M79dDfsqAVVNqIDYoEMEsAehZrU4U tKPbMujdh5h3hMMrX4UBtZXrtlwGB308yvZvFgJBGVx565XwVAGmvOmdOmwB8EOqk1Vvxs+KSLqA 2gsmTjghTHQGk/T3TxeEPt9bOK6hNpebFDtogf7Lmo7MoIF6yAO+bWe5Vlh1cc04io45sqHwl8nb 2RNStugWZWQhfrca8d9byMGgAe07E4YpO6P1ua9Ea9WTJtqKeuU1FAnHvutrNdNZ0oyaqJFzrlXe VTOrf7+nkuc3Cf5XqB1/1UbSvOaZR9o7rYaoFbU14T6Uw8KVBjtmFHvfKcmmN76x/1Iy8y2OzfIo CMcq0T+NPGH3Tpr01ZtsnngVN5aqwWFCpMEZaKOruBfDd8rqBpwfQv7po8U1Hn8su7Va2C+MPIxZ Qk7PIwtjsJfmwEMeJUfi1UR+NnvXK+ZSEfVJYoB+dFctvufeQYGtW+Wc10KE2l67Kp/FiVY+Up0Z avSipsDOGc3qOp4LGgW4HSg4ozdcmDlJy9UgQNV4+XYYVERVT/UPYjB0GuFyRWCBdNzTEvEvK97z 5/RodXz209SJbmsfGCNnSW9mLxZouuafalJTYlbB8xnAtCuYP+m2REnshcEsm1Eu7AOatnZPGCp/ L53NdVlkVTyk6pbGDqy8Vyq3R699qhsPR8sUuqBCrKLIBhGerF1o6kgU4Hd4IQfQHL9dxl51gAZb fx2VVDhsTY4gAe43614g7cskM9Xx4hxcIgAyxlrAqCD8vHaZDBHue/ZRpsB0JoIQN+U+M8YuHWfX AxjDd6kzyCIg18rQ7khijJrkUnpR55qw8zfvSJwdcw5uitI8jpKXZHdvbMYyUcqExTXp07CxDKSG yg9GsUS0RkDpHTnh9XDpswF41Aj1nxaaHFNEBBdWeRFIaKpASZ+iucJ3ZMOpi8Lf0cDfHJmwfCGt MvaAkA7su9Ye3NT977hUwec3A9smobD37X4O5NLaUWaP9/zPaPW6YFGB0pkEx8FGrYVq+nf7BpXX Po3mdxc+tnF+jrighs9M6Sc05wCzVVam49DqQFXf/OAgi+rhXJxINuiZkJ4zJVMvCyiatGQwW86L o6f6JJFfE4Ms271f3JuZH9X19qOAfe7oli75+lN0RFxfSzjPJ+Aee4gbU86yEzTwJOdBW8yDLFez TMY/TeY3SWvLJ/jOnDOhC3LoWRtoDgwHG+mg53CYyj+RhoU5kjrlkdpqhJgF2TwM2zHb8q3h3TLv IirL0SLIIwopyiiBxDXO2vyspaixmAjYfdaKmsibc8UdLVMiBSpQPKlegjzDgHh/QMR9sVWnMTMv TCNVWUEYNNzGBSwu5rmicguIwxMZ6KIOlnjnoHg1G+782da7MJE9hpleSGqp7fGkD5nM/Be6vHAb b22Pn8bEuI0nYsSAXt3tF1kLEBnWa+MYoJ33rTveCT95Wa8MgwVhUe44LgNbm5IGZhXWOQQnOMY0 kT9CapzoTMsfbXocZtDId+BQSJn4ZJ9xzPO9sCbZ6sBxNK1aDkjX/CRrj/cixy8tTQbz8H7y9NBk XmoOP5cmA/p54K9wBoXzl2dX5iPnAn5uIKp7njHL9dYnoR2dbwiUvvwQx5WmwOknipxXJ8PiSpXu ZjEDIB3gKafaX0hx++NmM8NtlsKabBMB0jCxHxr+yefMWGlvE86OIJWS4AngvRlqRM9DQlbJXgUx +sbmacKddpS/5rGpqROTiC0JvQG80i71XcQJeGP7Cij+kx6WbdW9yc2pCx8DgP/OPkDkrBvFUkru knF4MuHDkzSsggxbtdTGKTqT2LWhL9wk976rZNAGWJC3tKgGZ3+8iJvwMGEc98N9nMfdpJ0lp3bx ZdoqACPiOFLOds3bt95rrzPC4+3ncUvq7TogpTwS+C/oVvUilVvnDaKwITMCnlJl/yL4Rm7yeztJ X1XTsNfxCwd1hFZVX/cy9uJRcYtaTT8TcbFz8CCV6spMsGNlY+D5MJN9kqP00hpI19jrihBcvMmW 78kRubTEGpTDDXwi/QKCEya+yDMphBRucmW3Wc2m301LD7PbBGVWdU3vh4sxD7HEwCHyyEqQW095 QtnmUoKWybDMp/oxcilrsvInOJTcN+SzNSyXtPjm2R6MHQTwhaftRvReOBEBSHsGecLYMAdTV/1v xaHmEhC9C2woft3TL+YOpFEaNrwWFrBbkjSE7nbJDjvsfVZg9rYZndo79+7XYnh75tlVC/2H7/bl SaZHKEsKXn1TRAU6Rg68cZge5GxpAk+xcLqat/+1rw9exiIRTZ4Zsh5GllF5IRuUWKAeUQlLoVeK 1w4Ims+GC2nMGaavGstIlImvh5fj0mErV79GYm8UoV0UYHnrWElq+yFSsAxI/euSThp2yWQ6CLXD o3MC8+dB2+OdfpzJrM8ucLtqNO8R2j+TK9ynG35AAaTDdHzmqeKBsJoGft+o+9zn25RF+jAnbujX UWcrVt5JGIAsTkOTJlngGhZea8FE5fHnu6Cxt7k6CexRR6McBQNDCsCE5fADQd+V8wSMRZecRTSy YNxSJKqjcco19enDQZ3L48dxLH3eMLZWcDY9cabR/ITh33VN3Uo0G/JY/NeSJ2OMcW2B0pTOxQBY ou9X32QRGJfsWuZ4pEcKNLvMTd46YZjx6G9bzC3Zho+YqzR7RpIL86snZzNPWyZuaYHrGDXfB1Qk df1wZG6PPeEqKAKVeMwCDzuLRg8FXkTuz7ftNpNlo+zFUskF1CxRVJP+xm8R51q9NaepstMjNrpg JVc+iPMxnXmys8vcGqmOjZDIlKLdjOCZV+UQR34gqb+EuVilfsEvfKRG3bs/v1TH/rlelPtlrRvK fN4GBlzh3xng4YGvcGo/IMz5iw2EkD5xsDnMxNHE7VL9rYpb8PG7SQjX+5qcA1PLGR4I5AR3TxXD FsqSYkZJ3qDWFcyDFL9SeAPEPOvvWtzrjsFogJYInBoBrQehIXGjE7aqRQCPZl5AtLLc8iufurrU /g/Q6G2zVeKQMEGa1mXnDCmZQS+wFcUb0x4uwQxSnyzVKQNYB+Oz88pom8S7D9nTrtSLkD2qvVg4 AAm0A3OzkMe+VdQ87xXoaYKdon6dQ8Ou59ZlDBXrGoF/0vtT8KH/jf+DjREArnC6EN2RBKCFvUuT sWeWfLm+9dOaJT0mkcEkNdfCwuwb8iUIOWpEXoWchliv27R4jNxx92g40OC8BzvEW+EIXeDA5DW5 4jqAl+D7jm790tQ0oq3GlxDcYnaAewPgQ57PFW/d6fmsJQonWgN5CDK3Cy343IzdW1uRWv3eUUOL +cLUsvlEFvmphI/6luimn1dQdYqUo5Ma5WAIZN4cq5270btFRQm4Zt7xkdZsCAosz0fTGh6Ppe7W Y4pLHih2SfGYduIR6jeXqBo2NNLj6BKHyh4lIyhtH9dj9oz4LAmrMyg+ExE6KFFU8SQ9tg5UeC1H 1Axwmaft5u3hufOeuym3/SHxVrNeZ7XFJ4y7wmLlDNS90lcad3q2v11XrqD2vqRGAGMmqu704Hki DNLOfgeHoF6lGZAuiNnyYaMfG3P5tQL8nPuN0AC/VkJC15wbjd6NjppdhHOhowSsgtb/XYsNnC2M xffnDAabZr9NCWWjWzik4wOcFVsSdCYp4GK5CXR1xd7rH7pAafQqhSBznd0/C7ZnAKe7SyHrvq6G cGoxT5Sr5vXFVLU0R6caNdyYRmBB7Mpc0T44vs/UeRM4E2mMIJIrW90zN1obwcQEykiJBdYRM9wS a3jrOqQ7ZxWD+SI8fLETT+/NFRgEFPE4+hlb9OcRqRCOhvFhxMCTnOvKwXmT3vII7GxuAwMuufPT uM/GJmR8WQOnAL5nszzl9eYc+8ZwSxE2qi3Gyqb0YVNSE7rypHbVWiZdzsgu+BCrrGFjj2xXj6rA zKtNVIif6yR8tJMP6v8VllflJhp0GR/+PHltOiRwG/swf/m5iPQkK6KMxbBIob02DM/UubRJ8foW lTY0Yj/Ct80j362R988k3H4bFmLTTxwqcnmYT4bKw+4IQPuLhgq1dZV6lks3kzKGHUpD7nM3X7dl 9zkiXUbLK6N6J+R31xkBQPEBKvX++/bvW5tb3SARm1WxHROKPTpCrRCK6w9/f4MGqbxKOjG7N8nj CT8UsLSDhAQ+pa6BDfEoPnEI4bAhaRqmIQqhM25WHfZfxSJRZ/9dSnYIF6lCxcdF6SiRkWzxCmfE aitorjZLK243cKYCB0BWW1mlWXRBtDiU7Q5gmw2U1ZuhKXS0/eCCrcaX06jw3qx0txFGOXBVtd/d I3xXFANNoXVADI/2enBZLB1dfhsxwEmVshB/NOLt7aUtYGqmdgy1r2Y7BKHN1tE/Yzc3lZMHCdmS E0nDPus34Xmb0gNJwNgUft+Bi6UjGI898ZzxY/bBrGkLZcnX6r4iDjWiBdHm8VgUaU8Q/c25zwiK eR4NM0+Bluu0bwrSchu7TBq5kViOC9L0KHXfd5Op4ZpO+kFh550CiTvWh11eEkBl/XB7aRFTeUND Qxhde6xdb2d/O1620aItQAQOusutCdBkFNM88TcFt9RooPjsj5j1r9AP7FjrzVJl6+4WvowLYGh0 tFMWZWc4JsQVUVBx0fGjJQ5Fmb8pO95IJPreXFT0Uhw3Hi1zrA0Dn2PupalzPBg1ksP1pKVaBHA1 Nnx/iqOku22ZOt2okFOEXb63mUnk9D8FX/JSsmwjLm74CKmatrvYMIsCMYnZxmYu5x6u/yO8CwnL 4Xwe2tJ6S0Z6gqO4S5nMq/QpcEh5TLcmECLxR9Rq8o8BK3wZy2ICShgqjkCnle+lQFbeXKswO7CI GgDXClKeatk5D5ET8slT2iiPlJeFqfv4SI1fbSvsjYZMpm+/spp7NRpvQnYkcLeYborO9wrpd8jv HgwKPNAw17z6uZR5/VO/CuRIv9sknwzzJUXVDH2e2z+dXx/AzXPRH1QtShIFMsDbkeApU8ttC2og hfRnkD62EX8wT3AZJtNFQIQtyDXmwgi9kjl9lh3D75vHv4mpjqEY7XKMYoYmelPg1aHrzcFJntre R/ooCQMKp7lpPr4zda+vK4ImSbzpMFqBUvErEBAHXPt+tXZfDaaeUkvuJyKPKYUVAE7ilX2MsDrL sS5uUlN370FnKhBRHxpOQVeyhjhhkQOdUWXgZwi0SmjJGcM/Gk7quVP7JKJu8+3Mt0Z89C2VHFFr Fe93pHTcMCP+dx7ZPdB3QbyckEue2Ajj+TlVtSlPQLak+MjViQCYaTyceXmafzTpmWWpzFjaSQKR Laicgcp8CT8sPSFAu+MA0O2iIM8/QpyFVhPCWviQ7pZjcY20Zk0gQeZ73jb12Fmdf7fY3200RpXA frZM83P4VvxRgQuEYAqSkuRbUR8O23NlEMKq8GTrS9FMH2yVXixXW0nIKzhfEj0tYvlpS7vw4DJ0 IuL+aTBuXzR8Z0VjR1WAxpzPDpSWbjSg7shiKW8iNyNDIpwzBBfcQ75LO7I8vGi4S3psC8Gocc2M paKL7CxQJ44YUCCpqCVeUfwomOHiUvtAMNpCN+ZA2hhH97uweruhMm9ZeEqBQRuLhEP6i9ejTTRh xjkdBo4v9UjpBmujvM8KGJLuNLsuJ06oWoxqZOk6AVfST4kXpWnOPz4+woBUIQ7geA7tvN++1F9j WGVN+JNQBj8jutwRI4tYwSvDLk8TzDv+BSA6HHFE6DhZDq4Om/Yjvpuk6+9uaS1Q5fALHGbHbl+W 1XhbYAY9DA5cZceCRzBT/fDO/zlmEMpP1NVoFwvkmZiXGZKZVm9q0DdD2LZcyD50rXTLNJ84zrQU 9ublQW238CJ20dqumkwoyRX9ds301AzuWVUGmkxN0c4DruLEUOXm3lZfbf+hXBEjETwSMqjDk7Cb hkcYyFj3g9gxmg3JsM1RAMphN62B5T2r6RPPwcEVd9ZZxCG5QlYC0cKBA1yqH2oPjuBHf0tOUU/i Qlwl3vrJmuFc4TwOmHpiKoOoXHUI/goTbkmfY8+HfNWMeowJ7odXbRaKZcD2aPCncA5uA+ARNi/A QRUUK2AaWwTqOn7DuYrA4W35bbsa0VDpnMd2Ma3CQUlU7lxzRJbiLhM4VtAh8IGnkulK60qwgdZH ifJKiUiSMk+E7oGg2VJzksqGPnklhlKTGKwCtovDIgv4gis/v+zGbhtf/3zy6YIYfW4M6vQwhUZY P2lCIn9zFbg9qTrup6y0donoruCG9QLYxBon9LAiQtTbaKZbYwnGQygz7pF6JfcMIPf0ANX1+IDX MtZqwvcuYk007geOGumLNkFX6D2suwpex2G5U2njDOL/xTBcLZw0p6vO/cNXQE1AEbjMySP2CK6b DTLJoXEvWbo0RBemW1096NPUE7WhLgGAon2laH0z+ZiJGaAod02WdOT8B1E+LR/ZOajeAOR12rHD 1vFpEtFyF5iSNreh+MzjPMNvQNJKY0tFA1gNCWXno0ruX1lplahq222RxDEr5S6+YMIAX/bWiMpD f47rUA2Nwd4qfBQJWZWMObS0ImWO1AYDso+YrN7n2kYTuKhmC85wzj4dUCQIprXchNUajUKJn9M1 eWvmoPg1robsZ1KgD76h0pfck76W7m+2zeRN+pYS6FtvOLbKigfK311u6F3Hm2F0tAv7JS5yBcSC D0Q7B/MC/D9wCZUnTVcyg4c2Ol+KZCDwtHGUgFuHbkYmXkqpFi7gtMmbz2V+vr2rDDNgrT6hfNzx tEmIrh3q82hO8t/btxNJloKZZOrlPxyGfiT+KRDkUwSY9awX0u8O/i6wYythv8WhNv9Y79CFTup9 R/zf3h0sdmw/kgl2/AHxZ27W9ttMGqh4zyzviRSr8+ubRzS/O2I5pirBzgOCiaSErs3b3x1L8krD ofSzWY1OVshB1qt1RVKokPdIu/XOskpppDZtFeE/ZAWxX6PPcgI+S58I2rDJ5ppsMuwTd5hTW+ki Lp+1mz1h+GKmYwY+z7NHBNkVSvQTNlCeu4qSQmHdxXM6zB5zDZWSmLANbDdwd1YW1k7ZfK8VcsBj R14UEHOcQGqgyJ7MvS2GsSFrWJa6jfl0OA6+ICrD4Q4qAgRwCRd3Mx6upMutkAMd8O1FF4jmu2aD StjXYHi2dYEOtZhPOF/oZEU7bH6NIQfY+fNi5Ju/rEopioV0RkzzJnDg5VtTjBybekxD8OkbnMk8 ccJOUAmP5eteI6Q3LiY2aBf6FTW7J7LV3ffC/I9sv6+EhZh6PUP3pPjKyynaNjMlTfwAOAYtvPYQ uxBY8RdL2gjQUyQ/eAZXnUWWjnANnM2HfGDxtIyO9nA8XezKEEqpS4isAxg2hRBgS6xxvz3D1Eso fXat8eSKSTBLYxjroyaNqitlG3H5ai6dFuC6KtoXIGwMjm6TZ9I2V0Gvtl2tsHqHVxaOEfSFx06Y Wa41Nj+oCq7tqRiQMu0NTXV6xIlEK6haj76oCeEe8AhQxIRGNLKySDVVFD8EJdo1nQakH+1MQ96s FTciMl/NHP7bwI2nCqbiTj4hqq50dGvo6Sxo4t4LWFU/awk85iah5qcGMPvg8cW9WOziQfFOFKqL 3ANq0Meg5DWEwWm5Q62vpcoEMkwVSDqnoy2gRQMAS5vP38e5FEzs2Y7bismbs4/Ml3FnZfBJXtas 1XABcv4U3/N/yRQMwSdp/OjqTkAz7evNO4aoizjIKX3+GeKEChmA1gTBXDEhu+089wCmnn1SpqOF tr+B9YiO/fp4qO+CT3IH+gLCFCAbaw3RiYLMWlF/D8s0wcVKARj9vjgVzMYf8su15bJ7bXEieDBn bAekLxiphb/Y5MsWLR/fgmXWmivtMcVBtPckP/Gpboc7SOYSLiiVEL/J840nWipVLIvW8m8zYVTs p0Bmn4RFW6LAoXuxTrC2GKdnNurgBG1/2J35ple9s5m5klQpkMstky4dv7i3W6w7awIlgGWNQPpu 7wNDPtr7XIDtl92VRK3YnZ/gVjUHh6OAHXY150UNN+elDDvypu4HUCOwqbAWiYcT+cxt9GZXjK3K vgr6Uxt2GDpWG1RnsI+OCncMCZj7etSoqTi1DrSUOQpeeMefa+eaYr6SwPGzasL8ROOT+vCF1GAM ZtNrbyeH5SRgo7rqgI4FFd7gC7Bqd9tPGSDdZnDVsRMjjYazr/KWgnPA+5GiTsOeIgjzWcmotVRP fEvGX7fw+GJBRyU4PlxRCb2ttFHPSZjkPkeP+OassycFbuwCXd+0GHFCIDgyyS+uzwTKw0QDQ4+r WAFQpxzoDWObZmVXn2e/buWdyxTgQPUFHb/+7DNZZ7aQ5KzY80pjy+jichQjywyQOGncOozzGcM2 Cg37kBOLmpDGHtOyQTt1T6pjgnFsaxxfmIU+x3XOyMhvL8XPu8SWyFZYaOTlEyTDVBqYW0bt1fpF 3gUaBdH6+0cgDUpkRk7/bzD7BCcOCT+LUzUtsISJvnXIJI2pY/9bEhDPmCl4/9MDErd1xTRatn0H RbKxwl1WjU4d2qowoh8ewFbIQJ2gVQyj7p8N3WyBhx1zoivMIvrB3Q2nJobC5hwuq3QbMRu2B/xu m1u0U1WlpqdlGJaHMtp9M3fOCAYbzjPSJv5lQ3PQFW9xNhD6Mwu9EqHECdsPJm//owu9/s3RyXpx 6op4yjCuC6uCu3jDdU3gfgRFx6fw5zHfHVypjsYviMt+AAbqLxcDnoXiJPCQeXJvO0xMxhXQf7tu X2Hu2woAn7sHw/GGGWbnYGKF97AeE0sZdOCo1Fh9amxZR0FpJtint4z/34JTD13J7vAXlolskucF H3oHnRd4/H7V5f9+Lb161tc5NtzLu5vKjbZXPB8AnZlBqGQh0i5kXnOYJW2Gkor1XbE1Qr+UIIYa pcd+1DBfuMiqTUaqjc8I4m2ge2YDWLAz7OFRdi3ymPoMQZQloy6axJmpUUi6eTqt0kJ12ZolOZyN c2kkBTIPRiLa5HCjOTNZPTd5zSeazF4R6wgAvlaPpw6iPeUKhAFJE4rP847GCCHU92IDswzEjBCg /YqDTPlXebZbty6+gyH4ZEGn9wY4iiHxgx+Ovgc1K1EY11Cy9iDKjvGzFG4cQuVE1FyMEsFeA1ib soZlLV9cidwsd0HNJhPZxKZKSfkvWC8laYm9qoXX0HYOO9hzX0mN6huJvA7x7V+vuNgsg7k2Ymm9 txfsAil32YStNRLkIhVdK00lwbZFxWjVOZRaokMO4waDO2gSuSrqq33VMhMqpCf2yM1C6ag7ToeD YG3/c2D2DkBkIlOToVicALeJ0vX9NaQ5VaSylLv2oIU9vx9YnpiXOyOLk6AZfczLytM121R05MGS IIwOe8LsUMv6pSnwBHLbSNUC1KDEHXFXxRcxwZ3R2Y52oJtMU35RHSTGpPYCUTr2TqwfK8/fHLap jdb8DJJrSC21QiuRTSnqw0m5lh93wZa4tiShTbl6lwAu5BiD/E/SRO2oAco7/btxh8VI4nbxT1jc /Q5bVy2mNyFGHwNyVp7FoTSemDtLgXwAqpeYKeXCiCHMpZ8MMhCOnWOM95XqFUwB4icLRfqUbhZu csIGMRH0nis19idKD5va+DSlnU1LPwEGbSACsw11awO8gcP4BxZ9C8brCtiwlF1U7OBbaCNXSBPs MJK8f+kNTq78jIE7d0l+1dJEhxGflWzuFktKWZoISZfABU4dUer+paUcIexOk+YcIMXEUlMR7rCT zRF5osoxqJm62cHyisezLIH0BSlK8+W/uNp8KmVlId/DUFIQPHDBJQyMtT/xUFtgaKkI/Pju0NDk 6kN+JmidG5ww8AVCJ13+ncEMYWWVA/LsUYqvaBXkJVbcF16SVGXZTo9SV308/TH7kfjoL9itgTlU i4udfydAuak0z0ibGtzrXMCd0dVd5y/REpRXXczEFHKj0WZOw1VxPB1gpPHWWWRndiXjove8TYMU NkNFNki8/LqfmcEL8jko5QgWU/vKJe1nPyHIMrFR6Ixjr4NIfCWpt4WIKUzf2fK+ehSesyEiQcL+ E2Ga8m3zaaVybX49Xsjw1Hugyx/ZDVWSPpV63fP2bb95XPmSdGRKHAgrM0Cj4CVvrBE3kuRiSIFt oD5s4C/rqXxRRg0K5bJnczHk+FpkpDDUPh26IWCJin42LzbbjhaO1Zt1rKF9vdFOUZ4ka+BKxQd8 zYYSyN6ceYjUociSGYpjWYqkN3Qhj3pk8Le4St9j6Ilw+TNmVZgEzOpw+W0encEDwv3z77SsiMmy /TmLCLV4zNK15aBWYYYVwW9p0OvMIUbXqiAekUmfr/ehVKB38cNYZou6cXPKERbqGtj+lYArlmK0 hOvaK2W4c2lhvIehi/mZBrmWmV7ueC1OLNpgXVZcdfGm/nDBPGzraTUPCFZgPj+ZBF3Lcs7NObyg 5/bntgZOX3y3S9tLIOHmnjg+LdkG8uG/3FKzBI7ErdNwILZi6jYqsjATXO6ZOs7KW4Pdc3vTVADu CgKP2BYySXiNqSiYs83ZnZQxzuavulByt5x6LXrb6SHYY1xfVqyG6RM4zd+Rf8OcatvzF53QCfrP DblWIuD+qkqLXtSHAwzDpzg5kyOfjVY29yB/q7H6a9pZRVf6KVY6lSo1VfCPwHKJ87Q6uNsOiNMw mVGQhvf126zAq8BuenpSoKIIzkBaoI5iCLgZ8N7N3oA+Mwxu08PQtDuZbTprR3tZLwaj+WlHYhtO 7jozp7FCrZNipdUALvW/Jh4JfXv6C5pr95IME29wMkCroM81khyccXLW2SsdaHwepGppibxhao1V f2/khL5+ndRS+hRaabe3veP/wk1lDzg8KPGB4mNAFh2ak/1is3jg `protect end_protected
gpl-2.0
234ae75dda683b573a079e1c6c39a965
0.94857
1.827707
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_nr.vhd
3
31,572
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gTUgAj5pmolEz4PCtaVtH9L7wUEU9V4WrVKKpFHYt9uV+TnGq2x3dNFPADxccJh9G7rrswWuPUXW ZRGRvaB2wA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YgxQ8das9XQN0ANmzY3uFfFvYhlglBL5V/YSTJiQkw68HbY7wYK4ClmEj8BtZVtpuQsdjZ4EtUes 0kDn/q+70ws8FAs0xxxW5Gkqd3CuS/lJ7ziqUcCbvkvcAjkvYIwa2vSH3aHdwx9O/DrjeUqSfzix S8Th/vqRDtk7OqT7UxI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Va+HzyIDuCrqRYJ5fmM/cxsXToiRSJ7gplWt25/I8Y0t5xZnF1mkKz7Hql4kr/4G5QgN0eSb7duV WAEDMdfAHV5YP4BQutK6KBQO0uK2werJ3rBqg9sAdfFw82sEExi0wtNWnI0cvXuDdMXYe2ooK0yY JGVvAl2ZoXiXx410Oz4us5Sgm7Ax4zhkFzjCzE+hYeyAP6Uq+x0ANMINuTHCvmo8kIOGtbHHQCBD h3Xmih/ywIxCrxgqKhwrIWyNCrPLO9lVK0eziJKDVi8CtRAQ+t7FWKIcIuFwwlwwinHvY6GpMqzB SXsaEdN48vOWycQrayce+RTPJeScfem7WIt/eg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HzFzbjXb4wySxAo9Y/3EsyBZE+Hmv/SnHxt8xnjykWcl9VykqvqoPuTw7rTtfDqY2Qg38B4Od01o BJ/UwbTz/h63wweju1CBaTHC81C5EMNBQlvgyEkTnH3ectThKjruUcGJXBgE3VwMMQgwtt2eBF6K LxlAlJ81z+ff3oK/qXU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mlnJVs0np1ioZOdxCBo0XIwE9sejlb1+Uk44x44IRwe4ZT05kwvTRdWIZW51/SEERO9uth3GSsI3 fRa/kLysEzTag+zrGEdoxwc06Ak6ZEe2/abaDRZMLit9Su0Fv7XbMgXjmCLaJ5E2fsOOiE07rY3Z 7YW1SRM4LUwZXQ4SP8Vg3JDCBoCaBWbnW0gi59vG2wVb1mejcFKVQp01gakN8Uib5LNZvk9+Ub4I iUR/oFHkXex5mr0YSM7iYgkGp3C4noTZkIzPeF7Q0eJ5XIcj2H8vdbManaB71MJlbpJOnHgp4a4H yi1LzAWYIqMu1LE51eU43yWqSnHi+viPA1QVZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21632) `protect data_block 8u0KCN0weyyqYmbROV2w7+IDSMx2d4e3lZ1rn/yrMCxwIiKAOvnCOHcGq6pu/gQtcHCBKAQRii2i s2J57N+86/vK4eYcLlz538bTRmMpCojFIBUActKdA/4Iu6nO3lsRNa7pJyRHCNqlBbNlnR6P7aYK cmcMIIrz3rwz1i2Yp6T/uceS7F/3ToHIE0VbIOMngqkIy4MZKQL1F+0RmU9l++A9Pq66Z3FRp4xY h6xfuQBhrjtC4H+Z+Bi3OQRRBsDA8qjqjoFWFrYuixEl5LP3atvzjUX/oC0c1966FfgF3suCNHsx sfcR2BKdZCkugbAh/1C8Ugir6fJ00UJ8Kt5xvmwwJGX84RVwxFYLhi6PfIu3jMt8f5KGtK/vin7Y +fUYXTtTd7/pD2sHnwzB4TpZ56d57T21j1jVnzZlrTWNFQ+T/trbYLBtDCrLS3+AsDaQ5wxdJqYM GVInW459RbwWwNkqaZGFtqUjW8B4GNo4aF3KNM280WGnNlsYfpOG7MdKQqZJubuEGAm6U7PMWf+6 0xTsm3v+GNmj/R4WvjbRtHNgzSy/nQXBX2dSwgohskHiJWiUu56m/ZjjC3hj4lWiZi3eQFeDVD2h 5Cfs2xYAwvN/UGLc1AyMu70YCBgIPBFz8Q/0LMGDZ9wxAGmEV/i9Lh2BFno7xS2VegrkF7UgbPeN NdJQL7Uedy9+04pzUxeANfjNTO3mM4B8dTKOXhUKa10yAPLGsRrTAsiBVFYX1HlAVjzH5u4HJP7i KLTioEtECbFa7C2Cbqa6Wo8iI5Wltj6qMs7/QK/agCb0fv6tFXUk2LAlcDM5XiG/EHFYeGPwK6gh NwDyIwfGbt/34jZzUsLdvp9gP1DbRxeOiRQ7hGvsvLytfdEOE83qTczdA4ZP51mEPeSmIfFWrU8l qqWRBhrE43pXZdjXHhhUtAUNNcWXeHgrzp/zkvH3PLuCWClfWNWock9mTUb6qXNbJ0mJFtB2o2ef Br/bKp4XkDDWGssvbaeRxHSJl3qSC3TBNpu2Lml8FQdEadffiu8H4KJhmnOW3iEB4urf8CCynDC3 /pdJgFgqR5y+0g1w5reZ0GYGUhKi+5kj9VQ4sGTm+Xw7FCLCxm79SNRyv795S8+eylbul9ZWKIvz eZBV4YGxzViHzO5MjYxuj8JfozwrzYdPOJacgmvBVtBQQnmsFbU2pLeXhtkhTMrYBbfxKwiLXNrB oemhEWEKbqQbPJK63+XEyEJSDvQ/K2th2gTuknl83lSIjkP3QqmBItLcvztUl6BnQ6CyO8DTzUfH MhYCvcm8JMP1cKqoockM9n/lMt7Xr4aeZ6dPigT9hrig+qj1MmL+P49+sOgPFDquigAy3qyjyblg HPxBEU0BOpTJNDXgcdFzls6V8/KAD4eBN70oHhGIjk4sSE1lm2zyKp36FL+G2lrvy/MIGXc7+JLJ t9+TdSJQhBuu0SPF1S+JmTq1Xfs935ILUttHfgt20bx3Gzs5dW5wiJlJd7dHBnuqxdemFdt1uHcl cmOtnWQKO2b4+mCP/EDFirVETjaGa7pSzZ8lrUSBJ2/K7aancQ4x1FKDjE5t/pah0Ba4VDSeClEO HmY9/BOu3GbfJFW/jF7eqLMHYkpP9YNtEmcs3ilv4TH8kELDZQ6zSMl4ReZy5lxiaiY5LuRHoBpv BABNDuh/B5SlEKmq7m+wUBWln52z6MFuwU71dP0FD7tkl67OcAyFphWzRonEYcFyMXvcLmmXwy0w 2drL8lfMjjLDiEXuuhvaz/eAEzxh4C99ir1J2/YjppcHg94tx8H3+qVOs528PPZoO1fWRiQqV7oy zV2NsJIaOvoBoSai4IJWxdbvx5Kq4fvmrDagijZnsAA7Uy8iobYwkE6r5DzNuIu6MEyAcXe0vT5m mBnzKZwgoqo/KXVsllC5MS2Yp+G8/dSa6VncICaLHwvm9Z2pWOKJCw+Ay8+gnJuZnt54vH+wE/DA AsNzViBx/DWgLWMLWjLXarn4WWQ30O67T+foR9M6kfAEQvKOt/VEiJA2xQI7HiUbIWdDVW8Sjgea D1Y7QFwSt5baH3fP5RNG5bgirULbUI56VjTczFIgK5300B9iX8oig8SRBKQi3tvxIORmU4J9ufq1 Um67fh7QgtSjGtBTwCUnZp5iAj8TBpkmFuv9eUnr7G7ktwWbmK/QuO0uclQZmDjzkWrJAQtoGd0z QEnGNSTEyehfwDKKfCiEZDrHlRktqkEROCt1P6tDyIJ8xK0J4VjHbBUWcU9kwZcUIXCY8CFCKghn 4h1TczE1qjoIumfE23LCuuuY00/pcl3x2sC57OWzVq8X7SrC5c8hkIOpKTfOloaGODAj74VbhQa5 eE749pci5mOqBRIMBnJbNRm+YdFWoeMXYvWYJ6WvjZfE0HrktWdBs8LfWi83NtXuCB44PKJUXXg/ rYrNBzGaIlM7aCkE8UshnOTDuEMjMSfahLkI/RYsvP4KdO7lUwfqDf4PO0OeaRct8YXhgLxjVnQm I6F5vMwBaBrn0+BYotQozhXfunTTdQpA/eEQAdYD5TaR8I8RQAEYotZJq0D/p5Wm7599SC8aELGe d03uiLuBd7ViT/QiXjPo/07j6Iw06hZE/eiGH9obyZoYtk1OPsbPEGIJo+XMQfMoqG0sJgfIgH38 WSDRTBhDBwbmu0R7NYMKQk2TpPT0Cx7D2kABbOmpSkCsn/xeyx1ZWAbBW5x4LUFUEWKC40V6WszF z+PscnyOh0bSFLRFcMNFJuXO3y7CZCntoLuV7kOe5TE6UusiiAYXcaqjeCHLII75bI+X3/DkYOqb Bs3d8nC3J5f7YkCZHNzrYb9jq88msD3smEpPR2djM7czpwjA6/HwBmHMC3ACxjb8CYukhGdoDJ03 dr3mv+hhMNzItltMdNlDqgqOpT5MK7+RyARab2F3vDz4LMrol+e8T5V6eB21L8m6EYOKXn3Jg6Ow kimPS7qdeoGyClVtza3Zaa+pDcuvrzrcwV6ELB/BAooogXkNxekF4IdwA6OY2ABAm5MbgAXJcgDI 59D8ECb7VICIVz8GLh9PL8s0c8SOMPC/qsFE7NvjtS3l9wCPzzS7kPf5uHZWKD9tCwahHDMygmlq 5dLYdwE+b6H0Upr9WgeSmclXOcEdMbk/YDYvZX7lbUjeAOI9qzvrZwUwFuOVcYD0xU4ne9yf8mtF GwtbgrKbk8SLwPptSebuwusN92ZeIhKU70f3awdvy+G6KxCj6knCEfhvnN9yS5YM0t3ynkBOB3BZ FUOQQ9DATeopVVvVNfeAoz9qqHDk7v70K9xdwUVIg9hTaopfs/5GJmHloa/UmXjYX/Xtpzr1S6yS Fch4KYOU//bmBS3ahPsGCshh/mP0aBffKWS5hkutWXEG7ovTWuveDO0ydJjVa2g/0F4ko7CBsjnd 2t/rQAFUgJqY1ZvKjmgDDVRb3Q+BNI4mXzguoB90gezyRrNtuFIQAcPSNE9lSsEgKxuETRNVsc45 Sgax9M8S8aS+FMGGNL4l8Nza53ufZKfvx0WqbURELSSJvWHQlHCDWchyKqliee4+slPz65RM93bm Kbdwiqpl7GRtFs7wnhk+zsS7N0L29sroH2E8uJ65nfqi9l3A3GDgFYbdwLODTWzqSAIEEa69bddR qIm/iLp2smNIDtw/8OeK+ZjQnGxXwa7Yip68mgWDT+SSECWC4W4tWMaeogNzhWHFbyVQPggvN9z+ YQLgTV8O/aC7D/ek0edYGVfqQ3vDty0kQvswa2XfmB5vKpX+lbovd0JpvYOGBBqnlnoFnmZK3a0g 5DzbT+QAYNgWCxgIV33SzBA2gtchqYhQf+8yclIXSu9jqkC++gd+YcOXj3x/jMrQsL7ncFmyDaNA LJ3OCH+3HLYCn/L/c151NiawQfeKWOG4MJexKa16WCWmGRlKwvzDZwlD4xPODKIGjtiKZTRgZQnc VHk0aPxr8WuZlZjvUsvDQdZpd6lYeEkklRgiYA0pPZGJz5vUHqlt0PofnwWH26CnF1Ip/RkbPttU Ek6Gv/MTGxo3fla+ih7rSDgMxn4lD7X4VE7zV0nUbAdwSf44xsKTDKUehOi5w1fm2a+tE+s8Iz1J mJUwQ19SvaILf3PgUVllzxkD237ZSA84Dz/ZJC4Hs3Pp2FnN7jzDeFMmnTlcBaLE9zAjNdikD35n jxLNZlVopXv9mXk/9Qv98Ns2UP9GYYadMtyMNogHw95O8b6dS91NsAsMXQCMeDyRuhrSBtLGYT1t 9z3Ot6bzQc2hevXVA0B/bLYuLZRRjlIQg40xPcqs5WinprLE2wHJdTWdwot9VAKJZ+MpPOW5dOhK B9aKAnNvtnGnK3o7z0kgzJ4Ci04edqGL1NjSyuYbX7ckrECtKtO9rDv/c4zzXr0cXRF5rmMz4Mm4 rJmKFztJR4r0GQJQx1K+y7sknKvxhscBk3/TZyYF4M6x5KgGzxqsGKXOgMihkhO3chvf62HX8q1Y y2HEkO2LEjFWgcS4U/1UhYB9cFgyB4nGirCyIngSARYPeQ8GIw5lS8b9LYeZQ9Dn2ebslnPGYjK4 Emtz79L8GB6L7BWMmtQNVjXYjr7c4LYdITRhxfF59Jg6KspQw2bctVmyh30aME3RHHxOV4J0uwrk uvyBJbTmI16uZUqZXwPXh4s3igtbTZ1BG4fU0tXRguIO3fqZNq6Yp2Es0ts9buUKvrakUtYTagug Z0AKqJKmZWhXMivDy2faGo9JdHq/GHV3MvVLDvBJVp0tP78nBrUVpZyS5yo+BMQ5DfEVhRWUz0dW cqfF1OpqqdDF4nLTvr7RRdUMsu6ugiCkzqb8NpYbab1lwHvv8L4l7Afn9EDuoH4JV0jV1T3cH/Qa 1xNFyRfQsomBeXZXlE99adFcOT4QtBdQ7ci2LmxII956dkWImXLzTR9VGwfdBOrYwDw8AdYipve2 AiqDdIbO6XONySfJ/+ZtlF0/3RwLO4/n/y8oGtpAPfDbBgWMhPzMKGPD/TthN/nv4dXxPM0VHbhx zXSGH1tbFtSe/kGdVRZUlgyrH+EeeuPmwjuzI6ocro21vZOYu/bOzEKKsdQkoyxO72H2cyuH2AdS jFOrlFvhf5iqUXdw8L1HlgatVzQT07DqxrqJ1wpgsoFT8JZ/lMQO3OeOZRoLPevSJtvVjAk+xaLN QFo5iAD9mr6E4G/WtniJOkIS8n+VTpYyQ54tngokfzAicdRo7V/k8gjzFUbbxSF7tkYlI+TJ40Ni +RB+ZIUHIit1i8aRWzGfk97YRE1bsmxF7zqcNT/T+bpTUwOescGvM9DVvTP3ilyYRU6H450f5YhE GNN7vlWLPhxP9K7y7d5QiecSpN+qQcO7ba6KovXffwxvRL0B9dffKHfJyNbolHMS8tSqrGJpNSx9 rIL4QlLgYZtL1wwCahd4kipn7yEBSGDHrB6ID5+I/k7pkCf+8or/5HG0KzqqgIAJM1+e6yjkF/X1 R0zNldw+O85rgaXPAQMmrk08HzeUsrde0W+LUoqYsDiIWbB2M6g2oL4eYpu0rV6gkSudYIGyCVZ4 gfAknY0xaGAvHP2kwEvx3eKv/IH6bmi9XfIr5+AEzMNW3oiH+tBoyBa6qf64F++8jTkfFgj9j2Mj j1ZLZog1tubXdgclRIbXRJVT3NYIR89Irg0nVToCI2fJjdCbqgTofnXOqRBWWWuBw4+kz0kdMHUQ zN9NvCwMtugn18evKQgWCTsjuDMA22cwnchXlyC1lE54vLGHzgoxfMFhkLLT6qj457A/O4yOjxfY VCARbl+CiFNkFPVwTC0WzBDsxT96aHErWWhDFPbjGxY4y4YSzz9MhomXVcPKsGoyS1s20vS15YY4 4KxWwmHKT9FTGeFFvyMg32QAPk18FzqdR+0eF4NMshkGT5er1DtL/5m75oYk+u66mdqKad0d8YkM +Qj5Oi3+Un2tyXCsDLht3P+oZqkBoKLrIjj6URtjYcrJ8iar6hda2desaYM23wR1ENGFmTCEqqat qGdVIVatyqYEq6oD5iNS29gymJxqjI1vxCGhMrzSjMWcPV8BvQ6I9GUAQwst7yWv1szmmsPDHpfd QtXHV36rjt0QbI32AZ3phgWAxUTNL6CKIj4l7g5TvZM4i4vxMtlHVztZT9bAUTz+UEU0SuSl3GLJ PofUQho3bF30Jc00jEb+QsE/yMxr0PAX319TzParcdAxPhWsew3hoTL1+DoZyR2DHplfyU9pQZBo 5dGFVOClrW1oQ8FM8cQrbcay15KxMB52aG7VR+VqXo7AXPP7oeVlDhPZHtoUPapHAFItEnvSTyLq SsCbcQhE84a7sB0fmpA6Jmn2jvtDabp9nL1I42SbGgdZ0uZ66k2ZN8Yb0XHrx/RW7WJaSQA/ymX5 B2MRnvqMeiemMEgGXt4FdKPptxJRYXw1iH+Jb+XG3ifU1hQAqzBhb1eLBKWfxO1oPH/EZrcHvHra QwkMrHmRM0lCpogCpdlY3TLn0MxaZotoylD6fZDzlG/MZmkrx6M8hToH8rGwvY4ItSBHHk3VNIGX rtUacBWngY3PGJtbLxcGMnskUpx/3XvErX1OuNjIyfSD6qS90U18WamgoNwRFC/wGcDrQ6jiovPT ds+LdYZY95rYPoaZnz5OBRofCf7cPV5c+RWtyI+/mw+wNMMwwpgnS9qUiHUqpIo3E5GWJMn7D6RQ LsP5iHXMkCBpCuCfUwpxN1GRrAWxTztkTYcj13hwlSJ6wWZxlbNBlfsPg+SA9IvNUviRRxGN+h3g 2/ktu62B2m7doO2sL+lgX8Sy5Yo+88FUh6csx/yIevM7LqXd7k0HVuQ2k6cB/Y1bQIvf09+wDNmW 5n4020NwzebtHAPad+ejEaxM2L12hmkxYLjI+l0Dp+dwH4h8R0jlPzWH19gHfcjBLCktT14Hj8Sp vraMDMGStYu6JxwS5UD59w54ZeM7yK3qvasFS4Si2tVxaoPMz76t0jFXJ4AujUU/WxYMN30fZfgP VlfJrRIPUy0jmAoHmecIZEmEzBHbEKW2axRDaD/CxjJEg0YvEKp7NVISJw/7uQwaTollExifzHOl YSjxHEuG0aOmx8TDRRMYBCkc20+W8StV5mspGfRFcoEi9DrxqR1eg2Xb8L1USaArshlnv0nfnSf8 97JjMKNxp4DjGdFIW+Mcg5S8Zm6n7d2k4lTgjqfpA9aJoZjACjGPjkH4wA2TEe9c1ei/9YudqGKe sFwwr5O1Y1plh/lbo3pYQQPB3gnfP119wIH3MHCEJIhetVJalcZ/nJDDL8qrZ4W0S3aQOI3Isrx5 vjBbiw/JSFVMxadkPAKtMfmipdfZO6GT5KU66UtxE3g1QmJiu0JrAU7j9DAbFa0kcyb/db/vtwKj MLi+3a1EkEWMtNGP6Voi+Fe3maGAnW0zbhVvrLihssyW0RNKhUWpqc9gDFoCDXkyTez1sjVWIReT g7QksK4gMpjVUFYDA1pYRj8eb+UIJ1j7sg1qTNnx8YS9nEhjtaQ9I9MM1weuH/ZglPgiFzT6Jtib SMynNAyVFg0ivvO5pfATqDheImAv693h8n5zzjLkPBTtke8Sw27rcv4sMtE7LmryejFPpHHqhv9b s4qo8W8tRfr6p4jw+5mvdHrdSrcbqdkqoz5uXyn/sjCpbEabtn2nuCkFIKyfCol08wc0fi9jaN+j ZbdQ1i5IbrTqtT34Bs9ZWPPMMgwJJVhwtQDSEd2Bud9mMUshJMSNt9b67I+udIxAS2MiHsvcCo0K 6n8sEKjJHoj3qsjbn1sYV04Fp1Ahv8u73VPUx5+r3IkTSBXPgIW3XoaSjdjDQhvlnXdXWs180+H7 RCiIoJIAKzXT4Q4sHvhXhbFu96fwN1SuZidtYN5/hxkNGwungf2GfoKQxwCH99KaJ2wqAEgI4kJu muWCyxcgIqj1wfPng0HOj0z3Uqw+imxZb3/4HZxGBkn7VtqDsqgHYpMEE380AFDxOM1ZbrAq5hDG Eg6OQDkQEUCbbj9DdELhqT3PLxLXVu1hqDc8xbP9f/PFLr0m4Wu7Q31O2zwvTj9eWc9JZjWOQr5y sqRfJTqYvMkQQeAuDNHAFVUH5+IXWfQMkRrDkM/zMxBRgVyrTsAYhtWGXNdx5JhUJzNxRgD4RiYK JQwKK9n0Pbazn2zjyzWFYf1jIlJa2e5MuGCuGWl1OIiYL3BonAbpyMC0J/EK1NYEz88Tf7RYCHkn DE1LeoCTSFB+jmIjXGUbg+m5zIAhs882knB0UcZB+HrAYVK77E7JHDDACPEEZYc276zjH4IAUXL6 zKMjZKSQbyL1wn8FI9/PlATY26jCoEEu5fQSRzh0glEXSKDSnVvYmOCNGq4KSLl9ZehRd+egclXn xfrM2dpfc7Qe26EJ4He11AUJQwDQPapgLohmy9uvQZAaeabuZweRcWWW0QyaVFQ8WhPBIuG8rQZc ECg6qLnSW6qU0zhQI4Nm2Gn21VdlcKjxlGbAgQNnR/wMScDSbwntzpbNCibMHcTYTMPCgkxuFd3G EpXWza8vXSeld7aq8Isg6FZeXxSiZ2JSriSHLwvY44t+lCrJKi1r6LOHL3IIDuTp1Snqp/rXaoLw UhFt86KocoiaL19zkALNm+Sg3To5nv0/538EiKt4bx8+ZbhllgWdn1WvBuuTPc+aD1N54RMo2YcL RbSs2xgxs/G+kmXJy5Qxr6p/J2bMqjw518VMfCl+sYR/nYSVj7udxScMIT249nGSRcrG6QYRFKwt iGFGuObfDmG62B5A5S1oPw2GYapAtEHJSMduCxYQWxMA3YA8hM1VBtxDeqiDR/D3ot6qhgeHDmv9 U65k2U5Edmwg3J+qNVVh16hSjY34FgL+xpN2lVtJpTOslICCzIFw4tP8ZKcZ7xaBfdzJoI1VXlYJ qSKpDpQkqcmGuc1n+BU80YOOXlPuZ9tHD9srTlW1VP06cYqsnefJypBEr3mTRkqzffb8liPypMmz 6wFn7RbV2lL+u9rIM5sIyBcmTGAB9MYBND8Yysxx2WgxAPdVx+5DOcA7OMBDWMaxMFDdf2BT3Zxi V1+uwPaoUK6mWmqFZXp/+X9k0gs+Cx0HqRPBqIJ/1crGl3knBkkKuNdTHIIH/ZgAwpk8046okLf5 045vL1cZmgzE+lOJUpNLWR9+zE2egvM79uZF3RRYr6BH7bS2SpiERNKmDahcY5pyqS9InCWi56ES fzpVVDfPoF9ZlmMkmQytMUHftLX+jta9ZbP8Sz+pT57WbSRYAFQ9XxQYYrtXmmGHokqdTN8hRU+E BLE+0NPnNp3O2Ts70Cju0bSQU/7a1PMUkHIg/VK4WC5TLFPB6H99WdMI9kGbUyqktLO8QKQIbN4e iZr78TpL8n5whK0cQ2clqRhZ60K06hLapkCeCfJMY3ZAU4yXkApw1cSy76Rb5bH/jShMnOAK47Vd 1hZD3Jm0s4O3QCaEB7Pf+0wQziLt/O1WH8cf0duGnU3CkddlErDxrKf2dAseDmj19lFrxFoQXsxW 4vTYDbzCNsKdOMdKBDRnUzHB2fWB8ALK4omE7mAYoRkSJaDN0oZACWu8KNjlTOI7fbppcmjH1jdl poO5JkOYFcHncAxJMZXXFRYCJLz0eUG4VDLAmIv4oQ9kds18Ir9LhkrrArFoiEu1YfQA7sqPBGWU 5mcVGxQyl+Y/iRx1eyB0HbCMnoPcedfdLecd8Kv2nO/oEoUofVQjqMitv6eymYPU8ArvKvwbOKNX /s8caCBJ3DEKw0YE24HucB8Sooo0DjcYCyBa/+9BWIwXIi7AcLfInxqxxad61lzGqlCvxFDV5epz sBF2iFpx5PRRSPceo/XD0noW3ijOv+1Y53Hfs2pgms96MfEgK11GEAWRwViOKZji18msifze/EK3 +RZjH/qN6Srnq9rMmYnqTXEuaOM+U2NpszrIYg4bWuTl4QMJ8h0MAyCEkhc7MomAwp+v1yW4klsY Z/3c6Nvny8luQ4o+NC4c6LyLkMTACdTy+1duYMZzmbwEzR28aNL28mhdMvnPduIllRH0CO7RcCFY kaSZEeegFdtaUKxMTnFyPUtM4+xjw/UtUbB1o9zl2ZQO/mHbv2ETe39u62lHKFVR4WP6NSlrGqWc V7BS4eW3iN8NvEsmfHTC50cwNU86ZJAfygZwWz3f5Zc2xDayBVPVbS0cD1ys/lYaPHg5keSQejOE zCWKhy7HwCWAYlRy7opijvUW68uKg1JtcEwC+Yaw0tgRb/Z7PQc7OGy/PqsnX5T0AsuGsgEgw0fg N+E4AqPv4LTFP8fEJZz53EHyF8RlRanSoMzBTcEw5uxza15MD50GQEUJAb0IqFbw31isb5HNf25S pQIZ4EcQzpxPPcEcDgyahUzB/spyXvyYVeRgIxHp04WdttNqztzLhYn13x7JogmiMvKZmJsm7Rpn h+YEXImSpeKUb9XukmFIaLOorY2K4i+YoDwf9Sx7JWxiO2QpJtU/y7S0aS0GZfYzNRSaYA10vdwZ fBfecK4GptwsY/635msyKMNbXlWOVpbRtm4wC1gW4I13x9RPk/S4Hy1WVJRoKdZXREeudh0tHaP9 InPprMidVC2058wf7JfkVF/4sz130z0NKc1fF+e8v0XYhAFQLEzglpYxaTdFRTeSIWJqjNKGXOVH cFSjTTl8OgNuj68IxGsZwWIf1mvkGu6rmk7G3i6D8yxxdNIOyMwYO4X2ls3OakkGyu0q9hc33IZO abbXR+IJXdrrHvdJwuupZ8pJlODO3Zh5Bw1a2W27Ba1+u0rIqNOQM4aQwtxMtPT69Vun6+4YDTMP ZWLytatsX4snNwnSOEkR/hjHzwPtPsCKCm7HjFfRnZPVOTUBrxt5CnbKd6I5kFowEYKVCStFylU/ iX6VGvHBYJO1b5qf7PfGLcES4FfA+2EMC1tofLrVtl+RCbY8r9hXhrFbWFNN4OJlpIRCyd24q88S eb1ut9A3F2JHLDkuYJrf7blchvqeg418mrbea0MH2pbakzvtigNQER37IgldBS5iGFPnN+P2eJCf 1Rv0Cbggl8cliKeXRTBKhYqwtJHobXL+xfJHhkPge3tSOYzWoCMa77lIuCqR54QjUR7i6ODIvkAk 8tWOUfDQkgHNBaC0bRu0FunExeStv+w9XJNeftoTDlXj8pqNM/sFt6VI0Ejv8ql5MwNkxbloXlf2 LOnOiHtop9o69UnEg3ksdkFiakiLplOzsETNOqNc5g+qJxjENdkJNR2moGzktbvlSnYl93L0aYau 1T3F2HsrkH/+21MatO3cCYkonqcHYnM7ckSYxzx2pk15SHStU+Hdo+ID79r1kMGf0eyjYiuyXfql QXylz14is09FSIY9jzuvxCABUgnCPSC2gKaKdaaNRKyCyL31l/To9Mvc5lYmb7TaN5UGyPSmsOH1 kAH0otVUwBTmmAA1FZ3oyZeDzoyZBx67qijGEhO28yxlBGaaWhndGhiCm3U4D4Q+ntFxi+f98Ob/ RZ2KFGCqsrma+LTIfNt0dWlmxxzkqLim3vaHJ5TBhnwgn5zC2XmRPpgqb/gSrTkevBpFFtaZ5x7Y IoH0Y6Uyw+h2cdj5u73YKDJK583qTS7yW+q8fYzxz7mV04X63oathr03ulQ5cod1fW25PsIXdHsb G5SPBR6EK2V5t209ehynnkkRIn/AOk/6uuznEZdX3kPnVWIIYgBYLkv6lDbq8oB9WjNjqqBQWi2v hFG2REl6iCtEjztWdHrrE14+esn463UihSByGjzkIkU5jr9TJkqr+jHXhDAIX1mXS+i2c8cNzt9Z BZdIr/xD4DGTneSbpl2BLTInCTvrhYoqofqxKCYICyfRggL6J43UiYQqy9lrchgAO5n0qo2FYl7Y zRYNgJo100pDNqnydej7Ndg1o5sEq5fazN8eCefj7izpNzwAZq4UhjG0aRLjzeZ99sQJhZHmDIOj sQ7pueS801ULs5+4guF4tkcZsAtgo3p/rIsDtaLXHZmqSIK3abPHH9atJacUkZ1CLcePfqZJyW11 hZDDwoo4w6/88P4kOSqzuoaEtarhYmxcJ6jKCsvR+pyQ/bw7Xe4G/Y2Hf8E2VEufjAgD7gT5oITT LOnhg8ADjNHocci+CanpeckQIC6FV/rVshV/4TCp2QydU7pw+on0307Q6G/gni2NlS55Lzq6zgZB 5U9XOkiGNIyjKlNqkMSDsETAh67R7li2VdVSt8K1OAUaznf59kP24gy4MnjWBgAPXDNQf7MYYu60 HcAOerXLjU1C4eXUYJw/83MU7bu0RNj1AOZHL1EFd+kjmT/9QuQz8fYvUDCv+3rhNSsPg/CjlXie 0Wie+SY1+vq2Nfv1fJgY8Ba1Eg/SRKCavA0qEWpPye9l8YfGwxZ8kpYOJ5ix7y/K4WvpqXQ2dZP1 mP+TWK0Rd89BP9UlCKpIuzEru/DWUupES+JRFKPpfT4xF4k+pJkbGWmsK5/j6H79wTPlClNpNhbM MW1CdcmXH++3hsLb+rISY+aP4RxCXido1H+iAp4sf/AxHf0g1NrH7apxWb40oO+JVTjSdpR1cwdv JM1++IO73PpT9BjGlmq1hqs4hQ5dmRyu7hJdEganI+kwFPrGhO5iLbrtyE67Qm6HnN5p4PvVESPH 71iT3UPCCeV2YQZKhMH6Q2rvxseYJ/bZwbnDFH+Ygz0vqGP0/nGvHdZcHQEhuorrszbi2v2IzDMN 3wBRRwn6lSH7KACxuH+G7pXqvA6+aBcBfR8Ua8WmllgcTWh6KHce0ITTIPCeZLsoCgV3YOrS6inJ Av4PFSBFGh3WQcRkxOWYB/NoK6jQmyG9lnRg+XSznhAhpn3Tsc/1SQZyuXpQT6SCbKx+IAI55Q1P CwaQMLdcSF9oYrttCoGqlT659hMTI7VNhKteiRMAO2/BuTQAkkGthpi6C5zLXIwbRlzOVxK5Pyyk YkaVPr4rTkla229y6r8GdXGWk0rT63L3ahuDvMCTvh6ImlCtDOnQIbv1gSk2nWC2V0oHmX/wuYJ+ 3aTS8fgoG9jcUctSxTBT+uAg0femNY7e4TDbowqlJQqpppB3c+snwZcD1+Fzc/Q69oFWinreLxLQ HSnQpqEeZgeyykHTY/D7NT5qHz91GdLn44IuUdh8izXexgxNn+MvSQnJvdM95JPY/6oEe0KuHl1t JkczsilUFw5aqQIhECn1HTBXSlGvePfpAB/wiZ6ugI/KaV6d6dCiA5fwD2TesUbk+TXQeX9oGquU ij9M842y1ZLUcqgLH4gtEFiAzMwVSWc55bX3PVxhK/umCV6mo6hikWw1JBUfXJFyAZxdVeT5QIhr DUunJumd7ONwpvY7ovo5D424nJy3WCVSLcTiU1V/uhgo0B0fhRvGcjK3V5/97T1Zryblc0SdyfHt Cxeto+FRZ0wopQ7zliP7onGoGUASt+sZj+k2D6RmpBrfEFreMMEOokJKqPZmj758UoREXs5qupPR 0wpjG/VGAAh1s2g3tPvPpaos6oA2o8w6O5f6b9/n3a1biEaGE0SenZUV1Oz6tQVzvnPciZ2Y+wj+ JfuGoM6vABQfHEyXWbgD5gl7ha9dtQ17BI+oTx86Sg9WrrjaExK8ULGJVQ2qQEupW9qly9m+i4kf 8LcTcPYB2RbaB8IRiu1FtFu+3lBRBlHSVN+PDn++2lyKvDdddVbKUHirlN4GuxZQblhY4pozBrUh rTU1H+JpICOC+QRPsIRyMmyITv2DlPPccr6hKnoF+Kp3hr25vnhsdl9tzV/s5Tq2JgSCTaWml4S/ XsKtdgjoZCAPZ/o6XoxOjDd7xr9/q4RPuxhmk+vyjVTkbg6npAzbTVGhc6vVwIYoMCTvbV/L22Q1 /GXgZUe3x634HbueCTeOYa6wD4Gd3hCZKV6SIF3kpdOP55ArNiZSBJTn81wqb1FajV6liKvQn6v0 8SSkbNPnK/pACkFYRBqVvxCu7/2ucUUcP1k/H6TvpGA51I+xgzY/Zj/IX/67CXwbkL5RNv58g2ZR dHv6p9u9JH/rm8BjSz4mltBJR9KWX7pNqc3CNrYTNfSpPfK1y0wJoTEMDRqqrrPGatXdNT19Cx3H 5p8qhvutL535CxoRlCiQR7Jf0b4TzMBbX4nvzbKf0+hXeHQu6jUy8Yk5yp4AbDuzjbyIQAhLBxto LceotqRoRepc2O7DroFkLumjyf7jt/ohyYpUcBs9SG8U86k41lnqMvMlA+tndkse3tosWf0+jrlm mwSQBJ9HLEvDzbEHgd0I3HvILluWt+CvPHAUH3VyXbS8sZdIX2JBls/qnuVyGmdkumgCxdxEPQGL XKTuHdSXMdy6z7WOrYEALpFmzE3AhVzmwiJs6Dg2TJLZqa7Ssk9cbdhCT9j9ta1MWo2tO+Oe9GBV Jwl4EGQpRQ7ACFS4YpYS9rVoRf2wAwA3eUE3+mGcIx8QfKpjOYLROeIMY5kysAoBeEnXAouUYit+ SYnxJ6wxHnqPOhugAN5BN16KEqlVGr6XLaL5m0rIB9uQcIMbMe6cj5Aob8dhSK/hCtfEZyb0y3q9 4Ibi9I2VbEhEkA7K9clrpUaymuCS0hjXaUMXEzq1WtVyVdVsxZarGBwgZmwFYPZaRdhE1BKnBI3S 3BGyo8zB3TJ+3c7K50HogQZS3a0WcVHz2OkwmQc61VNTAkjo+gqhwthrC8uSTOFZZ/5Ab3XE42NR WC9Irh8apnwypJMGpGLAUxBiEmJ45HmrlNd87xotGSM3A76IVK5C9QfTCXM5nyFDaoTlpTXROBPK WTZWoENHafBHjjjHtWi0eEWcrPP2YTupyNmwdI66oCQFoE3D59ibckIskO2qpiYSVj3vTwALRvLu rJhRuAMJHG7gpZZ7xmGfBmge7KpqoXXG71mPWzA31N4vmXzlgG07p3IrJG26vYVe9krmernIFJ6h 1nDOMzIA/jwne9kunNnsDsCl9F1bhLB3dx9vUrOiyLp/RP67jQPMOz/eC8+Gi4C8tKbzpW+0tnUd NpNANiSMWrJXqWka6514pkqIiawF37WfiNa7gE90/nKZ36aAMrOOnlRPJ6+dF+0q/LcTOQSiOy2p OXVTI923FL8qfoy4d//J0xdZRuvEo5KC0U/ja/npLBb8LWLwAGtMRBW60yElLJLRpUeV5EQYJ6/y KZGlGCbicQPhQ5zcT+fMQZB1zKhASK2lZ11s+mJnfsAUf4HR+7ab7VxathQb/s61Qj52LESVCxQ0 b7Uxt9pw9fI24aFxM7iDWgRKittsXfzwuSmqPeCugWa8ErwNyW/FFVQjXwNZbu4RechPLo83F8aa SKETKnMOa7Goo2Tv6F8s03mzCRxvo1DIAX+Fl3g1Ex/3Pc1oWsO9BzJ8uR9mR3sShuC4o+n0ciJN OLwKFNXl0hiaoHeEl/BuLIzpAth8GSPSUNSHnQqBBH6cjb2rnyb6uqpL58mY8PKy/OuoHXVRk6by WbYSaN2OpIeqo9bL9469vzRajGoSnU+zstOu9eaS5uwtTXI322OeCnz7QRjXAfsccaJbZVjhmDRZ /2cYyUJ/vRGWvBIbIghE09iM60igT8rRbeaiczf2qJ0zj0RpSiZvGUHNGWn6FaagphsWYWawse/5 ItsvyE9JR8jE8F+JZ5fQVRTpXapx5YBjg9Lnci2mJDTkcDar4+X2c+P4EnWkSDszvE9O4CLM1bej 2Oc3Keh8WIQokSiq5UidZR/ykKRX4PW/qLwP1zv6Xlk1Li7Qzoxje9gSZg60zXDDSdLBr5n73kcT WAquy7Y6omKYUCXEWtu+dRhjZVhSdpUVipJ3cZfAi4kZbdmff1ixlXUiPd04NzLz+MWB6XUYXqGZ A9TVs5z8Pjkj9f6eCPWVAcvzuCqz6rOkRSUOy6fAs31IUb2KM2mUdVCHmCBMxuUfX/AmhyMNHgiy LiaHUj2/ZgS/TmKpZ2iP59pXGJlmbzlVmMuIdIauH/R+nw8RX1kyRDxQUuQ8sNoKSJxR+aXPbEGa umeGrZKslGejVBanHzzkUZ7WqLde1lgtTX1jbEkjp//7YBOw+gyaSRKQpLs4Qkb4TialvNIrddDy Ww8CHD56nk8C7jpEqOF7Mf5Qjqt50L1RNR2xbr2zxM9jxZtl71ur9y8BrRaY741zONDnLw+Fpgu+ 7A1/VbvbcWLoonIZmsrFZy/iCkJhCcNJs93hLaHCHkT8bT8TbDDxULd6YE/9Y0FOdWCPYBCAWxwT TzEVfCNruTKDzwXNofUKW/T0JkCld+Udc7pRRdCkDGDlUhHbOthE8s1bKwvF2shLOn5oJJjb4yc+ +RKvg4KSnUhQChJrch6I5X45oU7uqYNgD5Cddqbp1cUs6N7uK2UK2z8HPyUnpoNZmGCXb8CJwPId c7jO1KmHJrm8e3R1+cTp7AAwjZalaxNU/YrwYV80jAP1UArHK6C0Ot8YoewDgP1DGoarzQF8ipvY CStEkTimdDQSV5jhjihWTqHGaRHlfBsR+ct9yQ1U/NXJWfp+C6IHqHWfa5WRscA1x7Q2aKAag00v baayHMNKaUJKG8dPSUTTwobNymK8Tn+puWKxU+z8dDRtVrUs0CD9gZcado+q0s3B3sLVEZsWqlZn D/oQsKfgY80SG2u65wBkC7t1/MN/zivTWu9BSqWrIf4/s+c8TBVe9yisGtGqa41s/uMLdezYdsAA CUgYEcXiXI8Y2aK9ZhI+2FcvJ6jQv2H/WNMmHThyFOFZFcJus42lOKOkWxwuoNGtKPY78WkcSaEl 8LcgcZHgVN9L/Scbi0aYhe9+nD0q9GzFEB0nMMrluQUYPoyZI6eRj2/hzOg/i/4i7tUG9tXSCTvE mMGeio5CBHdQwDQ/iySMv3ECPn9TGtgRYeY9RkJjsPxJZ9ufxXgQ0io36xhRoi4qYGun6edgMiiT rCwKEQYHRYX/hH2Y/6MOJgAx3EXNEecBGW5C+vNsY7Cs6SJI9UpTTPQ+OkYJIqatfwKGlAXI4TJ1 TRpB5fciUAS/9wiEjbA5frgcNLSVhzjzPK8z4DuZtE+I3hewUXc52nht9j+XN8vAeS47f0w2MiPE OOx0+6TQA0XBDFG+pbq8j1Ot8TuXJO6+BXr+SmKngj8iv7JGj5noJEe/jxObBLLryLlGKCGh/Sp1 MS8Gtda6SsdWwQTTR2pEr9AV00dkHVIv9++hSvGdWJfyifaSZN4lDrPtZhBvVhLT2DTEWbnbxo5z OFFBn7ffpTkTwPybK0J6r4c892UvDCsy3Y12j6AiBL2NLHo+shb7B3HtLoOkw2Rt7LpUDAGd0Ra6 v9+qhVzAJRJlzZQ/cORmLaPJJmF0k0OtiFs11ijt8OBt7IiU2VQNAmLWyjsRRGHl8ucWJQw/4ib0 zthLaff7PyIoEF4fycA7tBLqqqwPR3lEbTtPXVAri+yyytPVeiuVvJmAXMb8LIiids3DKzj/A9Jp QtrbSzbve9CJC0K/dhgpZDiU2ntwfBMkPfnYmfUlDeDQ9pqF4XJknpT/P8WYeskEOTK0kZUlApEO sObvTZP3NHpIEvQzUjoWKVhc6pjvhKvOje4L38VnVBO1W+fcjN6+IF3+nJlzz1AaHZXdauAz4Qqr Bsmi1PBiDuXZ9vibEISd0I+2mnpf9JQai7oApj4CPMFRjw+JuRD18FABw7qUEKL1WsesmiUY7XK7 gvKO+C92ZjaspfoFnG+A2pvHxVbgDVINCe5Wrd1FtZkpNzYBtWMl2YcQRrG7txiBHgQ4rYpuLSeC rJC9PiX4o/aLGaNBB60L/PRw2AwsdWASA4a1pevy7xsUbM0d02ywOGXTW09p/ETFaxZx5J2eKdi1 kG1iNMhaY96MprIg+xh/W38jc3f8prydxR3dleCLI2celjNkScmodoDuU+VrmRihlGnGRpIUojbH OLsWXW4RYe2UtYgxYqt7Dz8kAUORezR+Vxnsz8qTLssbkPL1mU1qX4fzqFafTxnGbsZWxeruD8yz 6urbs1CAZ8+jQCKpOil9VLrEFV3C6jtQOUzW97bj9JPahXq5sOMoK3M6/KyKJth4zKjIw6Od+H7r tL30J+x54C4M2DRSESd+7nqyHAhdP7q+Yi80sa2C9GnbkeLUILnEAzv56a+1gFOCZbsj63viigcg nOX/VB0rYym6S/ux9sb4yYCk+yWcVzc8z2yfBnCKhBjqJznbJg32SVBsDmnkUYQRQ/6MjXGta+pT 35pevpSfjBpwPEplqeI1jx4hesEupXFj5qxd/Fr45jZ45X2vxeIAV5acxTzmm1JfZB79js5ir8Qs gyYTRY+i3uME0X4geHys3HCdY71MrUcCaiUJSKyH/MBhfH4VZ4i1YbFiXZ9CycorXB7uqaoBdy1d 7KtDX6JT+F8mWvXLzLkQyDK5yo2ksi7KgBuHjCD1QDAHQh3TsR9rakULOdU9Pl/usf7yFIMbhLBW Mak2xktqwIxraznA/AzOkqEyAuyVpzaiwxY0ExmHnC1VBYiMA01j3ntNznN9Ms1NkEDkpAbPRuoT AOXRyLX/9ccRgSDtjdbh5+1kBynpV3h1shudUc//mjn0Ioz317uAC/lfzvgH1Gx/s82O3vvyg4hQ uQ3rqifRMomczfcnEAo3jKrnuQAgxBGEfE2EUKcIcGOY1hlKhiuWgpALs2Zq7Dl8m3wUxrJl3T/S H8naA8StI/X19IxPv9fX37G4bcT2DVJCVa90DfSkBsHEYOhFmrk3qb52SXhzzsLUK503smgo4wU2 0dH8K4P2I3klZoL6u/c76mOASZ1mshdrNJ6Bw2zLsfloYSvFv/iLz3D5Q0Eboppv/PGqV6uRY1JK KYad/xrM1zX59glC5TudY6oEW7hqAzAgt1KELzjcTDu80dyuTyPstaAPfF6JexLPMZdjRsikRNBj Gra6jN6LWy9uB5mMCTgQ323f6vidzzFNcbv1pNtRIcqEY36mbi65oBJBTDR++6TiSPpvlaqM9tYc 83RvdZY8UqWMyAEXI6Uvy380OG3cp+iSC/1d2SZkLNaoEFsJ67Wf7GvPrLO4TMHseJuIYZeVAjQJ jbyKmnzBAdpeQPvK2Cm/W3NkD9p3XzI1AUHKrnpxmuSvi2Jv5PpgPSDIR03Z54vgCkJbQicI3gc4 AGxeOWXqKUWFdh9u/2fZwaEhe3BBd2D3q15vKRTXyGsit5BDVc9srw1Ogrf3wwn23ddnhnBSw2XL tTYW6KZbFzz38ShYOS75/Ns2fBfSAPDn26hm6ip+pKvy4NjUxfbWiIBx8KWSoomh6AuGYoxY+9Ef AE9N8d7Kpg9PPVFxwB4XmNN1DIivwR9uUqR9jbjEkDK2w5ftSkjRBjYKsY9TyRa4yLRv1CDY52AG xBuWZCPR6CyaCt9X+gMBHcZrPcjmFtSVpPM/Qi6/inB5+lNcmqogYMTnhNJ0DWev9V3frP0leYBW t2XVGrUSinoPd5/bgmDhoh9W3W9gr/mqPDX3TLoaVhIcfbx5tDFJlP/mU/s2MUC2Jx5IXH9bUTZ9 HQrJnN/t42R63U5IQHnNhAwab8552YniZl8g0M6azP4529NwbWgngh0stBBRBzVGtweLGrH5BEEc Ki9hjP9XiP9hVScgCrC71oAkbNbW7m8Mq1r+4njKCOiJtJayzHAPm2t2mTVLJ1FL4qcuUDxFpRCT cv5s1F9EGHcxqFYE86G2Y7vL9apEiUk3+FQSIZ2XiWBU/vqN0a5nj+8HaMHrZEoNuaTloW2nZgAL Ss3lKpfCenurtPRagx8ErJ3AyRe26F1EvkFItqQUsaRLHYyssTddr40zpyyHhyAveJGMtkcwE9nR Gzic+qG36jKdkrEfq/VCQ0q70BtouQRZ92Cw5WSyQyVl5XWfj2KkRs5FOiApd9aLjDB717RKvFeG EUGpgZq1qFEBx17dcFaIDgmbViEoybzloVVjPHy65jU4SvcHJ/tlupSsJSft4ezlHCyX5GmHU9uV o/iqM25o9LmkRXGMOq2qniu5Yk69enqrlTzrF3pi2VLUHLT+EXpaWTL64wb8DEEemw2aUdAGF3l5 xYhgv1ocugOETuyfSIL8A8u1DkNzZ54ooJCRELleMCwQwDwz+Iz0mVQ+tGbUyMfhDPQD2FaPVPuD /Xhy/0/vixWRf5TfzSM85VdywVA6XUOnOc3mbZMzIpPcSJUTPvhSnPlUScZJI3mPLt5NEXUXGqmT RPxJ76kj8q7zbACInTrTLsCQ2wqOl0QFMVrvgeMM5IMoaeasieM1PEd4OyKc9h03gxNJ2qC7Q8v1 usaleAbSUfglXeFvWJ7LiWyPJw5lyjkRkjQ02q2ZC8DQXr5d6OxsgUwWeiZ6msvpKhsauypPxIfz SQSYDSn03wmo6ScEkIF/erDjIzGe8uKPlD9y+CDeTq0DaR2QQuioxwvjGQ2K8CaW8uiwmNcgR9PF 08QqzmZnIHjhyxaqE1g3Qx+BdtmtdLWChak6VMvPiCOGJixg8z5Jc8TQSQbe7aCQTN0VCzTKZlUr WqDXnqzkLsUMSMAXVsNAyI7Q+yDyVo0R7NRHYJ6FAt11SA9bShr56/4TCUi4Md4/7yRhCt9UbCxn 0TSBNDe06Om/LngF6/+WK4tkPo/HGc7/E1hSs0KWCo2K6odzPaVFyFZTKaG/imZyHIzhcedbBY94 c4PeCQNRIlbFAJjrzPBAIvv0pXhAmWLZOX0amunR13nMMufy7TBXFHqvfweKiHdvK8fRcl773dod bvCbSnacvsDF8VGwQvDcWipP30s0Rb51lWgt260GtOzlWYp/UGkU9nI7z16sl96juCTxp0vyNOKy dAY589j/K/NT/8+6SFldA4q6QZF1WLN95LYAYspVCPuy71F1Mvj8/8Bt+iyrOLScbv8G9z4RpdBy HP1lMN38i23WkiFzB6O0sWAQzVLpjxS+YRPR+v4E96YF6qmeQdRP47gVddg2fYKldO5lCP4WNvLr STNspIf59/go5DQkY/EEsK7tBH5eGP2e5IVjc8R6fFppbwHPuSk9HIAntLsrkA6MMkWhUUjF5LmP HHPvpEiDozduOd5dSZSchGXZsYahoY82J4HTA7JLrzanlXtp/BhPIo0DIDwgx/i0etvsSiXKZUJX WwCKyVhgZhkh7EGKojOgVY4ti+0MO6HFNUvLZLoMGkE+GtI0qc2B9pViaCjrampQ3vG0nQf8Q46a BECGJcrTHkdDKyhZr/cpaH1m7b+W/gX4ruxcc+Lse+AP4ugF3ByHkKhMckPTnkfjlS2tz0aPAPqU 5qafLYeI9MRUkAI/O2SWPVy+pkZMEaROlLHjpxuGHMRJXtMFc9F2EkHMP+u1S6YUpCkQuT8KgYg8 hsv+3TWH7RRgA+MMOvIGPHZs+zFR54IcjDuMgncGEYR0wq2gfrwFspuOjmf7y0lBG9hPisHGXm89 HB6Qcp97sxKkXKKGMb6aJqnWpPA33ydLVCv2lDWPkU1/nEuucwDv0wuJ6tGYi38aExFbTmR+wsbj a+4JqlUUkAuQdgmDIdojt7Gh38KHeepCgKDKWwnYqdTbz0ZqnShB1seSrpwxAZ6F3zg6+acDUJl4 mc/mD2Y1PsA4bdoj9kKTj9RbtqUXD3z3KbSHIiIxpNm1mRyjThfxdR+WrvFKqaHkuH0Rg2hTbcBB oKoGg5k1ln6Z+9f2clzG/WO+K0lyEmvBPVo0TnBmS9FNM7sytA+N2GRWw1mxjwytJ+0h/OPCoZM5 RGvq6F3WwVzM9Y9rf9aO2GafV38CyW2evpBfymoh6t2fkHLoNF4NSmKixuFCtHPjCINE9cJC92Is +Mo6D9QGuvXAH50TI90wfEJSgPZeC3CVUR9oIC61K+2WpcuCJKMahZJa2rEKeLzUiZ7QfDEcmQqI 7jPRVPq8fuL1DJLiYXiZ3WagAUdwBcAUxIlrp/+ZovXHKiF/IkPVYWy/FxCiZyIPcUk32q2KaSpL o4QW7vx7h+/tP9yTS2RC2XpbKT7SMJ1NRe1knGihtDDTPoycFqhj6DaupnQEgiRMT07wHxT2gyJx OPwu9pbaS/DFUUx417/SuMjNdHkkJEb8uCPvRFebI1Ar0K6jkHyrTME/T8kuN8OYNdf8Zh6s4Cjb rbocrRAVhFwK5N0lnB4I4a6msL0Ql2ltSke2P4IvR1wVd6NOEykdX+6Yfb9UoENoWmbcNJmswhWe ODHUPGAevrsHh6KaajnzMJ539VxsppYPhk0PK4D55MdSrK+HN4QqY7fBXzh08rljdUQvHPnuHvSJ V6ADK7xMEMeBQjYFM4LilcmAq6FjaaIxGr/Ff7KFkGFwGZF2jlI1e9NxWj82YsiOX0201bI1moXs j1Uq7+7yc2uBtVtXFPsaQRuIumGIMCvM7Alw7E/p2Vh+2xQLn2qOYTlwoG1N4jvprXLZzmw//m2u LMWkDM3UpHDsbWQYLwHa2cL8Clervs9PD+++lJFGujo69nOvIQkOVrODBA6iVIO2y7VgMw6O6D7T nYNiwFzJNH9PPopi8OvqGK6FoEks4OI88PZyd8Ju3xXtPTE1XcQAhaQ6hoQuquJArEzelowncyPI OuflQcY9gBBUyphYBjLmmWwtsxhhgMbhnLoxpq+FfU1WfZCbNDaksePqK7iZNGX/RghTO5sc6Woh kDCTZ2jK7ilMT+1qhtNMj0eca8EfpFfKQlxIyFgt3gGvMgGKGKTJlZt3OXhTRjTeV2X63mJnvs3S +479YA1BSVlBEbscp6N1gfcCHwBc4i09SlcP3VoTycV9NFRmptwKOhOfHYHZqZ9QX8UV1e5wwiE3 Vh9YuwaRF2xf8hs5ffnY+3jKnFGarZ+94J/mlt380NTSwO+D9o2Aj1RTNvhiSRFgckrNCJR72rMS i9QJN+xDFtqT0ou8M8ckyX6ije2VibK3RtQO5RpfEU8q+Xnp8G+otdKfLaQAz4GHh5ZFgwGG9NX/ MX4tPtSvKpt8AM5zaJ1hsC0abxd3n3Dwbl+NlpTus+4R6WkZMzBYNgjJJGK07J/fdrR62r8kyBbO 5NS5bmGvVIFg8/Kkk/0wmGYlm0UwzyuCYpa2/pUZG0j9rM8ib3ytbF0aVUsGgJhoLyMC/am9LmXI JGyvL7wWoW2Y8X3QCWkHJwKaxXHzGf8NbnKmq3OP1HE+RVfqYKtP5l5cXR25aTcIYe/sUlS9DvAv iob7jx0xlpqS8AJajrjgkp8nb3PQl8mbwob/h2TP7Qgq2zjbmY21WKfbXXGPhEhKxO8tOwkbEzv+ CNqWsOcPDPv8Ss6N/LwAZiTnoDc6oVzds6652SipGUJHtxYLhnkwPAflDI2LP/za+zkV5lgW6kj8 UabEcb0e9s05DpWR94bnPJW7ZG+1Vw7QfEIgVruOY47r4XPSRlG8fuqgCy8boymX8s7aKg+a7CTY HJdeG6DxJO64ZfnDLJzgm0WL6XfG73MDNOtFM6G8DmiHdhsaQAHKAKxctrs/YurEA/S+Ib+Oy+nU U806wIT0jvg8KEAERnvBVdiS1jhMfoHHDGlIyEsBSZ2cBogcStBn3aXT7MM9/0PqsRYtJjz7hP5Q 5SwoYDhpQBNxBDdh5w4UhGTCJOjxh4dXKKgqBMmscL/DHjffqcW8xiAI2NkcFL+cKAczNUBCI2ao WOMR5vOr7ymCsXIMtZCmEc1g35J44782uMvCj/fxmJgQLBBydd2NpFoB3MlYZDGSR7HzjSAP+xHK YIw66lBMJPOcBN+cCxAQjROlz7AJ08BDCp9n77YjPmvwFzOnH2cchgX0zqCrk1nJLzM6XjJ3p2q8 lcMujinZ2ZSkFe1AhodHTGJ9F1l8PdfUbJ92OshMEeDU7CwoIYb21tf6pMcfHttXR6lxTlVBXFuw QCXwWb855XmZiM4pf1gbaa9kqxnzf38uhGeJrTxRfH47W/D+1o6hUgvSNgJ1Nxmo2W+aNnQSK6J4 ps0G6ytnQgh75NK17igaDN3oOFcURe7L25NErqFLmwdCpfdL/VyO3j2RR8HML40BbcO5zliyIFKl OEScLbzPude4swiHindUt/mAlyL1SgPbY9/4JQIX+C9oyRCIHB6LcV2XoBq/ttSjMuhoxXzQj/sn eUNhdNPX+xVzLS/1LP/TLWwgOWeSvo8DC3D4bc1u8H+CY+NTQ/WwEFCn1gGmdnG6WJTv4VLuYypa toUFbgXsBKF8GOBdlLdO68+aG22A9yjP2MNhKQ0ld8rnyzTm0tZSaMKVd9hH4uZ1oDOZZS3z8Epn ffYPq5kCIUCrpE6cwWeaPJjRtWcSkkjkZkU921OfJRYJIVbaJWN/5ljCZm85TZcmT5tfPl8LpZyt nWDHVGQMK2nuuvK/ie3wHOUlPrO6gz4Hput+qtd89/nr1ivj1K0YqUNZxYl1RYR0U8TW77ASCaXd NjByzCg2K1TlmfKW8rTAZiYbQM2i2W7myNCXWinSpzf4ATN7slwu3mDRDzANCvwtATag4fax56v2 liSGI3P0RHFm+BKQ1cDgHVkyTs+N6104NhRiQgoMv6f1rkl+1tTxnDKWTkCd1isx25mf2r4faITu NWDnF16HMk0oIhM0UfC5Op1/PJwsizy1I/HbRXDQxlvoQt551pH0n3Of81gCjwEtADbOODH0fZoJ 7ScB5gI23XTBntONAT6fmQBC8L5drQ8/rc1Ph+nObKqUzefvUTT7IpCqmGajyW1pi2tqyC9AB10m 2U54f7OxEdZsQKrb28/y53oUmgUQetk2mv1Ssx2Ah4RyRIJNaz7mrCqbVoESG40m74ro4pfEplxy AP+HnxOnEsBC0LhVXPyFMf8qXRVLBAfuI8uBpo0jX7/LmBodgejHiXGcDkO5g3YpNg2qYAZJ3O0b 2HezNSpwM5m+D9UD10BO5kL4VkPVx5NauuQnDFC3Y8hjR4c/LklRnOhFJEftX/JpVtYDK+vEmmRa h744B0tDWW7oveHE159zFu5s700TnmLZosz2kNr6idCo7S31sG0lhhBUWpc9UldRjhRgcUykzlNn +/7RuAAiArowBcaWEU+dBsyHzr8eCq5R4X5p3R8jRtLufLEclt+YoXMCW+A7Yo/xS2l8Nlar9eN0 IRWejQC/zvXfZ5znzxdSvFcLhhGiXROUCUFte4VUOe5Ue8EZu2/o82+70id8coRBSBLXfvLS7OrD Pv8Bw1N1PpFZrcSeKl/kOFmLb3WrJRj9ADYry31Kf3EgxQlLgR+rIU2V9oKhWf49g1LD6eSOi8Sm 5bab7BlBXzzt7Rn/3wlXEuWOte8LKclx5GE32H0hp1TJoFoQPqK524NvOb2+VLzwJ7jGs5GQMo4S inPq3EqfoxKAxf1BPyeAhjD9Tl+FudO6QJXXM8Bg6XCj4K+GjKGsHO0VPol+Tz+QwDS7zGIvKfNX HKXuYKoiZnia2uaNjydAXuq7HmSWbzFEI/In/acS5OOyO/2rpFYwn92EHBWEttdQtyx3S6upQPKx gW4Kv5FHiSylzR4/0vvN1vSSm7TuFCJiOyFlpgpJHxpWrpI5/03CWZjx1jNvGsfVO7kHIp74tTz/ oVqn2qIX6Mot7YAHmd+BJWH6P0ShN52ryj26ccMXV2QTkWHKMsIv3xyGFJUgDH2TAuhZ/WRFD4nI kzK+O5Wsgw6ibM4JeIeLWFPX6fkItDVexji5s3xU5f+afR99K+9NFRi8P/CwnPC1/aWm8MwBN5ay lxVt8DCQuH9/fDCZJbVSDARNZ1SjbLDp4fZj4Sr0tD/ZJvq7Ff027/CkY6DsAZF0d3rK8OS1o6p1 ioFXKsw1O30FWeAc/gZUL28BB5zGAU+Zxp8WFF8mSaZf3QlC8rfLb7U0TcCZbVPRKbqYgghRdg+s hiV6mRSOkPZrOSIZFiXYmTPN+z32e+EVGlD9p6SygnuVoI3Y0MUZ6dRTegGV1uW45AY9afbAo1eR 4WQx0alECEgwNUQAOX2Y7dlqRK79st5YYKqD3NZJ9LB2H9PS/Qp5Da0C9P+7O0ek6SLbkmryzglq kJVtSVEuoHXvu1szKRc3lkokRN7wIxcSHsEu/I/RDcGMU/Ol9BkvNNWzS1FQNG/uuFxa4cOfP6wA 2+PjH6X45smJgSrMHHakVcKMUAN56QiTjl5L0MXqQ+etsnu/iXfDDg3gvnwKDP8yct/8Nmc+u73g /+2scEVKiptWvzOokm5jLZjBPJsoSzEtzV4wMsLVExsvEBIB5MsPYzq4aDftU/WlWLSvIctBGvIO AbYW+kZLft/IoItav9rW/dGinMZMuvxywC2IYebIqo+XL11mveTzIt8GMFETzNZul3Nny4BVvFyM q2la+oRjwutXrsCQWs76zzGrM9WRknc8a95d4DiTIvCl6Q0s46CQY76rvEhonNqdXYz246fPp7Ar 9E2Vyq7RvuVux0o4hveTjAlbzKgoaVkiXrfzf4iMvTa+wJQvU0RCiyGCHwUZLgQrLspxW/jyT/7Q HxsJhtAgO2Dg4uSPGKl1FNkZ1Cm4HyN1lWwDPmB3lkLODEPiA7x70Jv3UcwBR3dh3wbqBxuM+Wb8 fGeUIouGmYsrAOWW+Nd5xUgwHhDWwdjzCRHp6hWIN61vqKxOIoCYE5tbO7zFIpBvVrLSoR8bnIB8 uu/nwuHzs70cuwkPlE2oSErf2oW47yK6NrnP3iyekQnA5VXODrR6PcVsx4jYeI43NmF1+bAnWNdM s4+nFdLhPpru0GB1leVfMmI/AFoThgagwaVIcwqOW6nk+yTOwqM1UGZqkkJNX7l+dDGbgIftzHYv y9olSmMWJUf7Sp3K2QZC55bWeqwz/JHjDiq4q97J3UVv0dBlsZaorOG7EJK2Y3E38mcTukUsSddQ m8PNvIXNHYtiDSYTe2gsh0TdsoexrHk3M04iJDjpqjyecJEHNeGA9IAx96z2eQBEmoTehxbQGpl7 I+cYwjVN84YFKKv1f/0lOtrzOsXHTwibCQsr49PmpPpF1lmLuMm4J4aFF4McWG/RuzbqTVgRe/cp rQdQ5Rg1iMff6xcC6z25OLscPIat01zY7VIzVmrg1kLpZ8WEkyU2jFQ06aXQyiC+TFgFC3fTqkYb 7UqjcAtfLhS2Kh+hS9dAve/g22MJCKAeZmvdt1lP+PUyhQOy4g6qmh7az8CMLv6AZ9TsuQSJWtBA HzWML4BZtyWgdyOCeoPyqTxDwFwIdUq/UpRhf+yJnMIT+JQjIlN7CM/En4mjBiMQ5PAchHe9i2zl 9rn/jVcEQs1YaKYTrfp5m1YzWPjmOmVldbbcLd1ry0GYL8XwsMFcEVBUJRgCrL1OhqNGf6qF+OR6 VVdGbefTGZu4J9C932tznhfXM+WsVLCUqIEV9UV0PcFb8oqbNS5hvO8dCiSaAV+FVNbpXJkboI84 WuGf4/z4gqBT6bD2vDtOYCZq2Islr8lr78ajfAHy0p010HHZdDsX080lOnX2BHHIyETMQGJFgFSH aTrKj3+IoDhJlE5qJ6sri5WIb8PtJmj6Leerh46sM/VoxmyVIUMpvWaEEcSpcCEBZ0auPlA/4x4k 1xmDVVY0Vh83xB3Spq5c1IEGh1M8S3FOeZ0nmyutbMdojox0OffP7pWAoUAQ4mJ1Ozxl8B4IPe5F hQO/1kw7SSQGTOq8WTWPsewkumgiC2vULApt7FDGP/mn5nFtWDaeiiVPTGNNutMsGyiqHZ4FZGrU iaX8LU9NCO080S2uWsOayWdXgEMkF3L0fJzilFVpoSDB5xtm+XOKzifVsm5XYQmcWWkoq7kBkX3+ j1oiQjNFPqX/pPQZnj8mIkVi8qKG/TcARYlYXT2BntFaWdKO0SIBpvvpnyUyhZkwMBFZBQpolcKN CuhFy7MoBieg8TQ5Fd8Otvz0wYgegc9uAASB3jtwA5yi/RXI8VU08Hc1mc7VN3XykJwmLte/U9+u YMIJ1w+FcZOpmNvfZer0ZfP19r+EWKfsbOpwJ5Wd0sVBtVfw/l1HqVjXNc4ATpGftdmFTJQVmiNm pMTgJZKGRlpLByy+OB7tsWcVU75dmxxwzp70daJJlSf51T4USNnF8OVfTYVsa2F4vnkyz0VfrVZZ rrMHuDixCpfaS9Lu+rSxjN8lvr2pbbz4edR4O2+hNAnbRSUzI7+sz9mEcDC3n5LgOnYKYb8j3aNc twjDbrX6m5FhxpBOZzKEzjfsrogGzC4fGIxqA+zBYVW4Q+yA/Df8szLrkDnx3FXMcFAD50f0Vrls jWU0LFLZPkXQzO2nieKw/wDsV0XEVRsvEVCa2DwTAoV1SCLyZX4TwTwlP14HGox6eCATIE/Hxpoz V0vXKWoDg6bDIhvmm6mhdMxbUNirpr5WLRVIg2BF6bTwUfsibEh42Ou5KUvPoWAsPyPw7WyJNVDW idkAM7C8Oc1Cpj0Nrm8lY0D5o/ZHlG0YjVvwp1Dy0LzEOa09EVSvmP2SBFRZZ0EOPt5vSLjsnVA/ z8+eLX4GsjNGnBpXO6wBNdaSHwis+hVzbH/URrdx4cntnbza9x3d8IjNDxSlnOj78ca32EkgUMG5 vwr2FD6rLWiOWEu3MCe7m+WmEbn6xatYFNGpIetk3eaXZE/LxC11t8QdnzayQeLAIostcYgoUBJg un4tMtHpYkd3q7hybGjpbrwisX9AkKa5AwWZgNDPNeC2nhzn2WgjC6nsPG7uIVBEsqVW0K7fJM22 1NPfQvxrpS1CfZcyo5wbWfumBHSxWGCfh9LFEh+/+yoTGg0P3Co7pHefkMW+K4aHPXM6fNcO7fAi AzQBaXT6Lq+7LsMwpr0z1VYfAWNMNSIY1unUuVPlBE5L7bvuD5w3RfyQEedLSXLc4OGCkRG0QwQz hMeoWSbH8+HZveKOD47DWylX+sYwLMAVtzssoIg9XNBv/BX39hwwpbeb+RkiKqI4FZPDH7A3PhIQ ho2nXfIORh3FOYQb0RnHdt1K+ipIp24O+Px7jOk= `protect end_protected
gpl-2.0
7ee918da15f0c110bbbde73b8b99ef76
0.946377
1.827718
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/pkg_beta.vhd
6
604,473
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pbqIsGRrP841p3PNO3LiNXFmQIcq+RXxWmn5jxMQOPRh5TtS5a5IXbgcwLBcCllcoFTpx9BwXn6K 0Z2HnXKUyA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TJ0MQAL7BWzqqn/IgnTD1ThTVyRERq/Ciu5OgthJm97RlMey5iXs6SYxTFSwrVSEMlZaEXuKfTJN 1YiG1pU3/dvuHeQaWystEZVfktk+a/Yu9TtfX4dratCVyp0lqlPewkRR6cA3e6LLJgxxF+F78rF5 OyBKBZl3C71A+VHiPZ8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block N65UtzfCx0DFZWWbyvl2L3//So1nlr1dncT3c6VI067ExyjZ9sLHQTWD/GBS/9lCqW10nuscmlh1 wSBwo6EjnEFfpR2ZEFum4xmXndQUhSyGM8uWMAsqU6c2VvdCxBMsGlObNxFrY53T+6fPz8dxL3NP 58G6fb02SIYLXGO01as+J8nr8AnSd58J8Gv+n818vU77QibG2263CkLKaswPtjGR67YFsy9FPCw1 UpN6cQwuChjtcU5cZsOTPUp2qBvBBvIF8uqWasORTIp5MnJi7zSOtwvT7Le82EEI2A8m4qzMRBxE 5/yMGtvXpQ5uT1m7Ed5C/4bWsJgkmhTUQ8dr5A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wl3b+VasxdLhk2LgcDxDAVjQ6PsswhjA/H9lyMAKvsuWGd7C49khh6jXdwne3WChA1umjKamjGcJ QWEB9+0A0ckE/u/MXQdyT2h6eEJqSpj+SNhkCDUEwLrgEYWt/SfizcsiAlrfreIrov93jsFZ9pZ8 BTguvU4JC8K7K5a6t7k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SIMm0I2VgJyJSUN7qMXUTjkWg4pRDf4OxQLBrrWjFKgHuW6sjE3jSqySqajIk4SWQFJzyLa7QB2d zFvHWNQ5Tosdws4Xk9LrEAzpf97v+Z8m91HoxGw0v4rR8QsaUs/wMv2zLwSjPqNibinVZU1f6mqu zsU1YKZghF10uXdjwapHhhBDbJsRAbDV0Qx6Zhp3sSx6bcABajpF3Cj8x5wtuGrp+HsHNK/CrFlv TaRxIY22L/sPIsxIBvIa2eLC/Fm/jb4NV0nCb4yTrLhRjif0UawL/BtED4FTr75aWXw88h6WAZet RQ92F2+XiXHZhllrcWmPRpeIkoL1qXrVLyGaPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 445728) `protect data_block 2KLTryF43jK8twWnqWZA8yik45yD7Ut4qbP5dRqSdCTaV68acxaMjZBuKDVafK68DTsUqZSWiM07 WUV6Y1shWYODp6bFye7S/DUw7Edwl4cou7zZn6TjVTiCysiKZ/gfow3kXJvO41zhHQL1aFUCqB7h +vj/tbYYKfcaLA9pY8z9qjJssl/VXSFRdipAzXexLlfR1dd6y4Dg1107u7kVwi1DvNAfY57Ku6Pa VGCXfqxiMXMsx2Kgr5TSmJwRRYlqtQ8psruKayLRCc74oIw704I87K0l3VyvSh2hoav3NM8hFzOA lB1UpEIy/20joBFTqdD6ES2hvSYMs7yydy30AMjFR5VoJZDPCFWmRnjtuJ1cwXt87atE9BqumzNW fV5WufywTVvo5tgd876+KqHi5EgS2mXXWYBKO5ccVaP6UXk3yBtSUcfxMUKrVdnC7J0He4lhEU0U uUVjOkTEAi+1RDwApmNAYui4wuGX0r7U0pKJ0GaBueDt1bExIT7zwfbXA1hTD4+WT3I9kOSS3IVh 4PWljfjFgftWrxZdWVXvCttM1oxO8VvrtFTjlJpvbywYoRnjFOJHCFm99dMQuL3e9E/YLIhEd5Q3 0x0wYe2W82v02nmqxpFq/kYk9IQJu3qub5hUU4UGzF/bBeVydknqwKhtNmhHbN6BDFPBjmWO96pU XBcKcv19KSIqY8fZh5nvJ3P0cMTniubt9B04TiUoQN7bhu/z7L9mfytuugvAM/qmQi4nkikZQirm VZiOSgLJ8wR/UpMLsnIcrXqNj2BzBe8xj6rJ41yKVRYPgcyx2Hg7z52UoA8MkEfedkarmvRuaDbo xLWSWODLr9Kdbcmb5B7l7UcUsjkZqvqPB7Mrl9TpdP0DaUh5i3H6jV2npL86OgHtXv2NkplFw2CA Zh8kpdZ7SOeIhOHstiunyUEWNvrXxc/vf5tfF7IqguONq1lBVaNrlZqMwloR/4MHs0C+FSkkujiL HfD2Hf85XAXtiueq3MAXhqVjlrQ831sro5sgBvEr1w3OUI6xhDreIfwtOxb09uf8HL58uEDHIBgw 6V8uFm/VP9iRqJimvxpcSGNInNyf8fAQAj43KqX9xrbnZ4rGFYdREqIMovZwg0gPbjxn3Kf3btYf +EmCJk/rkj4NO5KjIVqhA7l4/HmVhKTbRnM/ULQdOjVU/cDUZj52b3IWO5vfS9t+SlRVO8T6HknL K4v1Wgvzb8tXsAKwzomj4LtINBQPk7nnO394HmGsHVpiq8u+5lyldeW4AMiqWJIKgvfp+CsDGJVe yH+4eCBCswX2nehyG3phcdj7I4ufX8VuThGOrQF69MPVNdltM16IH1Uf2IM3F/5lSqDWe+cqhOTi 1KcyhD//y/t7bBLMfHeMjKnkrU49mWHkowZ7vESKLSLVN6eAz0nApoSop/vjR+FH8A7cMKOyShlh tcpTLfsigOgMx/1CPc6ra3LFu2o3lQpIGSiSBUwN1e9MYrkzHiBCqB1bOGLrOY9gMyXJ3HZA5pAQ u+H/B5ITtde/GStC6gWFqi0deoblxWdB2zs+9EghEdDIXlZwXHf01d90x/YOY0rj53BsuYPqsoAE 4exwFTIvv+GhItp+rZcbyBaEnsVujUwSRw50nJ1aP8L1B7SkhIZcttGQz93rgYvq+aga1M9BOn8b v+y7Z7+32Ml7TL+fSq8ytZitDiC6hgvGGfu78sazqg/KcvI/2Sy6QbT7CYC+f2NjuNKrje2/jRkP 9nn/gZ72SsdEY5iuw1zGp8HhNuN0QrZpVMPuQYIIKVHuMbo39KsTU2hgC/W7Q4012zLH0w0/lHS3 AWpSiJ1GJWItx0EEo3AOb9DQPJP7PYZ+8EDjuoEJWcUyxPHwXNLhNfSMOVHFxr1Y8SG5No9tCuWf vRfsVe+okwCkQiNp1owMI54UC9hO/cwTYdCrzPnCWKTM9Zdsmvx+a8FhJ6vu2II3wyZqOcqSa6Vi jIPU6nw+nR4ckBZN4JWdVLHlhSGbTg7wp9lrNV/qbYFDGUGHIMu+w6QPMwSwr3dth6DJEpLo9wVu A6p5aem5i2DezzCIdFbY6lddWif8EjdbnXoKk3OEV4i3scUW3GIKhhRAPSJKywoXQ/Lk+FjT7pIT cflUw7JJUeb/ajdG7TY37OyAa8zM0bZBXQHzLnRwbob1jHAucYM9VsuazvmQmxuiea/GOzqEooa9 NBdMN+WGnTuTPblzAdecROFFv0YjB/X9ntjC2Z4Pc96o2vHq/YAwJtRpuU6jrtiVL67np89z1nlH ieOV3xyvSH54ve9K4C1TdXcLYWw3HkarqNDL2NmqfaJKGPcXGJYM47lyuHq7Losm7AM/TPW/0rBy W/dwVQckUxrDnhgEVhsF3cF2e2ldpMOh3SlJqxM9CaAZLC50bpH5r8c9yg85OAEdb6YuwJN/6HX3 xKtfuUEuRgH3pp3k4tubEkAX7v1W0+XxaDzKQmiUDpSn4RAz5645PNmciKYIUtJSX2A7lraObaUK Pm77AgLKp2RH8uihA+ZS8NfiX1u2PY5Ki9CYi7IyFsPvt4lhdTW2hKxnIC5dVMertGdEga1pI6Y5 4r3GZ1C+DWp1F5ndoER8pzzX5QClDXyyoKQvvdHonPTaS6Ja845JRUBfRVMrfR1YnxM2NHLdlpmM aWUGK8CDgh+XAnDGylm7uDknontU+ZMSQT6sJzqPuoeIaeNCNZ1mfjn2Pjh3QxFskJwuV5SkmWD1 kQldnxYIGCoXRUQlgBlRT5txXzYfWa0/gFEq25wK/+57rUHgIe9VnwXKoqWFT29avjTMuiZKVexU QYQAQyGggLZ7b46amIxUcZyGV5qwdKf+BUEdG/cpCQR4k5iZthosRKfPHO103e1fOJPJZn+kcoYK E2jyJudZmGhRcUNjbMEEUMheW5oivth1K38SI5lRlq0jgcN7YIPS/myfBxFb4TRQux6nWOv6/elP XF+lb112zZKhPsWG1FIiC63mV1ontB/2+WMH0msiqGLLpIlZ61oINpL18j6Wkc1FNmOsTCYiQgTa QNjOsBMmS3pxX2kP5ayx5M8/z1vHR52FpVv1szZj1VQ0HWSeECeEetzF5y1337wruCWk8MwfAAq7 kD/R7j0mKmOBOQrzSiPCp1eRuuNZS8/txHHVg7p1cCZPFpG6xU492I9SCQwg3Kq2cS1k2SnT/Id7 +cP0peCoyj0JYpLN3x9wx10qya5fl00ykjSrCbA0wfTOZrLM9PBHQUpwOd0+d+uQROvA5AwOAfOU TP18FVZ4xivp3CtHB/IkhD17Qlyq3lD9oZokE8IWdV7zTkLtukQwhB9ssZzA9B/xTkcddIpo+aLZ LdFNRzJNKHqXYGbUqQTTwwJq+8b0zAF+ihM6CNpDHNHR1F6/JZCHT41MtzbGd6MGUqDmWtn4unYN wya2Tv+6bSESdMbaQ+htwW+hVclNpArsRBJ2R1pY+9+Ri3DjYAc+oGh+ZiDE9fVuFrtgnmt2AP76 /i/dcfZuSmcX/NxkkuYUuQvJb6gvogRPX2Z18v+1aFBTEkxZe+Y+eHNen2UwdPwfXW4OGA7LAgEv ETDXhXL2cpJprIydDvsVcCxIsLj+NGt8VEiTmUagZ26s1TOIwVSuVDCxhz5LoPjefcdp80kbB69p /Ann62gSLyU/RhHPVxlEav5WcHUKyxT/cmdEpBdf0YeksPjapptjcPPMMZU36vJPWOt1gNWJ+DgB xn+niND1MnSyBGpiHco3+yyR12BJM0GdIfajRwboTbLjApY1gUb019JWU8Udu66bggIihs1cvLJy eLTMgtDmxBQbecDlYFtW70dzMpP9bgKhE7T7lj8NgtWr9JaZ5PmX7KowXJG2kbR15PKZUpOULy7L eWn2wQPnJqsvQf9pgj/EhMBxG/0q4tUOr5PxQAikK9rX6/PaybkTuZtTeuD6hdHMsvc1nrAKhhy0 sMC/S3pOpZycJFQMCB4mPXk5yqu6dMxQkG7/HWFbQqLfAtPST/on6IJ/ExT3Pm0c3ZUKMA3TWVP9 XO/T/JTvsVETGy0L/VEumegQOa+G52AqWB0SnhxeL+ZaIJVcEPfShGLX8DrqxBAJTIH3G43jscz3 SlubuI+AzM0OXvCAVatQxGxufAqd4Aw388NxKx3dnFCt0YcEawx4EHW+XrI12I3VrgFJJNX61vHh ivcJyTXQn2qlnxr64StAArlNfugG+RuoAmIU4CBBlsdjlu21Qu57QRDqYFFoR4Wx1GOiFYuXuBAS ImHOp0EWDk1UpX2r7VKTtYUlgvhU15v203E2Nk431jMchw+DxbPeif4EkYTeY2jDaaqa9Q0rYmwJ OyOmKAQ6PQ2ARH4ylYYjzRWZcNQGjT1kUhTOZZL6AtH8i9hJ6g5L60Mu6r52RhGamPQP6RBBINOr lhco5qe3yHjFG9ysm+yBneLHi7wgiDMm5Qb4CK6Fu0FE3MPP8Jv7XZcjmh7cHW5/spZWhUrJzCSa iO1Uy2mYgT+fsuOT14l4ulPTj0qbAKwm4jEbr7amPbRpMUBBTsQtWXRCDLsx8SFSCXItrsRP0FhG Fe2wUj3JdbX8PY9omig7ey8qDEwrx6eu6aXfEhyH6MXsEdRG9Zo1YjJkK5jXJeOcW1woUEt0Eg9T 3KYPpKGvvxY8gfVNRy07nDJkUEHWnoJA7ABhgwWa+G59ADIcriSMO/y7F5jvU6drnisfx2GonpA8 XMBx6bfCt0hagzNy759yjCv4kOI3QF8qqWIVGmgkOZ3YR4+bu/yVrIYLeCGKruh4w5bNWewox163 RYS1UTdBZsuJ0IUCYKiYNvMKry1B/zpANYp84is7Sb8FhJoQEULAnMB9EbTZqDj5OHMQs9RkUOqf AHfNbgZBvueS2p5U7zqqe9rA2KJ1YNUssGle9wGat4SCVCqxfLcWDuuciuS0S563XE+5Zvst30ZW nr2MPAJJVUeUNcoDmzQ3k77BrlhJ7utbueCv01d/F5bUeDLzOD8Vp1eZdE40tKfL67TpqNIEYM0b cpx2exeeoAonFJY8ZNmcXOCZbW6IQnKdZh07hAEPHsBqH4xT8c5jzrZ3LR1XoooW2PYViFLg72dk 2zezPOs5kOGILXa5liHxouVUWErimKLII47ibycWj5jz2eUcuGioPVYdcU8bC9D9jOsUa7YVH4xN 7ir3Wbu608nkXNGzVd8TjwiD8oEU9JN0+b9CWNH5I7RIjJ8+JCcuDhiAR8a2YfyzTMeYsq+9UHHz NL9uRu7OD/rz3cz9s4k6MR8eg81gb9jUkqEo42RPrHJEJ8CGzv1eEufOT/Vs/h71IIPnLhoUmmKG JyKSPHpMPNFheLLykL2KNRNPzhKhIPQeCZFxmWZhD9MXFA8A5DJ2TzC8t2qbTmb6TAqNAU1KALSE GO1MVlvSJaPsEcY/8xgSnOwo9m2u6Ltt4e5pM7ErPKxakVO+z0qRs56OaktKO6Q97PeFNoD40rgK iWYCCYn/8hZqSRZlJH1UA259HkD5C1LxtNCh5jyNrRWPqbsHyCBRqIV2q0qGIvGylh2H2amo9XX6 sjb4BSrM6O9yiEnH7KUdPUnFXkKhIW1sRBvYRJPSgNdO0srjxH3b2AR1lrtB4UNN8JJr5vw7tVKJ nW+uT59MdCAZuRL8Y1gb42K8IxX4WxUdYzWmNOq4AUEfwPJVlBNfx3T0oseBHxG3u7HDIXWT0y3Z 6GM2zY5rjKvgomwgoCQolBcapdNHKyCq7Sr6ubCONEDiOTYSdBGRd+S/EqTOGK6x3SudAOLOVxoK by5HkO5xCf65uXAw4qCWCjqVvSWk1Cv0EFrjOyv5KlwI8q+mgTfrHIKEbI74KQ2WKqUo5tDoLn2w I33lGV1Wcc6KvWtefcL5jDmSJcRC/VVKOzvQgSSEJchm+XjEh6LSAenU0jrXHdmclczSSGsTIUUH PRu6c6D96fsgDoF7z+Ng34kT3mNJJgQPZKQiH/ZbfHuobGaFrvUy7BNPdKjNYLuxeGPwaKz4tBao 5ojqi1Zl8+dNEjK2pCsU1JHClrz9KXYhtIMsgtQJDc6exvPayfOn0Whf5Fb/u4Z00yPVKysf9f5C qJKFLxq5tAHofPv+cy13/4hNDIqIDf19OoWINFK8xao626F7CnDxIuBhAxkVUd1DBjLp8ZPFGhyI gI4l82hqyhvUXFpduQQ1EQERP09msiP7uGH60DLo51ypFsjKEq96taFQyYTXCrDkUN9ImHvjqvlc R9MnUOTIUD5JhvTKZVCFqAKq/eKkXv+ZRVCeqHPRkoQ7xs8i0n9emcKlxwWsNZKo+shLNnUzS77i ZdWCcMCxkHm5mfz13Y4/pm4agoUWFc4jwZOi3/fxksMS+WFQ01UxTu0ACPUtemX8wkLhWvhsmPMH faR45iOVoCU7w2f/QGrNrxL87RmtPPhlmL5nm+m3+m9DQNh5H2BBt4o34RAJrkhYgUI3LwLwqpsy AKf4s759KpRHeddFj0Mb31LbTLXsEDcz0pnmTbTgctdvXUyv45VXKs/CBbMYEkSUX/l77tCO6P2g rlUVvZizE/8Rkck7zpyQMk362x9WAEHY9dlTZqTnY61sCbaVjKEiNxqEI6thbZLhy3NNm5GGwFvG o2FpDz80GvlpDls+A8CaE1B1prdnF/s97naMeeQr0G13GpWBTvmzG2y+ReUMGi5xXPhW8HMiGQF9 y2y5mM7Mcq2dqgAVCO8EBpyEb15ysroXfkd8ROOGWO1mYGcEEaeDQtNoznYzWkIYkEZB0scYJgb2 7mCkyxCBJctD0b+JGvhSYA8PAELxmy5NfSe57uXnFi86yW4Nf4Y79Q+m8dKiXBaZz/qDI2rxMGx9 pctil0WAtSVB4zQZNAKYBiBIBnv1KQ7wHUAiECNW4rOfubzihRY8ciBiCLRQiAumfYrofUufV+Tz en4/b8L+ukJSvPC9GFC5Hwof2JaK1kgoyDWFP0hoMNl+4fV0oCCO+fqsSPoN5AvmiP5zk8sNuu93 4arn+lwmS9xIFSkVcMt1vAqVqEDijnBfLxL5xt9KQByYs+DYztVVNar6t5kOZg4IUpO3S/a91mPD MFDB5BpqOYDdIpUwkZOe0XD2+a3imBB5F2m/mfMvV5xz9+S6lQ1yH65doAaLHIyTxGuHfjGwLsNN ROwOh14/fcriE9NCnwKrWs817DYoddfOWjsOzt5UhgyhCRtUwRK8KQvhtUiQXJqrZ5n1MAN6y9oT TCCr8outSU4kN2Z1ByENHpUuRmKjaTSGCC/9EQed4Q2XvYcYUnKf2vGoLOcPKkEead/jWEfFUsPh pQZ3rBDwWIlW646Ur0tSq0MXSwKX7YnbDBL9E7qX2lm7W6foUTjGHqguz14p1VgCkWMn7mvK2a+u 1vC/CtTn+7SzgqL8W/iAWeQRcIZ3xKREwrwPE5M/qqGbazugCHRs4uw6VL69/xbfIVUYIUYomlrY TQwvUca8gTDEnyMz3B01Q/gupU6zmjDTz1D4KYMp5goJBhKTD+NYX1ZNAkYFnlmOthAv7qEYSVkE 1lvPhdHPHzE/kTtpoSgf/8mDrx5s4DYSfHkQtzkqmSxxZv4vyQQGBydAxVoaEFVN9533lHhMPhQM 4VEPSosPEyeCdn1BxePKRrdXPO6BoiuHzE2LdALwXo+EQG93i3Ni5JxVkjnLBO/Vi3FXsWt74Cb9 CivhNq7cO5K6YYUvEIuLDeY4EV7QG0xSHNSV1vsclqJjg35qjCOvQolGNk80g53kL1FEoQe7c5ob 8S8HiRTMzobi+ILSyf3SjGTQk/IRRWEWBWjkgAGWCuZPL+NNclfiFeMN/13Uf0qyidi9pEmuikXL 7HY9tu4R/prXKHoIUvr4coQgafdTjuUFbKlViNzixVES11RzZsCDE8VwgsznAeiN8rjc/X7l3kBm vzwmFBYg6FFEIXEJ6DCQ1ZIGfuNvxfDrFoupSWLcrTQaWlkkiPMzmbwccVVnFd7nKBolcFf0ccfu TMqi2n7dFOFYSDjWGIlOwt5XpWcGaSZp2q9HPniMUBZSrrddaTOosOThamf7yowEYu0YcFYdFYvb Q0ripEm4vyYBz2nvgl74UTVe/wUnGNQBUugd07D4vKY5kwOlxVA5IQa9acFKyfPRLWd7ySFAWTdH 50ODcG55DdoEZuga7791fUPbiqng4YKF1ZULiMMbyozfU+/+dPrWO9vUk/LnLVgbjAmtC4wjuiOk vzn6PPXLKyF5hSIWw5jwBkuM6xkIOzqM8q+j2VX1w+ZvxUuUa/csxWyGCiYXNecsP38TaS9b0bhI uh4Z8IOAI39auOQqWZNqYw+ollu7kQM9k72Za7TXs9glBFI9kC7fOfSMZoRvCJT5e61q26YqnY4s 2mojWLM0XsHgULqObFKP6q8RToa0w7q/XcVZ67p7fcgt4oOYdpvByjdsAHbN4JAoICy4qg8sHvJm OBi2zenIKLRPTrWpOfSs6VvyBbGGlqP1QmZCblZtdy09o98aLEZo/KsKaZnsxWGhDpR+cz+/y6ni xKkENeDMEZGm6L6aGxOBcM5TpGVuZ5DPQjulTooS5yv8B0DQsE8DxIhUG7ua4klvm6J8Pim4MazK T3mGrpNLIbHWpPxLfvyXO2/Fm4CET8dDJeAN+F5+V+GD9/jC/hEOR4FZton9Cdvd35uAkCR0Vcbi C7GXIxgIIviTZ7OkxTnzOKOONfT9Q1HxYVWdCoIjywz7JErmfE/27I7DgwKtdducChRdW0ksLD2j rNSNH4fBGnEnlk7CNQcukMadu00f9zAmLZWlqIvaHe3WUWkVjYaz3Dkw9r4cC1RiJ9+PsbUh+mml 2WDVIvyDo+oFTEEHKtVhTUC1EHESKTo0NCQhec0v46Djqc/khPtXd8MrZ6QyBVsrfkvFmFUaIAds ZIjxviFaUZeYvFMBX8e1tEJ0yKGBI7iuHFD+4zqAQRTj4K+wagDsb+V136/QUsutSpSTQnJPpLtF /qcX343+uMbRiBvlbJvdIbgXo5KtsLAwy32tYqaYT9sRi5rmcqTE+UV7MUdGReuIhaFtNahNvuJF MAaymT/tg/ikP8O+RkQNsJxQiOuEJ/jStAUXf1WtB3Zqx+BbBOsXpX8wBMvqobgDSwADOGKuonNo 4OwsFRQ6ge79A3Z60jIGF/5CyvNY27SEvFDpF8eF7h28gavlNNZNzIIhSQCS3POnsCkdlOcWxGTA 03+L+gdxABFQcXXrGgnjcGRg8HBkndFjSRrzBCMuWnpKOuSwCUh8YEkQMR1lGKxp3/PG0mxHsEC1 mmDSnVc9gqwPDbMWuUs4t1kn2AozzhcF9abo9W4FnlZUFe94P0pi98i62uZc+pHY3ufe+UxrwD// Nd14VWyZZXFC7VupErVNz4EPUGZYUtSPNvr6MORszlQlhESYtO2B2Ke+r8d0DW5AMEYOpe2bal0s Z5KXwMAUJhoM+U9UAkeZXSgQ8b015WUeE488E1oeI3YO+Yz7LcbZLMQD45NzJZacoyvm9YEkh0pq UoBsp+jykcHXQFrIzqbR/i+G73GVdJTfEqNJq28y4PldIWq5xytyO9+sVFBQjJYl8E0xq4T8xvxU quZp5GpQFhkQxz7M+vwzuD7/P4RDLfhSYTRSLOGDvt3qBBUBqdZsTEkAjwZ4NofzYNSLFDPGNFpv ylAG0xrINUdiT4G3Pu0VxdJW0w5FM0hZTClwdq4xHIlYErwDJjeSnMAIt2UvDnuh/0UVKORcMzL7 6vfbwNeUGp2JjqCRIic+AsuDcu8Eh9aElOO9Ty3T/HI8iX48YmXZByfutoxmE0WOpfH0Ei0Oc0vP 3nnoUFAcqedZZAXhrSbiYdhLSCqgaDXLZ3HqUkCZmaYUV55Aqk5K1Oi7H5IhWz+HDLMS8r6in3QN mC54GHOIC2LqpQtbfwmm6WRdAhAA1v6GIaoyLpyL0d8Ob+gw5y6Nc+ITjrjyzCkzwidPsudCGER6 atTGUjSR4pv0KoN1VgR5I8inxi1doW4JCM+NOh18LZrhIO9baxcYf4S6lLfbc1LQnOlHvV2jpJ8B V9MNvfvxklImNFBzIPqZJ16tBtpiuNmnt4zdUF0EC8D/Mc7JKYHrxlZk2wnhA+uT+EFjOoR0Q6Xv UqYFK96b0pXGvjnlIwXYRtaUchXb0AgQOTv4Y+edaZxqO56n8uJt05EEZjFaIjzxRan7tVa+QYar MwNpJfvnM6swL2boABfwCGuNNAgRpxe4T7erO0r47ya3J/3S3JXMADtryOdLFQTy8vXRa1mO6qMB LSr9uj1sVfbMNqdFT/twz95OlTl5MzjPdWIOYUPcMcAG2vMn6biR/VPT1scIoMIkCy9Cn4iXsF0f hHhb1E1eI0B+W5bf0kqRyJmCU701vojbSaYC0IgyT5MtJqmDplP/JvgeZ0i7e8qU/gvO7OG2PcIW 6+61EJ6IJDBaB0Eb573UOKkXtBwIPkUyrfSJ/XW1UE+LQCsvAuMmfQLkrotEk4gA6W5nrLpM8KYj Hihtm56QYjp99FWGbMeN+6kkYRPt827yJh4Z8hkrzE+eV59XPKDyC7CE+pI4uiSSe8VhdWe0KflM 5FJoRLnENhOQZekYZ9RkWvXV9bcWYUJ00gcbHGObpIKiJE6697kiDwNUDuXXji4xFvZC8y/KuvQ9 qbCjjn+d3417yqPHmlt12dZJ757iHXdq8Up21eoVp8cOJxGIuL24aBhDAAcYdCZKDmT6VxVMCcJw +xf4pqu7rk8iu5Y+p6etMeWDpiNHPyaJeSylNy3BhA5n7904xjAAYbTxFDO1qkb2Si+Rr0lAHnA2 WPtrr6lu2EFTmDpTnYCBkgWLZ2xdazxQwr5U6L+W4oeYgaIKWI2kKIyf8SfjGNs9VmQ+RvI9D2pD AlHa4+oM9rNfsgqsbItJ8dbjl2WlgYQPfe+Wm2T5P8wLuMUELMNdt53+H7/qb0u93ZtF1GZlhar3 SGTjeCMS3cgmh5Xpg9wPWa8TxwGvMfjmm84mOsFMdXlq/p/p0rY2brNLPS1ISWO4bG1DpPvVIu5N eQ4dHLEf7qh7LuVbT2c6WkVL1Wayo1tAvmhPal+AetjlYHU0H5eIhgU2OdOjxgOqOqwVwx3vBssR vPUJqLwPcH15l5iURdBryxWtOZA8JqGP+qgsnwX0BPN6gLZRfPheLnzXV5hgheHC7u3DGblhmlrS Z8ZTCZbhiauXz2YZcFgHw366Nn0xB7ho4OiuKRr+Vk+6MRugYCDABRZC8dA2xTe67xK4CkK3WA7f Iy3Y42OefIbALElauPtsrpAcvI2P5lJSZneE1mookwv5CulLTuCOzrfqujWyQTIuju4AMPaMVAH5 VwfofJGwnZuMLwGPW9DgZZ5NOinLGlxJ0F6apI5mBH12hWxxnL6OoSue9q/0f1Si/7QC5s+myVMO dgsrvBjfBVFzTwY6nidkNhmiH75vv6JJ710QgGpP7Lo5C4I9AtJjoL1r2+sz0xMaolAcnXh1c/mJ K+dA3/LfycX+awrU9MMU2CbrfdGNRcgxMInw0Ha6ovYCfLqcwuqQdLQ7zjS9KbvVUMH1V6Tje/m0 V4VwK001hlkEwKOvE8d/HPgDrPru0kbB18ViTkboJtra4qm+RY4Ejpn34kO1F7UAvtqzLSJrOKSq KLwmLhzAAN1MXOI6X8aRIYIWZeEl/lbHh0PBaP1UjU8DihpAjc9CdinTpTpg4fZdS7MThKic1tow FPWa65Br9otsqvmWC1lZY7n5vN0hK3J5jPqXcWzygVlggpgiL6AO2V+pPVYUCrp2F+Eyr6tI3Kzh yXeh6TIE5kI689E29pW+7BzG1ipU7X1HWf3Oj/pw3vmgM92Fq0l09WdTbwDp4B9BDNX6K+t3dA6r 3FVcwJCZDfox0ofKC9fhVbkj5wxVIMyqnmRjzh7KZZ1ajgXGfBVLe15ctf/YB8BTpkmspl4E8cdZ ElNJ3dA7Lb8+oS8ZsC+QQbvDk0N2RJkA/zsOyK4hl0Jg6y3llaJAuCRx7lPRvxcCJGCwsxclKzRb Vqi754bqhM0Vj8SGD1lPvqeiZUN9k4VAi6uJBcR/Q5pkXiEZvXEzLtG7qcuiVCkbQ3g1SO7IsRrG g9nPiudvqf6bMTIS5yggenphKxAt4FZRhVJJORrfT5VvdR0OGN2cSRb+Iz8DbcumhariOMpip4uM wKV7nLRC/uDlOIf659VOTkCJrsH58hR/RBDMAYfaj+NA3ZywamNN+wyO24kbgiLKP84vvmU7z+Fl h6Y+76YIvSxROfbqSSSMbW1L3L9Ukvl8xOa4LVzw1iukK/IUDtiBy6gtRB1LXInYQndOiZWBUytw LKBAO2nuBILqjToO1ezr2hVnOEQBHozsFgawl2PFXdaDKm7TnxMatqUv09Ae8V2OpMs1dh7nBLTA C+NTqBCEImwLefiGB5Zv9r7TQcoqVpfCpH1ED6HGu0vcRrK506SCEs217DCgcGrfAK1/85u/iiuV uXHcXdzh47XuUjKvVv3O71XuujPZ4G167Y6VUXlEu89G0K8awKyIwZLEotx163yVU/Z3QVsetzcG 0ftSw2/qwsuuxW8aIrh3Foh5GPHQUDVWBE488l+tvIT6+AxAMsLCeZMzqOGjDFG9DlFtWAI/UEYq E2528QXB27edGU2uYzcWqIemXPU/AvaoUdI+aRitODV5nGZrXhWXBul1INmZEs0Qn6W+hLcn1WM/ uTkwb7/aPDOr2VW/HXuxX0uvDYvS76l2iwTPfOpqlJCl+nXtm9KW1qW017DKSkCA2GiM96Y3bYEG 59C9b9GaSofuFpeupe8yYBnb0fAOjpvSUIHM5WIQSrGHqL+pgbYuHOoEDil1i4I31iLGIdat+483 FHffELy/UQJkFrdVTqU/iSFwgLEYQRsYBtdk3RqCalZlqT3+PKnoQDyZsabTE6RA/BN2+tw9bjQo ffDxzVsxhzDlj7QbJSPN8/akDZc3eGuJ1mwl9dWDvPi+qO47OkHBE9tAnl/XNhTg1A92/3DKKKDG HrGmNpfGzLNVQWExuTN5svY12tKEjyyIwQed2oBnXc/8pMyFExVfOsfkIe4y/USBG70kCEVBFRxO 0+pvfyjgqUX4VOCHwxR/qkBp0SHL4tm3ORaqowrFAejmvcC8v8+VnDSZ/8XtOHxPgva1+vGNHZIG T5X48P+sU5yU+AXny2pwyuOT/CVH5vQslkUiaq4p7P+Dnva6nbN+zTmMie+77bScOXR6Zgj6nxAz H4rmeNEqs+05RPPKm8j6GKTR1ewplG0nNtLhB4ahdeyoV8/Y32YEh0JDNusGaCfMFiZTr1qJzP4X iMvag5fXGmA1slBHQw8rjZU9ES2x/3By7cu2Jhk7c+/21KejLgsWLWxCmU6vcC+f0IJuRQ7nIuzr KO8695uhd2xhpgd7B0qUAO8GajMaJl/XSopV5oIE4gbPOLfIPo7d9F57tpP7Mt55xBKKfAXnuuIj evVUFuZ5rI3GjnT88GD83cSaeMbmXU7W1nSQ8xqhlk2sog1UjPJ1UMHbyu5WyFotEjw0Tpn1dH2L Dypx3nBYRESAw+0zqIXUehE1TAfZEA5vmZC2VmORn+YTexn8xirfKjglbtlw5pucGF+2GzxY8JCK uRmHVqEstVG30j19O494ZQ/shnmaHpY6MYBFvdEafaAKVxQtwZhDrsvzOJXNjj8y02luGVRteGUg mqUAOlGH7JZ2K1uiKJDVyx0IIkXBk9SeLJ4mw5usS7J5II1TCMWDsrTTqW7zGm1GFWHRXu2Psl6+ zmevgk+Xn/Rhf2ipcu5PASXjaRJ18SMpwoCBcL2t2zTCUXxvKMzbrM66Tvi6Gop1fb53wNZTquLN xELvFgNiFMsPMQXg2Rbw9ZkM8ouPgLv4J3gKWFw49RuwLco7gtXMzkWy24CizToWKTm6gy6qK+Km hXy7fLT06jQ4+Zneq68c7vpIhn2Y9fazaiEjk/kguys5k4N/AbD+lisRoj+WIFCBfAAC70i8mURQ k3whyB0qDYDTPTJYM9Dgw6U/tcBHX4Sl/MUFifWsw6HQ+WdhMiCare6Sq19bGkZrG7d+sCRHTPfu 7rM16StQQj3amdgJKgdidKSND8fosaCvm1aDn0zd9EU0sB7Gzzr/jPTRWEWYq9u+UH96S59pcHqb +5TSSOAj0B0fuu6/i09w79UbNPSDkT4AcTBhK7+nWmWuNrBz9Yqpj3eb/BAUOC4uoDxZoKJBRNHW uABWTk1Os23GYdf8eDmdKK2lD3lay7VdYEKjUxf/cEhTCp1zZt0aDVS0iGukN4ZX5jxQQeV3/8u0 PwBDQDw5Gi3lu5PeXY/oiZb+bgryAcFGPGndSshTBGtOymw8DqPHHs5iq9CAPcSjbF+H2NFxnwge aKHxKr++c4Wo/18gyv/SQtXgbRpJKtbMptmcY4Nan5+C74FsE3sJ3eGj4JZoKIFKZ0fspfFJJjZi 0d7fHtQ6HF+hTOk/MJPKWtg6EKx5l9VJRzp+VNUKxpzFMFxP2o0hjWcJPK1SnlsgFFTXu/SmQqNL jewE9eC8AExQoeXZ1sgamSM7KuejGpnLw2HHiAkGhmlPoDr1hj65X47r76LHo5N+QRT4smE8qD5F mfYuDxXPhxc3M2Yzfum1wGrtodMwVEuvT1P6SKHKM4g7TYAkpDMXAruTOaWF7zosjgEeaLVn4A+r Io11ECiLhlGOhfu3qydKA0JkbcNy8lF9B7uCgxt8Y9lJzhqtkggei7UJvM42ALj3rWVogB8yMB3U sbm49czzwgsmG+5w40kCHdfL5V+9E0m6du0agtT4h1sfGKrGm4n/hGrS6H5zEGDqi5jJMkjSRdpr hIqL9U4Ea2m9NGajYFz8Yyi2GG4N7VYo3Oxzv7ybTJ+rmvzdYMADhjPgU+KzRuXw1h/Frov7yRqq zrnH1rqaSmq4esyJijBA+h83vlwr8GO9OsNuXSVNqzdebRc5ZvFn8XPWjTn+yIClTuRsjp+dxvcF yhQKWUX8vS2MArJG9bOsS33vMAavLX6n8Qgrfqt72otj9ddxiGlKhvynMbQaUbZmNoF2HnZKNDUC h7ep7YqGHiek57aR1F9iIVnlZ9fBzUAjntrurs0D6HA9T8/3SlXvC3Q/bd+kZZB04HLjUSwUyFQ+ vrVTswSPmIw4DxOTOVGgmLsTnI//xfEmsGYtiia/blno4oqb/fAsmTV0vt8EsqDY4qvGe0hF4Ddi KguM728t6WJW4Ap6fbBipinhJObAqpgiEivran9VsdS8La4gnS2BQNlX2SOf+0rEbcksNUgTi4b5 9DjxTplIk/HpW2mZS3om/R7/OkvwHO+ItzUQcrA54uNdnYivUm/GhpwjJnyEoXzQzpp4R7P2aD2s UCBUAL4lDtUxTR1UGyAhLWlTGhtGuzl9ibhb14M23VnldE1gvQ7jK4XS1dNuqPrMc+x0Uwjs0niY nG834JXyT8toApWz3NRYqYvu8qN+IoRDvNHYPXsr7YkM2oFxUlvBOX7V1zmxEbNeF82FKzcGqt1S 1IQal24mdjbbrFdR/oJWQh7eF3bvQYl5BFPNQFYZg1ZTC6P0RMPEAGsU0rNKsXv3ozHpKSOV4aVx kPF6FqVqCWYErjMCrGhRMxV9lqVQ6nKfkahJb8VWKTuNObJxV3uU828bHpp7UelsIYAh7saVU2gL M/vlzPl/5S9OGCSlK7Y0Wzr014yNf3yqb8tQkijaba5rUA7NmXOKZNvK2Kd+qHpf/m7Fj1qs7Ykq xXza/4suwakOClzqkrzvDcJQ2HXVGx6VeCBz1N7lzrB6EHxw0GH+rSC3KgzLbZQp1Cu24PXHhnTY zp+Qvc97hCZ8BK0HpRHfSuSxirbC+6eFfCDueV7JCmfXa8Fnh2VYvM+Fc/d+EVENffLqYWvMtXSy 31yk+pMrF6zJbU3pt5Ag62aA1tu5bXW3eFwGcn3yUXN5Kbxz7xTReipsQ7b/1xmhuY2WleR9O8gy 1I5M2kDGK9xOZIl6g5coawSjWlwK/zNWszfD/S8jNYWr5upwI6Z4K6s9a+ZhvRwpenxHwYQDmb4T fPglHEdFVTl9Y2lqj5Zi/tG0bWlBQgNT+irrNGfy4LMSIr3V/8/npoLpyUujHoc7nXcZLUYjWDtg iwRReBlGTql99It4zqst9wfVYoOaDPjVz3qNECGFvu5IS1mZqIKfh9YhpWNWisxo7+XYS0fvmyZT QA1kFwkzHdA3BDhVIhq3rIWyWdaDY5VkKhmsFiRusixZ7z6EAK699FLlAArWjqRQeFDF1NIxO3Qx TytrhZu8aWp9hQF9GVXLpwaLNluZ+8MO6Tb0T+8xgyBvNJYLzoS2VVFw/ylCbbQiV0jPcFed95Pl 5uUYf59FGGCKsFCX52jd5H3C695PRIw+IXW3gpYeZO/exkWxe9oh2Aa8ws80MrO7DzGcX4W7NIfi c8xOU8vAUIt3s2lgaMCIuB29wiEzm1Fvr0ueVRY+1CnSa5feXJL7RX/ndnBSZ2LDl4m693kLqxVI TkIub/bQ4KHbsMNSrVzK7erIlANAV5PydmG7FTz6WWIq4q5fDxGIH7eg/2jVJV/cfFhE+dkMY76M 4OENvPiaTTJYZvO96B1kU8ti+nTgGcn61TlJoVjdhDPJdZJ1A4jTXhQTd68r2leUs/S/ZY28cnwg 0UpgCqU0zST9dgVGhQt2G7ep26BhnbgTMb626J5Ga0CXdTpLJ+x8E0kkLnwyJ+YKexcV99tx0GEG JYiRdThPS1j8Ckm63pKdFAqU9edNUixk2yOIlbJM5K2iYJ48/2Nt3NbuT+Z80eBWZA623yQgStmi lpQFUyegg+vX20law9EoI2luT0YKktvzMd9YJzNOvqxkv70Os8v1risEitvk+iWhcncMVLw4uc5v OtJGbJtYVvSUSDUfRChyjCYniBm4cQD4eltIAa8oMcMKrTEYkm/h5i3E/wnzhKADmSpqSnvok6rJ y0RH5As9ZpC5tsK41u0k5U055AF3NeMzNwgPrPy+vg3zgxIHwb0bS3FSVqY3HkEafffYq3HihjSB tUU2fMSpJDu2pxhxkLBV3qd59Dx9Zqj5+7Q3NuvaZAzKW8VbxBuoUU43T9v5bwbWyXwKvkoaPUDU EU+56om4BtTkQa+AXE+vaK4wfK3bxXLqWnWlZNpxMx0QVw4HzG3Kt2zatiKLGD9AQBq8SY8R20wT zx51QHL1qUD3Nee9okRsaEVFUmmqGeoYS34EwowoDfQhgDu+Ev1kyYGe7q7/rnxd3zIk1KcOGyX7 N4pMCruk9oOODglwmoRRqMlghT1MWVKtOG5yiV1fQ497Ly80bPTvxIWpEK2mta7FlLxmc9okAcH9 /jB0jZSyrIGccUswm3B0jDdEpXAP8Sm6k1mXnt/9rj/uPa26bt+z3wPQ6Sa2pyoB2zuKOVFFCVNp 3JkPcG4WuNnrnJ50ax0vCp0hsL6ZbBf9IBnPgRX5iT0YnYmC2apFk1lElmx85sqX9Ck3Mb/dR0KQ 90USMRmeT1OksrABQWmN54epYDUeNtGTdmLQVwE8YLUjKSIUtDABNzz/S+IsLAtDaJR5AS8s7luc Sn7JOGapd94AxSvxRWvshzJxeIDw0CzLS9v82oApaBg+2vXRV6LUecRuh5Qf/dS8/n9PIhBJ3DQa D/hV888oTB5IPm7bc8BSdu1oENoodBeYZpEZqLR8+dOiv6JJwm6+0KvJUh9u6yp2W7wNRaX59ldv 5inDbzwRVQvSHSXTM8hlQ5vxqGn2A3TiXse1cYFGC/6eB8NF42ww4LPm5cKKo6svF8aLQ5p8d3Sf tqADAyg0gbwm/3DSj7PxHm2ddkzq7GHMQkMjEkXoXZdWzGpTdJCMAIqQbKiYdUrRRayl694iJhPl Qnv3ZZC3jirIkxz0lxjg0EkpBe0hL5bMkbttkPZtg799E5gdTOD+xfng5qnSaR4aW5bRA/pg6JvH FX+5kYJCq8an9aPz4VMDxjacCkDNPB+B6NVt6ZOGRL1URQiqJgHITQU2cjitdVKk+r5RtXHcnWoK gCb+Zn4nQqiaTqpPZO9c3t2KHc58qLTso8ro2+LeA3Rw1OXVtG1n2h2vBbIOYjQutNUc/DQc55uj EVXSaf2sgvT6O4tg5JcH0s5+PlNQDNYlQFEsT89JgGUt5eq0X8e1ZSXY+dW/1XGnvZqv4OUuHAAu bfdP7yMJPzO6u8bLChtTBia/18RF+XkzRKjmEEsFTHG6Ejndf/jmCzz/ABxf1m65kap1/SnnLi3w BBBacVjM6rfaVdh1p00b1q/XVN84WKWDZhfeqrviMLjs9FzUuwfErksSiRRvpoUy+sBZYPnEW3Ya hZPWD79Pn4H/f+Af1nXcVBdK5DzeVTndfbGrjY4gnBN8byjHRKdmOPstgx/0x+aFpgOzOWYsxues CbSdilB9O2fcX5Pc317t/4ZbSMmzfatxfXCLtY/xagLoKRHZPEzErI+qgzOFodwunM3MVrea9aAG C0OPmVPnE+LDvgFSxRfMrYpigcZH9gXL9HeAr4CRfBNSAovx/ye2LgNzebUEvTS8OEwzg5UcUyQN ks5hbqXB7V47/kOEO+dX2yKO6bX4scqvsxCJgryzS4qfmCMDdL00jjQz4Vv42oFhBuT7Nv1w5GZO o2681gERWOKD9wIUdg1MfweXKOIQuwdgJYsWde1u+JXdhlZEMtpnrIps1o2oIkLDob+COjOhJBQL XwlXXdOJZjntPJ8x+QVlOffFMOoeDIJROaan0Fo5YoNnEettyjmP+Q1zW/S7qhpQJ3UvDa4nmYCP 8Sz86L/tMnkjoRbRcKdFKleEdJIdA4nTNiPC/cNTE0XuWrn7K+V8l2TY05rVlmMU9aG1qyyrmJiT qOiv+BnsXdTpBY96CZPGR2TKKnmBAvphtB/6HmrYeJAlAPjvXzieDV6QwfIspwZl22mfPyeJDWFQ vW3j8SiwIrxiFbCv3Tj0FSNJAkF1GZjN42X9spu5GvOwoFyL8cNRvFjwC5IZrPhD/wgqNMdJ4+SN KIibT2Kqbt+/wr1ObbAmbZ5whBKZYA0vliHKbWFAc4LycolJJwFSEUkSlZxuPulVMIdmdhcUechg B/BGNGSZ/c0vyD2DyrtoXiMEwJQ0E3sxaQoKqG0MkDK0LK1XcMGYsqXgBlgs6J5+ycSX6JrHPjle nkvjIIiFDdq800vaQ4Kgi+JqF6U8aLsT+JqGNs2DtiBvSxKYAZyIsTMdilDMMuZBp5dZ7uzPyMJ/ ZaMXg99yAxzqqUz7CSDeUIIijwH08JM758NUvbafSkIRxGCkmthkac1wyRe67iBTt1hkYstE2uDw S43h3XRERfXmpk1t4xKWwh9sZOSIFUS/0tP1+LOVv09ArwNCuzfbx8dXOsJZRCgRxLsRrTt5Ovy1 fXfHiPKIL/3W3fV07/5UAkHvQxCX0HaiwHnt7Mp6YGLBZvQ3YtjyHPQS6i5/r4Z8OR22RBmFgY6P xK2Wm4QQtnmy0hPfECrHwtzANavfMTQvVm+xDXYWXi5euU5aSLY7zjIwdyV1FlJh9sCWDNO1MPos thpAN71GAGjFI5kLXq1hHk4TvzDHUGSiamkdomOgTj9OWfhZggVUI7Nu70FtUhjOwwayg4Wp5Hke Te5S/06sjXIINwl2/kJs6T+qCTub8EJh/37RdONCdpYcXNVfbm4/TIpJwE2q7bs/jtDqviCtz504 aC9w+CHqE4JtUrGquACXJGl1MjLt5TSzTpH/SUwOQdg1gB5NcDAgyHeAY5zQuGYkjDkn0mkfy5N8 2wM0HiNuTtJTps+gj6aUPv3tefc0QjxRRYsWgA+VkAP+6rmV64xuo5U0P6uuhx6JWrEVj54Zd8xc J4n4VRr3LaouJRkNxnlzhU/I58TCJubRyqn9phoqGwPhPlQFo6eAsc3JYNsvLUVnNUbwCW2XPgJ/ X+EYWK1ZYVsyQmaBOYSOCwOLnYw8CsSsofdkRxYmOIv0AApjt+goF+Xg0ZFdOZKDonumLt//3mcP wwrvJ0jVNSxFg5SMG2nHrdcJFpxjYLRTi9H2g4TsCXzijH+sB7llJ+F0nzg5IgI+w8ErLEQRdpgX 6diM7/vtX4lr3sdTI9wazozoxbPlKMddMHyeD62iQKrInWXybAfNZXgg4tfndr1NOkHc0sGTVrci iQ+TkBk1DEixilM8MJKbuSuR3hu+/J3QW/cGRUEkv6EJevbr/KRao4I5tODgfN8/rqe65GRrI7sY jK/v7Ldt2gxxSsOHH9ePTnmYNMYUx1BYkSCFIh6PjyZPZCq2BLgTcE1mCQVbmeyNBvnnldO13mPy /6usjeUSUaul53rr1GdsiUiettZzF7r7Z5SArUkh+Rgspg4/vTd36KD8kD8+CNnE9+0pmFqq+F09 2v4sptpWJREE/UtuFn9wFkaJxk0iDg2T452Iksb9qixnIsJPi1FMkrHNAGJFZvwxKRWu3KZL0QED erhhbA90gosb6UIop3CBBRP+ckD0+H/HekSing3w4ngAi47NZ2VIMnq1wtixw6rLi8lmGDV9liHB tFRYFe012jX5SVnYlnlvQ+DqpdRNVupzygX+KBfo+rDDL361tKgbGV4e/MeGhffsUkv20caIW3lm mI2O3Z/muxnY7OlNn00Mznx38crJRhXDoZHNiFDOXD/Jwu0Ot5nO9bsJYhcZr+z4ennzbuSHPsdf CxhCeDb8H8B7Oe7+iPeO+3dwF6kKecm48/zolAaWHY2gHu7Kj0gk8MikzOW1v0FEu+C7OsjJhLAR q5pWmkJuBk5V2TeHHkZFqrp8LZ0sumSJdWZ9EMxRxzhY6hzDVP8ChUJZ4eWyTaxc0+VL2dvaRmBd A9HtcbtdZB14ax8a6nssaMu5z/tR1B/1MbE69/ZvfkKRaH3LtVX1p56fhD7tqJHqx5lwC3ODcKud UlgNWysuQBldE9jrfF94APaPzjsCJ6x94fKNBjPN6w78vyjR8FICq6kS0dGHEYCbpyFiXTSBVwSb UdhuZ8L6awfYpMb8LEiS48CYabdjqyBttNIAmED0PZm0MSNlZFcmNf8b3V6PrvaB5C0uu2FpIgI8 g0TblttNb180SRLjc/4pPvoHYy81NsNN+4+F8LsVrUyKJbvmqwgb+Ki5/U8CS8PhbzgxG0OwJ2QQ sv5R3wmeiaY+GNdM79H7ggRtlKVTKGLNFfeojT06WsI57aRXBFKuH4+KCSG+Y6wvrEAJQ3OVbgg6 bm2vJ00R50FX91gZoAc/NXk3I6xr+klFwEXthiMX1ZY6xYgNq3lL/2tvlrAHPVKwG6Vle1hLmgpd TdRFhVmd62FvsDmdGsX6EOrgB1decqbbmVCIEIzHOGEJpjYfHnB9VX6Y9QPhA2fRZsk7qyJtMAkf 9E1NapEdhW3KlM1pC8699Wg6V116EJRakImL7hhKpE1t7UGWFP59JQo8AcCR05Fh12tkEuRpDWMf YlA1v49aRQjnhkMleP/enoel0cZDj4rcCtXsKGB0/p3dEbmbd9qJAkA4CBwx/hKs1EMVc7Hr4eP8 Ksmt+0cHF9JeyOX8oubDJvWj7xmKOa0uDqTbr5vfR6UohjaGp2mfk3D8nvuK9d3O+/cVPWaD5p6a FcHwYMTuYxFJx7qcUrr8djjhPq9i49uKvnPquDSxz/XMt7af8hX+pA5ET1AGmctgyXhF5cHYrZ1N YghvysD10yFBLqg2EI56ENPPL8piC1QIQpFt7SuNv4/NNVn0juT3Lt/CVOSpRQnuxYt5loebU4IA EX2+yOqiJwPZ4nDbeCHp7ldAy1tjh/dI+43992C+jmejEuihuTz5keX7AZ/Vf+6bmisGYJ2ro81j 9vk8UWxqsE7VoFrp9cR16Munz67Am//IvsgXvZsSevyJm+9nSXENyoYhupRDimrRatFbjLbwWTfH QSouDgDKFBPfVf+WeAr26PZrTHFBfDaZizTbEa65DXDr97AkMiH7uBHutPmRal503zfpF1Ovlri0 03sIiviYoctEVNI6in+MPYIbTk7XFB+LtvEXU1n1+xTvVSr/G825P13RmdPkogjIqNsWNF6ksiiM DnOHLcMey7VIQdad3ERpSlaEyXVmOAC8DJaZf5U2izNoeRM6Oc2E4LGwZMTNHZ1CnDLYiEW1C+0F LDqJLG/spUt8Zwv7kN8aPEE4EQOu7t71C5+PMe611U8GN9scwnCyvrUrv+aHNWRP7Ls72POXdcc0 Z8QjAlOTqndP6qVA7fPPBuNHSOpHQA/iLMjxFV1let4mhw5gB8Y+1jnf+1TJFN1Kih1hc9veiS5Z x0mitw5HQMKzjYGJLTxz0fvONeLwQOrKRsP7OmowGFWFUnvFg2soU4rBKHKunCyUN2rjJL5/Lpip wK636gX/Ep+KqzJNNDvD9Jr/8BuF9SHgz6r/jHxvL+hiIiYn7ObZLZ9TulYyyP+9SOnx8EXrweix QflWrixGWyU3InSRaNsgQt8GVjcBXfh889GG7HfD7Fwem7Qfi2RMJD6P6oE0IKf5iJUM8jYCz4bI PsZKJ8soVB1iX1awa/1DlOjDlb+WkyTiH1z1yVyCd4T0Yf0ap0bzWniBR+LaGY3yXAeowl++M6nP ojfDJFg2PMGYGfn42JP55B1PNdjvNf7fZh6VVeaXu7y48TTwNb6cvL657I7dckoPz3Hk5dnVWN7V 7EJjE07OWwDen1h5Z0Rzgac7aTzLq9jseWZAcLjGj7BdCwzyy9ISpOt9y2MWGSIBXqh4ijI5UKo5 7zmhvvmFw12ihwlx4IOV90Jz1sHvaY+C9rK06KM+Kl5x8QVkvZUkL05ajani2YV0sHJoE6nOQ6i6 Agw76QY/TePhipxY96IMpLiG2HpdznnfX6BjF7PNbe8Ys7+nxbFNREw7F3XvFMy7Nclz7WGkhzMW do8Zny5M7hhyeFac2Mm6iyIKvCCcgOIqJd27wQvFpNgOQluAps3uMtFvxsnG3zmjFCS5QK0/e9do 7crgzIgcGBxgPHzLlAgQ5cCrEqg2mhU1yDqXkaxX6c+MWEkd7jOPd7gsePkcYbPYLvkfXcy+6GS2 fWfuUfi7scmOluqaMec/AZ7cU7VUvM87JrPfOFJYt35HFEzs1uz5SKmi8AMYq3HSSqTTVfoQkluS AtkOM7gOX6merpZP2Lx4fn/wuiy+qWss1RqaHeMABeXNuXTIFHwzZJZU0zfChzjEmxYOiyuhU3q7 S06ZiuMGqr8lKkj8X02xgvIQ+up0qY3D15j6ha8B7yU6QGuW4PzZFgUzt1a03m0jih1s4LeEZkjG HwB9V4ggDLfaGHVg/BGa50SsgdQkmVo+oMgB1ta/7fvL56Mq+uOibx/JnDOkicVVlkDKPFDESy2Q +9sDLzozAd90bl6pSnI7LSaUUFX1uY3IQ4C5ZCHe98XvVNr3yDD8kOXWOnEKWnc6MSyqP72C9JLL uYRzbzRNoaq4nmYGosHKpTO4SWMeECwkaHevyYwIj24ttSwLOY8lEyRyAkqYjkfD8uZddqxYE4sE STJxU9xs5zqcLMqO/ky4+6oivqalTDB5DxI/LQyYtpYsjjRFOZ/2MJFYXq8Daw905HfiDmNSjSHz cGW7ggnr+R/yW12mDM224m7Np/Cbzrrl/S/IZt8lEhGo4NH2i9yoEniB7br3skZYGV8BN2jc+FZx K5OtX8nug9oI0aVDJexa4x11gHX3SLnRrlo5+4qZjVu4GIqEyx+c8zDqfDHLfkfb2NfEJ5dYDsTn 34MKGyAf/pY2bxU++s3X4EuOsAwdIDKC875JJjI31iVBjW8ZvT+/UkvCuihjUCsW8Ch8z+yPmCeJ 49UJqlmXoxIHNghk7O9X+xXruEEoYCd3sDxRKTNoDYC7GuXVsSleEG0ZxVFzUskplAJNF2lNsffw y2TyTyddZBfjUfMGd9ZkmxSyMmzW11i7fLnVmNO35nwGEA+8MzQ6G/uXtSn/W3ILoP98BMyOxt6V klj1wWkHaq64/P/NRtTI+JUqiQHJ8nLllazgk4qcgGVaxkXyOv3csC+z+G2z0vweG4QzXSbM2tn6 KUEHa54TrsHE/NvW0DEOnJjxcLKj4ZfKyEOahJXDSblARO7QqWmtD/X91fGTt3UFdto0VcBoV9ec PkK8AnvlOLSdt1btdqRcidEiyWkEeyiwCwSxtE0hl6cjXdoNHk3dqhGFr5x+M8HyCfgXXR5+MY0U ibm9STGrTAjhWiyFjxSg+gZ+mjwut8DNFpIox9+BDGE+5ESUz1ycwRq4+n0ZWGNVE4o4JJqbx+Fz EzgaBf/kwxdQMrADUKLuiWW0jRk6SrluI5lhG8bX1lRSCd//XOJvY0ik0hkU/2DeN89/tsfoOBpE MRuOTdT3O19RjuP5/QuVBK37eOUSSrYJsWwMV9RrOA0tv7Q1emc9OpzqD7gbqedtXzTRwpf7j0/h DC9h390jzqWOBZ0AYkLl0Vsa5f36MZYtN8qcADObJG2uARhtOKZWBG8m97EpOVMHLL7pRgYRV40x mbK42XdGXwKJ7+ZlkahO1hVs2atUS3bS+HCBPo7bTowaaxltB1hyzawVptVIhGV/coTwPQ9eB4W5 P/d/eSgvMEFcFDEgO+6puh32uGhVgDWNbpiCFyXXaqNdIm05MUSYjRH25S9tKVuUpAcafKQBGrkq fJwJCS334MtOrvk2VJXRBkGFP9NoxupVxl+n3rsSTI0sQ0G4eK/IFAgc9zkrSNykeNh0ze1JvE1j 4Z15LS97NIh49qlXz2u+WixSnVALciVEv4ZirWSH9c94qtkFPPuEoMgnh7coCGReAy8lfu4qdTIX LNBVpRrpPLesBrj7Ii/Ajwdg+UNnQchPAOtSSqKg/u/nHUV3Jk490zBOBJoKffgBTGzhKovhUrNs 2hWT9u0eDXL8R0cG/xmT3LhM7DwcJjmUYoxFBRfeuLqX5SR1LBDXofPzHAgOrIsvBhR/+3+B5BX4 WKWoJ3tSA7HhMsmHG6V5fO13WqXJmgtcwV3dKNRD+QaHU4Bt55VAUsSS3dC4uI1DTCn4cBRm32t1 YiwmWzKgV5SRgeKa8It65T+70YyhScn4/hxm9gf/3d+evsVytT37kJvuY9Q2VUiAFRYg2ZiJ/tBt RfOIr8vQRPnd3mkTeomMSm4wqt1vuChhxLFFlb/10YmlWmntrodGGPIubCJKZyk51Bd8pPAioCBT Pvn4zlmJjmWqCIjwH0iXCWI0cmLBrKJ3vybzcwDB5Ed+Q5jErWCkeIgpioZU7fnQbOpYHAQQuYPH k/xQtdFC8d9UzUufC9GqGwywUFRqScEMr4OjYNc9hsJOS3tFaUveTu9VFbjAX7uE7ErYyyn/9Z+c Q2lthf70f1yqL6X9dZQsy7TMwFyVPCE7X/cvu+XlWEdbWg53Le8kV7Uv2EDu+b4Z/evJBdusdwh2 a2MVnLg9NPrmpdogUzvzBbBYWL60AILnvcsYOSf6bw7VZbB+rYQAIMOAgq82ko43NarnJh3ZfPrX ka9ul9ofLoNHAuj4KkwWT+4FU2n5c8ozdOuRfSrgT7sh5+xf+O0Pa2kRNHw9ipvhleXx3+wuElnS QHAmL+UGt7amr/USIgKbXk8T8TBGgcYNDKo01AUxDDcOSyuCDDaYsGqx5TLvS3+ii92kpwFHdRWW tAfcWDgqx1AZhFN0qnP5j68EtSlcjonOJiy4MezHOWx6EjXeinw+sOxoi0CMsoN2pvMEwO6sMf9j iNu93oO2DB9wN4tSPJlz2N4Ja2gRk2oELL3i7bqKfBnhSkg8eOVy78bXtLWoKhSsz+tVXl5trno5 bWGYH7IN9DVJYXG0CLf7BGhjYn1mkGZlu0ts8ff/2RdpANuxO7UIHYxteW5eEsrnx/PKRok12Ra3 JpnACMNhYr2lp7ZuXc0UZ+u5KxAUAUYRO2IESGpKtb/rDVBPjGmFB+zJoy9zbh7r86iS+VfWiNly GRgwnBxarThRWM3YVwfmGTNWn4QgzE3CDfiilY/9NKtfTkfI5Aoc7zJXE5Z0DsSwLA1NBMKAX8Qs l150REQDesjwYhchJwqVLNJBPlk+UE0TpkNmVSIWnab5+Jpn9ZbHIB154WmL68gEBQhjH/YcApTP TuJiIOOl1W0A/khZgRSgM/5pPjLlApgKdNiVwAWk4bj/BwJB/tqyGrkKvxbUTirwsZH9EqnNxsiO /BD5EEUiUk0TNnIVzkgtlyaQW+knVhH7pdEOnB2uSLBPsN1Jl4xCnx0NEexNyiXX6hPKEnfecOi/ hJtZ5VJBnI0hdlB1dHZ/PCYPqLg0EizR7hvBnA/gOMpvspNu0qe/AyVqPYL+heGzK1M5sO0Ja5ke X3RqJ57VxZan26L1xhEd/AMhOi1ZnUZqz5x3p8ApRhtGeCIfcq1ySF3nqFpCnmacALhtGAKb2hEF YJy/0yduPpT9x5Jfn0x+KUAspeHfz/Wy/Gwk3EHGlpsazmWmv6qZ0KPeukmZAYrRl8g0d8nZ4sE6 s+clYZqCf33L/j5VJyNapQcogFN6SUF0sy50GgUpleGt5hZUw5RJL+AGBQFGvW6mkKkaVkytJNhT lIdRaQrRtFkolhr6kvliKmGTqqDNFRNIZCuoiqMJfZR2brm+2CxxDHaaDt7E8KtvnnZ9Wc+QLDTC QsUCPI3ub6OaQ4iBOpu94wckF+/M8jdmM83psBG43yJfskAX8SmgrQ43N9Taim1fkD0b2vJOeJ/4 ZWx0P3HhaGD6e7Cj8VpNJRtfAuf3Cp3qh4+HTSjM1KH4hP0ETRig7bhnbKCk5waHhc7pe4D4exJ1 R5EsF5/6PyvAVeZT1Q8WbORlMG5tNJmionV7OFWG4al/JDfJ6NrtPEpoFstvH2pZsUoY3TZbKl/0 YhUfgxARInqQXNwFDdVgJ2QJ2Wh6+ofngxp7bQKD4Z6bT//8xPeCxoTC5u24zkxhfcNjlLpmZcB3 /YQ7tjRRUZWbvx51jbK/2p5kY3W1Y/ONTWKSy4efwdAHcytk/hMB7iiWVHZLQ1aP7YyVRcotzNq+ zyOiLhZt+SIWDUhBS/SIZR+rCCMXF6A4tamYZqKtiAs5XMT3qf3Bk1MX428ECLoaP9s/uUtasAjB 5YW2kRiK/On2XLZnKYAB6FSiC+fTg7t5/Sk0DEu+b17hTLkGRFmuJay18iyuQaFLl1OXzImJlEn+ kHCijE0Lwney4OaG7+zm2OqQIWqJMdu5+ECGpKfxQ6Twp/fCBdN+nF4ZfKLi5hAu7cO7VDatbvLk Np2NiQ5fUu9OzrY0NYWzOxPBv+T8BMpWcrfKm0Eu1iyDRfjFqqmdG0iTTegqasfvQn182LzSNl5N MDeAe60OJrg+0aQYBBgIGc7k9v5nE9A+miCL2j6qkHvCf8l1nno5ntoKfuQl6dncjUoIpXPTBCNN mH1a16Px4jI/iSf3nnSvfXb5B7ewD7Wqj+Ps3IIBy60gKL0tK4YW6lKlkLFESkIZxsWJLHqZ38NM gnm5HaOTn9TrF9Tf4o+FjPFYjAnaVGgV0dVSFdGXVhXiljszIvgZhiD89mbiDYRLK814qKqzsWNQ 9cfzE1/pfwHdfjNw/+TacBE+yrkVPr7/Q5JGw+IlGKSIo2N7Rw/M5t2RhvMYSOIpTukKgcguZrLD 6O/r4bGF+HZGLACUcxtboibPkchonX86363oOJyTNOCP2EBnf7rMQCAbi0Fz4BzPe4/+pPpBlBDd I11L3UVTEUX1zdirSW612XQyDRNAVki5BmdlrKN9hnJY5eqYDGxdgAAJY4NsKvGejmeP3qRT+yJs gmZW1t8+0oZ5W6BNdgecHasbkSmRB1ODFVMlmAM1xj3/BHYFT7YMEqAlBC1TyN7B9vVgstk7q5y+ /yvDptNQAn/QS/eBSc+FBhfX6DOF5pGvY8rBk+ECc4dLx1e3JwBMfzoMqaI237bYazWIlKI7EnzQ RJlmBzzgGNAa/U45ODqWj77pOSyGcvTsmZ/zT41es1LcXI3/kTcXGDvynBXUsgGO41uY/SN2k5Mz ahvZmkWRPYe7RkXe0BZkKce2ZSZni9kvTQtmcwivV8rTpvuH5hCmN63vorhVfZmtrvwxAkWB+xez oGxPXyd/FqY6TJpiNfiofYKrnZAZX4NTHUvWVEnO7hIK44mlNqDUd9AQFRIv4por89mb/QRlnKIk s03CG62xGfz5SFutTRyWEIErKC1m8uQi8zYT5yIqxckL56H6/rfFYJ2N7TWUYcJW2DonkQ7lSZU1 FdvSzlX/uTcBQkIm26IVwiJtEoSTWvIP5G1anbyt7ioyCeabs9cqr6HBKBE80hFbcsSZ3mI06hZV Uj0aFYRPttqpnLr/m31kRAKmTdGRn6vS8sMjuBCTfbDT4xUbgkZMiuIf+2BMQEOIjrCcaxlTvbbY soKU2cxoodeMt+k6hYAqqWCRg4teUEeMAk+tEZv/bgnhC7aQMR9366x/olPVk7a7sMEHgM2cJivY CdukDyHhMzpZ4ynfzGg9k2AU/icyfekdSNvC140PxS19B1E21mbM8yAX1j9ZTEJbHAMVdHxWdoOu WcFHasUQoFQ0fqkBO5xoQDlDVzL+5EtRH2C1RZG9W4NbiwYoQM/TQa+BMxa3lACs24WVIxCKoWu+ uvPWABFqCxpmyNxWubsjUdOepGWA8yY4OcnvaSJa4rMFdh/VHUgIFa2r9cCa4SmxhVVUZREKg1Gc Ir9qzak8YAiTl5JLm+pdA/s1ikJ6fMucc7DBBDjmKun3Ac4kaQ7noNlHTdKRQQhR0q4Tx8x8hqJz xda34JaHI04ZLmoAIyWcAitxbNF8fvVWJr9IsCO8Oe4mmxaNOqI78z4v0LD4c+eRarh9MC7vCeAz nqJDjPmW+YGctbv4HpsUiVnualeTAqKwySdP3d31zB9GgwSaE6q/LqAzxfEbd2q/VhkLy4AYv3N2 agsIHP2TbhHqMkFN1fblTpoJXKENgL5x80ManyBquKaU4EH/qbRkHvqZ0MTHWsQt0iujU22AnnDf sHGx0GkG2veS+8mt+R2M2Cbxv4+OOGa8V+EgC66sqmscTJZD+OYCUEjhZuFKpzbfAdpG16kAq28m KT/RCKucr9oQUFx6OXMsRA5CUayjDYzgk6V1mFXkJr1vEaJx6yxCdhYgC7SXXtS0Sey0zW0q6Hs9 Av8o1btiCXZMLxXHibWT97wsXkbBg2OhvydA+ArGA+S12Ni8jJLw8MbrnwIrUeBDIaarmllO9ZT/ umaSY1k5Ar9XlqtQcUWdtXR+RX37G0VjbdmjK61ZTkOBoAXdNxh0baDFDAs1gk/x1WY6H7c3tBUt 9WLK2z/+tBLEE5IMUdqOBe3nCNdXkZbrkoPKoo+e4iQZkSDrkLDExzS6xW/iGPMRtReR3l2V5VLp PJZMNxhcDayC6XPk3iy42x9YetPwBZeYEWCZ3xytaOKIz6/PNBfv2VC/f7ekdopavt+OjUS4n8oj WPq7K0df4kYV9MrIiTTAL1RRUJoovLl4xYytGW769LjTMuH3ymNu37tv4p0+E6vKWEjw+x2U/3r7 cVsuKZV9eXf8gtpMrJB4Wamrlck2pUDxtk3ni4uiiOsEDQOOdF3WRxSB+pTOx88vqhbvSSx9QfFb n9y4I7LO35rMTD4y1HttRBgN3WZnZSsEhQMJHUE7TtpkJcbJ++sfRBEndF7tmb97H1dsZmvE4Ks2 mzhYLERUSQ9V05omi1yaHsLp0K0jtZBieuc/f+isCMrwDGH/Vqdx8dmhtxONDS/pr4c8u5EGz+N0 mo8WXtGpiOFrpJvcv4Fr8cDtzvs6wiVLuT74u+AOWQymg3pm3VRQamgFwXcjsGJaggWQJbpQnMyK XbHw/KznSDGL3O0OPwcR4/e77GKFuEmgZAAbk0Q+YqcdAemCNHNgDr9l8I2zVWUU7E6eXjp+ei7a 00ngWZoPc+4OAPdHR20fiwF7x82ofNRd+EWmzSvtNQAUFLdsrX5Elf0pdchUDRHCUf/O/kOcfPvV 7u/8QwOmaWWuawl+HTu9zRx7q7+zshuMgj5fx1uKxDxo+VlrcSbDGx8jue8p0H1kvjIMUBpqfFfZ rV/GiFbdZf2Hxb/2WmIiFwSrgKs8xr0Svj51TpNDTmqWqzt05rpa9fScfG5r74iQuNAQm9+LT5N4 dzwZagxUjKSMo6BTcDBFUQL9VNcnT8diIQsi46CK0n2nrturwqScEu0qKqitxcjl+jaBo8pT9q1l lyxvHWkGiehMjtcrZWE2ikiOj7kzAf4aEAEjo14S0gSpocZSpuQU19L1BZWyn34xutj6/1n+pxk0 obbr2CTl72L6YfKjIQ1z4x33EZxO83OzgTM64A+cgr7RWsi92Fu4ZWoefXe28pnVnwKM+ZtvNgp3 +Tos0Dg8BZd0LpTqR0hTlXUgTtQDBDnYm/14gGSQ8KeLMJCq2g45iar7BxXBNFbcsBtfXpWhPJeB zheRDh3XMnLq3CW0gG5aLhWgg8/cDgnK8q52mJTPxgNoNMzY7UAiL/TykjATTDVmabaDa7N2KYwJ rFq18wg54uNK5KZylpT3+SMhcetJSf1hbUsfhMhZrzrpPa0/DKB4b+Kv3e+QfJaYj/QUYeRr/i9v pkIraOmqgg6Td+CRLGbWKDs7kdCr6ZOMypWOuhlCXAOnySnMocfF9gwg3b5CV2/vZiQY8Obx1NWr 2h/EqA17IHQ5WmDtBs6PlNfaxpD+asqJnoTtskvQwt9ahLA7K6RXpmtsXyg/tA5s0h9i7EYvjwsy 1ujqGZ2Iap5AFWIrbKC0rIqHvKOKZaCNPDsSoYMtrKslaGPawUwhdnOVd3qDTewlR/85o6OQbteD zFdsUHweYDO6i8PHeCxMtjySuHBtL767cCMT34biDIbopYh8Tp2lzxYtEbkaPOD6lWANAdaoND7b DvjaOj72GAoz62IeJmXwAzvg65ubitPQYTl77RO0gdFKgP/TyOfNl+Vb+ma3OrQ1YizhsC6zYRzH 7ZYgkMa1/NKxjaRUi15jRoMoklCgiTx2/ynELAkPZUls0V8nOJk/d8OAd/9E02kvtAaDhaQKqJ0w 9h8Dn7MlbkOjiI+tF6IE67Djk/rSbGtTSAMdH7k6yXEFAIjEzHgLSIQO+8bVqI0Du76n8bs96DRr FQmr5CTVW4WS9LEc5n8tGjIsDgTTrREmrPKXAtiKnPvvOZY2P0J7h3UJsXItBprWXYqwgqioLYSW JilOE2ycAeBjUxi1QsmaUiw3Tq+BnuK9mVjsRHHs1w2BP8RJaP8UWpweKB1Ss9/B7cYXfdyk8BKr d8fYkYELMmYvsJwvB5aoNRSdq4jiKn4DLymFDZNh18gm3xu2pr7oguIzf8YEl5jKiCyCRKfjSuE4 2DJYXKNFFI4DrlOZfT8u5q6XkgMR6aZyaRxqkeUEHWF7Rvkcga7yAh4P3DFZl1l9ZlYp2wj0/RKp ru8TnGEelAGZ06cEx9fOmryMJ0BbQoVhJcIpH76UunAtWfDHd9yWJn2AOuGuYxmU5XmT6P3NOWMj KqJS4b7xsNBgTBhK9N8ITWNzdAQQ2KMR62XldM7N9ju0rTRwaI/OLYz+llZaTf7JGdOakHj3uCrl BfvhNoiDdrmSFuYZbegNw34wagpOuZRozCWQz2Cv/ln6RY9+HpmjsshZrWsPr1wn6PrcpwDi/dR7 ApBvxv6OpqJCKj+bKUuXk3AS8SiEpQDc/fyHXeiz8N4Py54Uws4UdUZEUe51Lms2T8MNJbP8GZrx MzxVrLNRusBuvGgrCL+r5u5d6cT02LUiAzcdWZyQ8Ks1WWyV1TtNVHOaT9RTrUohTa+JPKOC4ezW ToT2JSdZfIq5GfYOz0R44yPzp3VW7kXennmVSiG0PJ31xp9vCRTLHYNr1wY9bY1uXZDmN5feUTu+ aPVKmUnrAbyLZm0a5cLpZGflGE7b7bzhucf7pu1epsMU+ZAOpWl1dxXzXW48bJ4O2kdjCO7tXz/7 tXJMIcMxPYpwg5cSu9lxee7gHwNnFYQhJr0+Gv1MIerZPbuU/1uNBCOQUxUXGmKlHLBmeSUjTtkH rDWW/rf9t3V8d+5eAdyzZ4YryqNX+1R/4WF4P32teR8F2ZIG70wg4cqkZMG+rqMCtyuCNSETRWgh yE46ic4imdMC5GWifYz6rTkKv7MO/8Oa1oE4+vvPblw3Amr+BNxwrl88c3P23gbuML8S+wHqZYgJ BiKDwF8r/ZfMO3WsEFs5snSNIXKLKYVR/xNlYadU60YFBmxCLVPpWEw9arIyizWXXB/iJkGh+TOo xYzj2q9TMPxnP/XTZ8eDmjpc/hs2eOcpZMWJ8kvGKjUDfBR4RKX/G3aQtg/ZfIecTvHWzWfBaU55 aYASbnbVIkK6gl7THLG35BhBlI4ysll75B4Fr0da3EHY+EaG45+6f12G3fOOhGdyOGKrC4jO++JI 0uf7VNuPVnXq5ymkSVZgKtWG6ZMbpeiJFEriO330jHcWBOtMZgLXYOPRP87PD8PwnMRfVdcKNCCp helDgu95+RMmiu+Wr4X4229Z8aqukHVfzX5sWlP1po+sQp4A6zukgskUMfc6FNYCfinFw0vk8EZm lxTCuIrbxsRkgzIPs4L7qwCBqQ66jrYQM49IeKqVJ0/1DjcbbuY2PmY1l64F4jQsswA1ZOxv71rW 7UcXMEVW0bAT5yG/GC2wVl1ppn1pgAnYlGZc7ScOYIbFku8RXVdWJsNgwWmQD2RrxjhHiaFNg68H STVK9y3ukmQPKA3ErsB3/UbNfnsYt+ebR2q93pvFD9pGyQbF7c88Fx50GqKP/IrTO0v+dZ2owLQm kstWp43cRFNfU8nycLTyY3SWTx/3szmhlwrHYVfvZEv69pflUoC64vhenJ+0dmiGK/DFRpdazDq1 A4/icbrcxe+oMj+h3I5+AvjxXIynXmRmYBKKs1dqEoWXMZKulyQsT+B9Cd/7qJErjd06mUbnmKKg 45s67Hx9rLgMglVITlFFb5ARBJN7IZO7oN/L99eGfKW1Z7rrLFwH3+4OebX3JGpUlK/N3FayPBnR S0mMys1buiGv4heawXgMGOuqw6Y5Z5qYEoiUboo/aMISb63js/P/b86ZAb+BQ3oma/Bc0ixemUd4 +kkq3KuXTakYMEs+p68UVs0DLLpyA/VRCevlHdKpBEnfwdxYYGG1c8oc/vbs+R2bUsMYZ9y15eTU FwQkWVhCgmezI1bXCiH2RF4YwTCjo99E6fG3W+GrNy0B7JJbrP4GzxFXnSelCGuw1GqTpWoJnN3D lxCGbyF1VkENSjaFrODge3XnIgUsBqZBEpy0Q0QMZCZ1LMmEVe3FFgCXUPBQ5DrmMABGjwsX3Nyx NjUs/yiUDsnV3af7SYKYo4ZZSYuKtfGq7K4zUz46oK5lBx3iK6WnTgKi7N+IhE5muPUy6A37J+mi IFqJcl+ShwTrw3C5n5lztphwxhMZ5DGYfPkysE+XiXQrKDmuY+oybCxMrHSCSd4OOfK7dVrBK51o DbsIfl3np5JCmZnkSyInmiBCCDbz0pTUQeqyV31+C0VXOK8/beBoUgYv0tfZeXFNlClSbj1YsCpY FUlbiFrKYx0YhpftsyttLztlpETDtCPvplA7v3e9atDNZ40sqc+q1h9LWkZCRsJ3afBhh+FH3lGg mK5RfQ3br8Qh1RYsZwfDjyzanCWQdj93Ck5SDjZ5oZEXKn32/I3QcQieCT6AUYH77dBahXHcggFc B84mjxLbe8popI346WMtR1q+nizXSNFWf8E4C9XYi4XwrWX2k9m2p4fvlD5NblKhvt+TfYNKMbOO bUFe60GvfcZ6GORq0aUSt3p0IBqC9XEc1WtuaJjYppJhn0WkX9gsSIm0LAFMHkFaw5oHsdUVLlhc Jn9qwWbNO2S3R41EmPCqkXXheCwXQahIzzG4sNWlCskqWl/Fjfq+kAIu6d4ztBDbLKKdaHFXIfrz JqEfDbNBLg1Oa7IIQsVQhauSltRJv5bejzFidpYAOQTvWuONUR0ZvqjhFRud1HIP6QC6Fgg5PvLs omsgeuCuHWAxmiYzijGF/hXkSujLmGh1KFotzlDpl/nq3vQMZhu8NIuiefzwdE3BJSgqWimuLAf1 hO9yaNlkailno2Iz/MbT63Wn73sVOCfZRv7VjbbiVrc2twT34f7mXIM24lR3YQi2Z/YfOpLUJeQE C3Q/MrN2sLUe/yiaC/y6ytHK1DJxPUCvnbj2yPs4UDvicUM7El7jskvIfdOqQhzfE3uTKrqHhqpM Uwx7ih+K/jdIGq9lclZWhy9eY+ojY9maUqK2jy9nA4wH0a94rG1XbuqQI4M8PHjkQIg4MLSSqaJf wJ3bFxXCunBSRwSivWDWPCHSaLBPpBnKmnehYGyF7w4Hp8C4O9/JMMuG4TagxegyG6NQphLTgfjP nhsOOB1eHhFH+iNZHoAebDEn/OKPj6xdxeQub/AHkBvyCJlzPBNZuSL14CXphIvGLgQMjeWuZi48 j6XBAfU1J7UeFsnHEuBCohR8JfR2eisILhfYNT2sTegGu/DfNmvu0aeRRWReGcME2rhT3YnK4r2O iMoiTmiStDqOd2IdJ85ReMjPP1ddFwUsx0KHiZY6q9LHXCC9aSkcy5lVtz4xSXL6IxTv9XR8znwy j8AZ7NEkUM63jfyqqxtJkmq01eMT34vkAs9wILzinxm8GuQnetCcAg45BncEkJ6nCDv8GcWKINsz CjFSUCSIHZJOwpf0qIeSVXnOb6+bOVHa2lciuhy8gv/Nz1jakHAngbNoALmUzmWwjoi6wEJygUjA gh/2ITK8Ne9T2xIBjGKOHB6yr2s+q8uckCHMmle9MS5VpL64jnvjOFKOdaXIUiMid5Lz0MoK00n4 fO4wwKU3MwkF4fdf2x5dNtD73r3YpMLxmJsGe561nz9ijqwndvgYx6mEbNtTptl9aAUr6buEc9BW DRrB3I+ro83UmA7SLNKkwgZfcXCvBsdNW2m/wptTDXzNC1fkE4jvOjQU8vkx4R+20bJNh7lHvTaK bY7EkTxeo2AMv8hb4WDl/HC20BnnWvgHm51tGRobZeAi1TA+yR3dBvjKdK0XFMYzxz57TABSIYwu H3/aGJhyQcPs6Bo9UfsxIvr0ZIwYdQCe+3BoV3QrdXai3xerWtoA4NaGfwdo3M2ZsM3O7sg+cf13 gFGgymaLmyTq2PHMaUzDBNqX2OQAuc9coAfC/H8ynZFt2NEfK+XHlcBnM7E99WhIytJqnhoASGlo sKr6Y+of8Z1Ukej+Opeo1nX8v0XR1hrrmdrOdNSsI8HmZXqx7VMXE6lZex0lqMSsqyCbVla02vxp 5hqD0F3s9i4hSGJwWXtJN7S/idpatPG25igJc086rb9s46Sgi3NV4pLSUhbgKQBAk7eSJHJDgsPR rG4sf2abkmMycgcMkLRq6sGh7enEuZkrnpISzYvDLjzsB1D4rycPI8VbfSnWCuil/pGwKamFaj90 eu79ZQ/lwsZLbNfg5O5T3bZwytyjpiGuAII+ohz+g+1m/8ojVPAqnNgU5FejXrV+GSYYruAj8uYb 3RiJdnKWK7gGTorUl3A3f+FWaqC2SHKbzxMilkrF7+5br1bz7sJV1xlPcbOmk63U9bAahhWIFW/S SpYN3AZkllptgA4bmQ7Fn8zJkTbERpHNgnVt1ljYphRqwkVgu0XVjXPJSQii0AC8qbtk/zmrpN6x gHzLoDlHN5kKys7stqT8RvG+63FnTHJKHG9WWPpCPudLgVvScde2SXFyJSdw1N1Fd+Mo369fXm6I afCDbggV9Jq0Dso61s/cM1JTi6w2XyQRpcLAwhv7lF2KC/0WCCSkYCLXLIs3QE0VqVc7vUN76XYH oSR80hxcBYIXbKdnV0MK19s9ecBbdBvpTvRl8Tj6kPb4c1Lk6ghOyEXeEUSBrKaYcX7zfH0zB/Mp NMr19WnXUnWFMccYfw3AU5YyeNhdpR6qIaO1FQHoa0PfrnNWB5vsRcVm59SezpzYEBOL0/s7MYOe 8CmCJpeibMBveOtBmjXFuc7Uscyz+ysttOXLP3Y75NhAMwJpopV5GWkc4p4kU5qMbjpEFvrG1fAM EfyGc3//U+X8W1fhodcyxhFIE8V4hzrX2XY0+CfOrOZMrYYW4xigPWobnXwynAeCWWv0FsSrvhjL d/Xyq0WUuL7UVC/2Eb776k2wdZm6QtZSnYgNil1KMde7rF8ENHE6Vhe1Bdm+63gv8iXURRngcC5d x8pKcrj2QxU2Uib9U10miyA680V5oUPaayuwgEGwjOO9rb0rrlEA023pr6zIRRIKwSycgsDCXMQi 77Rf/TxGje9RfCWhwzJC3NW4nBA/48xr/HAxRHQDGVbXYy6tqPmLAU8riwPp75wH3U/Io2n/8uY+ AHg+C64rcvvs8HuXykNcxxAVJghOBfH+lCTVQlLXC6svveiuTj7d4N+XQiP2MeTivb6GwhwixNhq w/fLzV5ALvERk0xQNSY6RVEwKfX4hz6c2PWaH+RF9l828N9fvUO/tvAig/VY9wSFrXZQJ0+HaPqZ myaaiPNa/v9YYeLdLVghNu77rx+wv//i+nkU7EqE/XyiXksoNfbDzTUr+FnW6Hu5PQTvAVBNOH6Y P5Arw89veVcXgCGmWVShD8oId556zYgCT/5WRyn0kVay1qRifx28DqlOGSTQ/2+JshbxVb87A6ZK qUmWIrzmNjmveTrwoPgHXeiCytIMAjYmEqq3j/E3BGUAzkQXvkUyAWjKi2DEW9OXM8TgVB6jC8cF IAfycb5uwPZ5BPk+dukWSnUJvMDt35jBr35NAFc50iYHun/ZbOCo9lZBfYWDpUd+2TIAqwwGpycI uw7+BU2O99R1llkoG2OkEbcByNjK/JNcLbG7rAiBRvDiWN+2PxGmi30YPYvRsVpt4JUuvsSjVc8M bd+bTk95/0+L/IQ0x3GqVgHO3mT6jSIMmPGJXiwq21vDqXhJY/KtUpOr2ct+4v06liX6wuLTAn8/ n56Y2JcT4oTXEJfGZPGboufHW189iCnpS+c5LA7XDKuMys44nqEJcKwerluSn+zYmPyNjZ+yrX7V 7xz3fVdKfB7qHqFCXJI1/gFQ0hHeMQx+3IlVTWsqp52aL2zrzlyhyii8reG4qO+zV5V/wt7VpcDi F55Crm/Ly/xir3lhBSyshmZVyNjzwpNyRN3r7xY4X+nnKwJDK3oVs1LMsCYH8ofJsNj4Zfxbc2e4 nY1b+tIuklRC5qMv44zxyaMbqSN4tMhii+aF/nCLTHK8JKVhPOFvgCnUAwYQNK8demkCBKNqHPSo S7KKaQxy1iXy5msMe8ioSYM0i48twFeAzgbBdGtAfidoFDl0OMX4+tgNZqYKqh4MoWNMTY38YWa4 GW3JrW+dBmN2J0DbNMWeK1t5c2pyoWcrGUuhXs9C5uBUJ7lX0So+Vk9lrMO99Dg2+Xcojqc0upq1 jxfOFTrPue+PRe2Avydtf+O4JScYVOP8Ma79RKQnRq9SADV3UAJCKivlb4tmgIUGs4MTyCIXUbU/ CqA0h0K2CWyZghtd49fToSGdaUWxXPnmqAWPQVg+o0d2B1CDVpErIk68n2QL1QY61dFmuVMTZY5a vBX9fl4z/n+/F6/+q+8VKd35aWiwHvPN56Hwu8Nyo22TA+OB+okOcvP3AbVOSv+SYj5H6gkUdIJH hGkVKaArndNYS19lf7q0XlpT6kDp3vteJH3V87VfnmULVJSkPQkSvZuE67E/6njhh9Ns8iCRXEig wpdGT/fUZQOYtHBR4mvw7XPqTBs2UvPbnQzsx63L8XixM9Cu7MQ6wwnpRnT1k2SaHSV0He8kE/sT CmAMv+M5DA4ujRfGaHVXeW8XkoWavja95MvTF70Ru8+UPbOpkXk7Vravkgl/qY2vZnh1D3V8ZyiL UyFZS0T6Ud9LXImEJd5MFjvrA91XacuJv1NbaYxKiTv02jx2DHENbLs38DlSfkfkuzb59Eyj3BDD q5Z+fzkhSv09+O09GseTnDii8amYDlSiL89mhl5faEHOxmD4brGHG1pYcoYY//TVsrZJX7kInPje ENMEeHDdC4vKy2BJR+FCL50IDou/x9BlnhukNSbzPBiC0GJf3YiNxyD4eHXyd0bM0cmLjG0Tb9bT HUp2WJ/klisY3I9668TM3EHc1cKEZTgQQjifI0NPJyzjSRdhIc/gmg5hw8aTggs8JhHusTZz+WkS vV0MaBNVhFjYsCJX2gomTtHLg4PpgEYtq5T6S/dLCXivlOr39A35upq6sUlWdl4qDLuoXAwL4U50 skna05jTCreqEBz3drRkzX94+2dBqU3D5q9F9jvQs/auWuR7HpyC+TukF5vFSEjIqe5JOOev1K56 j+s4eSOs/cAYCqrugLYBjREhFyeQt/FWl4/cSgdOQZgI5pPct/iW3aZx5gz1qbXKMDTi2/rUn6UM MLNaqqvMx7ky84AUtnjUG+G61np0sZXtAEVI1c+ecULbKhFYmylfrpGSCNyexDZEbeoMe53JUa9V zdBLyGPgH70RDhrwnZJmb1sDxUqeqB5y82QcTMARANp1yul4XUye4ZE0Bz1C1hshTqsG7Z0nw25C n5QVuQ4vUuyg5j4HVItg0wkVHo4bbzMsnjYoKrhldqhMWa3UOoCqy8143PzoEN9TqPqUcQm7ZzwI KGPDdEjw6UMRGlfxzGU1AOQEo92j5PQx89PYG/7NuUcasxn6G395j9BTodzqyWffn0C03nJj0zGk bZ1FDXof3heI2LT+1xBufptSpL4TbmPN9iWExqnprC9nJ3NRFu2ohreMfsHalEkz7yhB599ogJUi QmOw7VBx43m0Vn+ybt0RuTJYprQrSkR38xZzYAG537Cwz1l7j0eUVhaWD1ybgbkLmhQPOZpi2OdM GUr5HoXQONs3T45ZZN4b0E3AjYWjKXOB3PVOGR7ej8efTQHC6/MZH8HlCMU5xKRh8foq2BY4rbVP tzfcoEoDI9g+w9+PkmRH2h39fxZMZUWwQzwvKORE67aNJsXD2usngtg0FQv3HYCocphsrl2gAfp9 B1a4dvOtC6xhobjdoOSfiM2+5QsHOjkjHH2VGnYA1qSOnggA4rRYZKMm1LSA9uV7ZMWl7EGwem9U Irf1PDwNVD1krdaKeGc4rVJ2yHxw8qHUP1qI2LM/Sqj5TzFgsyrjhmaNrcy+ymyWLzpgKY25OFUQ Xih8G4GpwYv9dre57lgGipTrNsZnLoarIhwvxSlVUg7/MtjRMUz5fS2tudJzr9gH43BnibZdqcW/ rqENrJSJBEs2HVYfqygUvrVgsSQ9RyUdqDNMFCAi9V641WDOhA/aCMm+KZPrhhGIplXrc+tLx0nY VWfvI6hBwF2iwX08EO5Y/R8aD/QzPNYsYJ1RGUIxJdHslUf/45D9stvDmGwIvJ/ntM4vaN+Aczyd ahjDa5J5uEibRq2sh7x4OG4cvQuwkJOqSM8SDtbW2Lm+xfXBPQfRpK6nfEdbH2lwwLAAN/jhlXWP uLdTp27OTxyecy+Bu6lSyt2LxRoQSoPt9Z93KP7Hsyi+F8rdML7grHcZNM97NOEfu+uSPTR2FCcP /I0CrEJQyChNw6Vl8LHgSDbTZsUhq9T3v0q01l7G5Rz+aNtNF1VoCBTdSVrGqdNKSZJjNpkYKo/Z QqYqk8JfhvrhHYEa+/zxA87jm2lIh8DX6dKvd7t480zjHeL4WezY9sb53xH21fpKWIPafGxfyxZv r5Nzw5jkDD35mCNfaF1H7fwJGFR72Bm8adnFo92NPxgXFhBt7gUJe1I3p6QEYFcA/azIb79SaCsn gS1UPH32F92Iz6MTNn8s6imzsMUSf47S7RxG9unRyeLZemZkgqDjvrPePfJgl9YCI2tpUPYDP8bg AhYRNxswiOmDKB8umWGgPSig1lGh9ghQLeiNDvsBYK5iHN/LbQciARB0jV0+OJwvrN6nI9ocFMkQ gMb4RQQxb2Z4ao9UJeSNIl5OGOrujpgAEOT39oJmNKJ+q+VDO044CzFDbtKtDqEvgDX/vWABPY7x 81fu4JOscYpSQxWumEo3Czh/Nt9SSC5BdRAhqngKOxLxgLzcC1K8Fw1k9It2vTwcU1EujFIerPb8 eLsGUYAmeTQMklQaAcKP9QKAZ1H61Ah3xn+vXkJh/1OQzsKvCCj5noLDg8us36gVM5rckYowviJw bqjT59OLWTM69G77NocHZTTPlklMak9HXt4CInIJ1nVwN7ASxqB/mx4MvwTr5Lq7eRcBST8vsAu+ bK8z8L1T4Vk5oFfSjs4QuxX+mzEDX6LE1HCMV/+UdyXb65m6WjT3nIPcKLJ6zZFA/Cl+dhX/vBGe u8wXNQ6Q4t9lYgLTKX27fTTnY0Et2XpKfUY2yOl9wD3WfrswSroycMFmlpG9mlBKckEuObGuY90R lmzQduvDSu8VSOZ9cvAyxLM1JldigxXTgfMS/Sv9doA1zAVXQVaVKXlOQYLv1SzH+hJT4Ldhp4hI nNY++om8MXZi4gSZIfolNODr/cigIXOthDLm4fRcGNQC0zRIxRGLCgzFSWhwb9hrNiwusPT6haLw hTemyrfKN73SMASs4fLPy1uzRAO6KuPrnE4AklASFtgzcflXQZ5wOgPhp8mFIe5UL6I7tEL4ymXO 3lD+yYMSQSx3TkPMrtpbTpz7gMENDcALrYaUbHYhipz+RGdi3BUkG4IqFWn0L4DnN9RBxbI9GXGN DcF5T+g+VWzATfqo674K4ZkBaHhxH6Cz5UlYYEb6Y/pmlANAoXuuZNPfpzLbYsLpGdA1j2QwPsGa 8PFxA9blC8HylTix9Mq2KH2WFNeWPU1/nEspsbi5qA9OhpwyF982S5N2Hz2SzPp/sh1ZqsHLDsMV 7WiKg1Pe004fPpqAT5J2CYbHsYj1CRd1rMgmWU4ghxNYjIXpBHo75N/bxxi2TWcoIUXv9GeREopN VmMSPWfTwMK+8Wt2lGyBcFKCvwLacu6cixThzA47/sH1CX0wOoetmvm0810QhgVAWIVh2DdILiPL IeMXtZiOd7sZs3IOhr6JgftV50h4peK9MFQJAAx3v0lKsMIgGXiPVXe74fluxh7kDD/rdsnb3cC9 +hfUn7maRjeqp0Ubs6vO3MEN+bR7XSQLbJCSCMk45gaPcIfI6ipdoHS8if+YQt86QbR8lLBXJYYI 3BeOx1Az+rER8reYtpzan4b24pA8gtrCb7VGad6ECUz9YiiQI0U5LDwoo/JltSRdaEIAOJinMwXR yOMPrgnF1C9L26r1AFTV1nKH8xbgJU1wJOROeHcjbmQ59c+8kmvKTx5SbWNCmND7sNgwsgQRWQp4 nWtzwvbAwSNm6AEVPzZfSss7Gt8Dc0LJeyFE/4b1juPGa5tcMitcijmwe3ZssQ/+q77+rv8Hqx4x COzwLwpQMJpwgM3f6TSVNwgJgqvRq8CbW9cyqtd49cqiWhKtftAEIEODCf4ZLucxwjGt7lvq+K8f V+OPkOdTMPQhgaTGuV669BhQcvNV9B5BwVMeiPY5hswpb2Aknk7FqdKtUVNYFi3a3kfsbqB8CdE+ AELmitEL/VH5zGlQ+Ae05Cz5wgpJtZeyZVfK3D+khPU6wLaVu2wBPhV5YFPw4pQ13wFM6PJC6pXI J516pLvcJsBVO5b4XD+qGFlP2Sa0gSq0Z0EmesMf3qdUqp1yHbIauHXth7+HtR617coVnolKWOlj ZSFS1Zoe+kTfQcf8Nmky2oowXU0Bw+NUBjsKHOnCyBR2ZN19ENuHDTydvePro5W2d4ZYgUH/0flU mJg9s6FZu5waeezXYaciUwv/aNsK7xmJx+6owss6Y4iRX5ucRS6Wci+55wDCy0IedVAGHwTJX0/+ VgD+PQ78g5wFGoDmIKjJMvoJoSZ3VlVACNADjZrkqLEvIkGPQ7F0looUY/8NQZ7m6YybbQPoMCA/ 59NovrqlJ0x5xaZY5oCCBRXzLIrcYH4rL5I3pSeLsPXS3H5bzpr51PRJwkFINmTiJENPR7JRJQ9E Z5Peq24ZJnqqgQL7YZd7x+cwNs1R9IRHK+t9QpsF4eYC7XOFCI3TGGs7zAXPLO+Qeu1KI+MGzzdB v3qnzyFA1fdw2FsBWuhuxz9bGp6VSO0zhaqLXrC4uPqErIl6nrHW/HL1udJXdi8GbwytieOd3DO8 bnKvhssW7s0cxNZ8WmD1dzzuU82GWIaLC/G92+nOvk1POu6DxB8+4siHqQqUVCUHRp+0LqnR4npq pSn1bHDnLDsrAZ+5zIma0ZgPBHJssxQGQlVe6QkyuvB4BujMRcVYYUtzo8CBCYm/EqoeSqq44T0f IAnz+0JR1u1kwcjX+9GLut471cQRLZKM7cEfz6Px4fIVDr7+kor0FBkrhpyrbCSONLqcaa1wpO0h y8r8gq59RJZ3SlfgqLY4n3cTRDwL/Stg0Qvn2UvQn2YjtvVIamaWR4fZYItou1Z1Q2DihfqASihA KRx93nD7hGauBXt8YcBvWv+0/oufwBddVVsK1m/tn+u9btiN9oXa91L8Q3LfnyAJqguFo/G6AglH piIDMQfiUh1PDNh+xuHrppO62P9/GENiNTGRQDCwS0qbqPhEGmTTWJGmh32S3KTMqtbiFEnvnnt7 6rf63mOfEvuVXisJDqHKyjXBneXifowy/XO3SsJpSzgSntDxgsN1MMbKEwisR6+IAij7nuZDtogb PAqs6KrcqZie4ZdIbEiKITOvMsQLXBTpE8Ohyo98bpjtBEC0MdNK5liFd58snAOPTR9XSp9NdDhR qF6SllNRe7+6LQcFP2q9RDb9WBLAhWZ0Jrx+KkWfbpbrO7UtO3pdG8GI2ruelnUvT85nIV1ymmhK 9jOXw4jiGsQyfBCa71zafmVWZ0csF7tg5FOD1LgkV2W2OIm9x+/6TzMmR649oG1wNKafNE4dwFN1 TEoB1Ug2chMhkVPmwpdSZlkdM4Rkm9OULX0/2O5BYIYMeVN0BvbgOLQkK0pgGFy6k2aWslM6JWXq nFHPtIt8ux8LVuwJ3QTiYDZn1mvU4VTY9jzLWlOtwP6hgrXBmhREtlY32N/6mlmqAE3ppxyoupWi LakgwUH0vqRr0bv5T9tyM/tkeoE61VlTzOPhKEgv680W8wCGgAHYNAp7MXgydJtUnb+KcdsnLc/l DzCP4CtB2WgF37Gorie6VTS5k03Id3Fe9yK4/J3oWuKoDC/UzKBIn9X68TzTJmYbkZrQIJScnPja YFMtmMA7Itk8iGTnH2vzsCX/tNEpgTv+pAYNBoX8bic5GerTFXPpKR+e2qTYja2ie9AdNxfYLt0k 0GF3/pq8EUQxCjGlYtI+Kpl0b+kJyx5jynqmL1z+X6aXhZhxeRSHx739KyOJ1jZhaFu5ZVAWLSvm nOPxHIELbEDaQKxf6muhTV6qNGo2FLruajZHamjvmcGJ++mSuHkEP0wQUhI01nm4nZvCp85Byuog BmmNymuUgD0RB+kd990TiPJrSuwJuVRsPm6+D23mNF9MwoOLARz5lBrW9RA4L5M8G1WN+JXxTu84 xxeUZCOlQtcBiVXeasOSN6WCWU7smHFIWBH7WpAhclImIiUj3OIoXgYMUBxxGXbhR4bOO0vJZE7q bdCLgblmlh6xAdIEYsy0w6yC7giAQKAlMQpuF2KTWjBEtGJHGfQY0P/JMGy4qxszYg+AMJdaSVsl sYLReqzo6YGYodQbtxyJdL6wcVgAd9okRmqdWJc0mTya4j8EXf9n/cJkZE5OIkc0gTiEwr3sTR/u XdtF7tYcuQ6jqNTbMXJmKHEGGpDZtLkccr8W1VCWv5M6PYWfX5KTlZjoCwJQItwPxa76cP4eI+wA QxCiG6iffPQOOeZUETDlczrl4ac/vIeRRPruKI+LChgYHyYXGeNywAt5MUrJMmFMCcNA8BLDpHiT uMH4xLxHB6072maD8Pi+GYdQ98zSAGMfEU8cpUH2iXVyQsIN7NPug8qqt7cXwzSumUi22Xzjsj5P 8lQs4vuLbl0Cl5oUt7Qr7S0WjqvymVvkkeWbb5UFlGUWxiCIheLylYLrw0+9wbGWD8rs65w9prZ6 m4gKwxZ02M1Wccl0/traXIYqoDzNboZpffhTxLQ3E0cGo+BglNBLbhwMQnRO8MtyvA4RZxo/uA3Y LNvO3LzJpv+yKYCl08gbIdQDkeQoSp7042RIocxyEwVI6mOeWVQON2WaKkfLzy1qXyuFuhJFZFTG Zp/BorNOiGiUPI/xSdPae5yMcDj15qzxHmJZ06Np/6cBHlR3bi7HskmhGDOqAZIez3X6lt4tq33j MZlQmEWoZ8N+SjTU+kVMFuYrze70FkVxeNx9bCtnmJmeyXQR89XxLrf2g9/M3qcU1fipnd1FftiT AmQk/hfPRVuF+jVJq2lU0TcaYhkWwR61Scgc1EBBjbyQOWMzmTnfQnnGsu2nQPh1IOqhmOXVG/CC zRsiLStpse+UksYDG5FQc9aGCAEb0BBdvcVXYiN387Ihm5RdcDfP9eF7uTjrqUklJ+VZaR8WLuNb 3KBLH0UY6LFYeOrkBl/WIyB6Ua/ztKaHFZs5kI2OXF71u7NPFsjpkmK1suMoVyN13/ZFFZQ2rgoL 1UBE/6xIX7Vrla3dBzheqJBNr63DsJ1JbVoMN8uO2KZCOcypj02E46qI/KyfePcagJdt3SIJWg5E f59ZlNx4Cla8aH6ZQbbAKQDT0Ci2Mjselb89vh1XQBKN33y+XGA9kezq+IuAnahz0crCRMCLw3+X WOYYAizNy2e8IexcEZuQNWkM1jaioeOmaf4Jk/TEr+gyu+b8w47b/k29sqfpS2UiJJ0qi2/e4Gdn NJN53H6kMalMbDMNcvuT536y6QlQoXh+Jwzm/U1FG3qaL0Q3R8mWTspI9esgAO6Wq49+H7dV4Tpd xl7qGhE/rXocqx0Z6NOPF5/O3qTEG9zRqPIXg61WzCgK5yVV8whOdo0Cz2cDdoaiUV8lg3Z7Q7m1 n4CgfjS9RRlBiN9uKsZ3mXDzVYqElGBKvA4YC6plpLnwc+xIYigq3Nqq4AaUYAckiB2CLFZ+5WAm hu76DQhTA/rAHrmCjTdhZtE18me92h3YFq7AgVst0jFtovINIIOuMHY7wKMNwuKuKvRmzSYmgWVy k2S0JWe2hhgqusHBE9FNvWZMQiGcvZGAuS4RNLHjRefkjU9ca01Im8NTHipknvHEFDlC5TQycLIS QLEJDbYEbY9qMBwKwzvvz1wAtlYlTDa3JlSlz2hO1O6aQf/tilrKppqt7mTUes3rs5A949MABFmk rJMEghxJqTuSioS589OIlJZeDHyQW/0/RBKK4Qc5jbAQW72pMEnh9621Bhxa/+KCLQv8FAf3oDMn iIss8DOUjuhXs+i8tm1T67qbIwB5ssYeg+h+ZVednKSRDnhjfJwyVCFmNCaK4FNvvbqs0z5Bu5/O 5s/Hf1oCXEvjWixKnA+C53JdeVdwjnu9sGnhERJ5zTY1yu/AgD5kKtj7mTE5+x3rMJGWpnwY+G7x Sihj2z5TBTzOe6EoRtuUrREvTLyT1pAgR11tqVAyioCDgFIO+IB0nG6oZdgJF3Pu0QRYxRXlXJvI +hTTAauUG0iPDqI3BGoe3BRCGSPp43mCFV8CSekYmvlkZXYS7yiKdP4pvyeWSJXtE4qZ7EkcHufD oE+IYCmWjlO6khPRhrnVHaLzjnX2pb62PLzr3D2QlJccllHPror7bpg16AR/mkLPsEx3KPPVveMn 7wxTwb6yzsojK6FCjypq6fKQe2zZIPzvzfhT18cW5uA4mzDGhwD+AguoaCPRUQamaoQ8VsufUf4S BkggpSrvpWlpqO4zO1+SD1XrcNzDze/y5R5Z+j5RqU9Fyme71dxYH52k5M44vrLifwBVM5fKFTG/ qVxma2fkJMMqbyuOnG46jVIsUDWnkkw2MXC7Zgelc1JMb4LJB+7Ck4hGmRFbdjR7xD3uqF98lcKx pg/E3nQOv2i5pVFxiiqGHdQy1SCyDpenjLBM1LT3jBePiWjF+xeRj8qpkvcEpzB4G2MxH0ycDVQZ 5VUQUMti1x43QnKk3wcGxyYJ0x7tIMoNUnbtjywkV13A94YypzfmldbfoNp1Vwd+O0Ix5YjEtt92 e3Nj7XmT/FYPYG5WxfWICUfUbnjjjfmrwKuwg1s96Bnblv/xa7hc9UP+Z2X+wH49DG9B3aBdFusN Udj7rTtnA1IDtx/qvdEJIr5Z58fo/3jO5L+VhGfcqYPcAkDoZPFAI6wdhh4N8SPMddqyy5MlZ4DL xz0CsCHo8NPnHXLfnLlGYB9HN0LJ3L2vhe02H2Mg18JVT28Fa5CG6GUSU7ihcby25dvy/TvRu9qG 3REUuix1y/HewO+Qs3I28osU5nVGUOYWQSOSyR758FUbpkx1v29bq+7AskDxsLC9sqa4Jv0dIKwV iq7+Lfe0G5ja1YVlrVM3+3TKQ8m4VLmJTDZBzfX3/Y9M5LSIZ/8zli1dHgHTbxeyi1rxqF2XiAEK GMbGJ9VobvpO87XM8a6LXRi2WdOhRiLtER0eHTYI/j9cBHQ2PQpWpKozRA6VpX0JKdEOla82EO7r LIauxrWHgRNYE1MMGUjxb0aoaNeOUaDUYQ/ufkL2IrnmhL6X6ooD3y/TzDG3WZlvKZrALIXlGeEL pbmr3VbwqZSaY6MsPstsT89HOR3uAxqbPLuibAgydTlOLNPTKaTaGvq+JXWVsCg1PZMwq2kwNJEF /4Wi4qtTq8NahuKIjXYUS1xhlkSDvSYVWZ/X27gYpnxaRPFSGyPg1vqxkdx1TyBp1OzCqP9I+D+W VCwVMUJnhFSHZtMQnHFLWVks01LDZpzPNIc8Y/HIyzpS2tCUFu2EeaigqO81myYGnv1qQbDqCHKs DO8M/yisszKjHyemhFGz3SLpgm6NOgWpE2sWmOJFPgHb3dLVGgpcpd0N0TJsgtzEk7QufHTsLQ8J o0m74BYmMkc3yaSJth6XgtZoNgmnpaCF+0wwTKL/zyUvvitfDL3vtrt2vQFluFgke9lkTqbc7OC0 vC3eqgjzpjY4Vn9d8SbjnVA8GZFronHpW/SsNo9nVWJSGQhNCCYXbX51MlmRsB3x2EoO6hybQgkA eJT1Du+yuAJ2OzBswj4rGtUyEOh14sL50VAK1VuFxjXbNnXYOJ8XYogvyrx/zmOlxpvllFwcd8j7 MNbqhflGJorZkZ665yQVeCvWyCSXC5WXBWsFI16eDWpASv/G304b/23/0xSmlqMEVVjpf0D6Vn62 uqvGWpehsuS63C117PCUqtB+uzBOVKb9I79cy8m4HP5EIUfS+74KKr8SrD4DjPlpB0LGb2MZueE8 Dd/53vK4VN1eUBHDu5ofjRXVGR8u/tisnPAbf7WQ5zAzEGCT21PTEcXr9/jXBFOpspNwceXZEJsj Qq4aFoKr7L1sSJGDTkMs+80vSRo9gop7t3QbkyRiHGGYGSktRKH/EU6K5lY7JRH67qLCKmstCj3S iuJGCSUKJ6R1tlkaNIwRMotGixJa8klKOsI8S4vOssUhrhHznkKpDW3+5B3OSDpHMnwtt/PPFn+m 9KjA8f23M63g1RyFbbOK7EoZSwFov6pxY5Um9IZ7KprFbVDIcjTuxrI8iI9CBq1lasuWNXYPVwqi SxWDc94bS8uAMJHNW5W2f/mc88tzlENqGBCqqJ4XFsNYsrfF+n2erFPshRKgxCAsJCPcwarD3oRz M/Lkrp5TmBj5JoRWey5YCkFN+thpO57L16HwqmFnpXF5ar4mLyaEAUu8nXb2mWipSVO+I4OtB1t4 1AhBK+CO5UdS5RMyp6xkTC/eNEAW56EqhfRy/Gh3jRMRTJqM9RpnAQCuc5TCHRMFTiYgwBaerXvc 2wRxeg9AtnjKSKXxMvWULk7+VFCwtrXPlqp90HkvpjeoiN9tZciFBrzCW6r2Go1Z7eLsbT8aLcaG TuNjO1FqbAMIzB89kV3sWwhVdOCe39DtnD2lsdIi1Cb66H1t7rcBaqgEUQXoKbnTZXqW0t8J+yEg ExpOh22fwrt1laOcvFD1PPqssruQTa/BTR4634C/tukoysq2grxiQM6EJGMx6l5BKIZsUJkVyRmo rBfGe4slC6LXNimrz73vtotjExcuKGko2c4fnhtBJbsBqN9M0Ny2XRcicDVeiQC+gS5tFi/ffRoa LxSM3DJOJfmmWBpzOGzoRtrvVLs7KBDLhKvVspxLCRB1rR7vU0LBVeuR7a63VfkJEeGm4nKBq+9+ Vh51wclymxcoikxWXxVj3yMVSzBL821FZ5R2cM28OxuuDMVJoeyhn9wcmdUW5fUbtyC0snh4xzLj 3JvXaZb+nW3hZVjuCg/Df9Or8pXwaNbkhFdBQsp6iv66DB4HyZe+XqIX1W/a4eznCgSKLQaJrUnb oN4S1ngTVSt8vFnHGHuXaJ3HjhNoJEe7cjiqsXdPQonAFkd1hER83Tv9LM6RM4QiCjZZTzHWg4qp 3KwwasRMMQUEX/XoTmI8TGUJZxDg9K+0bxEIIoBJlsDE0R+TXgQzhdbDg9zEIrDydRPbRj+tWkDB xAmJdK2qgoDdfZJMKVjE9w8y3A9yECEbxz7+ExRT6g1jbRy0QlO0TftLYUAp/aII2boA+nmhQa8K AqTLa+SrYffzFBamQxEL0BgoHyVUfoSpXH3AByPQMZTgI6wHSFfZa6KLqV4bUyBxY1vl4PDOO5N0 UkpIMHO9zt2xDf1oft8wREssBYTEGqrRUXH6du5073SxgN6Rpry+MGlSR4imLZmmdRFUecA7cX1T kPcLRexcZmKw5AMVAb4jdt6IXJGAS2X219Gn2uOTddMghzTiycemwA2mfrhnYuNDZMhfF7arI0U2 4lMZ8ONw3rekk3ZqyhJHwaR2bvOLtYgBbxt+5YfzxDkcPgeet39A6AW0KIyQECmJbgIhHkQtLvWH aHHEL5Gs5Q+HdJgcFhM0BiViy1/K9RqqVe2eignMxS7Gl9a+A9mPWOKC+Y1EG8ujV/MprPhvm5Tj 0gDnO2wzktsZItqq0jo725AMoBYBpqA5bks3HyiWhLZ6SKG9Wqq9OgEMlDVure5bhTdIZ18byd0g KNPhNhT+flAkdGxl5IGMncxVXd6V1mSc8zlHsmUrjK8jeLDLeO08lMqbdQV3CmE9cZ6GsqttcScm 3RvtcRFX+N2RXS83JDeY7XXrausVufZ+ggeQyHyZKRbQYOYrkUGUNiEgikYELpqq3tI70Dq7HM5u OYpOCM1dLQWTFee0eUd/HVPS1bauSLoVC2lQPaqmrhKgjyinLuHIODzaeuFDA8jxxs+sVMfVArYr f5++ULmKES0sbDD2IwR6lTN5MgHoGlDUdcDpaH9qsGMAr3cO6Gsv0RQ9I5uxvCLUyyIBVX62Dc4D e25A7g0ovSwPXXA4Y2x1IKaOeVwA+B7YCrrZMvzMowAvJUDIKls+MYtuNN4wSMmH6Z8+ffcockVc 4ChS4zytrHrwArw7sSDnhf1RfuRIXoc4v6PbDH6Rg3g7nZO0mTE4xsp3HWtqSNJdJ6NRf/azXejL U+GybVbein1xFyBmkAZLklNBk/HusoG9Hao076XAazJN9oY/j4NMBdnbFo5K8YsA2aL1TerC3QR4 A7TSxt/lpWfOJcYuTrXF7Nn7Y9yVU0kb+3NEtYaFD/lr6zFhOSTkjGSwWWv/rXXJ+U+zLOUxdxxc nXuDK39hJt8ZA9/fjTgOx9kDED7XYO3/nsayzGgIja2sF56jDogZ0MKm3WqZfHMLWnsEXTFfowEc 7NI5K+nwz4HxF9sRzZxBiCflX5siJugmtxoXBC+lEg2ntgr7k9zdVcquPxBfoX641KuvoHtjSddH NhZymF+4y3ULn8us8CS7xk2PAMiKhgjIIm0BmjlhAdQQAogWI+evT2vd8Id2/t/qILqIHx+pyHhf ozJ2/kg63GfW9mKFBhJ71lEb8AZ8XefZB6lJY2tvOe2orjJwAIO0YH1SktDj05TVVvjpvMe2CrHB vpMJVE0N4F+ysVK8YCwZ0aTu+RGO89hIXwIOydbifgR3t4Pi1ixAK/XUiyR0FiehxDmKurBNgStS xBMAimz068LCb8uXHh3liflK1oZva/qdx5OtwKNs8/EdA1g5RTkZE1KSXXqmsIiyW3ZymbSdx3gA 54RTFs2/rMasMPaKvIMMCNmhVFCn2gZsJFcSZo3gY3O02r55NAI0E8iXy1ADRvVlHKkxXApmVLzW eUNIIvqMA7azmBJMDH/SIfAyJ6xH5Jk9wgkmsInfPOKbarF+8jKsBvOVMpxGZpoV7CDAgziTCQ7z o3tHjYO5UNxsXtP54TZUd5UpErvLX4RHzFyU4vBkyV+tv7ocpAofDXCiomMhNiN1iUSYQGVopuRB thnA2ARjbjJ6zTwbYLsUDKaDmiICIOTQKA3sqCo3sgBofqVjB8g/+/+ZfzonTF7q/XAZ3DUM8ieM 8ohOoA7HGi82Te1MlVIywILoR3bnE8CPjqo+P4bfaF0C9LltcjeefqXEKqJhFWqPn7BaVxrZh9tp 5UtZR05B5oNoPFMO0IiqXBH0o0k0ch17HPQLNsTpNLIdP///MYMG5/0Td3bNGgwmElGQfTNXUh9t Rp8NfC1gQUpDZ41h9pUQUD/ibLl+vsuYg9HL1MZpAgVuGQvLPywBbA6QnO9skpfm2eawh9Nx/2zH n1F8Y46wvVwOoJ8UQkgEkyOXxUkFEGpReBkgiNuOBiq4KSV/zwu8DlbzSN77l7nDXW6j6HZJRfMq wfP93Hnc4Utezdb//zEim3zhx6sza8h7H3F9tcu6iZXQvnii1HHB34JlnjaUY2KQwkWMsReD5fHr pIn2XHRJ+Uiyy0P7NVVxXTPoOTF/9rBKsp+yEpAj53Qsl047vO5hCRV/d1wt1IxCaatdJmeUfEyn tjCx+gsJv7OpzkxXiwce8vD0UVxbXCAK69E7oEtVDE9j2I+TRmlzPwysprUcBs/MK0LUnPra6C7v 4v/BM2mVnW6JmufOU3nlufX6l+Kncz7E7WgfJrXR/PAJUHmNyaPhhx03OX1CdC34f3pry9TafxkH 2z/s7P4aXMrq8D7szWqIlgqzONJt82ofBDFHVfdvXeM1r6DKU+cPZlQ5KuTQRn7YRGoeEEINZAMU JimERN+Gis1r65w2ihmAQFcAg6I40rZ/ixbe61QM1B08sYI3GaXoIrV0i+mG5rXQ24GDPCxIc2ve LRqjAnpKu58ZAi3lm5xhH2T00/C+yHQ27lxEiDtTaK26Q6TYts6QI3ttR0NNPut+uGfHvSzZZF2d mvEnF1ZNHvmK9UVg07C9QlpgjK7TtxSRekhBX3EBfVomXnGdbqsKLhNh/lJ1rpmxd8YO8xqVZpnM Rf8oSXb3KgUaTeVOYh702QhmYMT92/JTr0OWRz+uCrhR2NIYuBXtXcD8uJttvmvd8PSUFFlPxG37 0aQewSosM/1E24473g0tkHH24sL5eTZz9Jp9eY21lMBjj7Svhk4n52kulFrR2+iT4xbucQ/Ep0jW NDs6vWRRJDobAWxEM6zPhpSPYim7JileHoLqavm8SjSAlCkRTZuQ2028Pgto1DYsbixnsWTWIoBB U99ymZWjaBZwy58CHpojl+YOvm/ksrR6LEUUDhlm5AZmTydm5ajII0rA3GktkHZnCY5Aq3plCFfH 2QabSpnQPV0Joh3mzoOvRyn57MICjnUTuySJsMgBv6ynnICAdwh5NO9IGo+XjKn0agjg3mGLMBMO uSyldZ1B+IKgbHGbEJl+buYiHrjgOZd/Upx9qGQTgjSaxQDMk1S9vOastIsW8uvQ9eCeLEyMbdzJ 4w57H7RCMW+QrqKyD8VK+mI4ybpMURTeXzLjKr33vP0ufZuIYrljcR+rv+QabnOg3kXHocdJm36l v4tLsA4xK36rexFxz0zEjWibMu4E/MDGIHN5QQSICwbuiCApBvFcOHmPFjSZHb7A3+L531/+hyo4 CkYqPszaLQiyC5w4kLlCAQnjY17pMtIhfRvw1OO4NEERRpDOvy4Ilj3etPPH4WXONBCT8RYBZE8F rHNINbAZUf+VgqffiTYYUPoZsrqxpJ16lvSl0xaZicqDW/aARDOb86xgqpDxG3RPY3eajuabW6iD Tm1u0DhzGa7xeJfUUtcBJ9z0Kqej10uo9CuuSa1XZoZpMp6t1A9Oxv+5k7gvTHTm18pCTC7khjZA aJiDxFoW7C9QuXz5eAd6/Zw0Fa0dwJb/bFpfPXrA6G4T6TMDy41LO8+wmO7FU3Q1aJlV/RM4yoBm OySC1P42wfAbMAHvyFHppxXQLjc6XmoIB+vWT9aGv+fmL1RrBBcApQrlS5gtkf8GAF5evoNURX1D sl+CMt3oP+N8V2gMwRBI1dMXh+xnkHhPZ6JbG4xROjnkgmOUgkTq7hQOoeulijKZYw6lqbqibIOY Mj6itXiX6hhupthmp8vSwhEUNRhPdPE+ag0ED19DQaP4ZO4cWrafi/Qm3lrbF1Fb7B3cbDTKaY/W 4twSCjNeKtUKHE/+ldYc8I2F+0/wInt3zAFgUHAp1v7WPDTNULYFiPeR0zOWN7H5zZpe8OoYSHPM EENwgvLUtLE6mJHjn7JrUPssx/+egP+vgGTIEEgd7mkg6LK0XQZcqxaEzA7/ZxVZqktK6GRA0kmu FxJzxZKu7gdTDOcfrweFL9VT/l/IVoxVPb7oQjL13ZDLtrlkm+bk/XcTd50727WIwIuZQE5MS66v LBvmYNU6117DMUmOetfZjKfqlBD9Jz2vjlwA30iVsqpAFgW8EmWPoPzBaJUamBQLGbVyJClTXIYo w7d4rsGYLmi+HtHFnSVkNNK9EdiJwgZ97c5Ggwg73Bpz7cPw5dG+y6q+y0/jGYWdY4VRHWhc5hNB aLrLz9TBRCqnlQ3+GMfoVshxQB1kdc3gyeb/+0/ggASrNJndlO9zEdOuHD/VfLEEP+Sc4vOvdpWH dxOMcTy94rEkvDbN2QBW4bwFQDAPwrttQ8D2KTI1Lvzlxu04TE4HGm0QW/dXrOfm9834CFaYJOHs zxiVDRtMc7ED7rLJJ2NyxMlgi+xauJppFdH8FfFEgd3EvtLeBoWVl+rLxz2SXlwlCDQQXJYCphaL KpV3Tjxq7JHZCv3Y48Iq6uOjbu6D2ArqP98mnGjzpSjMMZjq7qTx3G/AcgLSknJpEnVY/tfJ+Gxg 1khBbTMbgxWMhhE1NVFoeq58r9wDpB2evwk+2YMaqCOtBc2LWv3xW524qnzF3052Xw24rlfYCys0 J2OVTJNF8030lrnPQoR4nqbITQZpbb5ByqvnnKj2dOoOJJVCGMQKvHbdLE3BtOtPlltg2rFz+O8u S+VO0d6glSFV/Ug+mMNbEPgmNwlOD5CUtQuCaon7bBhXAYTeTtoGRbzpvf5FqvtGD6zlZ3pv89Af HTQqRFRAv1MEpuOhoiMoIcqyHp0m9z6uNalfjtbxHLYWR1EyrI3y3gZCm1NgzirNzmcnik6VbviT NzNyz/pOsA50x2hYEzga6JCT4sgwtpKLoI9f9/JhwbemGb9ziZI2Vm9xLbSPsn7uQUlOthf57AEn wglQQ79G56cfZJGlaZviCeFJX6aiKG2bn+I4ZSp1AdeE0zcEADt3F9oUrtwunN0asoqO+2Yh7CAI NtQX145UrwHiXQ1Wu/HnaTfzDfMDfZSQGGP875r1ECi76i/xutgH7bd5PsqyAqAy7hD8oIlM7TZh cVzgIA+iSldLquFLcQc8EVpt+OrfPlUHBpwR2or6ccul8IIO0YOT0gDVA6Q3H1+QqNOYgukEByvp ki97cM86MepnmMwu2WR2QAlE3u4w+YcdCyoCdhzozx5wWLcixQBEwjX2bGW/PG9LtKbzAdO5wz7j m0RgR4wGi0s0hYX+krCQ4eJqGdzXHXVLjxw5qeGu3QO4kdbjXGv4MtLaTURxxpLgFgG+36BU0iO0 yhJ7HkrYdsirnRC3X9h8fi+b/qVS5vf3OFEKkpyWtjFzZPWCup+eu6aJyjZfXooX8mV8BUWd8S89 vO/doJYZyxMg/ZZi1z/84p0R8WnORgvNY42zXZrTEE08Wl5fFus+L4ERWtQZoaeMBTpptQsCdhMk 1f2AYqhMAaV4//hhAUweumv1hMObthiFvW5BPFSXPz3hl970Ne+2hcGxWsUqb0dfbLwUrx3jsNTL xUZAqlXTGL1SAiglwVmVVE1j9+6fGvcBO+AYFy6RufQYCkK0Ew+kmmWdyFhJnTy747SQtNiQWfOd TQvmyioasIQcstm0K88e92dek1rDHdtDDfsl4NHU5rG2XE6rw0/RTwJGtLJVO9kq/AKT+h2Ig1Wf We+UYDhZvYcxIXCevBXFn/LunC3iPT9LLgV9zQj6qc+cOSBN5qtS56k8iy1nksCh8fogJah3CKkR tbf1H7FmrTlnqsYq2/KPF9SZM64teFtdFZmZvFAmILe67eWmcA4ItejJQscEx+9//iijV7IGK9/l wCh4/eG4xEROOENmki3QOd8VFieMtoeAxmRGWDukd5LCe4CXMPOJhKh4zMo1SI3UsozsW5h5fy6E txgkSi6WAKHE4l5DiHLfjv4X3iQFtAOCTV8Q+qzKofFm+oX8IPbOjcJWMLH1/WuyP+D0ooxJ0wen DYK8e+RSGASKSG/TDO+La38Cy9x2pANwIPcUPDpEgFBjatquhVk5Z6+ERIdvAsSZFv2EM7dORGj0 tyll4fzsFO4X1BQCljsRjlqtnETOsuhfGDfORF6rnWjFE8hbHZ3VVXuTwp11Znvyq8yHBmNZurMG IjofPxbK5bVUsBQ8RJc7kPEjCVAanCD9wPdPMQV3pfi2L0ofmFiTPaB4pxqwY4gGirA2j5HTKj44 aA9sr/PrzH6ilaPPCnojhs7pSwFRa5iJ+pYnaYdI8wlsiVUjm19wcq6uEtVqKVjvLfBDC/ykrukL hhaXA8pp3O5emuL4UR7lL1y/RaYZoF/VPW56RgKjy7lFkKBTth/zrO1Pjz8tnMSL50UJnSObaIN0 7ssHqFmWVAvyTPLh5a811TXwEEYq87AbhoGlw3y7z6rmDJV6h5BNEssdjfXr9iiJBGua0qCIOvku Z0nuwUG5kD6NBHTyf6WzAuBJktJAJSkBuajIjaCypIJ6rFhgSzIsLHPdlbN3IBr+zWV4JnPmmsJH XXXJ+6BWRpEtqakOwXtqx3msQb/0NvjH3mXM6O8VR3XIIflrBy2fytTsQlpFpZmCYeAa2aP3F6E1 xFud0tY2nfN8Ueka4CITuHnrVqUfIZA/MdShcOLsBQzr1a112Cqm4F1lCWwT8JWl2Vy1+/YvJ8tC HglZleSIfDVX3myp8VJIwT8OJJKFzTbuQtjCqIOsO73HfkvijA7u8P25REZEiuXARdy/w6jLWJkd uPm1JMEPp3UuD/MhvEDjpqg0CKyLhrt3QjL4d0AQypfzCVORpSTNW/EMlbWul8ox9gnCMqZ6TFDl ShhT8XunPboNkv2gnJnybvbFWwWTONPdLAwRkT5cdQBF9Q2w7M+Oc2xlQMBnNDrBa25MOziL7ZN5 6t4fYCil36QH44uYJlvdw+vOVdREwysCPXcJOeR8U5Z4aMSiX4v9fIb5HUJiFLKYJCpa1N3jyYWD dIpz79IQaCtnWMUCPK4W1erfsvjLuRGj2wp5U43ajAvG/B01h9SmxiyyyqGKVYYIIWRF/zeYprap iXsf3RwqoekLoS0wYrsvmfOsX8E7vOE0iguwWd+cyu2fvegkIBq7MSh/XDSFBTfA7lM+eZuBk32j skbrG0nmk5J9pLBSfplOAAgiZ+xEUPpvRIYitqMFDAEMmIHXxwR9tsuJQufrLo1jjNsXVdXVnInS py52rU6j6B0szkM/p919VXI8czH+oUJFMjrTVOyoR1/iOQcLE898k/CsOaFHEAjzwvTeAH33/v5l kA5uvxt5IxKTXzNQMsQgRG2TDSclsvBgIAndBj+utIHYIRDa9B3580rTJ5CSGxKU423XfDflzH+T msxhzrJ3kAbGqUngn1XKSemX9XOn/ZySKhZ+OyE0HSHWnNLjjdr9FHTMqaATyqbKEB12kTBsERQt kExitjfFsk98DwsJ6q07QUbLAQ84iKMQU0BPFcsfRELgS78WpHKe1WlDILHwkyhQnuzP6F9k7g6B YgXa1M0NRFR2/ansj7OIr5eDcGEaWKcHsuqKLRE0DLErZTcCZZh4bwiDm80twLhwK6OtDzH1U46s Z4L0LvBz3tCL5vGpRMJWpQZDIXwwcpmwlu9bfKqywQSgZuAU/dCnTZk0Jy/LsdwiBQTIysr4NtYd lOTKmQyVKTmEoC3atujU6GHEBE9cF3yp8gpt/qfK5g6uT1M7kuSKUyl79LQyS45ute+nPCf37Upk E94nPdLkxkHfodeR56SPKVYqTpl5IIzLw909jwQ5fpqMDbvWN2fhGC5WOOJESD6N8KrG0OFIF9mi Q1RNpGMlYlBcE4qIZvrCEoVquKxorzrbhdpKQO91xmLdYK0UF4uZgOIXwhwIBXZ5yF23u818VtvS iP+fBDfyzVH4ZdSX+x9VfUwBCfaa9xsTSQHkNRLRqX9CTIaWOiMntsgnmngwRioVY0CFb8u2h/9p GmkdgsYCCeQoZ0WHELICZWStmQGCxXg7x/LuZ9FuhLuyV6/qg9zCX5DT0gnhy97ljPMirma0hB14 9aY1r9AU9w/hLWiYRgk7Tdyh1AgzuS4Kar2jxsrx6tRgcxRyIOj55UecHhEjUO3Y73WlEwARMpvx t//tiMSLeaFVz6ChSE2nagwY4+UE9kCRXxhdnGjPEhlY5ZzlfrQovr/WtjOXcUA0n6sMyA5KZCyr yRO5IqcBuKJlJ5rEzPd4TmZmIHCzfqYF2hlxrbgHgZj/dJkUktkbhtfL4UZoVtdX7izYQKNOKDke RWGt4qfp92VIcrD5ealdLwHg49G7qH1EhMD2muipH6oFAxmQNRMy44lg7ipoMnpyOR69zBGGO6jM qIQ3d4dczMyhpEg4t9dwT7dRsI4SiziTLeLz165tLv8eALaUL+k2TngJKyu/QxeIN3SV4NzWQKlA v/4DXe/fhuqnMnS7MmqQupnPyGh137+z3LEXzPXi6FGTa2aofKsKVAGln0wWYEEm6W7lA+uyWJT1 BRmZ2EqqoD9Gj0idlsHNOvfjPJvmb8gWNYUQ3RNaR2hXdgUNmJ9famU7X1BnKrOzl7pY0Lz8WAHl tfE5e2Ew6mjjI0ByNdYGccooruO6dRtCRHQ/4DqAoUMseojts6Y67CNS4MqrH/Dyi8AnZrrZOk7y J46bij9Qwxmb1RfPZ5jI820/O3/Nn+TUQJsl3gHSH03O1OvlRnbn+TkfxoA5hfkP+lp4fwAj7zJJ 8p61+ppsDncNNYUHoSbBUvBdCRD0dWBq4WyY4VYzBrRzeEuT+Sxg1H9yfrqaSxX0FJzzqBw1im4b b8hUYzWq4SdJ5i+kMjkmUluUwXxNIJbtTwopcdpG7cJkFeHrqAw4r6s6le4Gr4EX1gI1wyg58bTI ZEupyGsCuyD+y+aaj4Jrp1SC6Gtco/+AQeUmC7UGunRll54lktzuBEMWzPgNLTlN0TTtJyGUdYW5 X87Duyy8+wqj1sj2hLR4rJX7fxGsv9/CBktYMwEQDBe7HHrYHTp0m9ll4+1MjeRnSM/gom1cwfxO izaSXaWD4byfBb5rVGdKxlc8bVgACktcfhnaeQ3QBwSAFmsZ3Hdw4kDhsGH4pckdgpcsJ8hObnGK M0INctmx1PjnmqvT2kss7O1E1Tivv5y16t+9xSUZSeletBCoDWt+YB49/OnT5KLYEpBd0BuEtZhC amRbDCZzLS0sxxyOG1fhSzhL1j7G1fp80DDBZ+x7Crp9N8xiz3bMH53yM342kV3HOUw017w6EZj4 C6V6B9DCMboN3FFeSCnJWH0FJKP7RfpGDcKW+px7qO8i2UfRTzj5Wh1EP156PAqK72E4Q/mXCgxk 6NeH5UPbkwDuZu99IqKuS38st8BBsXtF4dGlyYPL3QyK2t3wJgPDt3ewMcWsm24+tRg8Y/dJZ5ZD a/b++Ax4Lt1zHg034RyUOmy6qQLcn/Rsu4pbX4hwLlFTGUhUryEQS8qPc7cb19BtZDYq3+Lsx9sA 10Bvz+yrtY5Z/ZJ31GjYK2wzze2JERChPjel4jsrwxc+YDSvismh10PkC0RYV0PgGqDGFlAzYOeW H7pBIh8gH+wzrLNmWFLO0wUdermm4wfGLh4afmq7jUcMKP1dPv3rN0+66JzfLcBop4Ke5/hrqtC5 ImvZ/V2LFLRl412OjI7QYTWDl4eYW5+bpNiHWZ897ZNu9CfWgXkxNbUs/qhXa/ys/L8RCGeasw/9 8wET/gKwTzpePpeHivjJPu0nbffhCu8lUHIzc9I4bXhjFisz5G1EfQFkTa5W0SJ0Ax/kHxo2c/B2 7+me8tcmNYY/v8KZQKBzsYupFOYq0Gk+3zlKtAvvbUJ3m6DqmnvNwR64XS7QGCPaT+IO0o7o0TcZ baRFlg2ZNCzh1cAsl83ow9NRjMLf5GmoI65XciUYzoUDns9mfTzyjnftCbE1bO8lORmKlUbKIgAS +5WxcnerCEvI5yRTQmB6K7s5JOHFgwj72MbRSW11KfkOaCyCFJTb4jaR37zZdF2brQyTV2s3o2XI OjEZv5QHhS2Kxs/WXedtFtDh8vqAAoIWl6ejcV7Jv8ypDMgncz7mD2rhPHxV19OyyDjkZOZ1U2sk lDYHuXoD/dDcoRidTXSBB+z2Wk+Xj76k9mYQPb5jLDcl+Ybq4cIC3mEbVuZthGUoVIPNXIopalpy SXn9WDREEaHa+HGTJTJGz2vRshFyIoGIq9wHEK3HLpikjmFNGKj3+1j0WyTnxOIrcN0+2n5Sl7C/ pWCWMuGvxo85aJdPYl9HpkWoM9oDwKoPCs5WRkptUCeJQm1VdOK2hcKbMpJUedeJcULXmN721CQE bHb95brc1aXrg4D+ACVNeV6jPtl3NFI9kE4Irih5Yu15A5U4Kz0oWty5Y+sLDqyRmhLC08zYE5Rm OJwFFM99ECE5j2DsFbYGta1zMfaxapL98Aw6oqpti/wBNumcAz/tqJSB/4mi+Ssjl3VCBs9nxLin Hrn9I2k9s8FzRQWekWn4cK6phR5c6tRXaiziYCHTG2sRUGhaktz1dp1QoTDaK5a9lTyNHKEEqDxb HYtLjucaEsWzlZdETlkxjyhxGOafrPxHXAUVAVAfTTF5unpIMe1kY0/dugy2dBBie3khf3XJBK0D mJgqvNPvCgOEyV042Enw1bbcUiBjjw6A/5rd1lS+jyRMsBdL2/s1HnTw+JEl1i3T+t3qP5x9C6MA sxNO0EYJ8vk0A1QI1qXm8tvEAhaTP21anWjQLuEImas0miGcZn2l8Arpcvfr86cjmzR5gngEjpVB aUMqiclXkHMNnqmm6x4MFUfFo8qyT5fd/fkptEbmma378pfD+zhahkrWpINX2MDKk6tT2fYij8ZK WAoaHBmFV4L2J/fRQjWgz4FVg/t4sSYgTnRMQsnme4XK88GKakHX7OAfsfdr/ijry6LrAPPpvh7a mWF3ob2CFjhZ4uwFsgi6DukLeCrAwtS2dvUepsP9yPjfwBJDuFekvl+hTQLHg2l1NJX+1eq3/uRU wHLL9vjdj88Ngi4r2lFmgWsvxS8g7q2O84dbSdSqHlCL8n2T3+ln8qPm1TFrfVT4z5xHlwtGIUaM iB/TSdDhFqlZ6Etn4Lp7TghROby1NKXDMsjXgpNfS8pDRzOe7qlmjefTfg9ssh5t5gpmEdJA6xTM ynBCoWj9NyyAPnS7nxFT7tELhd5b+8iQp0aSe/3K7eAQRmByn2efosTVuoTkqAtLdDpfGRrmEmGp lHXB2SXqsMHx56xiAgr2+Bb375Y0WcsxwViG/WKc/w0leZA8NGC0hAxK1O5xtKMWeaLK+KfVfh47 B+upNYu2nPx4XDcx4h48jtrxkKdqDPcHSf9v+aa1NqkZmzb77N1NUyhNctKg9Zu6+yUJwgG1PnVo dXgjEPN3inIMjPNmxW0oPEMT03xdCH8nOP+LRVFrgqIxJJ95XTKjXGZDUa08DGOVW6Rns2+i9k7G IwyAPogplcJ0WNSc7iAv4YrjxSdLgejCdjsycx082glTFFol7lbcjuniHrzQDnCwP99vnv7xpxjK ELXs7fzYLqhGN/wFCp052/YzekJWM2snhsVgqc4a2PfUKih1MCOrmtPIDzTGb1oBbqu8Zm3Aq63l 32BW04tGRDG52vF73EGRGypeReRch59lGk/rPdXThP4bZ8jBwSujFXj7mHpFETdFH4eIfgWZrcsB nb4m8tlpHLj6UeXQyehP+R3PO1qbBTo6Qf2eLbzSsG8e5zQqvqpl+5jH581sTH7NKgNkIBpcW3ok 9UFJKQJOiYiZ02hrBf600GJYJujNqTphW/dJJqWMFhE6JHy86wsALSAFzzGKdu/Dt3AyMeT94RI2 jS9Er/4mocjKUnQTNDXe0dT1wBZ3jYV6407bKRsOZAR8EyTUwo8gA47rSBWCT8Gt8GCHAzOPHMp0 6J09EWPlOFbUvff5Ak/4krUxEnvoq09XP3BxFbfcqX9PeHM1b4oFUMF8Z50Akm3oGtEflf5SA8Iu 14wbI5Zeqk3Q9LJG1CtjunamnMDs1ddSPVYKk5t1gsHAIDuQ6qHzc+4CtHusy9pRALBSSxWPPOl4 QaEcf0GqjT0d4csSAuAYWltkyxGUbeGTKq1DxQp0AswOpDBKlM2rp0Fv4cMs8TWJepPKgHRUt6gv se4WAnTYacIlbcdZR0x7Ejd7Xmgbds3qg8oihvhut9AoumTZllPv0GN3GItDWeTM3MmNTGFAFSR4 k+JnkA7M4Ulz9l/VkKNRve3EmA0xDSPgWMkG4x+btLnUcCSE52sZers8DfOXRVz5KDCxppnhsu8N FZlzV1bvwp9nCmKJEi6BxPBnF6FEOLA0ogyuXT0dWfjRQmhNDz1pF8zxGreD0AhNA+XDuoh622kv 4xZIKvsmJDixByT2oT4GCHfNQXMk9sq4LQ3XfcBsgISqgeyIe6CINuuTRNVWbpYNZLIU03cj8Hr4 fPZyrLQxJz/UYbfif+68+qGsHqxmWZAPLdjzF1xVYTr1YFFyquwtiFLvHNKAAeX93mRxVJpkACn1 fOjhrY3VDKj9H+5RyTtormK8bUusL0uZiGPK2YXFtNvQ12TTghz6ggGl1NNaed1T82Xfy9jnRdQu Lymoc4T1tCgLCgypVDyzJZm0+tgdfyvVk3qJfxQcQVS9RDx1I5C2TJhU5GcGSI6NEgmXKiRZrdwu Ig6eWBnrNvqlVqm60X3wEBRUKyxTxIj1BHtF/6I+Q4DjbVSGOFWkNMiK9rlwRCPFbZOaihHJflS9 nrf9myRNMWFqW7l30n3rifNLeVWVNJAzSZnzBmg2qp/BMrDPxUwCyGFyK1RXWqowUiP4q+pykKzX cCp+k+/UPTmGwiw0RkfmSTbgjrkt7CLDyvXcN6QW+nZwkTE9vl+uv40AuIjUFZOROXPfVoDW3gn8 B64NeG4cpp8cimNc3hD8xke7WiOk8oj90mq6K8iMpOn+9TmSfgtvohNUs3P6OhuB4W0tDVauwXB4 5czFtT/Y9OusVZt8CCHpuZLdVYfu59T/3GOTaWOPBnM+/gAXY6NvyoBA1LzjqoZzi/B1TNwYk+Bp zHdq/gpobTXjZoXahOOT1XDoryGO5GSBjmx3tFj9Oa3ja6La7svtZUinifyPCvInh6yDtdjk49eV COjolTIgdz8yMGyih0umx2dOR8LoAUGucDpe7/eGFjsGQk4z2fXwrcKqzKu13GO222Ipv0L1AHYg H3S0XRsdcnPxod+dz0Cka7MH5ExINPPKoHPHqfhvz7daI/HXunCAIUe9fIw4uxCWiTBNXnp441eH m5v1Fw5TLtJdcXg9J0dCqH823EVsys6aAwqzeMBVfzb619A8HyFplFvYI/T7hdBDB7taIsrvr0V4 fOsqz11QqAh3g/hJ/AxYEpuDS9Hm/yW/Xkf/AQuHzX6EhLdRqvtrbNp2vAb/kfuhWiswk2uLKVYp 2cX4Fq6iemvlbSg6tTIhLTOTCu/Ka0gXAFHjf4TdfZL2LwQqrV40oFaJZk0zmt5XmVwiRLn3i1oK pnpgVSW8ahZIJ7ytyySEfARf5s1Edt3LJMaccgGRpAGbxIpdRAm2gU6RwAjH7HuKEkTBrZVgRxqL mOwAHpf/7fd8SmQfKC/S0eU327kAAKe1hIH+sKOm/yqc+J4y9ZFyOAYO/mjl18BWJl59CSFTrJK3 nbvksl1CttAEN9grRhTBQLiQgMZuejyvI2xIUSEU97z7x8KQ/cNlYkKD5sZ/oK/4mRhcdwn79XE6 bsifvbKtmtj3JdpNeCw9Lfk+xWz0sO6rcKM+h+HbcPU83eYts6U9y3IrR2PDwNJMOeTE2TsYYsXh H9Mw7kPg5DZrm02uz9NuMsdeNm/vZmBK4Hx1Kvge8IjsgZzvpGU97FuBwlDEVrst/QetVpr4w+9G HCEdCByJZW26JezUP3w3sXvteh+RZyRHBIV6FoGNdpEUpnuN0FzQAXMgXSoHyeBtq941haGx4wFs hYwa9cEDPF4YCCAmHURgZKKYBPPw9eS2eu80RRX29gx45GY/XahweusFqa2NVhh4tY7ykzoKvLV7 0pZH7E7aBwRy+sWofq2Hw6DRFlIyn8lEuxUvB2qR4IdwXGHnh9k/EHXIJnV+oKrej7uZCORcO6TL rfFd1lK9yzKET5d6AIIBQdhKgWbFlhHYMM7eSrRP4F9u1EgWRYtv4FcHaN0qyL9ewlGMQFgwr1C+ JtNGUO237pf4f44WTC3tOcpMxrUO6fmzUjS/XC9ylFgDLk4ejHQbBJGdYyVpXEwIJ+Wn7DrNNuzg 6/hucbXjnHl7ADe2W+QO92c8uI6mqDNS3cejAE7oLm1Jk5NYQJBQ76P2qg18KdajHDSuGSyzDwHB ZHsPOEp15KAtpZCXAaoVOp/6uxN9q9CDFrUhqW5CmwFTuJZChqSceLdP1Gv8yPNjs5lK4bLpvGuZ bIodcee0vAdvH+Ayd3695vJz4Q6HjLNI2aL/+FNpPr1AbEC19HkNHQGCO/n/oFqeZeRc/xvjkg1E CA6EuO2SR+OsCTJwAytTyrh/n2S/R4zzh9R54cE5glWel4Tl8H2LoFPlvvdMGgEOEMDfTy5N/xRB pXhXuZgTYYxnS+6MIPXHf7R+DzoDj2ucz2ZARb1IE78tDMuwiPrgW4Q69BlY7XfvL3CFw5JI9JXg 1E1MMVvVXQQarfooWul2Z879C6Z4l3ybu0GjvEyNlOSyVp/CAuu2o0RpGSdW0QotZPuT1yXYG5zd 9duhtrkKW900KzMM4MrNbdsFwXRxGA10dZ4EleyyFOi2Bj86NM/50dc/TQvNtgDhXhDkzAG5qPMB JBkBb1AzAXTwdV4unMsGgHZ49OmBKzA39IxRjo1yBzw5ah3z6ZwMyCXcRCdHe4R7oyFrS8JE1zXR PpNpUvPEzsr0SF9CoxtabPsapA4o5aQohymK9AMVUhfkXrVe8ei+PWmvsvwxgXN/acdsbGaGBwOw 7OvRG43mMZI/fpuoRG0VLlVcvAm5AlwXBsKoszPYgYJR2xgmhCnD6S4j8TBsDTQvD/B+2RjtdrGx kIrLtpeoD66Jh7EOEAvsqPGv+guoCphDqFaeg8ua4sccbXIRh2zU6FZD/9DmiYQ/ifb2ryams9j4 U/5bbS3YyDy8GtCDI5GtITO8KmMurWRVUZgv4aUSzAcsJEkhW+G1xBUXaHxrqmS6pH8tdSPOx7np jHTF2ErF5XwKLY5FEmpdB32UogKtWvz8LI3SnBz2bmLWMYz+KHpjdE6x5oguqKkiGi/+iscJ8Lkb VuqHuttQjAGMnna50Ym5X6PZ+aUOLgWyS40Z5v34Ly5OrWV9MhMSAaZRzeSWDRrNfzb/iZSuoyX9 7J8ZxCSKRvjZNBJ5PEGR5nZPzxAZDbECOFpscDHAQaVqlvSJfwS5lLV3KIRzCC5cKK8abNV/++4g Bs8XHEdd4nERJ15nbN0J3f73qykXjUqtHGqwGEJvbSf0plB0cnKASoi7eVSO/lt/LMQdQ2iEzEsR vfg0pdtyDX8SO/3LdlJHbkYEBG/ux/a+rItAHNQwiaNHpf4XAASc01b/3Sfvfd6oEezok6ldFFXY s1G3JD30k5rcXZoe6vC7ng4O3rhKCryu4KngEAF06aci3FzlZk3mlk+Q4idQ1dHyHWkkKT8CdRZp ZCJuoIC/3t4KOfzPFG4QgD6QnhaZBcDt59HfOWRLQE91SJFXVwEvSymMlEg/jshkcIwhtS2hJlup 4IzHIGC9BiAmpMgMcXtnRAYeNRhqTYbGvOlcjaSNZeXCf8Ftgd8bIdorWEXy2WnWVcd/TGSv4RbY /wpaqyMKA4O4g5psbdz43q3cHbRuWNKBF8mjrb5aP8KX+lVP2xEMY4UNmzUv51JmfdNBPNTThNNw QuM3UByx/b9o/2nJoClDF2H1YQjIxKuMsTR8YDGSQhN5c2hhpjF3CCUABcZYfKMvDo1kQBh9lRkF kwu3iBnYYa1ca8RYSey681LBcq9FVnddB5hPlTrR+vOefWdKgkCTSbCuJEaWKOQgBlcPT2VVtVYj Hm5GkhKtQof8OLcnlHXuvhpF+YrHYJ42+ItdkiPj4rJrUj/xtJkRNnGdwVvkX+2e+jUIzo3XF80w oPz9NYYi/03yjHhvI4dTXLg3yElUCh8Bk+QrdeJIWaMkL6YDFfQfEvBPSKfQh4P9J+UROD/1DrwW aowFax4+0xHDkqd8cB2M3Kff+5HQReMWZatiGMw/ZMZRIUtx0COKotL3XNYx3kHL3y5mzlu/PdPC oELvRUAMmvme8x26eZmX/ipMZQl/9Y4tqZzX2iUcbSeqW462NmX72R8ORqfBtfygtuKtVE26cxJ6 8cLx95vY67jjNc5jQo1NknA49kxvxE2R8gUB4/Mff0P2CW4H5rp9qX0u13Xf3J4eqBPYvL+bNZNL JQnLzBA4h+/shG3/zl5R6RdJZRdmb4SeNQrjn0fc7s2nOOrqPqEo7/TGHvqQmyA3ZauP2JG/7odP SsllWBjZKvOBR9098aHulXiWqON4dcHCnO9PCSQ/ekFc7Mz3BjMNu8rh6nSkm5JZML5TKntc2tNt TesOqa9xZNpq6t1Hc9M6oiVM3jzLL3ZgmTVokPcK1fX0HWNDM77nLLgE6/cx1NxR4x+X/cXkQpdM RtQDPC6cBZFExe60lLSnijsmSoExRz0p6TRwGV46Js9cd0q2chAn/1mnE3CESUzCspams3jPuiuI 8txewhxbCTOBopSQjrLqqSvt8MZQeFXn7SBGo1r2uNQmfOrXF71Xvidp2jxkdA+vQLzQtVOG66J+ Ofy1fGzYxt9kqygQV4HjivG0j2NrKZ8Nvnxm245QPsjb4GkZn4K70UO/WQcpXed+A7iTe1ee8E20 IkbCviv0bDkal/7PxY/bdh96UzETa2F36vUVL1sdAbEKk06dWEfzl07q0hmn+haOZLyP501VcnNp YBiEEK1u+qERDeLvA3PncsEK4XK0+4/e8BR5JrsgLIhinEopjNq1O7fO5f0CGqKk397gP60txJxx 3IbNEqVL9Rf9UgqPu3uLoh7TyrpbNS90QodrHDEizVY+TaditIH3aQBX5UMgXOa5A6mc+ZtmF4bO CzkFTlPgGyI+YJealNqvpyrCC83iPmJ/hZpfDpIqRhjbv5yXpmtRtJVunogTPEv6B/pTkMizLKuh 2cJk4ECy+ZH8CQAWu0N0JiiP0HKKDhdAAaIm0uP/4PXvggG8mU92d0SQ+eNP97n+YD5ZpaWBD2Sm M81VC6zV3zznWdmwlwObhZ4FnaOfLzCO7ii634Jy5PTbfLTC1qMf95x6OfSrYsHVk0+Zv7SXvoXF duja2ZSpf7igiKAWanDsgVWvGPfgG37MoCXKeSVcqbf03RnpNoSepDNEBU7sHFPaaBUiUAFXuumk O6U2wEPwTKlEPbBkGGfl44ZLxHDBNtmga5ZFgloIKmaZ0YlgEqtjHaL3IzX5aqrzwkQkLpWecQka GUCA5bCxBgU8jrtgwymScikmqhoUO7QoFoB79WumC/jWGDKL7sjhWthl0bQcn3CXB9hqdJSFxy/k Ny9442VdKpSUOY+RNAl4pDTin6h9MgFScEAjWDmkNq5fYKkEhoWP4csYj/ynMS15wBCDmYu9y+ed D1m2u3a+76W0JVN3b2TcvNslXhfXfBoE8h+qIzoAGTQXL/HMaw5DAw0FCiKGEG5foqr0I/CE6JLc kvjfQx+6p1m6KjpXeEDhagWSjbSGZGHfIkaPk0rpV5P4rQILVYO1bHLH+DnOt3iyTzSI1hJszKDt tx617GoIlOWJHBPqNpfz7ORtzzN4rVih1ZuuYmhcQ03yLgM/I4v/Jt8S+9MrPrwpiLSxGtvx3Ac5 n5YAfUJfhHsczoFGkTqAbidEHwGgONAfSDmAMS9pdC2KQGoBd4GDumBrKJlYFCY39iF6R6J8LjFS Xx0JEUEnG1DCHl+2qC0Ys1GX65ZmYORxfQvC/ELTh5KLJRVx1FGaYd0UbaKp8Fe0XBuuhJbATUoL tjVpprv6AEQSPcbo/1Idd7p1UObASMDPIaV133XeBywnM9YzHBqz5OuYA4GaF+BePpHMfHkwYKfg i9dnJdMKVgt51qFpLvXPsLtRQaW2131ewN6Fx6heimHuSFqSrH9j9fiRhvZDPxxpW9hG5E1CYzgE feHkmDb4A/ymh8b71m1KzTyxO71eiwOFALrtPcL+mpsTW6LGIelHIETx+jLgH4GnBSa4peyUkVOi F4+h4vCwXkE4dIIPvCpFoMrG9cjZjG2ySjMv0gXuA7IDZwT9C65++6+m4vEfmxM7xgbEADnUC3LN vpO0M5pxeh1W7xBrdcX00eQ2aCNHQPkkFEJvwAJJIaR+sSJHrL/eamTrjHeeVhKPMSy/Q8d+fFZo BEAPdvFaHpyK8rxXz3jabqBjdJEKM04j98obL6ALRFeQGLcw/JioDMOmUDuwSwjIhUYh3PImmnRJ OBIUDM3lUcqe2JcnRIQwEAT2n8wyOXvww7aMtng31wE8yVVixwfIkWk7Kf5gQQ2DAMYvqKUfsOwD xtBgb5VmwnFq0jnWr3AwhFPiBzH4iRfckTvKKsJcNmkrVgqHwBX+ygY5MWcP9A20rvegQ2ClpXqE FuZPP/MC7ewgcZGiOetZ7o4DB/O71rb538MyChxkszQf1Pe5bsPL99wTziI7Rf5xv3CnagR0lqm6 aEhJNaokTjLjz10FBO8kx05lzld+osUYrERaFIKyxNQsmEAsGHZIo8A8lKkp8KgT5+Oq2Whepw9M WP63O1DFWRly7caecBNDuGHhEfMuc/XXvhplOqbLOrMfo5tkoq12fq/ShmPQPj7ewO5r/yFQi/Wk 7EYYlUyR2G0R7A6sA04Z8fvAKJ9vEYw9ffDZYE1TyiYV9FkJ/l/9IhgsZPW/fr5PSlT/sUhk4lCb sDGoQ7R5pZEp70dHMauEEFInMEfHiFEbKrWkZ6wo336t820SI/wjJ05fmmERuABcBH3O88ihvK7U yvt+1Dog1+UrVulPsvW1nP5Fi2Iuiiy+pOLvWEZuX5FEwUD4IU+KQq83yeZgZWrNZ/I0DWDygPfB bGAE9L89NvpOLWvkvcFpEbjdQq9Q2Xhh3nrvyGE3+GY/Rh5FQiIekNfdeCP55al3Y/AFCvdCcgaV tvdjr379Lr/ZbNyW24H8AFeXv/T2DOglezV/PuTsf/Wwts2hpS4GVda10tItLTzsZSDOhUx5LPTf Lq9IMYPxU4PdjxUQu0zErGdhabzzZE8bXeJzhN4aVlgt2WVBpbhT36MEZd5yAv2L4cJj+9rOZrIT ep+6X1bos6vjob/XVEg8uUB4flfLf7O4dAkoZ8wpypUgELSWfYcUXEa981WkvmXEoxlSAkM6Eb+P 5JdPyx322zuIwl+k8Xw1y/Rkl++NxilGWlR0IhVCg+4vVWw/dF1Gted00bNzXN6H327FJ57Do1g0 VjeazbwxAvwuOJvsHNqytqipBIym2V+qvv91/Xs0P/8FwcDxTs4GN1eConEnSr4DXTwtERNURUox AyYKjigF+KXpue2e66BD2vVNtXOR+kRe/qhFEJh6opPHSEOLZrsHdnVWWvKo9feeEN2uSr6LH6fB XgpWH7sqpku6JdaMsG2oImxzJuilwDnmJrIImxeFGAW43Zy5JTnnsjnVbUowZLou2w1RcNXWGtmL tCTK4D02bomiSsfQylME2uCg/byQGaa6hYvMSTTUo0arqLI4xfzRPV1gvpDa+ub0p/OFxyytiBa+ rRViM+hKvQhOcbxVJPY5ONnNEn1oB2LiOZ4bCErfupGmCB3Cc3ihN9acSqL8E4AhUpIEFIo6m0bt Rwb/GMr+An2nV562iJsrIIhj2fMu5mK4a2GqA1BhHyZjocrppIhO0e6VOntTi2MQSwUx0LB95UIs ErYM0Ys0s5UkXAGmg/x4Wng9XhOIIPjiGn8ZRZC1Sp+eAFIqZxDPhMPqmW3OcUDc5JxLefrZ33As +tL0on4rpkFaYBmdXR9asADL6mhnjOAl0fbJNUk6LA2FYaB3ezD/8eWV36mHqUTeYAnNt/L7Xi30 etxsh5QSy2eobBodNbVAQD5G3zTCx8ChHNiAb8E6Sq29uKGkdQXy8pQngabJxLXusWQcdxNRgp1e TWTeMSo8BsQboqjIhrwnhaK+zj5R+cc9y5mCRkQWthkm/Id+mrvdVXCfSZ2PMG82HcGN//MRpLT+ dTfjsa4bEIgZjVzJnMy01b7At0LCqJTdz84HzTB9yO0kYtef/W7yJW0wupC5lHOo+0Ub9MKC7A0S L89UuqfyMQyNul7Gp0VxYcnWddlZn/ZbLcFKjlWdr/mIPmMbpIIfrYmNR8zPi8gCyzCszcO5R6h1 DmrgHyBH0Ui9DVaesPOdE1FWIJZFcIxYBY6QAaFw8bX8BQqLIU8V2a22PbGYXZ1/PtVZuCfW1tC0 DB5febI1DUZoVp+KlSAqQBXEDGgwFJXCsIU7CqowpQVo1YemSx9N+c90+el0eMNVJu0aPk6UgJ5G P80gD2M14eEse+NPtmIdXzFuyTPbb4CYj583Mkgtht8rSLN8tvSVwTvPHMD39tOGy8YLrY0h/0vz 7H57hsw1GEulntHlLmQR0J8I/Osnj9fdoyYR0ueYMZtylfKf6rGR22IpFpZ/e2E/9AeiOEbuWRIQ JkQ3XxHak5uddzf5qL5OPJe3deHkBbFbEqv7ysj/0w3+jMXEEP+YwYUIptJSLfW7Z/hETSPG3/fU 2a+ZfbAcehuhp5GVIG88UA0LOH/IIp5l4Hmy/T8h2lEOa1fG4BaFUsWUDNLSVr7B5jXFr4fc7il8 cQ2HdCbuomKLBT53vF67Td13QFFIH9EAhQECkNjncsDzt6CGjDUx4jaTvT1E/5fby04ZHiilVHiE 8Y9Pjys66J0mgY60GLJBuVheWw8rYWc1t6PtPcW8+wgRgLqYC0+S0Z7/Cyrc43gI0+EOxpqYNf5B uAP8ym7PVOf6kGTO2SCvcVbyBIvydz+rA8jwRbeVcQftKWDRY2eVigb404T+5MMVajN8swtUVKnJ VYCxhH9rhIXBclFPP+Vm5snkIbv9Q9Pfb6rz9PxxRkM+RWJColKMd91GOKoh4hYPKhJjvIectN3d aS/Bupkbdz0I2gyVxRpbAGEEPd2soDmEKS5+ns5SoEhZxQBCQggNdnfNCR5IWYBFusrxGo+G+hwM gdCDgWe0Mkl1HYe+9I/J+Iv/S5DcQlHP7PZkjdlx4fjl1iQOZGWHL+V0X84ajuD0PVRQ8S0/HDpe c4WMJXScQNDEoDDvXLaDZLvXm+/BRRkVTADOm1oKCk/njD/rk1NXKBpb6M2aRrptIzUdb0AL+eRy kp+aZqqWNcswb0nj5Zv1JxUG0f6sjsvsH0t8z9puYxvlrGjFkslHwSWbMo+vpj4zKFyKSWrrRrKH WB0YqzXYWurlDdXqkLXH8erF4SUwNjD+pgi5LOOPE+zh5WsRCrWoQjWBe3es6kzNzIrFHWdj8mbb 8eJvc74hew3JuIzZ/u70oLl54L6Xeb8nPciyNEsj33ocE5LRywcRwCsxmFEJoGstLXcJQUY2UCec YrEJzH3vvbL2fTZz+DR/tlp1nMkwGmfbgXp0U8LVJMYetUErm0GYQbwx3bq5sm/z5uWUUQgSje+t Mm+TwvmxiDyCHFDUIRussHm67peSVDBjKsaOCr6LOpn1eoMCA8pkgV00cg5HdS7znMU2wWvOR+Fp jQCjaLWv+VoZi2Eb1Y7Q1tBVrst5/BVYFxcqpTvJ5/lEID02dsZNk4jqlypyHbskL96uS33mfqj6 9FKmz/rTL53UupuTle6nbbPF4Pgb9krZdaRXQR1/lT7HAAqSLJdgzuA1Zk9ufrgb53ZY71fCMZnM ys6xmaMDD1c7IB8Ht+9CzOVqU9nctu+ioHPO2pGrpqXCUcjHSGRnrpNffVOpgFe8mOn8opy7ZmvB JGJ7/NHUkLy6YHoaMj2xHXF5p6WeATVgjUfQX1RxukWoN4zJm9b+M88JpNn9L76oJ1z5A+1srTsQ kOw7Ft0U3Z1J8zWDoiMJKA8Rdqbx4K1QEGsRmgYiOi4/0tHeBm5FZBYZxI3gKQvptd+oMehWbcty sX9OMdElu4RyOtoHFukgOCX08gm41RnJdqk2XgW1/Spu4cx1DPTFSh7gT2VwHDMME2FZ98I+7Yhy poprCLny4mXkq4uSzTY4RnmrI1R35/KnlQDKrMIL4mdDPBmVrB3L3G0eNiMksYe0hWbC6hBPQhGP t3FM9JKsWDpl/p+0aqMqHhaBKpG8zvqfXLKLxz1+6rpovMzvw3VJAPyWUKMP0ybREQmAz+EA+pMM Z7nXMU+9dRCq3nQVvLTYVnIA5bsgw816gg7Sg8F8gC4qCAbkMAEjXRtthQ7um40Bt19K5MXRjY12 XcXZBuj5oHX+oUIktOHNrwpvItGJe7BXjCrSQ3YwkXWmD0HUqHgTQclQWhNoiGEEC/eRh2tOVmWd 7GykTblnQRHbYF2WpE+y6AXT0/ojUNw01GEBDUq+pavtnhQkxx67uxLZsX5jR60NUgAJKZsozFlF PtviO0EHU9zEJsJHFHzjTf8rHvOnRD3XXFtAh17U5w64S7pDiviS89+iV7l0ocPzqizjr61nqtHq phAnN0T5E6pcToogLvhp3D2JqJdpE1yMwO5tNwkLesnIQHzLTDc+9UXSntuSUIO1CA9yL1twwKer jmqgt9LTP0eTlPzPK2A/GtLat6JMhx985NPO3gMroAvqYEIS4MZTEg8EAgBMQC7wo4YYSYCuRQYQ K+ZBs9V2KYb99zU2VKTqhmMAdgc+Kz78rgO3BH4Zlzc/D78gFm4iyTpeeUf5fW8H4IkXK88nB5XP Uxafa8nBa9nuazhCAtdU9a85SxrCE0H2fP7qo+85NtQrgVoul7q1dwwuKNeCkJbFrkxHAYsX61jw 5+m8M123OY0nzEoTHZx/lp34KOUtjsGeP1sCUJbusYW0QiotcPjrOFQoVm0Jgk+BZHh8t0tnIBFP G+Z/ZGTseW+2N6eobM8VlAt1uSk5q5GQkkZ96s6+qGnYV0AdKW3T4nYmpQps/pAdFMT1KOXo8XlY 9q3xny/xjNPbR1SjTd86yBKMHJiyy3hm0Cab1e2bHxi4NfkKIcqcK45Snb2h6H49THm5Ds3jQKhP aHsdyC3DVkh0T+Wu1oygpZZj5A48iqexFFtX8vj9dr+/g7COQDvmcHS9VidVISqHiy/6K2W8UWEv 8T6qqpbHr8qybfwUg1hFsGOZN+70MpTmp2u7aFbm/oeDKq1jsm9Wn6zPBR1Z2T0MSS099upnNgDU BV5zOpl6+qxW/hsNMXh+VfBRghKxr3not1l0+Uak0uJ0X6o9cxri34UwVFbTuAZAPJasOuLYQpCB MqiOPKhKGY+wag3w+x0Vb+oGzainHQhLB0R5aO0e9bv515DC4/FCkDFpCmAZLhujPoT3D19eM33c 4jZiTfLyQuvvQjPbLMd2bMtVv9mJAuOFAl3gqIVKmH7xbu53yXoM6V4kbtXJO+w/XtBwuG0RBRBE NiF2lWjSi21TE3fQyg1NhezfjxWlBYJ5wdt/Y6HKxRvHQCQwH2iHCyZk/YHc+KRBibFkGkjX9XB3 UFEF/vx1wpSEWJbjW9rwcE6cRxt4QhRKkqkh1Pqhw4zWxdMiqioxGlqdFgK7Sa6TVikXvCOW/t0h ngSeONAGSoKGGTa8XIVh6WJ7w7l4Ute+47r496N/YghmNX5iHP6Jcbzl1DE44gW1ZSPMII3D/9hx NuxQp4DA9v9tGWBCIu/u7VoBwLJyHyixtGIS8dWMhLr6XDHnjFhx+VdZVFzJXfGI7gMrcObBwVGC sI84pRdWTNqWniLn1Q3XFKquaAfOeJ9E2c2TXelSa07rB9UIBFDEg7FIDy+l+rgdoP4gLG12+xAA NQzNiL4+JydN/+4SIvL1Ir3fig0/fjULJnGiS3rvIbEt2vtP1fLp9SCNOtajRMbJ3MMBXGBjEG0S dgwwyZRSDd1js0VT8G9+kOnNevNyqkwhmrVi40DJquAGhwhEfyopZnrNGXm8Z7sFPuKwmvUDcn8O zsnNCDzgTWQlGHuC9W0jkkTnHmASmPfKKREIktvdeSaYKHuL+4V7vmXQmZdIx+fhxp0rS9ENbLRA fUh/18vEKV9RDu+t03LDYaKe/2h3kVkM42dG8Zc0wjswYXCwv4u+y5Z1T4DRj/uNn8FAOAJDjhNL 7ndQEHL+qp5JUyN4InTS440NqVEoRnuNaQ0TKKAs61IFmK3zvXiPjbvUotSS+uGqZvFbmBB3PlgR aX5xgt0wgQf+L41YDDq8ximhB+tPpvAOEGzNKHxGvuMEFXhlqHoJVJ1Bh1Pf1luZUzLf1B/TSq+8 Tts2LfK1TACPq/jN6jgria/KgW7pHJs3P/JfS3a6dwzUoVumcBnEazeraNIX6W0c82yB266Vsxlu 3YFoSdESdGBHK8C1djfR7t85lVAtpON4bhaf+0Y4ONAfAsuR/OGOO+m+CRdMr2oVnvlRkLR1wFnJ 5UtAhDEaoMPIOcUCujyrandShEQVQ3wfPBRy++Su1sM3655x/MhazErXsZ/1EJhligWHAwDx8mGU QUgRegKE+OvHG7z3YbZOvi3rjOfq8QlkLf3qZeq/9VStLVCxAVSrnd3Vr3U9xCRUYd+gkUIZMBPU aSBtR0MrYH/go2pglaQ9qIwaiGE5QHHX53+pyvWtnpjZJ367xvIZ+kMY3FerHskHuYvPQ6smomj8 Qt9hs5b0EUl5m1y2emRNOklOFR7yqn+Z2p4CVOtLFn28yI3yKn/mZaQOxjb4CORY209AxwoRzUwa +POBMHyJ80M3BUKWug9ayCNdP7lK+ZrPb44afHNBXBMoMWVAw2qr26jzXylTtkxI2FqwYAFs0056 iRjPepSyvVWJNWIUB6j9ARCay6JFbCGJG3AbH1UstLBEl9jD8YM9K0vqimDlnHwgfrx54BUNitF5 aXCCM+pMmw35FKEMcJ/K1akInGzcgBoUoCeSAOr0On42OdwgrjZbL2JuATd/UqiQMM5D8zrKhmcS s9SYxXaFZn1OZAWxcId0dZ1/ub1kUSjIIsjKsYjgjybCPIgB1qA8dH5dDhYPUAuydAQhnEOug70N n9FnBj49E3zuOqF5ZoC0yhbBadosedNZymL3IYhExuUIMTsLr7gOS4TzhkNqMkZMhR6crIMymB0/ MubZcxc/S5SzDID/f4qXmIyB7+r25vm5wAZEqEqejkXUTtt9E1BjrIi39hNIH41mRdmssmKMWyBp 3IuV8g3Uirlyoc5EPgKfMncHhWzP6An5NPNPsHZJU3BreHnFy7QjSxwrZDGX5FLvdZ+57qdvzpk3 tCex+wO5Du2JdbxBC12YYUOTUdb5CdNIgntMNpO7Pim3Sc+L46yboSroS6cYFYZodMlwf+LU1Gvo SZXjs3feKtxAB0fwhe1mBxfST22piOMgzv+pgDtrWg2DAEzZx/XYmPOJBl6AoKu+i28fqc2BW2dk LnC09wnVHQeQOp0T/Jo1OhJ39IYrGugW104hSjxRgrQptEJzwZci7Ibmk8/EyoiOlsXNzivby4EN L3oTk6GPciN+mXydOrUg7E8G3+cnflSbEclpInr7xw4QBvKSlkFuzG9rMEmXJu4jy6+/Re6wk8K7 yC5p2Q+SZSXKTzWKtT69QYiamkpAVIaVP2Wg5km2jHLgPJ2gAz8SNZ1tsp0ZKTc3dkEJZT8kGQzO NMW5c98aJvqtV0I+malq24TKNna808jpumHwU41EDENZmlRNn7UvuiAvkkicIvlwpfNEEz+X3SoY Ih7/Yz1B3Bl3TB3Cg7/LfDoMe+4UA2FUQUNlhU1N0ZsqkEYUcC20Ob/kPwovDuMGhtw+GZUqwVuW wNl3v4oRH5hL5VzhjBh6z72783aqfovVthoivpeQEtvJSfhCO9oEwQUN+7OBr1noh6wSy0xVt1LI D4iIZwCnwvCunKby0dgKn15qOrybHriMoMNs19SWg6tzosrX1wgfvJSCUgR7L1nKIg1vvnimvzGZ XDlHNst3AAKSgYFv/0Tm+Vf8238ZVVIyk5PxHxhHbJSrAHyP4uZHoRBuOr6zXZ6aEyIiMZhpzVZo 5uXWGYG0mgeXuFnk03bqpCsbe/Z5qOo67f/diRYVwCTkNIqP5Mzk2WNgdLvsYSXA68ZedN00Zfyi dBXsrQDh9Q3vfjPkx1paRXXmpDXqI3QLdvkmVfE+BVk8Nphii1+Y1PMXUBt8j1SvwcjrJBARAP1+ jCu66Yqg+PSOCOrUEAhQhi9rRizY0YDRGk0qAEWGKJpleWpcfFjsiI4nAR4G7896w+nqgtrNt8PQ 4H4jYBIfzrVFPOPNGXmz47YB3aW4qumWe7dZqJgRoFNTXhvsc/6G9wLKKyA7caI3JdUqqf4VGt1e 0Z+CndM3ReA8MYqwwRVVeTVl7+MKPXYTjuBNdFsrF7NoTLEiwY/boY0rP8u+eiBh6mgI11z2lykI N/LCTgpNZlEdmXrq3JwZqQ7ycIprEwX9xfctFCAswvugdD2ameRif3sYfFHejiQ4JVmttKnEDrw7 a2u8bPcVyRxzh6CQrJDQy/w1bebW7TWz3X7I4u0lohe6kPqeOBgDliYIIxkcpuXkE2eAwGHBpy/Z psbiWRZpD2fDuImJ/oL5G+5lHFlrwOIZIGCRlya7O8+VLVHC61Ir57/ZFfLGkrL53VrWvTTzJ2pK qkavEOaXmVpa0Ezhya5nrHwofi+bxdgnqapotp+sKIZL5Rx4lTQftLnQ5IoazVvFhzbpzrL85jMO 0z0aL0VQV7hsFrLjeRQDEsuOxozJ6iq/nMyJkr0IDaa7fbCMpRQXYeIwQrfrZHnUrR3wKL/UdLWc sB697Zce7RnWe+S7i7/nYbqezbfCOJQBr+cwUiy/+RRDwO/BNDIw5GL5XKeRf8u/AmWg+jZSnSBv 0Ry/1yak3RjBUcTpjJdWuz739cws0uXKzadGgU+Q9dh9O70V+rbAzklDaejHKAABezcw9djEb46C NdNuylGReRRbQ+vDyA+FJtvX+fVAqchnKJqMCzGD4PHyNmjzJGvmt6S1JZkfgRGWjPTvQ+tqluJx /PE4MMsUN2TMGQjJeHodlVb6cVzKKs+byKyAcCnUaPglqLNb3bZu6IlZL5QoRTVyQQUi1TEDeqAj e9FsxmSfECl9cUgsH8tO0mtsFDzZgVTFHb5oYyKee8sod35uH2IbIvIirC38/lBnltUscZIonIUa TKy75HClLRLTIFdy2RH7pteb6w0UJhbzbbPsgDvS4oit3Wv7l2lFvdtzMG1Sv0IE65wIzWli2CDi QI6jcXR07cLcg1H0Vpi6H5F5P6yxbFZIT8er7kjG0EXjdwsRiU/np498wSff+WF6a2dEKN4/2XYT /m9lN+K4RKuTcjY8ldlkjpnYn6iq6pJeUNQJufsWD4tTz34lhM8PzVMPLE3CPXcr8hxBbp+ySNAD AQgEkRTIkf8urEOHaEb2No0QUldG6A5K7uWWprNzV3D02MiWs3DGGsQprRe4k/JIYstkPSfyWCJI E761syoZ5saPVqyadc45Mjh4++c+1ddnI9QNBOOjHT1pJNHqO3lGJNwsoJBUu73gUc2fFXzlA099 nFZvMG07Jw8OU4LVdIdm5cJJm2Tnq9fN2LjwZ4HAXfXjTqnFn335S5mVCDDobfNZzdD6jvnxwrY9 iugUOhAsV+/Pzh9DPg514EQSwcPCY5I7IZ8AswZ0hvbdkvg7gWHYmzoAetZgzd6DnLTT5rCvagEh VeIJYcGmkn5AxwLEVnDiy0ayKdsEXdrS5z0+XDGjSofVZ6cGSwWJevCG9mDGr6rhJ8bKiy1h5XG3 XGORW2e9R9/RHcb6TGh2slg9/Xga9r0WlnklT4ykesvBzjSD2AJTQlOpaRBmYwK07kNnP5llBPW1 HtoQ6WOrtNLG08VHGaWZJ9k2ACzqDbI8zaUmgqeb/I9SW6IpvzmtfM9twhTSBL2bDQMniA7b7uwf HfpjO0G8yzuwSPpX+nQaC6xOBc+whiBtC98FAbZNweKFjW5LPeLrbEkV5OrGJj9Vxy5QzrrLElqG x8e8UN80+IAV/f/IA1ch8q7w4b3dK0WJxMLpdTTr/KbFw7YklebR+jYiVGR5zsZEaedE8lBiOWG0 5Am7aiPQN2eWJ+srvPVXXPxTdOs+TUg/iOjTugKCia+4lT9QE3y5wq8zKMDQQMuvlhFYuIqPr/mG sH6kr6HBRBkXo1mX5DTvxUXGPNG7KWZDLdFk6IKQzrnGh1sTMK8iK2cTdZkwyLgfTBx5WbMLa3dR /Ve/1KqrTbZ0wl0aWsjhGa8Kzeu0mRGooIKLbYH5GXVk4v1T29u+1I4Y23EhUUf25F8z5L9MMvPz XY+TYPGhalaR0o4350GdJsSVFBNLvuNKt7qn2FSqePn/taz9NFmw0n3LEYWLP35ESv90RzGS8yVP fmu0e0PFct4c4oc1tLgQvs/f1LBYByExLuQ04V0RSkOMoWmBXDsyLVcOsFu1z+AT4aVdd8sJlnDL YpY4jwfg+95+/FtInHSPdhKrg2Nmj18Ec5cTdAnpFmgd38gKQfRkUYSLzQ5h33W41aGvKQ2EYsSl uGnsFIYOPO8ofBy/0Z8ZcVeQR/9m+rhlFrtDLVaBfVoTGJ5jqCUU+Yp4HXZsbcvczunRps420cYV G0m8ISVSwmhVqWmDygDgNADPAekJ0+YDLKKvTuUJRwsxGgQoeW7ybN0Sb1g3vgQ2OARsNVcV3jor PDCtLkKV75k0W2MxrPPM0mSABS9bkQGnWD9fbO9rfPQTN2aeeED6rWYYdHtJqGJwEdIvi0AL0TNS OnJADYKsXlIEZZrp0Jmf1ro7z+sU23KkeYQSCj7KoiPo/8K4FX4dOfwG2yXZQuSccHb3uoeyGNRX FH64uHX+05JgW67t1uf9N5BBztpC64GLzUnnYoTz6cz/jgGp6og7skoWcylmC50OWTe0m2D1DWZb lf3/sjM8Q9PomOCdx4PWfxSWGtrNhg4TeHFXVfUgVvTHPSm2o3ITYD1wGKI7ANtoI/YfStDRmuZB nm1Z6T6lF8HCRWmXmyjKvYkusZW3x+V1oYTz+4sYWC9EDKMttX4kMKwkE2z47qrW74k19q57Xhwu T5mfvsqxt+NiJsAF9xMke0QYmHaHejY/xNS+HV1BKD8onR82DM1JRzhfLJKUCSFcK8F8Ay71OA0G bB3er7yRZ50ZYUNYU/DsE9ryTn30HNI2YR5vC1vYZRvaKx2UpYJs5qBi2M01+jPB9W8skZyZIGdD j96pxNN5xlmF2O/gXjVvLO6+ozQ+LIFiDXP8dbEAgMqniniA0K75kBwJw4JzKer/I3xnCKZ3b3ZW KmvUJOkC6fswPHtY+i6Ew4oK9pP6m0D1sjtgHGdUxIBEpTO7xjt4glKhw39VTDM5Y+/SpLXuYDaW VgT+6VU1pBtl0L8RB5wpjZIS1Xr6mrteI+saBn8vk4IhN/z6KR3iMby3kgDB+y/gX9FA70uKaYnp cVXSN4HB6qAeBZf3HYetuDgH8fivyaYuxr3tzFX6gU0BGaeaP7zQjNQW4JGX1Gj8rvHNJ0ouTn4f ivl4xw/lIzfm+6d+/myzROQkOhAh0NFATSX4ckZ459ztSp7dVfIFx+HSavFQgRRXuHLCd34umvn8 gNFPX3kJjM96kr/RKO1dNL4d2NdmUqhoH8438hAp/YiAYCPyFchO1MnsuUbndhSd+ZR31a6z5uc8 yjyVNn9vfbJ19m7SPzBFOblgTjpvuK62CK+U3t+fFdDwLmgJwS0lVr3G+uG4T8pvHUkEaO6Zj3PC 7HTY11y0EXrQDRv2DczYwdp7a4zkFkviWRrP6RznopT1bXNHjOJY8LQPyI2h/hfJ42yDF0EHc81s 0Yb0zn3ZbPmOWWF87cQV1Hq5/gl2CCNG3Xfwl/ZatEDMa0IYOCuDZUvqj889NDksYl+SbsZ2AJCM F4gAe/tw5KFAn7k4BVHOPNjn++8mjxNfdbH4pGyvflm+8AwFw569W0CS0TQ20caZD0Nx6rFc4T62 P+87Eta0q9VcKNJowRPVZ8V3K97P2ZwjPac0ZtYfKCkDSETKNr/IbItCJ3P5HFCo0RTKusyhGa1u s1FQCZ9mtkjTy3T0Jw9aMuYWgbwbkDISUzt2U871J3h7m75QPOJPrAp24+CMEqG4ZTEETdqvPnR9 9hKbPPQpKd7gqo/RJRS/og/luaSXJTaClkpaGBxoxCVFVLfxfrF/QNhFHhg5EjuPdVYNADAC7nR5 dPC50POiPYdMTFmEVYMYJFrzS6AhpISjqxVDEwVp9HfXkN2zd8cQl0wXwVEJBWjW144TEXD8uydG VAkfIJljKT7gKTpeiYC1GIPPPes2n1ESuPcnIjOqfcO1IViUs4X4wifR4b2Vo877OR9MvkmjhPre +DURkG3mcv9ZIAZ3f33XrRwfOokAuD8OwPxJJYMWsBZD/SQPBETZbEft7OmK0GGSDnn3/irs/fJl tGOaIh3hXbWWyqrv6B5EKwm9zR3Fcnt6VPhl6voGIZfDTW28iWyYVHObgPrlGErF7e6DNBAv6Rud fYvmwrjBL8NNxaIy57oqk6veVjcxmZtCw8Bticlm6Kw7p3gbx0I5yGYDQlenOqWNLqBq25HG9/Jm E1TSI0gAY+/d0BG6OVBx4JGGKF0CC2rN2+FL//eVqeY5EUVh3QqZMi3QjJouhmIrHz3ZcZ1fuTC9 S50uS/7lwn/Zde5GbGkRghcfKd3ID8Kl696+YVAPV0W3KBT6uCvBpaXZL9LgKs9c0/Ms6ktazfe/ Vbzyjfxwi1U+kzhKaL5A6y5FuDHCIhRPnONKCE1BYtw82zHBBjxHGkUcvBNKNV8v1APtGL0tg/sr BWZVra9uPlHLcQSuNXl0SzQcXIRdx7TJjUz5XS51ogkdZOIIyoouzfhLry5pNTsJ+f92w9tvS8fN F+f8LtGO46HNkEwhPDidhaVyfFz06uZoWj790DvpLgko+J9rIfwESerLAfgCerMXuEcLXp8P8CSF S0MlIVyewvTgXMaVPOlrjkc8NWKmaB51zJeL5CHFoaXWDrsLN0IvVWf/0CxfwC16Cb8HvIc30c6l gEzK5ADMeSKFoiFi9QIMW1u3JvEwjskFAHJ6UpHJs0pERecsi3rfYCh4srHmpKx1chfu3+uo/1m4 wAPAOw91FXO9HupHqWCyCjgZCKvbQLEYHyEx3strtUsNfk5YjHWJpioGClGQRK7Y8l154pp0HWa7 3bOaC+KHaVJmrvltx5zgfyFZeZw65GzVFLufFxw2IxGA4BbnLoBs1snwuXOLiyG8z/ar2nXx3RB/ B46Vzz6KSz7bpRVBEgnDEXV+QNDCrbWSapUdKi4qkB7OH1jo6o6WGxlOQNrt+9Rmrhq1UxDOASPf ZibjbFGzvPzJvpfqO0L9CjR3AWsiXINBIl4t0UtRIoehiMrbL/RmGiT41PHIwaiNCQPd5Jo0/jx1 rAX5b1atDm/zwvEtReoNMiGo9IwH0rHxKsklH46y3O2dw5CehXzdE/e8NRcLIvlakDB2B8u22rC9 v+Yye0NPUfaYx+G4BKLVrAEbOOKgkcD0PgRu5jw4nvJ08C+gARgoguowRJ//I96l1Zi7eVNhgBAc iMnhf+YUpFZm4Kf9kwSQLyNZRyHkDqRvOyxf3W2srbOg0qocLyKpjIDl7SYQgqvnPNAjhiW2VmD9 j3rG/9RvJMJMY6jXg4XzmR8M1N98N6HPrfG6CvN8T5dTbW35qQHrEXSQQR8rVVNFaAmYx/hcVylW xoO3G5pCoF6M2w/9/FSGx+QbjWNDokLR29ty2rSf2r3HsyVc4TfbL9+SOeWJHbJaTI/lZKAe+7g0 b4XcX2sRi9d+wHd7Skwaow9XYzsj8FEYNErxgko7fskg+OtnK/NVcPnDF5AF7AOSHF0Zr9fcytL0 +UAio2aFJulxFH/5BCzNem7cbVSKbF4VKhI5kH+Xiipa8wCh0MTz6EqDv6PQP0CDJLH+wDBCVOPX zhcwLUiY/qMaIl3V96eJudK9OjCtsWYS1Y+Embn4/ofswys9Y71vGe+R8YOYzams2J56PJtAJvk4 MIg8uFCf313kWXd7DHlj4EhHvb+g0ScuKEV/gj1N64B5l16H3sqwdFvFy5dACiq4SdZTJB4WZFRO Imd8mEZaym6lymI8qKgmi2Gt+hnAjLV6J0sGT0T+q4sJE4ZfRXoKGk6SfTKNjPwXZwd2xAPc1QDM 3xOrCifFg9lvh7U6QjkhRzN3dw2GyjTlsWH13rsxja6A57OQb1sotLSCaGatrImWXjgUp49SyN6o Grbpv83KtXAr+yxKPxrcZ77JbKT4dZej0Att2ltsZwKFdru1w11Al03vO8mZTQ+aqdNNJm1fdxr2 h+Kx2zgTni8AuAOvbOYpyfgwiprC9B94d4g4FjJTUTcjwNK+JpjQKa1NH4mxaoNw/plqUkQ0i2I+ Hx4uHcQdQIwDpqMKIPmApivHx7Mx9RudAsiEuU7ohToDQWwTjJBd0b4mGSSLAf9umqorrahWthIA C/w0cfAvW24KX2ai7d7y4vHj0EZQQAoWk90yFxltw81VmPphWGd5q2rRj6KJF25JLNRS3ARUgMUb jZj0N8V2KfgELL+Ri8XdGkcYFYDxiaeksDSaFw05yG/4rug2sGtZQngzWkx3BswmJ9hdxvaKTcSq ueedvXff0vodydPUm0ztUVzT1ZS6Rwr4k9wBBN/ubICPzNjLMjutLUv7UOYEmz5gfbfXwmGsScGT QoTNaz1hY3h4LqJYHii3SOZPtSsp/BW9GLM/O1B0yKe8fmCxX/FGe2MZ0/NEdvAwBM6iraUFusXv zVIGX7B7DqDO0JE5ogqXQOuKv9n6BdbpGjXoSq5bAvC0bkwMgn9yrZwDXUKZu+WsCjsaM6TqpCsp iOgDJnoEhJYgp3g48m1NbO6ICAAqq8NkuKFCrrZ/bwaNoTaVce5yE+oXgEMiSif5gINLoOjOcZrs 4oOeAX0zIaYT3gBcIu4jq3bdp7GpMVOu5j6kax5Xss8+dBxqlah56wmZ/2gZFPWCJlXf4WlVacRk Jn1SNnwNuwgLv8/QTXdT6Q4MnZEPXK83U262+XMyeOCc7glk/abrCS/DjJs94OdQ2vdBAD6+6klu DUEwnVH3BI4RO/vZyNwAps/auvvm2/Ygc6LQXrWVxQoyGDtESybmA1aRnLFTRJVekHsm3pLPffb6 rbr36Hm0MrK95s3h7Zpm2FCPSIimVzR41e3V7IlCmt+4rTuYtYzZwGFcsE9r1Ex26fC1KnGHDybv f0WBnkf5GxhINAxMOOnRQQF7VROe/kU8t3FKdmInIKaEJp3UIz3aqQ+NRRHKuGUWLvW1CDtSnybV E4LykhZp1PBnWh7L/bl1Vm8UNW983Y4Ylwwzp1T+D2WzYHtWp1J2Igpx6Yaw1dg9Bpla+DPhQVdc ZNpjXD4yKXXRkbFNeyTup+mxEa0E0RRBQi9LzhzeYdFlQArht367fClnZsDeL6K77bomcH/RcGlo cpZSF3xXnmfEhloq3+1X7D7LzW1ab/LYpimEpUrhadMpdNqc9l7SDljjg9NJf0Ltje9L1A1mtUNE 4gI+FPnrfIB0lGP6BvW5xbo9LZMiBqEc1bm4A0sZLdyAFp/P6iFUV4QUqjAJ7dJCq471bbHJ45HN f5RI2pzyl6nekfUIkqgpsQ2bNj6z+xG4ivD8UUtQ65TNPMqDEMJHKkpil6ycOQ1Z/+ts0rXT6o2h WFpQrwd8sp+ZaWf9PnbxWUWL0qro2hPOjgLI23tSH87NsBpx6EbSKK3O9/PmWLpMFjhtnU6CCSdU F+C0/hFxJIOLfQka6LvkcQi+YychzyJaOss/qQ7+7L+c7tp41QlATQcBXGRaRYUEmGDB1tY7HvQ6 hZ1sITsfULc0IU7dsS38fUEycbZzb5nvXM5Efha9QDm+Rgi1uH1q9TDgWPCIpxPiMh0CCumoOH7w u107mPawo8BjMn9NMFSQxmrMgYYRYOH8/NIlJBBhglJYJLICW9nhSQAdj1IiTMjwxBN9cj0JcCgb aeDeEux6dUMx8pcvHUcJonJDulrenyv9xwNa2Bw9wsb19Hrf8UB0TQSewa51OdfHICKjj40DtkNF I5ghtQrXL78xRhmGc7+lrRZ59AyvDAD+1eaqq8Shg3ECidL4Amo2mFN3M7OYYkeX12OPEXquJo9/ HdgkB9qxen4hU7vlaBsUaWb6k9mIo+dMdCRvisITd4uJ3XRzmkSzk1ePpF8361WGEWAxhMgFQIzF xoLgW+9umeSsol6mz88DJHw7OLclAHMQNdnRKES7suW6lfpX0YS+MywPfVdsMeh8EqNBas++tCWb WZ0o6lL+PN7+5g8deSTDqALLABpITzUkWSOgiyGjaMvfVGdG/4yA6xNR090N+Qn7DiPYb4VFYrj7 4kzv8zB4zKqd09BY2uvJxTX0naeAYXwwKhQIgMLPOCqGaDsRu/1myyaPwWulS9tDkvdyYyW/CKH6 c/KGdlC+SzYh+8ATc9mVlSSpWEzd18KhE2sZBOjdItnFsbsd27xjoy8axahbAhpBbCpwVrt3i6e+ QDKMQDNSLDL4bRsDpd+kxPMFPG16knjq2wJJXu1dAdD8Jg0F17CXVIQglCmS348t6tzUSqTgcHgF A0sd0bInQDXuRB7t0TKbof4Mo9uTGL5O+40I7omSDcZVBzgqgoR8y2dj2SS52QL7OgB5qCXn2BZQ 78MlydMu1iRW7OdnPFj8P+AemMg3y0pcoUhReaB9N54aCbHek08yCxAW6XbaYEfUt8c92Bo3VYUY Qxf7TQD8vs2jGoPj5jYRGtmE0o7+DlkIlMbDZfj4fo1ikDtUmPPWWWW/cPGEv5Tw41gPkVVWWDT7 nn7/RZRwgtqcoWeenZ1Jfs6A4tifEUmpKV0rWttNNNrOx8Z37QytaNm2SlW403o6BRwYoAcGwYU/ ZCFYzWypuqe4EotCcsMif43c2YcCgwTlsxpuPby1kFe6K8q2+wOeGOknVoXkWWQ5KLlP3BLRvHkp 2nMDi2s3DoV3lLd1gh+41afODpmYEiimc1tC/eETJo3tMlbzzTC9a/xzXs6csC8HI5RJ+gv87Oux KGJs8s7Ho3+sawvwPmVHdxIL/HAwmpTTaci9NuCcCHdVcoQzBxm2MHTDq7i989nQzqzljyXLvYRf unOEdrDAXN1sX1Qgw7wyV1qK0RkRroTkU0AeJESgwJk1g/qcmmV2Vp1CArzt4gA/atSan9SUdpVl NIHM7V3MYC9kqFVObvlJRlX6gEF3dOtbX2ta418MpsvRwTMw6fjbV9YY2mesNOBxC+i3bH4BUbju vGO7NMyUsMzRybWeQlHUKVfKnUfT9XQOHGMA0IzS9tYAWTa4cum9dXyjE2oLOIOmjFXQEOcp8m3D xxUoOgp5AD4RtywVwYViH9wWbS1qvJKR+B2yyJ0c+ylb1NMysyyi+GAwcnpEkJ1XcEgFVIUhJtUK Ig3NJ1TB6a/z8Dh03q4n4KpjFzedfSc96di2aJ6H4wzPbiCXlCVzHgNtUtVswmwVYUoLFYirRMYk s26Swdbblzd9V4Jc8amwFzPSxh40dc1YA2XUiRGt00y+oF+VXDkQi0s0A+Kwxz7EFr+3ebiwECJB U93i4kIKim8TSV0OMCiMMmlAtJXNbbwkWN0KhCC5BrTpxxBKQyD2F8q/4FdnvT/qjJNWIIB4ENVT +nvsNHgU5OpVte6htLmllfoPlCP+bAOBVKiGp7e33eqj+n0MMCub47WhOHxc7RFW+ltKIfgEGIn2 IpX9QfEZYHzxTnEA9NDob0hsvsdRqthRhKf0Lf+eXSiB/NPaYjmKkTF0N1HemXWtnygPBtZzjMnM PXcGyPOU10H0bK5xrketsi5UA1kNEKmiR+LHYeTl83SGsMgcZsN9p+d5gKGSnlNAdiTEbRgTb+8z Ly44olUllls2Mp4kZN48D70GsgCkU06nEIIE8lS7/+uoPasOukRrzNUwrZgzIKa5KAy9GHWW4em3 iqCsdVkRhvr2cNCZLosfSdq+yFBDuLDa8IHlZi4YZ7197AVAcXzEL3o0VQ4AVFdbf2UqL3VDWgp6 6t7sv5XkwWX2VVKqu/2YOt9MsPlqbnV5SCL3Dr0+PINK6lMRD+j0MpoF1nQfKjA7qYLX005rVDCQ P6H4+PU9BA/vUrWx1arM3HOb8HrqPFD7tKDb0uHV/e4dxeTtd8vWi3v9NGsxADM7GHcHtmf50zGA vHywD8L7QgTZqcuWp9WN82jGsvU3WmBKb7RYCYfJ7yYorUysKxnf8rUYzlyVbdzx+xmE5YiFfi2N NXlJk6xV9Wr0WphWRw0ejdZRvU0sWf/o3+bewi+Ttu7URz9WFpmggJY/FuIW3WSw+dzMahqOv5ZN YqqMxe5zcnEpfoJYHULHYnh1ai7kzh6pLA6w6HJLVb6pu7qO8RvhPPxPngC3u3LW1wN1Y61OwLM9 AasXzYurPY18IE3UbadCJAdLIQuYV50U/cgA7uGYJTpYoGaZ7/X5n4VdB6wG3LG+ULpsseOhfJ9H yaBXcPYoxtPeNa5vOKk8Oas7LdRXOO+mU5cm5lSHLRQMckKAXVFaJBC3OgMT4erFXCVsm1wrdRBS b3FLCMASp81pBHOFdH5EJoAKZEWaKAewxUm+mGXWWHOFOTd4Qda6wTB7T+Ohl1UGUlByVCoojH/f xzr30nSNNg3s8lmER40QuSbg9uOFIbavBI2mRbPUY963Zh0ewzJmOa87i/YXeoPWwOuqzRnVCSZB DXBVqceeYzbBhS+ucj1DAEsk5DXUSVtH04ctKNH5I34gw8QQy/PwV36gFTtDA+EWrbMeihCGspSm NJwcFKpbkpKs1bnKya9qhSaIgyZpM2h1Ryr3/EwgG0wWR6BylBRTYRBhn1fnNGXcUwSoLWB2Fg5Y x+m+Dttfz3dTh7fr2lesjzwDWcdBIeL23252NiftQSlZkPvq5iQoZzbrovOdONZaFHKpBIhNS1FU 42g2MH6+X5om6u6nenLuV9UIXuCjTcanPzmmegYqbyWytIB4mLU/tGGzjzicZ6WQe+vvEvaD3L92 /IuA/yR84CUP54P3nhOm8EKQnL+yEz6mBczWWkY2fSglEeXt6i/u36KG5LwcV3JPTWi6eDfBZetM T8z00726dsRk1GpjswQdUt3ZH9+c5keRDpoa9JVXubGlOc48d7pqU5ghwIKVrMmZ+aA8ZP1WI+aW pJjKVUlx20cS03ChW2qjx6pztMdmK7vegrtv9fRAX2u8406VwDVf/wTICg3yfbI1lwvE2yQnRWfI MH5k6aXcO2dhUzjZkSA+weK6kjo0Rc+dIuIHdgyut4lwqulbPXyqHAOvNw0xzO02uAdyQmjz/wP0 rGoHHmEQWZZCRboeHhZ5YzTIsIs4J9YmyM1PbdUXwp0UDS6ztK0Kdg21wuY6k6IHFojy1koVCo+O z8I20Omo8AG6bmO0OE/c4y7mr4Ccovh/OB0pCjL3xf5CRg9ZJkhHUsVd0yOOPJj5Cp2PDG8jgO4h GR2Wm3RVYfu4QowrKMKrRzcSChjUDUykFIhrm6PqEJvT4KfCyuou5oUXMHCRoWTk3oR91NdfwXfx Mwyxwu9wrFhZccte5u4EjTdPvHGX0UOxwsX+n6h9jOfqMcYj1wRqAsuV9ih3aby+PY1I9iRsRODg LsFCRPEB61cQaqt/iHV6fdMrnKcD/cWj5D/uW0MegvHEK7M9/+qZa5csLNccnkT1YdeJkwSGalUm u0E6xFOqqu/rtMsuIXUHQpWr6rJhPLB2225geeQ2ciMrkZqXhMetB1U1Rf7o0KUWCg/e94fbDf5O w2oTCJCedwv1/2McOgY9pqddndvuli6tFBGX4Af+3xL9ndglZcY2trmrtNAcxRqFnGOOEclEIVXS 9G1ZFQMEjBynqBynu4I0V1p1fTzsK9+Zer075/pezx897o0+RZ98gMwNjS1I2JCNEgipcZzfnLYo g8Yum0v2u3itH8oWXC4er88QWSh46XvujNOvW5x9xcwEWO7VjtN+oiYShODYpiKC/afuHnPyvUIl kFwdWfCmlx2rB5NcbeBF3m5s1DXTAQZ/2Pg/3U6/vAHeAHngByQlGhYsr1gMRd0/SIC4GU0lJGmf +rslBIDxlN+OOFr5f8ixAo5UG/FI7pJvu2qUfRGKTXqJAUBWuyj6n68ovKB8lvmXekbGT0aEk26j +Eg1VLbDkBSMkHKD9fTH56dMwz5I0I8AL3/diOcX6S7ElH8sXcQYCHssl8MzLtdpDYjL6HZClDba hwtNogkOGcUnjWJcmdPgd03otaEdvzJoA9Ddmyl2LqdvrCCIALOUMjbEiSet44lGV+vbyV5npD2B th5OG0yoYzp4K/kb7rllR+iJHSlUWBRQyEcJNSpN076wvBkKg8y0KS58urPfAFnlasuH3D4Au384 llECkE5h6JoB2HNYOx6vwf0wehorVDsQumREW3IJih3UvXU2Ty3xB1We2PsYIpDC5XwpyO3Ia7KP 7J2n1RBw6rDycB5SdvmhAbIikQ75sXEYQjGBHWR7tKT29qEQmiypKTDQWVBlOzXBduW4tfSLdotI QEVBwUCxZ1dG2QxjvICQ04aLdckm2/Bc668+919euXJoDPgKZ1od164eKqjy8SP8SUbmr5ziPoyJ sb063AwkyXKBUP93oRvUFlKX0FrL4SgDdpsM0zzfP5jH0ugojltQUobiDt87RyCrPBDFHY1eTdqP AlQ2VPoSen8NfxSW3iNN8Z9HVDXpVzjYU0PxcisMG0BY+Zph+NP7pgqKLpQRldbHjvx+X94Lgmfj 5e8bUjvC9+ACnFv6WReWHqozHWrBidgoOVSga+9ZHR30SBGxR9jTT4RwJyG6HE/nUnZYZDPROnKD Ijm5ljrpcpFnRxqD+q9CJHiNLST/rMELpDeGBtUdY/95PjucnSTc+sikR9c+5xcGsoPTuMDhSSe8 DfxsRaxx+/gywQzqRl3Be2SvP0yUpLFkDyWBRofb5W3eZXb1hjrLMB6g77jtVW7z7yB79r/NuU3l z9u1CVO9EABsjN5/K6nNiFrh1jW2ddgOd6xHLjvDdvcTZWFbCk7D3dNBKfUZIZVRV3eCFAPOBjv/ 0mfd4GWsPCS5ZoedTZtnoc1Kr0NSgM9AIADpNU0DPl+c40u1EvL3DqSnjhGM5zvzChtaj6o/erYh ncqSBOvjcgUgW6mk8mko0TsQR3ljNRvz5PrnfkxnwbTeaXMgWExIIu0yJHN1cCKa8fVIHGnmF8yp WMxPe+inINqcjbHhMJKiZrnaF+9/0euPfA177Dnmy99pCl2I0s8PdGq4+YLWiRKRPbqHYH1/Zq/0 lTqmw0vzLSwMl3+LL8DwR9LKEJJow2LLIRIORNshKpT/GGqKno+G1IKCDyPnMSe8UpKm7yhIjHkr IQm7bKi346E0PjiW//bamvgyUj3OMerVpWI8F8G4ELX1w5J5idqvrDlnQuQgZQC12NkRw+9EVDfV 7veNbMA+YoYvuE/rwoiOFyH8FSskeBBGDoOSOy9RnznUPh4V0LnDT56nmhYtYEC9wkzpXdTweBWa t0F4X3yw+CHhFctUgkmg/Tf9MCyCwF7VwL+8GRfCUHO3w4LRjyYavp0Q4NJX9nm6BofnrVXymRl0 cqQpv27h6Dwd9dZJsPNhi92j2tVa+hIuJpUI0tXj0hJmD4eSQ+0El5T0OAG1yokF9mHlwho9pGtc zgyo6SUQiK5o/vHRhPPEe9OySVftmyG+4/JxP5AMs9kUadIUkTkFslBX+gmOfRi4hRS6vD4v4xC5 BK927XvAThGK7un3vIlwAxewwvDPb/nMoqxLvOcCFaLFPSX0+7HHoF5vqjjheIfD9vzELRyDgSEu r9Vu0a2cr4SI5T40gdV1AtFXJb9N1hKIcxen36Zm4otRKQ5HUUdhznLZSh1tP6fgEswLd+W3OOXM c1Emj2KFHY+zfp0sxD3QtjhQbcKQBV2ZjBrk2BeV8EIy66vAmFSjgOnSWqvdPNm0sINjcA4RZblF MGrRJ92grvs8GoVul9eyOFoIZQHs3CgL9mRMu6KXEv2Iy7CmB/aglLeAWNl5hkNHPMuVRzFYP3DP DfXoY8qJUh1Dna0jn8YwCZ5OJxMIx9mee3M99W6n14axk7jJsd8Lu+AmsJEH1NRJtv8iA+XJI3iP B8I+etrel/B1HvduIfz/rgzt0+5nxJG+8KyZ3ai90BAXAmiCXeXOhle8dKd8N6EvmIrJwcbrKp1l DpOMRDyDK6KFeHl7KJS7VS8bV81+9Pb4KZFw9YH/x/9kDIaNAZVGSnk7b+o/IwPpClGHLD9wFynu PVPTkvtu1DgE6/CX87vYctRvtJli/3Hr+g7+YgRyzwaWXsurwP3zLGqAgelSHOCmRyt0yXiAYdv5 NiAnqh8ZsyrUOuXS7ml9SFc5F9Eax+2BtTjxRTdiZvwdUhF9XIlUQqjbMzPCNxrK8/CQ50EXE9yw YkeoEAdFs+7VjGVSlnldn6Uqlbj5/Kd9PYInpS6ZuBdz6xOZo0dO6UhQnwZVa6doKmqewWMKmQ2X YeBeNkoSdu3LLhcO2INgeUIPr7toiRvbr+tyOD8ULCyRZcHgQzkRtMyzmJCeKw+BlZuFwSHP53mg EauBgx0momS1KSTjGyMdUoKMGYuwrAZFwiT5wDAiNpyiI71Qo0r+5RGnYtAxiXYpvp7oH1ddJGXl ejZthhbJ4p+5KG0uBHhht00FZqetmDCJuTvfjN4oh2QMvdP6UNUDUmuWxPehqi7boNcKEs3gp1tp l2u62+AvNeARmStVMFxm+VMxO6lVCD0DUyiY4kv4m7UCC7W/dIKDpvm1G3RMCZAteBkUWoK1TjKD xdeGFS480xjN8GbA1K9BAztW/9+NEDMEotWW6AgSvdNTTlDWMunoTt+e0S0bLxoV5JvvlgJPDiOD aZEbkc33mmB6nNyRe3aP4pFrbsWZA3RkQUQL0fZZoPPipaQ3DRtRBXJl8ZFC+aKC4B6BrMkuZ5he nuGJdPxG7pVtRjFt1PHR7XPZpGkh05svkx8w7PUqiuVEhrDwrk65VmZ7cd9A5hhYG5dzoHpX/Cuc qOw8wm5pYEM1jFx3koxBiK96WwcfkFMvfH5UIxm+dcU9Dq1I3KjAK0qpapkIn3EAYru9g4Y+EWa+ Pt5fmM7R2X4UjmO+z+69rtrz5sI2nFV8DFSmVpXxM859zLcoa0UOhN8HB04COmT1RaduYAemzj1a ThGxpF93V5vAARqU7sYtG7fWbBtf3R9nirMnRaYUZZH9GfTTZJ9q55qBFeC4gNSPNNdW+NDUwNhd BzsaVqJ5D9yehoZE5WFa7O1UENDNDiBCZdAIOWPYzk7m+08I4aobLVblfVwfiNff3D2NnuGYN09Z HgHt29FLwBoxgNx1OxUes/toCYBe9uVm/cNfcTPQ5SOPZgaibgwNY2BfgxBhYPFOumqW9ADNQHAO SZnLRU5se0po2TrpMP77ORseiEloczsBS6bGECrquyaYzXpE6jgYExXfhs3SvFMyk7ry7clrCal8 kmysiYlKIIhCeTONsf8ZIOyXcVbjkgF+ipqxMX+lf+T28BO3y3x5DOwL2w0v4vNqIH0DGoECclQ8 HmXBMWYUDG7b50IyQgxiZESgzRU7398gPOIKv4HnYpYcL2Flbp0U7x7LMaUhGJVTIBxMruxHikRg YRZGo9vN9yhHrcCQVh64U2CV5wZZH2aHjvPcA0nCDIgCIoyOEQt0CBI+Y+a1AT/AVM7Hl6pwu1pg sjPIaTSUSeVZWHNTSQynnQCpD0pGxqgQKHZxlhW09OLcJEaRt0GLdVMukrYSqiI/u2DzrRNrO6Yz oaIaG/SEnh9O9cokt+phBiVeUtLiISa/I40NUzqfXkZtW/qqowFBI2sZnsMbajg29zkThsFq0GjO 0kRGAv324QDXMWhlDhNWsvnBSCNdTg1oBL1QEfteNVOy8Hu/HbTYFMXNhSavRZp+iIRoQvmBvAcc 54ojOqG3xrUVGl1jjbnFxmeeBY9YsEIFY63JjRTFm80k3Ob/Y7fNkGQZ6aRdPduPnU2Iz/8dT7d3 Ycxq8BvxfHcZLni8bAuPqjYeyGAKuEtKqVK6H0lUVk3skEymHTI3KXHXFPtI7OarD20z/DANsigq +GNSinh9DoTnffWqyo0j74MscsilCl0gf/aokD+jmN140SIx/w+YpHz5haEKdD0e0ADkp6h6MfYY 2cw7RQd5fez/nmnnB+U4TPVvpOjw+E/Cm3H5QKrp4NvLcUnuSql/PMp6NY5PkfPaumkMOoTdbiF4 Fu/5MGbkQlGKDAkyNQknwgZ7qNKZ9Hin+KkxQ7HKwTc158/0YC/yQginZZ1Y/o5BVYVnai1zEA9I Kacakh6FhWbPkF+HcD/zq5Y3Up+t2Jufi3ofWtvxUCiXcGxW8JwxeRF/T+1XyBa6bBu6HIaiwEGH xFYUtFihRkpzQ6vdEOweZEUPbG0o/kHPL+nbB69hAtflz/jeSTQndcvYrIM/rkoNyaY3yaTcKhte CPJwU906w2By7SvGrNzrZxIAL3pxy8EXA9yzu2fsE0JBcbj1YvjSa2HVSQhF3BKpvtXZBDMRTlaj LwHCBEHvGnlpOlQqM6O25NTsZQdpjOZzYkXLbJ4RFU4GWPmb5DuddWTUIVY5zXTz+1UKCYcQr+zE pI65xTZeoWUxoflKwm2231f2R36+pLfE9FV0H7uL494soW7XQJGflYINjTeF3WRPjWHSIcuaRH24 XW1TgaEkzZQ7oxvQISgc70yzPH4OCfi7Y5LZYp0DUwiQnemi8lGlny3yWuTuu283C1RRxv9YCx+I c8z/qJpHSn+2BZ+ZNh0sd+WtgbRX4M9d4fyH0/ZzQ2zWRRHaXTfj1wZj2HR//D+SF+ZsewelvzzZ 7QAaejlJpvMu6rsUMO+NlGiF8b3H4gYMyTmh/w5BFBtpKqJGNVIMPBbH+euvkAddXuOrXz3na2/L q8vXiqL+V7yxtveZ+T5BTjKaI1IcCNQ2eP5JIuCNh2pRbE96rnuqE9ejUq+pgVdZuQ4eilKEMfts VSmCEluT3asPzc2pJETQeWEYFVA7ilZxFPGbUgAQETOutQ+2htPdaGbCAg0SHxZydp7OwlMhWu54 oJaj4eFs4+EeX9eri/Ddi2UGHxELGt1JtnDahTQxdniQkhHjCb4FMagnkZrAmx44YhF+Fv0fURyN c5ebHwHWV7oxle+Em3nDk64Zl31chn3rcu8am37vrgbUuE2I3J/VpH14mEDcAuHGYt4W5fiLHy64 ElUtGZeYm58KxhYecAsl/o9rVDtq/yFbmDkqITDwlNX8k2ir6nC3Jqm1UV7sAJsLkozOT5nRewEe phpI+Ad6uIRBI18lu65XlLX6/iVsMLTD66R0gtx80g/xhoDxthA2zXt4WhthNF9ElV8oCmKlnPdH 1qIW73YZ8Piu4OeiGUAfbsoIPA7DbfU7zBfWPrrDQprfaGc4aLdHCCSto6WuryidRDQyzFayEI76 ooK6UOLcCaV0CaHmmRurNj9xdMxvO+mDcQe/2SlGDqocis5rJ/A+X2DO/GbOS5ovh0rPsvyC9b58 JJ2gUMNzgQBwt30UcFZpzQwE3k8xpcIdMm0DfRApOHZDwlJCrGGYalOcHAG5ZZQUzQeALw5y0UEq 90dYDRYJQSA7X1WfjOwVfnnwfwrRGiF++n9WAzm/WFbqFelIqbM5CpmEZTCR+W4owXyCpQoQIBrn tacjLEK3ISpqkh91+n2W0yGyGBn85lhaQyu2blYF12Z5m0x/L8EQQ9RQ91y1UouFFq5Ns9+UmOzh ovIJ6ZcCc+3NlQ9m8ctNJhu0qipwANx1OBJJLPg3ShJ8cUtA6cOTG5CmAPSEvL1J/H1fttVl/P9H zplfzG4PJIP6QsioZenXVGveR3PzBOC+QP+nGLsuW08W71J21p+Bm4wOCLbVkxZx7PchMWtNTAN8 MZ50Nyqmwt0w5ZEYotapIHE4z9VndohqsBsjxLWHe7hhxU5v7k9WJF8IisCGvp0WAtPrzLXU9bpA kWkPGTgd4FqXbzimYtgD8/wIaAfKjRCd8NNmOdxa4Z3JrHCgHKXWzjfhvlTg5nzwluEQnFbXqsVE V6L013sjD2E0aHhRR2FRXVOZOcpY3j18hg/xV4c+6+rd2A1HTBKJnxa+trd3NfmYh6KuwlSctYc4 vdqSkk0SWJeFClbxSCqKl4orLpYAPHPiL3f90SG+X2Ey2hbvIviNp9xbZKbxQMpYIk2n2G3KycBq 7RbDO4oYc8+IFAKrpZL85bqb8zVLyj7ZDok8DT03dn3MdKGh3WxRFzlRjYLmEb25+npATXrrUWT4 Ei7PUMDGPEgmU9X92m2WS4loTk2m8PtKj/Dj0/cHJ89jwRXkWRmNL/E9k2ThLllV969Fag7YvNcc 6r+CgeAJUDFbJj/cET6hCLowNhM+ilx2Lir+r9xKeYMWtrjR543GGpd6ICEMl+ny5TlyUtsWoopK GwF1AaARRLq97Wf+RqQ+RLp6P7a30bi4/cdrgeg8KkM4VLaM0YgR5WGAQ/hXCOyfhVoDd+i7MLhm 9/dJ79rPQ0BovDNryjRrLaaTUIgD4h/zJU3YqnPaiBmRoRlKF72YZMlf2zJ1pZH0xqFdG/Wuz921 GIVd/1JssZdZLyS6wJ8DE/5qzaOhosIUdaBn4st3F4k5+GTw7zeP3MjLVeRsyH856MI0E23NqEce ArjyAY3HrmejiteHmRT8tmudREYq7VKzngQImBYOqN+1/vPjtpB3pxzf2BGXxCkKi+amUDkwaQNd 1ygOY+sybexo/iEogweFCz2qC0HsUiT8d7OyhQti2PjgiMauqlLq4boivGNSN8Jik2mWqWPD3Uzf QA5C03AeO+Gf/xVNAnHnOQr6VsB85txHtdah9EC5zcQnpAVu7bXG7k3ULnlOtpYhnzAeg4gu4moX wJ14oepsiysKkxKQq72mM2m8xa/L0biqTDAE6K+ul9F0+kCkrL4UU0krKg/HEbnvD2VqxZMDVt0G UmdJe9cVf6eZS9GJ8sf0MMkgi8qXLURO5ROvD9u/BbyNqQ66UNcEWVK1H4eU/NVWNxjJf80rS5WS MM27rUuGB40kk0nn5ZY+wmpvQe0g5P77uFX8lmmMB1iuMygqti4ovGgwXSjKKSpaEP49qsupyCwK uB7t7g1j0iZ/WboRfATG+8IYura+TBQl+Xr4qiBfS7UWVLKiSKezbd1mXIQhu3ovLhmha4ta2ALn WnEtpqRd75CeFiRVQB/KQYJmGFep0ryOKO8m3IQ4GUeHoG2H3iwq1OgydsdadDxrqoX6MxHp1OfM yLMDYDDH85VRrL753j7fu83+XpQDe6QKwXHqd+9QzRte8M11zoZwbChdzBwoiVgp8J+LXgPPYZZg 4n5Tq08G5q1tVf+bOSa/0FfGBtoY9LON8C1CRxEdvZArpsmjwT2dLc6cKUJGfqVKlMnixm/GuJBq /49LWX74bbj2JPSu4ZAyyaENvpUVgeoZnRIng3pnMg90zaRuvmpdVxYHPYaLcU3nH+DfFTnV6zAt iXvVk0vqWpRKeV6Pb2ZwXxijG1N5o9/OPPk+yJdDE4E+b7Yo52Eek8zPkR+7AzoZUEAMjkVLT5te F7Cmt7PuVMCbAfXHVjGqDQP2t60dz8KE1JG0JHGXVES79q53kZyS70JRkZEGndxg2CaPszRFz49X EK0OlZnCj4wxKQNbUHHNN7kMnoWz4/ktWrvdArkvtcnd1mngak8RrmgUzfWB+kjB8h9HhKIUZ1r8 HRO8goPO+98WQW7a4VJc4L+Iu2vdfFYXNNB83sPfYkOhJHBs4tcGqZhceqOrgn9IbSPliIV50YA2 qa59rI9caVvQyDWYhq1VD+zbPjtEfloB5DUDHOWE5iNECv2my8Y5Wz639Qr+cJdtE8xP9bMjZwrl QZhpERlOPcofp5WePavlAWNNcwyl1Um37RY3X2KineNIJytJA/AZpsUS1bof/hJGvo2+V3zFQY+7 LBDzwKRJtKJozNdvIjSIasqBqDZt7sXEi1e+WpnliB5+Zt3ZK2G74AcT5+jeInY7aeWEuoWI5Unx hIz6tMeG+gLjG0s3LhyD7qMU022pEb2M/ekvPxAwwNbPwo4Wu+GnGRWlsEo5mXGIiAVepyE/uSRE dDy3HwC/I2X0AB1VZw77kFdDN8C4zg9PJtsKfzgcCZGj50GM0OdL71D/JqVyAfWGXr/Rf5JbsHae b+ntGDiZ57hK1fGz6zWV6bKADo/gJA9Br159KpAd22NWu67+AXt8YeP6fHNUtIKGXMdWYyaPsp4K CIP+ff4kwyZ6xscQvjASDeoQDjOrvmWdDSFCc+1rhoTX3NPHwnOTBF9XdgsWaLrdZT0HNZzX1qY1 p8F7h7pxihBm5vvY8EbIcHR/LVOPZQ86ZDagfKH5zUOseDk9ALPxaGc1yNBpT/JqCgcFn6+qy/T2 MUzWHg3Yijkza4iTkGbxijY9BN/1YIGmWekbhwNa4G3YJrn8V4jw7FCEARB+2RFUL/AWvUlZwAvl wyQMsR7hhQ37FDysBj60wEHnfc4rDhcsE5n0ffl4loz6v4SuAHZ1ZQo9fPirUHdzwOXhZ4VeICmN xJILNl/PxrtcAYAlaBBuy9s6WPmM6nY61/ahaNDuWS/37Gq/8LIQw/gIbzxY7y5MVLaiIld63YNO WZeoyiDL41ehVxTI7rQmObobPy0FyV37VkVdP/HYP6G53zdoGnqHwUFvdMx6qoQNi85BIee8Q8G3 NRxD5B/vLLXC6ZDGc92kzNWQpBVY+1pVC/9iECRcg5nh1S6M19qUsBXMueoXsbJp7/Oal7YeY+i/ 5zLYdcoo4lND+haKdZ2u9xGN1UKexjYbNcfEXT+yMJOjHVE7FFyDJikJjgi3Fbto6pxhVsHfYPRG JEhP10NZMivbuOJyAnnpc8WrOHAMyAzcHMIpWnRahCP6KRHuMg0ys2EPom34+Kx7+oymtSm4jSCB IJXf0JK985SV14wceKPvEDgVa9qFOQ/HswQpckiodXYgQ9Oaltd5E3F3QTVMus4Dpz96XBmeT13j Dc6xpFPm26uOft60MlX9YstTYmX0oqgdR5aXYegeatmtF12Luv/SW8SEyUPgG7KvC564hZZcnMU9 SQOVsgQNTn4mGr7BiHeBto5Okpauau/dMqd5iy2qDAOFVmCz2Ul/T5+ZemCu6oWP0tZIcp88lmcJ ntxOuEXgSJ9Ky9JeR1iYM+ELak0fSh3UjQoqLADHrw8S9a8fP8IQYzArv137jyL9whD1q383vIWF 2A8n8Zj2EVMql4dl81w7QPKY/3YTAsfj17jy9X+Tc4Y670PXJ0S6sFtARWgzb9IuatIcGV5GkFMq ohuAtoL9pccOXCxJUtTKOCRAW1X1+tJyVzTZ2fh8m0c9jf4HtLhurxBkKbRHnu9oD8hXqlbys2Y+ AxikL5jHTobEhYA2+bWb3R9A230VDbU7FsAOaLIp4PBwP9rYquhUOLL7TmWMyYulx9v8wmIpzUi+ +JE9GP5cqcLFfwMcuAOlzbGo283C2u5rEnil2RtIF57KtZH/QQmsSa1MPNa93UHFMGwhEo2Z6+TT H8tI+dBtLVqVKUeQmtgF6j+4S5C8gnF+mFSqLhscUlaR3mFMUNzFfXHc1qVSl1qtmcmN09EoQdsF icWN4iRUKOa8PT04uyKFjHTiC93152MniYpY/xrV4r7qOImGy9oovZGqR3UHY8rYrSFxS0lJDNe6 AunsgGFezANpqWGbC43HrrIxPRjg4LwaV6ZX1mivIb/wdyoLjwSzvv6ZDmrQ5xrcAlXilXyLl/Cl Xh3eUWUT0jvP0vIGwcyOFRw738XZg/B95eJdMJJOwie4p3cxZj2Dys7fefEIMnrIqAVoC7iU6lNl VKLlSiecVc6Izuhno7l4/BjwSfngEsrqeYfJOkNV/0nHE3NcahEtMmjDvj4oVYPp7F/2WKJlwmiT EI+6istyXjk4eLywqaAUYryGyHjyVsGNcBIZVXJuhPUShB752k6MPX2okySin6+2PkSDJpZsOOVu XEUTCf2gDx9funYHmOOm92c/yz2YbzEsZLJaFtKOffF3Up27negKmCryIbX2na5j1RAaQQmlNLH3 ObDQqSxv5bnLo+qE4SpNO8w0QNUrZZ4YaCOKtAYxJ1UISKdsB6tiFTAGuUWNv5pCT7eqVkOimRWu ofw5nmzITyI1GlEZjfJM+ntg67e3P/ec8PwWTADmze8wLg82pOw+4DSQ55VKhN0ImJxHTlwP5acg 6UYvLeC1LaAzqlW4ysNRr4akRTzcb4NEyQl81PWAjKoPuLIBMxLx38RL9p5CI3c0hqM0oBcj/b67 cXtY6xyNZHCp6UmYy5/H3oKOc+vmAFb1f1jK5tLVYsUiq8o3PUKNZ+68ws9MJDVXNsGfpSgtUo9P sLw1n8pt220BRrmQV7bszu1KWX4DOZZ9eobHNOLA8mpwjm45LNcYceO9KHn+HDHCLSzvaQnd9WwM ORcqD5t/MwRS2GJmP5yvzjo8zBVnW/3umDfd5CA0N/jU+HOFEMKvCMcxHUgV5ebOkRf7G0SYH0+7 spHv54qiESTwu7z66uSJDz7Vyz4evyEUgvipElaOCg4webPVx8o1W2RSojKS8B99WuCB4jGTQSK6 j10XZh9mT+i+Xn+Z/HrVuMYw3RCgz54pEkFeDqnW9isHlFq+vsq7CVU43vh6h6Afced9GurmByFn BlyCd97TStzR0FfidmJYvtTHO6K5hL4Agrd8WLYj0yAUmyWpAHKkPj8HYZdmxbsVUozWZs2wE6me f/CtFnuPNOUqzid1uTs3RdVGLr7Q/ZKZhQ1xBXddXDiOykAfNjjB8dMksQSpJ4kcaZ2Lsjq+/tnV UQog13My8Qt8pNyLdC/a0xJzcLgC578B+T9+akchrqu+I+A0pr03bUzxOtngKz+c1DvoNJ/fP7zB p8IaWQBwFtaqgVjiTa5+i5RS0nI/w/DiJvYI52MDK4Fwb1dPj5cGG8eFbzuKDpIfMARfravx60UU zjYxi7U9q/DpwOv1qPQrl2nqOXBk3vX7Btf3a8hYslCmP/XTN+eXJRhD0Ai14z/7HEZGaBCojM4A irJU1EnK/oJNqLqqjZdyOpg2VjL6EenejSi/A6VReYKsjFpC3c6d3IrzJu28pml9zabN26DX9xs+ SaX81NmjgvjeU1Bs2DToRIFXw+7xGl8u3R52ZeOEHSO+4gQjUFv3SCNiAd/6zhIu/gsdkPLnY7OL dvisoZjo2qe88DFQXx0TfOrErcTU7cyQwBKJWw5uS4YaK0ri53A7bHmUNoNpVZW8IMH+uU2sOT/O 9TY2Ri0OmNX0Mhm/lRzPRgSCLP9LfKZH7qTUEYm0aBbY6gxCa+KhK5mmOOLWPD+PO0sIxCzgnC1Q gG3q22YuKgY7P/HRU9Y32/FDq9o9OBwcmraTBCRc+Bi9R/9DNw5s+dROVbkpoe8G+LA/fFn6+9MK jhm3qsu+cci6fE//f+Hr2/LzBItnssfdjOeQOvs5LvJbl7fL06IkiNeyu3ut4A5FXCXClP3jOUFE axYJ3DG5pxVvThGwAxci+Kg6GW5gj0IPjUSuziEe94USGl8o21E4w6kjv8nDxA6/0nGV4HJFTRSq WNaohooFKdhTj2xh9hk84tezLeQiYbGHyj0Vp8dbwvq34fCDnw7EQpvWQ8XGKoi1rz1szlce3xux xSIuarjlc130zTJ0UkCtwWMNfH/B33uHbVlT3elK3IATjTOPIpmD5LIlLdnCIsDUi/8Y08MPU3Y/ VkAVwPJGON2TXPxhOUELkPpVUEFj2vw4vl6zGDJsFQP6aCjF2/7RfMo5EILVoIXdNcBNdQbiR3CN z+wGw+6jcHK39Kmdhvl71L6UagdVHpIZW2i5pjvyCDnuHb/iY+hysxIYNJZHzdc0RzV9m18w3c98 /tRIR61ENBvYwVHVgfqNlsI/JA9CMkStWtJu39S1X9D5JFKc0eshuSzYWIQuFxrwfrXqgFqFYN0l cRGoJvVvP7lytHOfzX/TKBZPgqinjXMSNxdKyaIPoutfjhtj/XHxArDGt/vOal2mGbC80VSROJvx /5vr6Ggdj1nVwOWCqsxP5ntjfMrRad2UC6d9IZ0vDsHUVZfLIptyeX9UmO3/lXVHhnotGNdFY2Iv +qIxcGXovb81gmjpJeyuj4K5Mfdx9BVE5t8Uj70kZiDmiUFgs6W4Zr7qRDjEsZLtnr40QQNZHQR/ jxZ+f7bSDxf8ACL/dFoeBzmspHAsq+wS7gvhhJ7ya4d+DQFPDZIpyP8/bqKO54majOKV5pRN1iYw hB6pTV6flz1KoKonrrEVz3fTF2+oy3qAmAegrwy8CLeNuEiu2itztu2qPGpSgO9I9yqmIVN/0n00 yH09X+qIXDVLsYzVOrb5G1mhlSBjh7/KAPm5PA0azp0HLwAIsHCATeGcAinmaKcG+5zmXRUi1ySX H5VBTLiFvs9W90iJLg3S8w4AAcPA9oOiwsKBd1wYwdmXbMPfdac21d51nGVh/F29aX3U90URA1VS BEUzzA2S/n7HETAVrxJakljizgyVa0ml3PmcC8UeLxN/EemVkw/R/vPyZdUnzRfTLYvb6DNpNAlW NBWZMMUmqIKrZSIAVgtC43IHpC6K1s67oHLTIFw8q/gLvGyZ5+pnBHt/19hDiIiyLUiERUdLBFVr UibwwoRIvB0vjbXKNuwiGvfdEkV/IsIfAV0XxgJvdiFRwRhgzw9OcQ/ktupBCwqxFrRLU8YB6Iv5 5ZWk7Z+BKojxWDgTDdWMFB+ehQlr0TaJtvz133kmUUKmC4eNJtUWIo2ioVB8sYvCoP9BxYUy9jhr g/hPVvw0NKOVbyDohElLu/ef4Q9DRbxQLlx//EnD+rBPnEoK+JY3s1rR1M1crgAodJQp7yGA9Tmr nWcXpgG96Kn4j7v9fdpGMVWzgJF4ID6f5DQcQSyE4Sd3CwUsq4VVxzM8hi30RVJyaRtHx4O7A08B Mn8FClzkgRQnxOe3P9iswQ6G1a6rFpFLjgw6+wBEF+S24QGy7uhRdvHt8JPhhoOEtAGNOdMxpgB9 ms+8AmLJbH5LR+5Pxw+E9zWjU/K82rdAl+eKWKuhCai59cmCGZH8Z330e3Hgkxf831vuTy9uNgRS qS1xNR2/SMF6jJWF96zCa7xzL70vxQ7pdH8onqlY1I8cUS3LoHShleUUxpVEtet/o9JIkGiK8ZMz 3q9tPe5/3Na6CcxL2+7F5r3UcqT1UgjT1jY/bLza3yLEnZGkyQZ0oVv2amJin+86zDop+rCS/r3j e/VrbC8u+96x34fTBL0p6T7hE/gG1aeolAkJKoYDuhkn74yG+9MApRyLzq9bp/sYWNWwowv4YWL0 0RdHhWzsu6IH/Bw27ho3E/f7zW+KihNUE44CyRXoAawz3cTFF0TeTX53v7IB0CwfegSJ2+Hi47Ke MevMkeSThSWnQE7EzufccfhDa1MKXX3lR7XaiMsssUYpJQpADauZMvF7FPw2XSYSU41uF6ST2VoW pSPg+VnQkMzcMKPaxYldUQQQh17++TynHjciuFCiRY2gC629kUTPROwogwMP5JrmBOL0LUMnQPG7 r+gfOewKMeg6UgD2UT4e6hQdDdR3XRG3EfgiWNFXl6hvUV7w8t0BP+KdNOX+bXtcfPC4GMQcy+/z HBcnewJw5H5CL3DFioO+MUKI7qQUBocNLPnafMYzpJiGMaULX78os3zEKNjL7jCNYCjooGYx0ogm /NzU8QyuJ/NtLuXFdw4R95i0u9t1WiwkTZdcS3K85kOxrBXHJT707wBEqV8Xugmnho3o8GyBXl+h MZom/C2pynjePf1nijC821pKm5GYaiq8EripnvNWiaCvMdo+eci2w0/GPv8lLDhiOC/WDk4BliOs roy3qHR/P/wYjY2PUX3n3PUCvRe34qcn+unju1nb7TK2UKFdrbHibOKsxY8dwvsFsBwpeQ1Weit1 w6IG2z+6xejphW74jBzC6Cp7Xl4GXmfi8o8vmpwzdzS9llcAYh7fz7NsRs4kSiKsYoDy5zrMT8w3 vwu9OGVOHF6sFNRncoBsh5EOzBt+xOoq+eNKRWj52iX50pcS/wyOUcwdonDPc4FoidtNzc1Rx7Y7 KXTJi9T3em7a1b2McJfOKLonGeIG0c7fqcf/iIO12jsXj+GKO4dXgDDNpxA2QdZ0ZbEZqbANaaUh 35PwIM+3oNtifd3pzxKsS4zu+m0M+edHcOuaGHiqbyK0wcX05Fxfip1rM6lzFDoEOh9LPCbCV4xi LFX1JZH7RqTTFR64nARH1hp9csm01dOAXvcqmJtjycW0zMldR4IQ5u8nC7WJK63xcakX+MH6fJtz sAZVEWZ6cl5RCpkEDnGuA4Rtx1o02SUY7vEwUZ9cJEUtTf2lyPG0QqtCXzytAnHAFvgq+6j2hkCu x2dP7p9CZ5ohQcKaRnkujwwXN3mLgtFifiZQly9J9KPMXyKnxUXW6pSdlAT3PVZYTGQQgoxzrKDn vknk0nCMU/I0VgAKpqhbOkSoOXwUoKNsYWxENqaECLJYcuG/TT2+T5eOLL/e9MYhEcRVfV2tT5bi sv9aUOKodwHE9Q6GgZpig57W+aNhZnjMt0lRgcc8MkFQvbc7uujWi34xg3e55cVHbddLySYzeoJg p9F6g+ac+j8mCblwqhNZBg+OexAGHJI62iKtdPn0/4jRqJ5bt2vhPx7p/VD0Y1p0nEcVrQfwySKD 0iUkSfKnvbdOIqHWqGCvwnokuTJ3e8TB7Z4meEvh8whMNB5eUzRAwcyDNZ526v/c6gNDbUieAZrY 9C93niHAsEYtnI1Ug4Y0QZcoreytwQ3inhku2ez9nK2HQ3jZFP2THpj3YbRXV3+Ky0Wlp/0xnyau tbuZXdRYduMGfDZeiVdjOQtZsM0Cdj2EMirPynqjB1VHKNLvqVgMx/kTXMbkAbag2K7PYX3/HZld 3n6ZtzP+coi/dnM6oLqsL4PxXvQondtjAtKhRYurACoP+LIdbz2FjxMF3MToYdCU2Y2y6p8KxKdt B1mnUkRXwuuPjHIbJoM3C86ZQTjjHAKVHIjEKg62SKY4bBZaw49y4t2FFEwWBM8ozudk0DuHNhvU xMk8lhcVncf78VnMHyzY3B1J5cTm7PJEpFk984ieIsOBgg4eFG9X+Xv6ENHSS4WH+fkjju2D4u/l k6eEEXWI9MXQ9C6wQfHXShqFM7NiRRRTyiKpnjLV9vXJVTFfgdyELklD8AN0kgLced+p5YBbbOhU RrGorQBVwpABI7zCYOFdtJahl8DOcQePxj4ZRRFLUOKYi8LCIOGCzz8BlltNngJ2/hRlVfxiM0PU 2XPmqxuCJ52Lcoorc3PLGqzbJ/F08FcZey8WMOkKNymh7qxzC/kMbg4dQ0QSk8lZ30CabIHC4Otk 1yE8PhhdHfawNjUY4bR5L4mRXe8GT/4yfrQpD7jJUoFUwl67dtpM8doL0jkeGVbhZ1vCpA8nUjTy wSJ6fancoGiZe1bzyh9I+v1OZUxIq1Z4dRsHyYXZhQE/7rEm8ZHzGXu3oiA2IWzfgzjurBZuONkv rHmC0gOZstYO5hVEUtgxNOHpqQrhAwrO2m4wZBGRqHwFxeE3kgzRHNM/i6FKUpKgCcJH64sWtfHT /Dje6A3s9Ml2eFU3eWfvFvP34BlawECxWlWqQlH3MGxTT8PRqvyE08EQ42BXosqExmTieMR3KCCN wK08+axJLcLEjglvbZ5cUNMT6iGTxXJEOivrfFaUBJVJTbqkaTpFVNoIzN6o5GIS6xXR84R6bJ0Y mxd61nDkNM3Bb6igHbpPUX9Qu9wxeLIa7aGkFpUDK5JWiM/XbK5Ga2b1lElPXdiS29VumCKUWAn5 i07CrwuASGkhUVOhSGYr6GSRCREQx3Us2Brlw2GO9JfJOZBYK+pDnwaBclFV3p8EnS0W3cXANi0V Ylr/GHa1BaQ3Y0zd7EgPdCxgYTfBxACTX+GowIXispHRiu8N4lVivpXHNYsT3t0VvCEUnWaSpDAC SIkNkTri3JCUuDyxt9ytNQBJ3u/bxhWS7wdBcKq8NOM4AQzRof49sGPBmjHwPX39MEb+x08SLnE0 8zbgFCQPIL9HQFuXLwFQEfn6TwVSlEPR8QV7XMiin1VyHT9plcJ8FdMFUN3vvu8HZmsGuhbicVg9 tc7w2z7eLKZJHVYGcVQG6bBBhIlW+KKNB+Ac4YNBDYbkj2g69/8X6x4ITUObhO8K70MQnPDG805d V4xAdruJlrCXbEr5RnvRnn5wWO0XXcEHqFHBu0U6Uc3GZVjXDQ1iz0hQ1+n7MRJUEDVt8WVY9VRC YAw5PCnXpFNj1EBQzAO/qaTNX7Mu6fJI4Fneb8hEtMj66L7W0GX50hugS0NP3bF6PgMC1yBRgp+y JjDPqk4Qzj6NX7oBwEsiGDJPzKSssF4pIQkyMVTPhgFQ22X8YmSkCpP+iIA/VJA+VeLyF0y8Nnfw w9TME+3bsom/m3PnsHv6IwRcXZVGyKWdfkxB3RO023suK1bnjcllxOR9v3PPJe5SNXtZcFbF9vbO hi8n2RCHMhrioZ2lFt+XxzB1MgJz6RKxfcFd2HwCuvqpDPKbrFzl1EwXg00DbLM2ad3Fi0Msu2nJ 6POfqlYZR+RI02LOv+AWZIrD4hEkyIuK2DhVlIJvI//8l6jT+JnshSpdFaK3icvxCnzesyT0x+k5 9f1Yo9c3S+F8Js6CM/QjKfPBtB8xH+2LoGc36xjGeygoMAtGwFSOAIg9ioJl71P6IvlM/7OTcmvw MgWGYsZJyQYQR1tUZ3AAHbqQHFrG/aBl+sgnR+j3nfecZPIQIwK17U+3ElfelgfyRfKIHJj8rnvR 6jcGC6vZfomrQQRBRUoiXKiEnBF3ObPKBwjFqsgSufx2RHvARWMOCMTgM1DoD+GOsq/yEQSVDdbD djqU0CrC/3Qm2vJXTwhQmmWy6GyMBXbQwa5QsVYtD0K/+OCxcLy4fViSQuGOYRGREWl6w5pkH5BE LDyFLg0buxpDKSzVpWnWdzsFJDNmPYNE3nlqZRn5PTh2SCMTSLFYN0llwwXfDprnvM+LgfMZb0hA 9p0Upiq0lu+Wut31UVrWdH3cNa/x6oqIx2hIpgqlLOwjGL9bq16gvqwf0vq+/OdtEv3eXvUsl8kT mzmaTGkY/6IeKGl0wJD97Yb3S3tKLYrlMEOnNalVvsjon5+vCOFSh+JP7U1FTKAIngkZjTQ+S7t/ kDZpkN2IucjAzBkEMhhzWK4cmd2GGss03fevjdS1NFhjW2EpYEkyHlrs8m44ceTybR3IhZ5lpp1E 8T4yDhwvZGjdtVkArYhoZfy+pw/3W3Bm3Tc7FXOqhonZV3Gyb5JYp2foA9c0DWEdXPiO3fdeA3HD GmUbP2Pn0GV8K15IiT6ulgM6Vyk9/6/HljG2p+08ecnb0DXYieYGiJ4pSrfLJQTXsynlUCrfTAu7 H4NUxZhVqlbvZitfDBbJh9QlhGN5HZA9FLMk54RuW2618DVKYq1/hZetOjJ6qO853gXycjY7Koup wsnAYWeahEwssMJkvuIOEwZLPlEV9oD3VKz/JvlfQFGriqtO6JlobbNKn4l1RnQMWSurB1un3OQd 4fhgp96owgN9qUWmYuqu0XR7gDIncs0x9aXTHrWcTWa0nFkcCBNqsf6zSmBqCop00xYb62T+f7S3 4BTXOuRzXhwAGJ1tmIjl2AOR+9FGmAwdWl4Yc90uHKwduRqBSGUFuiy9SzGqf4CUvdwjJL1w3Q6q GnoTRzyHkMgUEEtqTcr74Jz3CsqBpoNOvQS9mQg42k/z8Q4T6dMtm8GbUmIizEpnkAhzSfDwmIPt P+OASh3e4Mdpm0aafmS93gNBbpxMz8A0ROSlWSTo71Me5e9b+1J7zfQ0kMfc9Uvs2bqDM7B+i4nm 8X0L9ityv0PAbsxLIHNSAsbfyJk7I1pji6fNxrmoe8QkcUM6SL2ea3s4ZCjNh3XGO29qtRmfAhyO z27die5RhGwUcHePSib1RXKjYYjwPD+53hyR90kzeEZfJRaCem/Chxn5DHaej+recxomdFCe5hI/ ry+cwvTEfha3NZ8B5CmOPF8MQ3gxRAOhqiIh+BUbD7RHfle+d+go5mITJVl4j1L8e/P3MYuu1bUI 9ghJgJanwsX6pCWNd01OF300R5CcuZCH5/w+lr4psj91Ha2AjvLzXP3ERFM8OZcVF9SBQDTaMcyx Lz0Ay4Mp7hMDnVgLyyHNambQhGES7iJ6NZUtSA8dUcHTvFFPmw3N/KxVm3QlbOeC4Oap8wQ0WeUH 9Fjk2As9sQMCJ2RdVUtEXvpXesq7ryUOyOBMTYD59wiGzON+gQTj3qclLXsi/JW3wqsRCLMMzvUs m4PqdMAzk5+pHIj67hyyzRPBz2GuXGYKmJLuwjJgockh6y5UrVcUod+T8S4W1H2ubqvQWZP3llXX Op1kK8uwmc7O3R7upDmtOg/cgjyvuGNN8BIEvV8qSbU3TdB8YdKldcsEOku76WjksKpUS0uGNqdj 6W2NhMUQsSV+cnfExzDlkday7V8j6ZKPKMjImLSXUVKICB07oyCt9dGIzWD/fTADartDYAi66iPW KPBUwj0VTHDFxFIOvGiDLPeafmh/TcSp6ECBzjFK4hbzZT42LkiwkjB6uk8u7H7ffSnbOdXcw4mq OuGJYcfq6h59B0jig+0mBhnoduoBNnZ/+cHkJzPwsDKBzjcOue+OjM+Ol0Hxn8jMDMlKcUYDT+hq Bf+aGZVrdHBRhYvYhN8w2d3SwFLxoIctPP59WETeCZFHcw5DurxuE0xRBX3uSZNrdMUohDHRlNB6 aUoa4Ottb7W4BJGXy5QXzFgGomZHy563bqu2BXIEyhQeh55FYYrli4ez/xA2oh2fY2zAQ+ffjKZn ZRzPP772Ga5GM3cqn1lgl6faRx73yJSGTKFP6+LAW+PyArhSeVBxJj+7EioU23QTLIMBVL2rZ5l1 6STRGWXQ57YH6ydHb3/TVCj7dggvwUue+ZwTBDorjlQM3L3I8RHBHEzPIV9ggDaK804XgRxi7uBR 77vswtbHi3+NCaNHwstEdRDWWpsfhszYAULQVQa+2ZPmQvKyiUsldJuQe0c9kb+ZyVmJ12RgDKCl uVyA6URAzNpVsPpuey7QF6EDnHsQCrZE6Fm4pg55f36KMC1lm7VMbusOeeEAEu8czmayT1RZThvv J4welE1xmLPyM2G9HIDNytdSYRXhDmssdBm+Kv6xI2trPiwigJcqKFukJNkWIYtePEhSQIgF7JuL SV+1hG32Kd0unc6TAnv6yc9Nb6OchRTExQHss+GKJu2PGK3wlvgrubz2MUS2ikDMwFZIKPs942Dl 4h2LO0EjuqYJHZdVvylyILL+OEh0SL8gtpSsCRPiLlCa7TxGN6eQKMZdbCFphFQTeJpTOcCqAOj+ P0RxQaTfUqA7FAKyylqYvdXk24DNShP8zP60XCbtaJlVFh2yxwH8lCyhPcEd+pVS8Etx3alSe7KY GnFmcUSjzeH8UK/I7itLWg+HDUJQSOxQfYUWuGx1NEIm9qGblYnDbdZlgWdBCPLLaeHQkexXMByg WN5+OuOdlDsUysA6HjwHJ1TH2gtuOxzCecFMCzJbtQ9gY+xlZdqbAg0zMB3m4VTegRu8YwiPpVZv xlzdpWBSmwyLaoE9dDwxBFazIzY1q+cooFLVe1W24SA7SfazJQ7seIhfZQMwMCdDRi6hNwTyqyW2 rqac+ONvy55m9QXiCYb0MolVrt9HSh/jWacRqeZQ6hYEclRXkU16QIy7YasS3Syw/YxybF9+5u7f o2SB0XVaq4ERLQHjRB+zrbnTnUfAJ86HTJOOJFW7/u7jn1bWFiMJOo5+0R82GKSbUKdVdKqChU6I dvi7yFvioNdvB/TKEZjO3IMhq664D4k8BB8AXQhw6eK/ohL0XR4BbyUv1Z6z3U+UDbbBT5bfa4/z Uhk+dOa1topvN1InkAQvdA2AwdolLkHTb5BymeighZVDsAj7s63lyZ1l8k3/cg37dYdlS5oDO06q RG+pjN2Ohw6yeZhjy3CPp9VrPqPnCm2sFe1BavqauYe7Esk89Dhwy+Mici9e4XFlvMS8orLkk3+e GkZWG4ieTIIk8ieaDVVJ16h9MCjk8teRJBrxWpuxWPg1xowAXVwPH5dwIXC857uz5o6Qm0M2W+KR vRKxeNxx9Ek72hQsP7Vj6oe4Vn3yjqMLX1dusm0EqgWqbik+/+A1wjHh4VSt5b/1ZTHYhAjKez8a zCKU3FcVvB1ue1hyMV/msXFxSLdIA/2WI1f/zx8/tdUD4fim4vg/+Po6T9okBEh3rTM7pBa2+t4y KDguGc4gD4gGKMk3E7kJJjEIwX58ueoU1W/Amg06WPu/bEEuEdLJE1WgzhCaORyk9cOpTjizysXD AYOVJUeClRzVTFRpdJ8UeS67GYeTSU0OX0yXV6NWTXCnjTguTLmBpxcHHB4hZU4+ZqJvrP1S93V6 Oozg0y/CbISSIerOO8qdB4U4FLy3lEQncYHOw0vi2iuZkdkxNiJ6n1pbx6j8TuL5CFiYBJZmpP6P 5yJx+lzU4TDIr4WMR31kG4va+AVIjm84HE+gD7mlkIqtnJedEYlAJKGqFQ+66ugBNLJrI6tz+wZ4 14i/LqJvWpToNGOI9HZxEeOwzFgLKHaW7nEDoJ6KVG75JabZ2Xp5QUNl1qf9kWmdp/GdLmJepwUS N0haeZx3H7g1rMjOpe1M7mt0WmLKXL97lPitASSTlHk/eX765PzisbYRCeUrhPyK+UKQxYqTzARe rDsWHaG5TOYnsRpUfmuTbxzMs2JyFKfYtDc8kUc9/BG1tC+H7ev9J+7YZqOkwrUF9oWGYZCsDN4s 1GearVyHuu2wCw1Yq01TPRuO+M3yvqSxwz2GcZfUBgV3CwOSAfdortM5u3eRH/cgGXnB+Ao5T18S j+IFLkhSEaHivMuU+m5T80PFW6liMJG5ADVSVsHEAyYsc938Ey41Jr4vGHf8wZtjDoM/nmUPVrql 9tw4+gQ8xoAVKiqJHw2WGZAipfLTkKKVX71LonCkdl6fsX1d/g4RSjEmA7VdsGdo9iGbW26aCqkY b01xAMccqJ/Ixwm/Kg3VpU3MUWBHAis9tCjxkvecy6UydTewE/ZAO5g7RPz0kj7de9Qa0xN1MPDJ ELBd7YZaKA2Nq21ESTqraHhRDw7blUvyt4fLRDx22rOTphy/55RW+l7fj+IXxgnKshy/OPT3fGCj UYrC+SYfkwhCnLC/qzJ0peWK1XSKlPCFhOOXlFq4+X+M48L6OBlB33mJqBkbSqyW4SGSeU9mx6RV VLN2/AniJ+wuDG0tc20LvF3WjxErEZxAYKJqd7QxKiO6dJM4sAxVrUWqgkGPmPa3YPsNwcKHGKmM /PswbeybU2AMnscpoWFVYbIQX1zeY1WYOu9hx3VXoYeZpxzZB2q5GetAUvbOcF1IYEr+JpkcXCKh XjJ/xPQabafNlg6YOdT7+Yq5bswdd1M9psIxQW9JkVvqWJ8JR11cSVdzYDtAJ1fBBogLvZXG1t/8 cQmwuBYbo65tUUR6ZAYwcB4KGLZfF0QZNK98GFBv0Eg9VFQhoYVt2rT5ssWvYHZYuXuxsUsOK1Zm Ir6htwYyO+OEJgSLl/cW4B8w0div86mIlfeYLq7SNEH/cD4fzInM4aRl7pwyRHA5UPVf3UDWxMvO VTZL5AapwPaPhUjtBCmhHLOfX0WUxgL/WtJI4652j3cXsAEfi21GJYMrKZNEw+Fs4TulkyFeis02 IPDxfQOgPNikSQle8l/AoK53L1v88TdXheltA5vvvBP1q9fAMCiBDpr5WniPiHoq20Mm+IMQXm/Y g4fzP+bODxNXi7Qg4CUnSfGm8gjk6HYx8iRFfWDGljE1M37VRZ84AeccUlisa1GO6PnolRZ7ngAU Op90Wfh5L1ljRRSknVflb+wP71Ke83Z7bJYascAjOiuDGK5UvtihKf7OQSGOXlRW2S412htnPA/g d4rtoXGjkI8hDVkFvzEezcaD0XjmBrYm1sNn9HFih7jY74gU8fo7Xz8CbQup8YKBziSsNa9gTgQb VRsgRrIGFv5rtxv5rmfJKnMm2EWIIuddugtP6KEhiIMxos5oQTlKA6NwgrTxvsb0t2xAiLUp6nQn NLPVZN8SlMshnGTo41zM2tfVVWT2UNQDjjjykdEEPpfzF4FlYCelwWFkgAnlQ5RSSbx3GitNBdVa kip/ZYorZwLGuTHcbdRpI5Czh9oix+1DKFvJueZc2r86jZv1DKlvqmam4YdodvtYG9zG+EhnMXbs vgEA2NVgi8yki/rqnls1n0Fr6xpO8nrgnPufjQWr318kxxRH90uYmbu5BWP9N9jfBAZzwea3lL/S t+yXSZGlI0VlBFsjjnTvSPwFoCQ0UbHsrrwQypRyB6p/rz8/cTgmH2z4ic7K8oe2xIhUpzU6Cb11 Ta0aA2x63Wn2qeXTVUj3wxCALy0pPAobx+KkfY67BEsFU2McePqRcza/uqgw1k5UJqEuV1+GL2vZ tDIAxa3oNCj/ytBdGb6NYDAwu2g5aJoUU0xniuFB4gp085ohq/VmpahgY5vzvIc7L2EtCoZK7icJ kYjbsqbgZe+KXO4SshufBcVNTJJmDZemdcpaeAKJMAS5IFIe4X8QJLR8jmSURF3A+VcCZiLx57qc ZdS1ET8oAaBSovRm+mGK8BQ4rS3rEaDhXIX059UmnETsLfLjgfLF/axr962KXf0NHvvxt5vLO0L9 xAskb2JLWqzByrcBZK7xlaaqeCT+ipLJZD6FYEEsMcCvVMkeex6AF3S2jgfd4pqI5BthKpK+rlGq yT2TSTa8dV//zp2QUsGuRjbrUPR121kl4i2n9OvSlSCBc/csxx14sNDKivfj8AKDRp5lepmQAXe8 XstdRE4Y4lblu+OgVa7err1cdflfTeZX8VFl0O1s+Rx/kLHY7F2F0ouqbR1BUdEHHOYURLRVZCYw wePhIxI1t+K4X8WmWbaNqSpApnCF4+jyHe0i9u+CFbBn7dhuZ895OzyAIcaGMdY21CmTbiDmWOhx LCY9cNrOt7bndNLtidovTS4ry4CKNrLgQoUwjUb6FbyaB6IPLd95LlWh5mvdSViIsp7ak4tR6pao mau6vMVO102B7oixTl6T8I91ZHEo6lDRuKTYGU3OSoSBf1v661PNmzdAhvHC3XFKfHHdWqDx3xQ4 MQkylZ2JJR2fkcGvHyL/FNm6tnb9nGunX4oY+Kr3xrMuqCYhfGvWWrJkSKkaviLJjtXYZ/aCGUxi uGuWav2oze/oqgq6hc9FU7UmnI+F/2Wv8FPobN0DK/AyI6V3wC5Sg/G/mNFXUW9R/UT5Py5CeC+w 7UEvukLsP57FOgD+WscEGqrO5MdteuvCBaVeDOF8cgmrSYPM3vIpErZ7HfjTHaMJBWvXuOM54dgn PK9vgAwuLVWjHXxC+hMEF+5Fhm9eNAdnrYjqnfgDG21cecqbjJVKSZbQ/0zdJw/sKyZ4Gcq04Tal ZwlaOVSW7uzM4jMFGiP85h/xSII2y7jOCDg1E4E80GE5TaTpirW8MNNmH/nnnYvBXy9vaNTLEuoL uEnZDgo/kiYwIL2ejxSx+N30D8Fja23JYPQE5sl1ZHqVhLSSN11+axZapRunqXMw4dkKmpkmC198 EkbSjpsiZbTEMgM6HZDkAHOOXWWqSbOD3iLuRssoChGAaghGqFCRI0WaYyCVJgasIhicaF/xPtyb tmfy7jWRoKe38B2W7Y7D0gsJG33a8DTAqij+zKG/Qgxzax1BhSVghefhbWiVS8ovThQah8lTqPAS S1q/q5KXDOKzfzJeRrPEnNu2HPcf5cSH1y5iUZRIquoyhFsO3PhEAT1DoKKfQo65/T9VZRW/dTGG La1QtaQ9ZLKuhQzdYs3bqPeCljstXGPCdc0ua8tv9L79aO4mdjHWct1Up22pjb2Qpw89ViJ94eIo jbz6zG+sjbly2crKmhPiLxIQuGy7bzFmADibx9RZXSijXFV2x4dTongtDBvQtnVifNfeKRl2eEj4 NFQIwyhc7W2APy6EVAQtqK4gD/wpeDl4odD550JDNa/JmYXCrL0tdJEGsGXf1Ef4fv9ABvPyst62 YplTvqAbTeGJ/RLF8ZFQnq4Ma1LzD+leB/Dw8Gsun1hLnNGkvLY+sGQHEmiUlthiM+yLH/5VS+wI 3vOiapI0RbqGIsfezG67j7YE5ZBJfMwDPSG6eYeL6h2wHAoJba4uhJvCgJBUiwjwKQK3X6qJfiOq 4YR1nBenVDFSKBrWMn2LHYA5yGbo8yKZSRRkGW8Xb0KT4yWbbVwWabRWlfxZcT6z0l2XcnRjD91w 71aJCGe68c/1m7UGFJNfcN22Sz1hoxZdRuT18EVuyrSGfS0BeEBnlbLds+T9zPSNorzK+vRZdPmn LcM+1kmaIU4vWRTSOfo1plT9Hn18C929FJIZ67M7gMBFCrqiFTe/Lg6O/621N7UHt6/x2/SWWZan VS8zIv19wsOmUUacIFPuWzrJdz5Ywc5tZDK69A7LK7OxA3WZIEZ1tQ3MfijLzxeBx19YEuZHaBIA xNO8wuppBUxWiSukwaCB+4nljZoiQoSH9OuWTs5PXybAn5LqbyKbjJB37jnb+cvoUghSPilu/SHB R6d8OD1onG4FYDWrDZXa7rL1kKMhVU9ik1GPCsBOB3e3eRU12AJMFyAcmLVmgGmouEh9I+d9eZQc aoWfw5+zNgYOpSAulD71cvATNd3/XTxUG/aVvEC8EaV8bkVsXOMiG2ctN08DwNx5GxECtAvFp+qM 6H3dlwP5KQxeChna1fH+s6hR6IfpgbXL3EpcB9J1TXUUMKmFuK5itWhsJok6s+GkvSUKJAEl43XR vOJ4k6xnDdFZlrIcgF337IvhTuDZrCa8c3K1kpaKkVspr1Es6U9QBSjYX0DfE/nTP9XIg25TlhDL GPHtXtiGE2HGMUVpl6D5DO2e4ZM+z/28gCVn4bSr43/CmJiBzJfm8fPGInsxd9KvKjFrcNwHuVF1 iNHhkPU6BLYMlNvYcnSlTyAuPsOYNsKcRiK4T3AG9tElsNAkxKD/0heb0bEcPfVC7G8RdA/RYf1/ XGxcilLuLH/EBysfLgk4rSkBDoCu1ug5E/MzlPerPVrs80gZTgWUR5OFopHjjoj6RBPyLdhJiG0k NEWXsR5NCJr1c0DQvuntaUAMPqhn+/DzxtdJGgHz7SRexpummkX2ueaBUxq0s/Xhcsp5Im6HTOle jDsWlJvvjwKyN3GJeKNfwZMI5WP8GnfBncBUSSSAT7hkFBr1SIl52clHCfUQW9TR43slIUr+z50E 2WfFIWPAOcLGC3hrsleI9WsN6oWvrfRxxQQ5D4stFjbQ+OpF2El6+GVYhfFQMFQJH2tvtkx5tYDy UbBkjf2aV3yazIiIgDFdDhwm2YqURgRogGjEkOwZCxhnML5gxFhbGrEKWXv/5hhRukAd7EVIDdco 1z1IA18/OXzYDE1ztCheMaozSeShakZ0vg02ixUoCrAeP3YMhb4IdzKo8gWPDU8PxGJa1HMb9koF EI66IeDas056YoWW4DGfZLryOGf/6GK6RsLK1scjXTcn9u8FMUe4DDZmr0jBi4anAxdDiMcG2rQp 3Kwsu1TJ6pspcWZ1K9ROSDfimktbnV8lyWHmqG0/cXeSDr+j/uKv9vbODv8xH7D/613/WKI9l//v Qoe9CBX8E0RKsIPm4akvoBZBPcZo4p/Pg0z/7ehzeiQYxQI9+weyKYmhBCHHHRLBJYpIFuNM512N T+1+ueRKIlzLo08l9euZXOCOL4VAMMLHQMGNlHrkGvlhy56ikrzmvoockGgxA7YqAYtxsWUZcfPk bj4C2C9PvLErK8ug7egRN4Prhr+y7AAAJ0vZfEF18/LS6I9nnyFRPIbTbSLRdP8p5UTrEVK7kIIc GiLVrT2DlkVQEKcPaurFXKCwfbgUVXWXDoQojSq6S0i8t3Q1Xr7eT3EGUOUq0SXbagda2fH576PF +zJAeHlT5KRR/F92SNjvE5zXo2yeASVXAUz4xknq5zSnF1Ih4hMqGqwZyQGwDaEjbdqyPX24NEpq R9DnOuKXYjgHGt5YeXuc8Fvo3VC+u1vv6giBF80JmdKK/3mAyI4aswS5wbDWTj6XWa269YglLdKS Fyu4xPF2GwPfkoyP3rh06zrqiWcFkbKqAt3GtrPmzfzxYT5FkIQsffzq3oMwQAiWWU3qb3FRfIKu LVMzw0e/k3L3d7/hFlvFu3EiEFIVBItbiQVGZPKXHsBfl5B2/ZIWlQfC/D7F66S0j0iLdCEAexGj ATf209eDQYlEP3lMamfEqQ4Wp7zTy90y0SkCYu1r1sc133/1QAoC0CCVWQJUVVsHHNEFq5Wqyy7N NrK5cQFxcV9cP7D+9+RaYgCyM9NzNDSZC+L3lEkKtLMaAJc4UuzdvvRwPdKgdal4lMKeKLa62ENi RZgvM2Oy5NExOA2YsXd/slHa83Zy05K232s5GmmAC3FS19uf31ubIUbzpPBeYElC5ENf5yGgHsWd 3cxR053/+iRfD/UqGRAGLVSNkCrkFD1n/2jiHAx9L40lmyLkADPkIX4TVKI7k+0B1KRHfwPgFS8u E6SGjrFCXZatiBoIu2JDaW4rRvItmFLN3BXAOyLvk1ksfMQLljAYFRJ/a1DSvpav0P0TUs0v9KsM wRlucO7NWMuPQ1n1Jcp944fTOjhDabmlzPW46zQaqy6evADEIfkSbDD2xY26JKwD7OOM9Yg07+0f LihlqrsjC0+fIvKxEtHAa3/giZjsYz6YOSvQv5RResBHk2I3Vkn4NLdr+u1PsYKPI9yu5rPt9NeC 4TXYBuJbuyHVRFXPQNeyG4o/2yEf9Hfrs3ad6DX1+zNXnMWp77VHbJovaYoWCeDafv3xAFjxzx6B MNZUKE3hLfEJhBCVVrkhRodzvp9i/5Lx77zQ/n+h0Xcg7BtDCnD9fof6+U0xzQgfdlcDSpI10dvs XDgYAxZ3EXX9fH0ruqwzlljmJvAVvjWxd17yyrWzurOMUG1mBt50tPsJamzADJ4TNg60gqeYIo4g z2ppVTkyzKTeyiTg/CwcT9ubVrVGB2P0vi+99K4GGJ4DEZ615TH4jAYGE/a/jRe2WKooMYzGbjWf 5FDzAqiw6CTAPS9vRPTB4z13IBGFHkSL8wARAsG6PwmgIXPNN7DNfxAZ2lfLovG2N1MJYQlwdJm1 FH0m9gWpJowD5HwCPqRfJC41Y4+yRc+o47lMkONQoZ2gY708KghFoSesmQh1PcxnPTEUtKBjbW+8 T/spm6o2HWEhfQZDBq0ATYTD+IpYLfByoc8tgw6DZ+i8z2oGhz1NdC69szI1jtqr+XMHpKePF31L +EwTRDRLhrr2cV4PS5l/pA0cR08InHQrD6+Mr0p3XrwXdTa+dffDjqzwze76etg54KwZCuYDPqkU l6ghX6NJ7YvOpf+kiBQry6cTHWcyWDhnu+E9zaTsdFa+eg/7TlyJglxsb/VTyA2pVuxM4WL+GWNS zt/HGYKuf18rWg6ElvlvTr1V2kaYKBGve3q33O5mvtGl0VhbJkQ9dodOJiMQItx5n69w70rOcr7t 2Twx85oIpcCxzwWCLGe8Gs/isHnjFlq2xcUh/yzQQ33L1Ak0nP6mqSlDfqoHcvxCuxI3Bk1cSm2r WPV59576cxqvdk3cLDu5Xq8aGLk0nXXlEGFzjICPjv1HBbCrYXYy5ks0srn5nS9alw2dVxIvHeNJ zSlOoKb7JSNezHnH8TtnduGTnEjoA1QKvTgSAt/Ibd9HKqredvTJ3xIY1EpY7ptMdvR516t0mO3Y V9orK1bi8gPklzBogVYO39kfNoCPj/mSwvVEAKAvthBUM6cfJ7DrIH0BIe9eTJSxoyZZRlZym5NX 4p5AVkdyFp4MigGYOLzUs7MIxHt2ngY7wNdMLOwMZoWyJScPYJ9X9+HFVrVBMj92Fh29bdR28zjz xkGm0NyNOOm8HTahmpOFoxXlnz0DTb4vjFr/ob4fOuv0K16Zm/7myrCxI2odQUjOygJu7kWl/vfV GMt4Pk7hdXzwfrz6oZvqdMwEUpgHb4tgfCoPKDN9I04hs+CSWNikiyLb/zffwSg6K79gj+vGT6iF Zg7X0Zxz4loR5UBRVmQd3dXZIK2VdQXyibFBWZC05porYNSU0n1oPkiz5tOjyfLz9ukJXAqxYDY5 JUmlFx2XkhDjJnH9Py6ri/s5bRcA7lwVrR1sqL1Lu44akd199C6wQkMsLPUGwzUCp+YExdQUy6yR PyiNBkYXNRlxc/HMkwAcC5OHqR2Gz3IvQCn6QMKQHc2LwA4gv/skY81TvwHa8lb3D6uEvasZsJhr iLeYuGgYBaxAZAcouX1COzFPjqPCUZT2628lbVhxM205g/xPGX4zgqi45vXWKSEAUogrMHdHbc5N iRPlWb/eqA14kFXX8NyT9t8usZlTco42mgsD4BzS0acM31/gvqdyX+W86yoZe9XKH7mN627HVNS1 Zx6cAYdUr3n03DzNMqeSGqNK1FZ/2oxJ+Zh1787xE9XlbdjN/NX3/w4AmMLaxD//bKMKWVaxxdnf qFg3WOj4xgLlRozzJaFXdMILrLQxsVxphBBPEvgnJki60vdKdppBX6rZns4C5WwqBDHtHjg7vsX7 WoWtqata4CuXrJYm92RvfAGM+LLd5Mk0hSwRtXDRmtkjDJDFz5Z+efui5YJBrqlrQ2X2ZbJ52IGK OqQwnVisvP9hAqZM9Syvbrun4z3Xl98E6FnK1LfCrfO4xiTm5MZ7Ui9tHSv+daljaE78X1hEzJQx XtU3BPp1VW4lUU7GNSZlGno6APMDIWYUGrI1PrhcOblG0+qmfCPT7k0nsAmVg2OSHSRUeAQJOta7 l1H+Fo+Cb7tiDN6RVxeZnURU5BQNxKSFs/zERVnqM+X9RXzj+5BTta7mNvN7v1rzGoiQCqQXnIDT umJqtu1xlDYrLGAU2qUAClga4+gUM5GiRaN2Tdu4buvzANXn3kpuB8c+IMpuhWBYBBasRZE+MEUi 5CNBZUF2DPp0AgXn3sDQ7Edj8MAHFIgcuXeC6veDRkKvQwQd3HPx3/NDVq3QqHGQr2PwO+7NUztE /aTNoVMoewsjNqgjgYGafgoSmQXwQTJXwSMj4hI0pGbYJKnBtAH+yexuKmLukuIWDtV0b01kkxQH FaBCi4lRGkPipS03i+1J1IMPYfWionO6L0z7Nxlrl9sPnXcVu1pOth4E00KBnJ3xQKV9q36PBGA5 ONOamWATYwxQSKZ1sWocFaoRsXaydFKLSk8cmNwmGosv6nKCUeUCeFqeB73p2/EyGSrdN33ycyug SYjV63ssjefV+nv7+lhxMvKF79GG23jFEpfi3XOuG2AR9DJzYAJObA2pBYl9kRwxuc6BI6evojEj /oW+ZTrqqCToGE+Girea92Wj1IOrd/A3rvewpqHZtjFVWvOenNhEk4YUZdfmYBmxgLd+iljXBylq E1rPmy/nQxDKulyDN0UyCQoFHUNMICQhHRi4OXo6zuFth/oYsmSHwkLVwRfjqT9wG+J3Z28pxec4 6irKge5p5YH/b2RfQzvXKLja5RISRQ4r34iq9cR+SeUH3xQ6j5cA+E/pLVXcJ1X0F0nbvVPH9GE1 TRivaUuocVOKW2gRAnpJJf3zdZs2woeNFvQN3Uw5jAACAch531/hLh1tlpIozGqoUL3MHrsyzkdG Kt+K0lEzLVo8sFPz6c3f/k4AGsFkBYtmk1EbgA4TKNfSxNKl/Qr8SJuNy969qOVVlzUBcz9uffaq /Eqsl8kDQVUjU0Rp+FgSzQwNGNmb/Ovdi8t5HvyBHJ1JR3OzcFl6TjnKIH0ldOPKksYlmOCdZ/0e /y3dsNvF/bjghlXoQDAX5T1hrHHIuRByVrh9L8a8qcVrBK0GpV1/7zpca5GP0wbkoFih7V5N162E CRNexqKxA4ao+SEnawjof3GuxZ9NFuKwv2oaZTlk7YKy+QiuLFxBNC0cLg4cRRVHfuGH4XaHhMEN 4Sd3wEsvF0nojXEu5UklV1YZJ32CgExOh9k1Gkxz+1zvIH7pcJvuVk8WkQOV8HyjkvlNlomQHQTI ZxgbRvUk1WOCLPVEko74K1FvcIIQBH6MkM+/BBQE0qMb1eT3SYOzJqYj+O4rlgvAW43/qf+k6skF RPeeFrbepWwv4mRaoxHEqy18W5qjLx6eSmD9HsJ362PaAn7DIb/EGq192H3vmNY8AdT59vMWDqGm InI6+AgynP94B8pvObrqjOSrW7/bia2plkzMh+iyYoBLDPd02NFD0VtTlTBtqULTPDiZdmr7LmgJ xcVdBHTaajFX58jj9RYvrWnzcJjXH5aYE30L2YfFxMYfMdAXvKw/74e2Z+x3zxlAraefhS/trKts FlmuphFEZ6RsHU7R/dxSZ8yMulJO08Kdf5KpCxsCyaqcYop1CWo7+NLXLWLB7yDiRM9mU8YKixo7 MWdI3KoJJIDGP7wzjofAUD2YzOOZsgoET+c5whzuiLXfeOVtWZLsVMBcJCk1dPM8RL6/GSmFxBHm MX8C24hZ5og0jlUeOjfsAC80hlvNZ4u84CijW0WDwXk+xob/T9HdBFxupTMTBtYu4p1fy+XeAJlg 8Ce58Wy36uH9SHrqZAdr/Fc+EN5q+OZWB69ewB8cc23jB4ncy1mfws/XlJvwu8yClcTW5CoEz/GT 6rQb1FkgVSr9BYkRY0pMQ8s0A4MssC9IgZLdI8ve8QSqDvEAMYTBFk2241XBQCO7AGveJI/1/pTH wISu9PCP0V5g6g4ZeIlsJNeulqOtCnmtBJooRT+q1ECx8RqknUMlENrEmccBfM+ChIQKclIBuTcM LmcvtkDfOmYFNkMCI6LRFoCo9UD/4gvAw3ujKPa+URhruPIPMPZgFfTBWKVDzEJynSPwdHGZmTkE t7XH51gw/sOA4CHkpDF5pYWyH4klReIOX6+BoPNwv+h8AqaZNQd9ca0C8IztGLHbitHP7+YsD2hi pJUakUJ6LwfeOxEbUIM4S+r2BEiW5Kq5vEM3HQru8FSuVF2JSn2jdzOdVRChOYWz8QLZVix0gUvF s6/BV0sLYY0KIB2INfNayJeCq0yN1W04JmnNjUx21oN5i8o2+cwYRbiR3EggGTpjhz0IlOAkm1VG DfLh0RMX2KfOkztmq9+zcf6WVPZzEZJ5WPMeg+106I0EtGfzo1VzlQwVGzAIaju/0RMlKvacxUKt yv4pVxRUtCSkCq6DCSe3eTHtFQDX0y2OGFAoR5tUoOu5LosgSbTm3czNxZdcRW6kHfcrzb1DWzT7 G/kKgS67AYuOdBePFKEpYGRA6wbEbysEUOH5u8qWb9KckGjS0xOiY9M9MgboxE8UxX3EslrJCNCu nAV8aQ+KcjgV5cNU4w+GfBw6Hgc2Qivr1+vFpW7QAclt3eQMwzaRQcZR/MBMiu9bcxHS6zBfO7ed eXvXHvYGPW9a1XpXV7gYvDPoCufhNHyjQCtfAYypiYqJ6b313meB6iRkwWr5yCVMVqfUnQC2UdFV IKSic3OmgJMKwtPrsc02kOpbitsgFKy8vL/WUTP3/Su7B8oe28a6Br/FRiWw/UsM5x1T2t5pHCs/ pU0dHNn6ygerEu3R23wi+WvzNdcWOjBWKT1cgS87g4dhSOylAW6fQUrMv02SxG0XmwXjG9v5eIn/ AfH8CV0AcYgpsbxhduzTuFRWGfyXsN/6NuQs71475vY/8IDiwo8vAknDvNPWTiYuqPPJC88zSY5X 0ccEzSCj7Ech1y7J4OCE1E6Eb2WH1vt0WlWvBJFHI6H7SPQRTwXTjTaWx/bAorkRRxyhYWTwFnhD vmRa7oENDeABU/ETpmbYVhylcPKwwEGivQ0ZCp5EDW5nZshl3l8AgTj8FKQU9onICjtagKEvcQZl fUuHCtIHxXjc4DwWf2l72BUiKXu4m9qRcZler+e6AlrIqxBGe2dIOS0I2VUrfQt4YlyR+p6mEkB/ cKC946Fg/rs/zXudtTEQ2LtgUmW9gF4OdOVcqf1JrzCBZJPgKYJoPRtFsxomaZrDzpsEfCjMPeDp UyAtIhEG34EIPzkG/Z+pc/hEFqYXE8HUH1S+RmCqVqKdONSKykDoA4Z6DIaOq1NQG2aEK+nTStJo LRalYJlunFD2EvtgPNTBe/2s8pdCwkRAR42/LvLhkfSRFwqXGJ1TRPAmSAp36Bl/8CltfQPlcTlS E2voe/8PnQKVFu5vqPVFirkFWYbdrBwBXlJg2hePOT5SAQw6oJWwVsA0WQaaYJVXcjJxFT0FiTiQ hIv5wmQQKuh/qYDFSKtQB3X/Qs4PaRuYYEHmZf+3gEJrGG7G6fcyWJdRUyow4AA258cedq5DkgFy jvuIau47XBmKVid8umGB0237sjf07YkKuspZK+bDHOViQSL9KmslO+OM64xhtqq+jGJnZZjYOpK3 w+TkRuXHLGlvkK5AVSCX0czy33L+JM+LsGPDYUxoxhXebfCyd3B1WMCZkAMSjxRltURW4hWM96gB QOpNd/QAUl0f0aQt74k1zj9gkpZ8LnijlPwEGn5Sin/j2qJWOlSixJfCYm1HBy4QC2TxBdKa6Vwe RA1z9yKFUfqSiwp8SR/t7z5DHdTymPJm73p0nPOtSaBQJjtivddVQOAE/LAFKX20ssF48ien2bNe IKdYySMeSU1I6cb7bxGlD42yDBMrTsBZ03PsByTxJ1c5R00Rwhd3wjNQq95+q5ZBJX0sxWqx2VJ6 M5ZjYB46ulwOsFgN5PRAG7H/0SD3obi5VEiFGIrHkVzHgpm9jGDXVaxfZfWV9w+gVPBTTU0uyZwr WXpIALj5oykRclfy24Qc4nlR8gqE1lXCTbSf2tGNzH0pmoNrDO1AnYx758kA4dURFEf/CTgNDGKj eIkuQtFV9MW8NmCM3ByBqo+HRtVIexgdUTCXuLpyTSHqMAI5EHsrkMnSWWCQF1Vgr0AmzafqqikI rohauL1p4UX2NCGzgZhX4KIA/4rIsB8Sevy60tmb2gSZJwEd4Zv9w+uB8qSl2+pMQXOvqqJ+pVFi /2yYRb9+5EdAXGjpfyg8svvPD1QTDf/2sKvrZ16m/2kFJmqD1vz5bvXSNof9d1q35rRmpIqW49/U 5z+MhonP48KYtYBUd5oSbdHhitvksCxvdGZnmSO75g1PL8D35IDBeL7S5xKj8OaAp7Miol77wWSQ IeqssZQS9AdkFjpDgdvbudm4WwYaakKURoo0KpdLQGzI46wQOmjGt+GulJwJ0K7W2fNWKggb06sn QyVDYUo+BomKuhtXyJmThXWlEnFAnoAyjtqpfLG7vftuoPvDb0ptepMnt3H78lAzHEN+iE/lgQtU COZG/X9hOR2hskuCpnuDyIKEDS8QTpH2JwNcspMfNXNIu4JDMNDSgHKRwmsgE16rBRedNLC6bxvq /eYUbevWLin4q4u76S/HVArXxSjNUtuas5io2aHBkdCgsmRTnZJIAQwYn1frKM8SPYo2LBK4isbz t7QnuJy3nXNBKMpD4XR+axs5d+xon9pIx7KrnVOMFlB1WCe23NWInHCTWovflmjt8c5F95MbvUNO Fab/edd8Bau92NSFnajpe+I6BzghfR0mC/FKog5mZDRtwNCDc/vOz5hw8NsoCL8VwvQy1K9vyTCs gErDG3gThoYTkuaglSCURDM5cuf2ID83SMtpj5iY231QyqHONCa3epnqd1nkLQ4qZ/g3jb4790An BIy67VFszcK+fC7s8zXemhs8tqq0OUNGxm1L0Lmp7yVVAym1g6Y4ClgVlogghXM3z6F3WJxUOUCn 5Hd7HsPS0SRJq7M+Wxwey48AFRp2Kc370fip0bpcgQzooMDuuWD047bj2nXoMC0LFAFUPi6/2IBQ UrF+undDjhoj27mVIuwUvWOUvLWx6yZ/TObq+RFm/VZQNf4nYcvMTROSbMP+3zERkl5L78umDbs1 i8ifkOALYAphgGUz4avS1jIKMtIOdZ0W2UtgqzwIeBTW7iq4xbZEQ4Z8S8dLs3QAJu+yeIDJ5dnQ 2wowlliDuBSkGWcQlEoc5JpjtgY4J3ffdTDytYtZVCTZ6P7PZjsjWRq17IEURfZnsYGLb7UBPjSn /gp97tF0nS1EyN3oAdby81U8gZ8nPSypfvxTL7v3grW5FK5/RxRIZewUHuahnUL5eePtZS0oGrqc GvZxiKq4gqsNOJsRG+dXxhYcYPAcy5MOZ6PDSn9/p7TXwD7ZIrlr2+V1n8yOljuScopEBEGO6ofZ hYzrFiZam4j8ZdWnGxiau+eCz/5Z0CvSr2gXorjCi2T1BKlWpguI+x04Sdl6tMTNCBI95oczieWy Y861xCpDUqOq0Vnt7CQYkPzUPxpDk/5VOMIVmQTXGgmV9GBYdfI2GWGch9gkp+paj5rhgpjMUGuV szrpMYgq4CE6hawDHUE62ElfIfPocEnfSUzRszSlNd+FV2uJh3oWCApqxV37r57tJehtdd83hWuy QLCBvupztncn3M+xF2NjccJO9ifzIgJCxBN1Mfsqk0Stf9fqXhYnRN/t3HzVa0Va6SOxwbyjGlWI y2fN82FtvmOnK9h3+aTUQKB9DjsJnjW7hcJyI9CGErdfvOORhNTLMaJ1H7WDOrEj0ZOM8MyGqsnQ cJgsMyOcAYzF4vgktPm1omkbH53lLP7pZ+tNyNP1lWBAH44nLAAI8oTg2pR6N+NTjN2FnpCpeoPN VNRrMJHl66+syPrIzpJLahv3Ymi4pi6Og3gO2IzweIDZgfnf4I8L5S66CHBUHk22YttM/ai2kLZe 3zJ8SrAzgQgvG9bb35OVd6jrftHbsxJmpkQY7c58mPUM4luF7H7LcTVmWu5zz4zzdoVvlXk1bfsb RMF+2KXGJjQEEl2ZOfTRzs0llvNYKupFftah0Y/18PN2ttpwffWVtoSe77YiNEchLLvLe1wVAFCe UGPCjuj7mYXuxzmRzlp4unQPcynX3hmHrJ2egrxfnj2ojc9dt22anxJ0CQOz22tNQ6QqC/XkOVmy EXpPDwutteW6Tn1FD7vVvM2UBO0X7vEvAcvLsG3jflmxcsiMCJ7bzT9gpKa8ik+gpdne/TCQa9pL o3/NF/zKcPqA3093CuMepsRooi5fQVk2KR4YykLa74Dzzn6GZhy0UWZlQ57q/yjy9dbthn5VCyq1 WGGG9nRaN0xGJrB5p69SW23lYoMwt42Vq9/tXzIPC4j1ND6e2+yMq+POt50R5A5nq8V8OGlE1ux/ jdltbiISMLLrzjrcNUUcToZvuez/FEoNJLbUVlepVxxuOwyfBHcPdKLjs1YwVnuGZ/fb+QpmdSmq kLeOb6zCJVhJLfYOmoANGbVlqp3J3dK1bV2RJbnqxeh2LSuMkvZ3+7pvk43rvAGyK3oJFJ+1n6O1 05+Y63ynHHH6/yBCNX8wso5GNV0Ay/dSAqGbAYiLSXOigHkhbCxWmH09OPCC9Te5LHZvNOrnpt7z hquTYLxdcLTdFQ+su1ARWSSV+H5GAoTqPAP7AsYG8EPfKRvdUzNbr0+KomdJclg3OY3y/ziMJi8a mkdQ1sMG0DrT0acy2dhXK/hNwbiOTVfyXSFwx2jLDYOdVf5RyBlrf7gAuhd2pjRhHr6kAbXGBQ+B ecYevZu0kXefiTXCvNe/GqMte7OluLS5h7tJQu5n0hKtJjOiXgvVjA+8U3Gh/mM/q9xsTgvfJnGc 59hjwsjmcO7Il38P0VpdsSwuAhRWtGjRe84qgdVUodVWvmfSb6Jjfw7VZmbMjWB4kxqbZ6WR2g5m kfiZElDSIub4On3+zb5KhK0AzjPaQeAA1Co+/ND7qCVebwQFz4Qtqb+rcNlvWiSCjawLZqG4/lvT B1Z2Jt/B+hU2g7DHh8EJtwHCMHaKwqkthx/G1Y2Y8+3vKyL0ckVldbg05EPFsOwcUSX82RIietKy 52yus+znhm2MufsxohLBQE3FKSGnCJBDIw0XzwDYLU9UTGupt6RyQQz3Pt9Y+GAi4lp4sJex2CsJ QxXGEYbp3RJk0ExX6RwBc1fCqXGodWnW/vIrOXlDwQnZlRZTXXck8CJZQ/O3mJapZNwiX0v9sKcm D7v6bxm5RQPg/kT1VO/FrlJDqJRThd//D9iZDs16nu5EXss8LhuRemEAhR8RLHjYdqMASepDsMYi A1EEOglRxt3QWZp5SDfYhk9WNWQdpghdf/NbKqGY3ooqq0v9S0UPD10AJUDcnRSlNrYTy7OfhGN3 EW3f3yUkyNEcTDgdrSujfbmCzF8gsXwpiPbhNbh91zU4XhMqIYeU1J0t6SGSpk1OI7EbY8TzSeNY Y6SRBpdFiB3jLISgpeG+pu3y44HoJMoMQLUxMHsnnUiCzRyoqR19vRsn/CkrRYpXP4+GH/OvriPE JCN/gLtlHftOnoAqlgog7gRs9M3X9/r6bHedb2Iuzi+lWeeAPiZNuaUPkrmj8ydur6yfjJRvfjsO wDxpX8hculvqfChdXxQrpc0zxLh0RmeSVD0x1DhdE1wKUXhPEY1H6TaxtaFw9qXOacYgojQ/RzuP nicfbNakt9QwhhrhFHT6f2svKwmUqwDUSUE6OfNMmgtL6kSvcSMEUjrbWCD5LcRYbAuVDY7SqcRW ISERYgFMvUHi9CvdKeq2v8KHcItPoZjTjyWfmiFVYzrXz6KjMfNApklMrQiytuAc68n7HW0PNXMg s5QJDktyGRVynwGsGHNIB3DJc3aIUHv66KbnylPUi+nceCUoojrBgS/q2USL1t1FQqsDMZvkQESf 8L8iB4sqPmZ5WlJ1xVRpW9euJsW9/KZHkAGApuA2YlPLV6+RHpE/xGEn4n1Tpmd5SzB9yJGW7Ych dA/UT6BurE0fE6IwspgT7O4VUNjX3xlP70rBvoyTV8cxMe1KtZJiey38xMwvXVc7OwXS7UsSA67N QuwkyphR1ZOt1fpZP/z1HR/gOTgKmj9JH2nKYFpc+j08/d2kPmeRnvF/7jRTfnCcr/0Dymde3L1u mDYsb2DzaYcsM7TfhHZV1AYOtPy+/S79ncA2OIcGWW2fb+XSuxeDqWsUX2NF/IFR1Hembr3o/SW0 h7633sWRr3IG/CMfZQfmv39gtewSiTk9NsKNraEoJCaCueFF7k/SkDk/AaIMha/6Qr5xe4ZvGZjF iE8GI/Z5nIrVNFNETeNdvg7OX9ZytwxULJBuUgnyqQHbr4IBYEOy5VJys40diazWSLJRUT9LuiNa lXaIhGt9zSfUX/VIRqTE/CGwlaX6X/MegfkLXq1QD3MrrxQ5zopEFgJXHIx03r2W8DQ8MU7b5vNx 2UkM/zy5OyoSIQK4x6J2p+1BlG1p1ZOmYNTGI1iSZUdG48kb9EiG339w6kwZkBxZy6daoVcfdA6L hHRtuFsanP5PM7oZPugaiAENrAYx2as4CHy6GWlWspoyglWovnYiky9dlBBN7M/IsDFhEx9izthQ 7q+wZ0n/kiURLAidokIxVYm+G3ta8V/DkrVwkm6YyP5azoz0OwESkYX5ZuaQGAkU2FyJw5CV5YLd tbMz+tAImpkK5uFXejK2xgZf12Jbh77ygyb4stjbiH6VZY8/a81TDMHOsPpZ+o/hbNzIeeQD9jSL J3H5eNfAjWdZ00C/s4UCdjLBysnBOalqqkPTIwm+Jegp31KVT8RLEyQixalOkqK9Y+JiBL+t62by gfwF9ro9QPI3RvXra0JIKMn18YIZo9cvvH3BNRPJtIPpiZ1Ym7DOaGFfHqW/9DPE4SoBOFatsofO 24LJJZjQYCAnhL7Zw1wA0Fx1Lh6kIlxleXu+mg7IQ8x68two7GuuqwP04vju4Ezqs2EwqUTJ8xkk ZQ7W5o/wmumriNWiF6kBL+7VK+KaWi4u5xB08dk0FxVw/Iy9DqCpQS4ldq/qEZsJXMeHI0pkQyg0 p5FH0jwL1ELjkhSVvSi56gijBkNzTwqOFnltcnuAEur8j1RGhMrHQ15YB29Bj+U0SpwGjHljz65y aeF5L2XOiDuhUkI1NHwasA9vGeR1B3fdTYgEqyllAm7ngQmLRd4i6Kg603kdkhtVSKvJ3lskhK0b 0elnDtVqDcpW/q22cSUFYlV7lpbKHZHUGMrytlgMbLrta8/Hi0Upl9pbnWzB3IEH2J+ifjDbuuRp PhuJ1//uTBUuwB851G4eVTmUZvg3ZaO0yX11RO70OBrbB2XR8r/TPwiE/Zf7955UjlpKcMp3U/kC LtwfTYD5zh54gz4hYhhJ+CdlXdY24rcj6ViUFeVTkcwcCqMnsWUQWCPnwOUeiWLXA1aunG84UQXi 3GrwBfVsUwms655MtJaReIqhSWAs7+7JemPv5qqbvI0qa3iKXvVkfPWOfQmAt5s990ggKmT2VcmV fFyYVmndJzyF37kg2sgDFIBYlWACWy4UYOcAx+2gidnAnudCtwRCSHNDRBGfviprf5ahZY4t3JC0 Og8ezlnlsi9m+MQlD+8U60E0R2/pPOXpHJpaTqJ7zU5q79wzsKhAuoiVqes+6Tgm8vzAqkMtnSmO HyLqXdQaIXtRCAP/3HPW767EXO+F8HcK+8nr+1UGMrG3tZXbuHDaBG0y5w4QbNGNdanGiYvrwCxT 4VMMZRVN/P3IcYwFQZYP3TX8Zy4cFkNVJiYP2/5+K6nHR47d4bk9bbon7vW2XEI75lcMsexQkZD2 bmDktUVCr5TJIe8zoUGknigWYNLWmtXl1y08Fejodbx1tcW9wj2RsFmWY78AM6LzVhoSVcI/EjJa jI2MubadTubz92wGWe0ibnY3biMlWE2g7Ir0VbSjaCbeEf4GH+K6X6mJnWrlALEaPQWiZ+1S3+sq /44/F1g7ZimEeun3O5lkhIftmSdqhkJzrThYpqNR/CBsDZkbqcnk13ciXQWYZQceHizKJkugNhgf fsqYbyAOHWuSjOZkQmFkvcRu2cO859pliOxdvq0DxFJkx06EsiBE8kD5s1bVNW/udI7+8OALd2/6 gW1Ko6Ssbvu8EGLDaly+v9yQscMCnTwqZbzw1qb0GY6QnXSDloHdJVbjIdn5L9lzIOmsezU57DFU EuVcS7XMR4hw9ulxUMK0kkZ3uLUjwXq6hHwqmYSuSQTc6eardTPsJ8921M5dv5mvlTDba91rHsjX Q3zl9UvyKLA45uEs3d3FANAUZ3Z3vhfVEn7SyfiCyozU+ZFm/vMLffgKSZ1TNrnOnXrKTqxGf32A UwSqf7+TzhhO7jtUrkrcovJGKn0+aA6yMVUZaCLE1df1NRtmcxVCitZSY86fNPuS6DTUrFVWM5Vy +iAdBmu+hcPgRWYGDkyyh0yQV9jGtgi0xNDXuhw2tI9TAJ35H47TvcO6RLiE1vW/9GsaelFhGvW2 f43R08ePs4CZ7io9VUIu7auv2RZpp21l0mKqEUsJBgRks12XTVKHNqb3/eWoVyhJZr0AWRZjGm3b xmCCXoT6WUQaw2hfOmZ6JOSWFMEHCeiujKsM1pRAcWsuDiVpHdo42EmKTcXlPxt73yeNBuMb4e8y zAn4siMqFJIoT3ZbFh6770aKpqe/UW/+6i0sLNfDox0wLt6p5WnNBL920ceaiH1U9U/rJU4njbsC GRr502Eq0OdmvyutKQpIxD3zy6B0sFtX8sKHE0HZjOTEBZtI6g77asRT4d6D41u7kwAuGoXXJ+KW gIgNapnmxh7nrwEp71tXI/u2H80AKtjadjMOCY1kIPTHAiyh+y8IVhAONGjX9pxqpGgV8PVkvWuY DwYaQz44mVgqbqZtmG/FTklLC1v15FDZ3I+RVxKNRv217+P2BvOnCqxF01GEUN5uKki2Jvg3TJyj 1xwiAsp7huOW0gI1ucWZhiseHcKpno/isNizhciriQ9CqQkV/AkP96tPwiiTzRmGDf9mk2TurV5t iAstaIxzVwBAeZHQwKx1ThxXGegjkLRCEU+kpWdkAgjj2N7P2oPDoiHUUJcyVeB8g9OT3MNQeGS9 0Xg0ISDNyYSmFrJHx8xIjJrvGKrZzBxW8nJCEaMGE9JKoN6CHLZsFderGNfaQQV3jQPeyZP3AhgY YkSu6RmsgzleplEmofWpRgCD3OD5zXutOAJXZ8KyUwmkbU4JWT0wkHMefw4kl0Mzm451GFSOvRKq sWARD32uzNiKQ5IdvBaxjhxbBVaLvwx2XMPjRTbYWf6k2Uf5ybv/DNkkhcfQYZ81J8q+nOcBGmM+ BfXrAZGtcee6PNoKAz+xjhyGxjTzUpRBP+tyxHAO+bhZL2Uy/NgHjp/sPT3ODj6jgoH25wGck6ov p5wwLZjk7ImrVsSoz4Xm+P3UMoBAHtZ/xtz9LJzY+rS4Gw/WZUP14iZlPesdcr0t5fP2GifVwsc4 tqz0DbyEhiBFehKm5TVU6eZxtXBuSrmVH0znQoCV66G29IlWq6e3MJSRA+W+ONbRfN/9r/8Ztxkw qIIeWJ1tux1Qxvu26dTigPFdICUCSob6kRVDlzGfGCUmOoMWFInMJEJhOSuou40rbVoK6RsD8TGS rfJ6FgGjGiSUG7HVwn9/3oxJz/l8nWAlYktMMjX57ozqq8v3RBLM3cBvSBDix7uFl4o8aI/pwl++ rWeu/08rAyzPQseJzaFiMYq7YaxCglgGTZxZR3M2FBI6GpXdHj6nVweEhytFB4C+SbmczvLrZXcS l0X6xLRVOqsluUJyTQj2NZKBkxkw2OxTp13qpaIX5igJp2txMmYl3uq3+6P4IYACdW6VCxGltk2A Ly3tsNWIg/Qw6TD/xAALrihgrv/xtFq476OGisdBGW0osHY2Nb/AW/dYm1dK1GomG5vpqKpjLXPJ yA0kKlFvJ+2cW4fclxeiz1IbtbH6/gQuMv7BcPwgxtkWC9N8Ne/c/kvdQcljFB1JBH/uOFYb9YFv VDxurXAzfLqxVd6PvAb9F7LknrGQw4y52iZ8TjJHvEY/a1ui1EwrTKx7SG0ZI9q1nQAzBsR//rG/ XSuz3Q3PGPUg6FHxVghSsztHYAzQN3B0PLdoZEtffEoJlzn1tmmxz5inALI1CoWoHM9BIJfy525C RxnqHsCDZZF+89f19AAqWBIE1CJTEuTiOZC4KD9JUuGqi7wc0N/4Se1VU1SsAO8UzGEzLtFw5+YI d3z0XsTOBcJn9Wv1HoLjO9kXhsZV6MhQU2G9RbSOHnhS6W0AH5+AigB9TZyIDhZdCA8bf+UpAkVN Cj3NAftJePvxnSg7lQAxGhbaUi/2a0acutn6nk7ziqM7uGi54SSj9to2bMP7Fu1Et7yFy+IUcuxa IKcrDFYtUsMND7j/w/41h+YMpFo4KIvfvGFqkqovdE7qgnqhlsUiJ4lJ9Bi+ul3RFS091yu4/zBS YkuN1h9O23clHuNRES4sMOYDPsEcMW6IPl1Q4h+aw+yK/q1GKHZRR3uyI6VQTHxMl3E0SbjdEENV oj6c4IL75NNRWcB2bxE9Dxr6R5BFMUJgQ6+g4UlAr++NIj/wWfZbYPjQ+t/JJFfOrLQKw2Wuj9Ui jSJVwZJglY0yGYDIHKqJxtlXMzZHSs7IXEN48bDriFGNiDq7ojzljCcjjogj8SDmr0zv49Cp0fUm nXwx/smeozJrfaGoYAKCKXd4w9t+oc2Vnj4HzunuCU1qeNF8JeP8QJUU/Ng0HO3dbf3juGPq8v/Q qYmr8o/4gy9WqjUzUZsUpHBpV6Ek3Ls/UpIYaFDy+dY9IFyP/bLf2zxHScDZEG0YkfTqo8AnrFp/ Xm+m0aEaedHdk+ZrcgIjO0PgGU7GUpuOrysobfObMnPUhK+cQUOxBQ+tRjk90CS3RWt9Uen/TnGf sUTXGprkRl6AGZTOrfZfgp0biqLDDjHCMZAs/BmTItqmznFi7Kt+C0fJTSFWVPWYX0eZ8wXo8QeE RJlX9OrKg4mhyjvAa5RV9kKia78ZbtqV3osHNPQHybt32338SA8oZokFbbnx7BtVfUaDpo5rzpOj aq/hCWcsJKIZ8S5QaDtHtBfBwkDeWkMsnINepCoj6HQj6AU8Epkl7ZroUUjMVajsV7zE+1wv97wI INAke2vKIdChKGlVbJpHNB4kJ7eNNr3fg4JsahphM+LsIG7bR80SUJ9VZ3wgsWqZTTUV2wejEKXF r2AU8dW4E3/MwnSdfj49hJvv+FehrAxV4748Pu/vofrWEaekIPR2NShtxlhJgUMzQWvf21PzlxEY RYoJ1VKFvfzguoEyGgpJy9r2QdDVMHbRmvuzSw770IbuTo9sDBY8mvKF6Dl9TWaHSOp/otv8ONpm OAr8NIwI5Q7FO12wT4WwyJSSDuGcIak91REVLfvjOLdWsdQzs49qVaWx7dZBL8NYakACX8M+kqEE BfhDVoczrTveZ3EdHz5iZc4mvMEqrGpbVwzhbsjmmzNCO2aqzrmGiXZxNR726RO5Q4ATPBGgWPhh 1I/oUoi98cQ4gWgvbQFcE5xS9XK9VdIVWq/PYslSa9EaXYcyxED2EzE1EHAjKjhOrq0R2CpwRkgM KkXpSCCOBXpdEQjOtxk2evXWZEfgYb8/OImR6kSZ7+eT8tJD/W4Arr9lO8IUV/kagDJXXsqNFa8w hBWfJeBhTawOBgT7MdSH88pLOaOp3px8jqtsZvcYgKvF24s4i3uNFBB4IMqbTgXdsbQPCw6DUn3S lhObDRbvujy3pRusCG2Hcn1v9AXJ4hIUV6IX8OLhNmELeqYmNtmstn5d1hgZ2AmNaLcJOLfoGWoC asDqxg+36eFy68cktlYBUo3eId+BeVx+6tpS9sqgxOPatsYPOadCdfOPnlTQnkPn0NaSHYqeJ1zp JZYWAXQKDv+7vHyq8F+/2Asn5qqdtb+QKn+GIw+889g56qaQifPwdY6butoaMi3iqiRTdhG6iakW 9Fv0tny1HGs2l8jJXEFXKp/zLwUoW0ZOE/wx/LhFspDlKB2SDYbSAayKdhUeth1x2oeXyXUUK8Ts wowOopeEW18whqWIhKl+VyjRqAdPT0KO28LT0S4BZ8klGOJ6DDh769BptihSQM3hBvgHtt8JAGHB Po3qjI5J88DaLMDg0mH6eC+S2TlnU4yq85vPJNK3ol+YWW8R3CZMWVu9+SPabl8DoN4TUUDgE0lQ gcbbHSTskntSFouXp7844o08CXuR1nN/c5MtkxTttSPgsIytygk/FbyaQiZHAafZmqKOd3dGHKjV rsAW9Jh1f1FpYsVvlHFjgfCeYxegvLja53vf1ux20ami+GBvQMNoj6r8/u4E8ImFyQn+w0Gz019W ZXBF9qApKRrxGTEkWr/lQfIJuEd2VH2cuQ0y0fumw6VfYtECagsdeW6VUhMyV3uc6ZGwsXbWLB51 7cYrYoJzwEje46zFALaMLdeUSmuiN4/6Dio/06ZQZUZWwjQokfYNm02WpVQRv3kNmHXx7nwWORMZ KTTsOftsWAk79XW1IgwW6t/CqbhFIJtMxlPM2jjliAL1WT6M1Vvh8QkQyhhlTxVu3Le8SY8clf/S +B9YXoR7Ys1meuXlSsfWNaKfAXPn5l+27FuMFLNn2GVlBgaIfKI42cfl+mK3cOFHr0pE4KZQRWW8 gokkGEdZQE2s6yUp1iXQgz1Pr/UhPWCzeQpUKuhORnuyIlOXQcDNnXyqvfSR72kvTmWcnXW9WrGB y1g/ubqjm6OwQWjaVgqjuuk6MnTSACW/ssgiFbb0Rv9TlpOA6ernoOftd/KS8A/9D5QE81FMxs7k 0nfpJ1qy6G3xAAoO3s3zE36B18bFw2DuRCURvsKZDjDycAdhHfzj8NZF6GjodARrlFf4xAPGSb/b 45fel1+xt2N/YFP4wHCynWLPim4VTqMifnzGitjRi71aX6D2GUkgN5LVLZ4EIyNksA3ZB8aPalM0 4aDU/8Br6pHIOyDQcL0IaHk5jyuOErcaRBVZxkXjK2TXOgAB8WvaaK51Ydpop4Yy/7KwNgn6SRwl o6SWGiaJcpQf8X73cjMpsV3KbflvA+09UIuDn2OC4OoaIIzMmj1C4/7O0yc3+sJ+f+/5Vg2gp5Pb G0yChpkgKY/CFC/kVDqiUvdTbLCEPf1CH59EGXlv0RcXEIsv51L6LnvyTMilT7HYT0tytvZ/QFHd NOU+kVNjJo0Y38RTuxkut/Fhhz2Tc2NAxbE8O8KnVazuL4WSsRyQ10LPghrk3aj82/cPmStyft3/ kIXisDh+CGOUeQWR364WDMqxmgV0gWABObmB+DvxKVj3tUMtwZGIQEEGLorwKu9sbEOIqJ5BG62w m13pEasWaEW9kVsbHCkiYQBuH+1lQMOmw+y1VhWnLW4BitV3W22e8+hxy5JtSozk8Yf0mZ+MnWty TNYSeUAfaoep/KonBqOnb95oOTEDJM05HZyiwluEPQDvrdSf9989aGSJHRpqd2LWS2qk/INTh6iL quXunC3JYx9hA9XxfsfuAbbmpRUhG3M0dYSriOPM8bKizvzaxFLFBdoGBkf/uuSug0GHUOdIZaKo QS99j3vpYzFD+GYTzotk9pS3NRb0Vp/QAmlfE/VL6pKKbl7IKObTqTi8ky2kb0pLfWbDpCizq76U DXmTuh3dvvgvHpG6xlAGnBdJBJv3gbvNa+NGWD/c46vLSwqbr5lj11DZwQiIi7Y44Bc7jLGKtHVC vpaU7Iq4pwFlQlvPpKr5pf+Kj8+STEndHNnTYKQY9R9V19YtB7aSGKj3bSZ+BVE7MeU21Fs7aWh2 N6L7YQ6HwhR7zI5X2IY1/Keja2bPan0et9d0hr8mohlKQw+ie3uL2urfn5vFdV7wwg/zhcozbMZQ rmPVq44P+nzbt9ASGM+EYsju/wQ6XKxYZgxrTwef3j4z52MhXO+uT5hiz5iY/axCLc1pg3YL6Sr7 Vtw3ja49ZXhX9ZgL3lkw8azP21l9dsYY1B4Ph05WZz2N4wUZGa/wKjVXHHSgI19XEUSmfMadzuCP 2ysV1shjENmDksILKlD2mpkF1lh3DEHgcuaNZBoKuV2EmErPqahHxRLYdwvAVKQG2oA/xTViS4xx tUUTiWOIHZo946pI9pCUcZ4l+4IfseYxG90f+xFS5tezANYkWHovp9SK0C9jDmoxlktXZrvkXlM2 GV93EzF2nqXFBuewiB53ZUC4tjmERUzxp7zKpeJUgx+Am2hhIjS8MsrtqH79+2VDS/vlkK4FO2dS /OkDhfJcx8QgY+rDb488WOYP51PCbNhkVPxJdzpczQZImNL2P6O9oQWE3g4VDl6J9hIDGUAaj0wr gHBz634NEn6TAinhUTptFw0i/hfxEUEReNUAFxsDuNcn7CO5qFtUaHfloX6v45DcNp/xhlfUwXWY MRF/vZLa6YHuQpvUe1e9HtfWXB+8WWGJ2/JK5uxWVcDMYm1iLMwKDfKVMnRyWMNsen5JSgtmgm8A HGyTCy7qdOCdyYRB0KigBn0pRQALJTASUbgMcUSsIaMOdI/NGsQbiuLbPScxPi8pK9TgzqCKwyT5 Ly/Gkx6odlmy/QbrMFkYKtTkz0ZS12s7MBL2HdZTIB3wME7ZRiNA6rvg2dnzxaDLq0oxcx+GLqmK XXTY4HCg8qi9roPrH6Av/UY/3vKUWbOYJo4an/1Z4MrGQsjtaqMnELUa/JqQGhFX2c19GWl9sgqZ HUmCa00SLLXhsrmLh/S4V9uVBK8xxFOf3FNiNHO2xbr0y6A8OcUOwKXPhjjD8w+qXJlZ6uIL0+H4 YnzFJ5GSQCqvh4YwqMMnYE/CqodraR0lNQOseo8kbMLi+8QKUbsE5rhPRKP9brXjNd7uZ3i24WhV VrzAPtH9C7iJxT2S5NW+I2txJkXWs3mYnG75NVezfbPc8KOxae926mWQ8k30AxcMK1k5JLniOrcq 9gF8sFBqn+6IAKwUvg/MON2H+Csb3u6XtHH1Mt+sFvT0g+q9cnOUqhhIyIO4poejzTbX6LJF7Sh2 /y8RvR3gTajvIdVw8tZXPRJfvrvJN4Po39sYvMzjK0EtAlKyFpY/D9Q8pLwyYX/ReQoclDeRv2IK W+T389/jc0F0LGHkvY6cZFz9v9VTNzUr0s+ogFbUO7N6pngrV5FX+zSA4iOfYiaYnDWv5LmAsyaF /Bb8CPbY1Zg97sXdIu50OBFL07SvtIsp4V/zaip4+HwD4Pdzu8HnJlOrTJxc6G1ji6fmlUKzWwTi gDl7vFnl5yBhJhIIgJXz9lIzzfd+2gQJFtzEamf4CA/CiYt6Sd5vcVEwspm0RuJlkzz5gZgWE9Wd lmlXHsmxPux/4Yk4MKkTq/QGja426j6qA/ZylNc+Ydd49VoFfDX5Ty9CQiGyfezrMeGd7QSKYCx7 qxp+t3DU0IeAZpGbC+gOaTCXXQTBN1sk/GHRLXTzq7d4cNSa3dOehc7Pc0cjFckbvw/sziWrEHW7 tUM0Rnl/lYtv8+eeuUeSSHc7ywCcIoLvQa9O2ZfW0XebagHNjZTXv4T9ILVcN2LsvT29xGginOAE 0lyQs01brzoCmqoPFO6dUghma+GAVTlBBiPgKTUrS+7byDVwNIg+YvO6lVRLextkr1ukIYU7V6j/ f+wgr19+pnyqp8aUNAX6B623VVSp9+YUzOHDfnm6EwjILBvBi7KWGwRvLuCZw32r7pVW+jRKFZvI xKplmjZU6MiwO/m/ikbGIpZT6+dcfc4A+eeR/DRDoAyG5KPw3LlavJrZFWbsp6RRzyrackO05Xzb W8hjZLSVCDVRF1kvf9pGT+tCIUJXpbiEP4fYiRbXWhDkBiamMNEtI6CEDz3ycqiE4ZvmSKMEqFre GW+5mRHEWIAr4TEPlHX24CT6RiwEjqk4BSpC1lwwRiHAWb3I/b+eVhpzCkag26gEyqXLoMZ7pQqt lKz1JuUM5a1VmYTw6VJYUyy5f7QwpmBk38LoBxst4X2lFqiCLUzmLsg5XKuzDDV66aUqWnfXhH4A oEW9WQP12Rd5UUaLLraaJE9fEQS5fQRDk1MKHyHJVTT6Vif2WQ6g9rdtyg4cmlEREfDIIICVkwP0 JJyK/KdVQkSq4f5xSAbHm3RxG9GOX0Clo5RMKKP2BnYw5u8yk+Vjvp0hnZyYMnvuWMiVoFZa9wSp 5nNOafP9+dECW8PllcCYqXz+C5KIajTJttTgfxe2Q7mmxd1RZBy0iuQhkGfHlFHnfrWvjCdgzKGj u8Y/j2tg/qbq/iBNgO93o1Aofi/ciYctDzk3sR2VEl6sCv7o3GFM5AyNAanJTH+QJOWT87XoM+17 jURvSIsXJ1zU7h2LGwB3RjkH7gihyZjMUh1JEta/J28SmFwfGDtuCXmFt4jBgYC4T/iOoUsuyEQw MnSn9QZ8Dp6oYZr/TYysdqLgM903HBhlBCnZHGAPqYZhfkNhWeTXphI6VzUKP3/t+l2ncMRwxp+Z PAg/a0qwPX7PE4IS6rNC/tmSEEY65K5dVtg8S8HPwWg5JjAWGPS9UxaNynBG1OTxVTiCwXot6XAQ jkkcAYXnsZnYQ7MWaRzmwkTW9nnUTviYOLLSl/FXkuXZ4BM3q+PNcEl1WHCgp26udRB3ioXk3nNr sIObeIxmBwSZB6vJ4LEju9G1zWuyK5QkbdUeZ4tMtw9NoQUS42oAJzzm5eH2cKnCFiYAEoYeTrW2 eXduEAhxAkS1oVccgXnIgdzrzyXKIts7DLilbuC66eCYo7uhejKGQfEeaTJ+MSmmq3onHiblofVm PTD7vjmYy/fS+hqAR0s6re62OAXcNB8l6bNUppCyEmY/l8NRzGPwqfsw8blIWCofFPcgyHlxBacd 9g6Hm7YxqSb1bjSBLhqMoqy0sl3GltNRDADGt89lH/eM+RZVO9tuJWeUCsgcsUS9kvA2BjzJ3LFW 9ZcWTW3QMDaW0zZK39I4w4WC1B8QNkMaoReDeCyI8WOQG4LfJ39HC2qO7Q/SeZjzmbm33AcX5F0c 8F1r784UAjr7ZtnVG8lqlsydS2MmzXp4amL/RuNSmLuNGoTnaygxjuxGZOGEwHKpIaPnx9T0mlqq buHa5NAl3hMe5Avu5g21SnUIsltTu8R/ih/J5O/ZYN6XXQgNWRTmIgS/AJ8579uInypj4w07lyyA kCATY3XAmBKgXTXyMVRE4hjCVA9pCkgYmzSFzIivqPvTXD0i0fpEImHh87fB9y69QoLNRnaVNTh3 qux6Oxr1dKZnDtvIo8I/lvhQ8VGzPa/Iewo6yEz9P0Tmw19FdGpN7tABB9Whwrj2uDlqVJPKPPeE m4uciWQXKDD/6Sqw1QGJce1cmaoIHGlP01XfMye1J9NJaaBaxma5gO1o9WFQMP6GSogvCcv0B6JH ojC+WEMTjwu/4b5hh2NNjAjTwE2u/JzOR0Px6o9x4PQVVoxbIHCMUUakQeOaGDj7bjWeRWbzup4W 5N70SvLTSFyGLm8REmfB0LJ+WHxYSsmtRRWOzcqn1iZ5cA36Y6O0b20fn8vyZRYbg3sgSSlavlrw h4rob0SWLnDhHbzu3yXh7Cz/QLGgEXiJoNgA36C9mZnhQabUDpRNCYzasr1yUjKq9my+tO/sjTUF W/a7Z5eC4s+e8SthHuSXgAgPvufKX/L/T0MeSEc3GkjZZxgH33J5kfVgnd2F6degURRXQZ0gBJ/+ wTEe1hvJuP8IqHJRa4RJRZ67e+bGjbjrvxMwLDz++PtwS1pRfQ7jj0x/kZYj/kYMkxyLHJ7gIkBk if4zqeVUtUl6LbcY78MVr0g73qf6x5k6DALOAOl31LaPNTCCUTUWI8dMdISV/zeCfaxrksgK5tNl 4mMqGYt6TkTRXiiFOdGHICnSeFqPpKi11rpWOuUhgBOteDMfnYMnA3bWFXYwaw+IINrtDiFJDaqi 1zx/QReh1oTe2RahjXZFLhFwC/1WRLeUq8HSeTjibgCuADoUvzJcxUCDTzhO3FsIlxNgYVsyBYHl ZbLTxNKii/vEfC4Ud9gKtQ+yYvn4eE4TtgWQ73JU8xRS+dvWdd3++dUrI51qXklTtZBkW1jkjUVe tEHVBVYi6sBXelfarbdq8iZMhXfbIVSPoc12Wu+7+1HxZYm1+ckIMDMtdbTJXJ/BmVhlPmC+AUKN m7dtDcedk5LevNAmX2ex7T+IgQR/H8jaikXHgTqwlum+4J5cnNQaKtElb72eUVtXrB36LdPc8B3G Hay/lCzoYEXVrMTNbKnsm88AlcoRtNu9agVtommZj4yDnIUQE4U1lhSu3Bc/PkBfFI+HhJLHJWWV tF5bK/PBDGz9lDmuoj6m7fXljgnXorJ9K7ME42WuF0EagNQGs5NhDgJAVnxnFXV/XMc4vZGp2il7 G/EAbG7EI1jT7H0HaZfN3+w3kyvsPGDX1CsO+qcW6zMmhHCyo1Pgrfim99grteaCFP7nmImQOXjm vYaD/O7xVkTcGvLJfRn7k/U3QIPLTeGdoObJaZ+UPX/awAzv/5Mb9WEJ1u6NrMHsrUo8/RjeJkc2 3OieGOGUQXkAwZnDwQeISVYIPLhcZ69srYa0cOU6221p/0rz8DoZhFEGshatyc43h7zjumoVzUmj FA6qwgipjhJC1SJyuhoAUyna7/AWamu0OCG5MBfMfByceBi9jxhyqMsUtj/xm0JMBA34lkHA7E6v e2gf2un5aD+1rT+6eIMWZz+cnQ4rmLtFIawealNcI7dF8wH1MzXjcYdyUMdQmwR6QwjDeOJ+W3Z0 BNMz4mcKI0yBGxTxUch5bgJXk3cW9tJ+Sqwjh7Gjl9mLNku4Zt0q/HWWGBIHFQSltrcZ2PeFhrF+ d2iZ79pQJsxp2sXBIwuAtlwodJaJFcErI8x7vb6etkdMnYPwRlx0hfPOVVYG79atLgGlYvnTv9t1 O9Aau0ff49TPVRR/e0wtdjdjmTO0PT//1AMWOHI1mZdEOqCywp8RVlwl66qmYo4Cl+wqwULZvc3I jncOoBXCkkt3PKs0jJZ2oC+2F8DSxpgcte5r3L6sOqv6CKqwwmSQduyM8V7UzFOiiWEALRPnx0x/ vfRmiPBfvrSLoNEJziYE8o5C5eOpYEinC34RdNF8pjIdALLBaz390QPsOQJUb9trxGbWuKWo8Ye3 dYoAHTQauNlC0eYdE3dBOL0COJ1OHpeKjuwrRAat6p2jsUhNpklz3zBK5UjnQW0/pt2jo3TpLV+w YnEnRbh+S3muTYUTqwvyphqwyoi76CFmwYwQEY9BlLGj406dMGA/IM6+tP43ICn1p2grS7F0OtQP tpbdMK7OiPZjUWBbPhKfJfr4W5aKvWW7gbajOVXAowCXlurZURcq8ZEHkvtHk9Qr7JlJaOGZsjZi j6se4Q5Odxu46rqcwVi04m5ukGGAwFC+b+owyMh7uCQvYmSb8sTGq7I9+lOm5fxxqLUwqHO4ysJO PooOaFSc24bXv4OqAU5DhvMy3yrfbxEMWEgafmmxMOy+xZ6gQj0xf7zz9yu49k6mbMo4AaqqI5BE KHhVwvjkbO+N21Z+PiCCh3g01MF7OZR5YgvbJ8LQj1JvE0tvUjMD5psH/IUukQ0S9NQ9HwYf8F7y 7NBLqqnjzaPeKIQy3WGQOJ7ptO3IS98XVE2shkXQI6/xRCC/Ms79rxyJYSVia7P9+jJTz3LJmPz3 CC20K9CxqDhj/dUUI4M+0dq6D8HeFzAy3ULs/QsNsDKg9uyZodf7HTXf8cEVEgyDxOddWinHWbng BWUNgSQDIw0QK7GTMqtCw/SGj0oyLxis1EVMwlzRwEj22xh+L6lbRwqL/g341zd34/WDmcvHt6w0 jBZ8VdmTSX2afoSX8OiPlF1Hfbug/kyRivB00jxi0YC2lHGlqkf/DZlh9Z1DXLkDIgu2zZX9fbZf W+qoQ9yworceqBNW4KYANiSO6FEpCXaK5q1+9U5UynuXJU92ngol8fb+jvGathKpFjYBFMfxbNU0 eWpaJtRNuWDgsYgYLCfzlkHIsTPwpB7t9MBFyjW8AeRq+FbjczY6K/UOHxj7yMq8P10bV/Kn21H1 beVQlT2h4vdkArekD8vkCLpyGvhwMNJOzu9GXTa/G9bg68ibven6eC638yUa/Ygaum35J0t/iH5T y0SwH9M8LM6uqEHgJgalJHpUxUK58t//R+OZPzmmu5HJYqrsRA/W2EipRrTsdjrMOGhkaighErLk VKqv3Z2Eyb4R546xBSvsfGIlH4Q4yJ+v1Zf5tUJ+KjlSsRymwx/6g2x5NTS7DiJ61ok2/ehpG4uS NKFr694qli6jvMqo8+ufgfb5XaS0A77Rsc0dUE0zLXXQ1Wx1lmHUq7wX92OLFZgjgS6oFrEVdBhY BTeVX3uPuoHxw9iC2tKSlLscZ2jArOWyJdET6JLUrf0Pg5uG78ydYrXBvC2+JvQThpDOUsuf8fJf icS5xBrst+NAnV2C4B3DZT9o5j43cl8kbUbLD41ZgyP3AV+tadSWRqKVPNE81aI4vfhH4C7iB5BP Zkjclyn2mf8jSs2ov126EQ9nR9P8PANn794ym8ssZwDdkUnALF0MfkIoFbjyQ+rZwpUHU9h6ia6w ATo/1X1OEA9Piry59wJon8BzUngSavInEMQU4X0utX3eUqaR9/5RtjrMkKX++wMIXJyj8W/YCZOE ZvgVrOCWXlTedmfgcjCLdlFVJ0bTKfPvIaLTlNMVJ/X453G1itfzIcrXSWLlSz1GfanhFB70nLfX 7W71aaV//196OZVgDySpOkIUZocnaYzAj62qpGyc72+85joyX3h7VNjWOk2EPSt8NS8udF59o02R HX939R2A3tE4f2CqFC+IufcsmfBhUaND3VgkR8jN7ETMXODiQwf0v0HQ6/7hnBA14ADMfxJUmglD oYJ3CsZgjZdOXc4SoAyRSDB4/mzVORO3CE9EiAQVwqfrCSxU6QCnhVo05jMqFqW+Opt+X4P2YvFR LlPJ+bvU09C2gVaXl/9xCtC0m1ePFRmAzx+TByJaF1ELfMWCBKSKUeaMrre7yHOh7PR7HwNimlkT QbsOGU5IrJA/2+BDdp30fmKatrs9gEa/xMYfFkjVf5v2JG77XKSwmivg9+KQmtObtMFR5qIDrn4a DP0kDVrvOeZXprikmUnYOEC/auMXtespx+kLS7ecsP5NW4XzO+6gAttKBlWDXmPACwwPikQQ+2T+ Ey430LA/W8Rl8RIVoFLPfQWrmF3KNaCMynNSBz0p6aXnyvubQUvagx/u+8tlfg12dJAs0Rfeo+bj cMq/6IHA22fTCgSb03Q93BIoMpbrmXFhP9G7+6P3euUhvk2axqKJVcz7xA4L7z9z099gNoTBa6eT YVREwNrvyjfLaUk2mbnZ+OMBpa6W8sZfYSVdhREEQOGTUFsDRowTUXsyGOsDmLScYNsWxhg2LVYJ CWxYvQcElAxBhuH8XWcFPhu/SfxleT1HCIR4l8DIFsjnAFR3MK2BYVcXWRXQXDxDNVe5/PI5XWcR TlSmcXecEaL2eDzMUQmYnhaanQxBbkXLQwB6Yd/SUI5rI8V3IGov6JjMBcH5CSRHDdQZYx1M0L45 Xu55ngjLhNx1QfhCeCUCToGUX6a4cWZ3hh10Avimq4siKxMqVA3QOvF+pg1ki0wrMb/fTyJOOJIw Hf8djqdRBbhev9sHJ1UYsKfl1qcL/ubukJEFBG7tjY+5LJ/RFXOWoMPoZCTOXupjYDX/zLmHhKvC XVpSC6hhSd02s1WaP6408duzV7zVIm7tIqOHsPR9fJ6+jIYFa3kcMG+KRXOkTbIicbRrEgYmmYxN ddFp6eX27FnH7ZyQT6SviehjOhaVm+kBsemEa5bo7uvb+bF4fuvrFcPcGW0QuIABoxbBQoc0JRA2 WxZv5PqttjKr9QMenSaJEfqC9+imbwb0rbIBiJ3335sPVnwa0uwzdSOp3+oKiEkzARyiLtXT/GV5 9kjj8K8EiJBor5Mlq6oukyj/kyLHc757CV/eD9+WpoZWLp3Ls4FaaIX4if2urksnan1RGkRGmDCH 5e/8ZzwotV7sncWTWNCNa1q8DboS2+5vgj7LhdIFGruGHbOQNazeyCCnP6xOV9JJySyCsS2OqQxJ A3xsJREGOYcd4mmC2D90ByROWKWeDwaxs2aT0xZKBFoWBA1xXyHLdy9JHL/l6FpYi5sX/v0IG+in 8tWQQh69kdIUy8mpgAJbJG7eJPU6JUr57NIvdH1NCrgdYNTAUELygYic6oAbn/1cWeUjYP8WWlxr Xc5iHJuq+aI05KhPPR/m4gB6euTs+v2bEcKaCbKmXICslzZdKgpUEHQCs+f2lDGhmtDnSIbAtPBC 1p1S6Cuvj/MOCI+HB1JI2mA7ged4WtoUPPCmDRBJUE1d7ZteymqRassn9bGvoluefxrExxCAHeYJ stmaa/ZEuHwetL70BN8mzf5QkNlXTSTm8jWUtkW7BHyJ7fzs8DJbxQFlfU2ifYquIMQEh1nqwLyu C1hxuJ3OVRmp7gfgkCJ31pxOUeL8M/+XtWbU2gTfu6qaYsMKN7CxQcPTot/jbrBfR80dSLP1xw5c N92gV/8y/fkNaPXuePiRC3RpC8eOFiOey6Tr08qs01QQl1FU5rX3jxF8+XYUV7uvP6/VJakSCQ5u 1HYBwbXJ291dAypearL10IbEztIniRCBTf4oco2uxibVIVma2XJ9owDcNEPGQO+F8uznPX1AvzWo y1X252Iyfz8S9m4+U1sJjuMjg5qIK+2ATam+SLSNI2P754dVJWR00FVJ+zIK30Q9lmV1URfv8HHc YNR6qP8l3MqEsOkrElnS8oqzU67mn20d6f9fevmGICinugUFqyKcxEBOBukPnUUvKMSeCQgD8WWx pnSW2nS3c9B5LDAftZGzjJHT8CGAlDTZGheJi0EOUuYRx2vq5vrWorYuyNvzDlaYB4jDxFBlqiud eiPfiNnOuLO1WxcCp7ZRSxcEB5SpFIQBgDBQ29/xlmAvKhf0lUFGwFrMps4ANu97+RbxfkF3FOoM KRcQYa10GJBzTqyzzAnc2sKszar/9sRrCGjmjuipMXLE9ISvGi3LYJWrFxhlMYwSU+Tq5N+Y8/qg VkQOCSLlBdCjZOcvHrWrZg9FuXmkCM65A6jM3mmhtvKGugDRpNsBRv4lk/ofjIk8ZFeDEsQfbfSW 7kJ5/lFJw8CRETlVh0FuL35Lcv/UoZMEg3NLkNlWSJjbxxlfzWN5PMfnTdflY8j8iFrEu3xAMxG+ U/F+0+pklQ9YrpgVpFA+dlfpD4JfKcCLwdOX71DQkBUwy5qiP2lUdGNWJbEPWptP/xB9BJ8URHO3 +Msw0Jd0TG2fc4zDEshpbb/bnye00ESliRmsaiecCIDskfxWpP9tkQhxjlPg9LHk5wWAzqmrUmFW Veam+FKwuPJcwJlooVyGitjrLUEbWBvKe3osNzx4gSDaBMmVkHxvXdmiU3c3C/tVLNFB3p9tnOJ7 yZTwprCeowIiA49PP+l37ygJG+sYqTSE/Wr9nlDZ0zoiSKQrjCrLj2YNmJRxcXtkvgYEgcpZq2R6 7+3t/y5LF1z+90A4NCL6loTu81lgY4z5Ab9RIB3nTbesokWzMFCOBB7OOwwda4FSQrf3taPQXKuc tGAt1hx7aqvxjtSZuMdm/N169ApnQzBUH+KSQV3z+cpyyeQ+YQ/lBHPmkYXgiR3K+QqaolSsF2Zy f6AC2VQNbeeB719t3frZRf2NEzhSCtKnNHA7rJPZQmhmBuRgFQnxNUCCQwN3MnOf+94Z69eFbVr1 S9GIWx9G/duntOX58YQgv1AIqXTSplLm5bsJX1uN+4wTWP5yIsy4MHtJE7aRTH8HbdqyAaRYr0hh CVmhwcau1TDtM+UevkR/7Qjs1fSwYDh7itd+6dl39gjH86uhaeFzJvRVSYp509qMXlhM74xl07+z 2lxWuUaOARFimSGtiVWDwntlXhzFBBfCqM5WB/oOIMdZl6hG5tXLvRxZF2lzttJpVrSCALQocLR2 0KGFigDF41vlKcU43X8PXIOXa+Clknny1kGRTXweQrtcsLNJx1T2unVd2waWZlzjoJ81iNF8JPA1 i5mhwjvaEDybfVfVPBuqdtn4uNu4zkb5lRiCvZ9CiXLeYW3KFofg7/Py/Gl5j6+A2F9bHeXaxl4z utktizTi2NO0Rp4uIG4ahwiemB5moeyR1iszPXH/s+xEbOPrvbp/wIOE2uZwQ+BSAf3OwmsC32Ce 46ig8DXYsCkrnurojBZCIJqeNoAqC1jRk5nPnXAVYaQYtX7pDqlwvgXYKQ6sgPur15L//tfl5M9I jCGNce4ZkqB6fYwNpd5ll+jaV19osmghtBAj/hcSjTBckeIhE1oo6dTxqMSkEUDxzzcxJRMJdoke dEHjegNJRX3pAsCJksXYhNN4LTamFQ6JhY8x3YGXb4DYyFI+Y4NDtmbZw77vhjqccGA/7vaWITH8 j5fsyDu/LUfX4K5mYE0QYsd8IothA+emSTv1K2kKxCqcTPZSkYX7OStWt/v3sjDWSZMfkAbBTFGc rGoQU0Sf+RreCheJ+8shQ7t2LwZJEseC6y80l7ilPUEghBPcXWdRoJa/HX+3sMu1o7P/MLMx8q2A na4t23ZqMxnZY4K5HzzKHiidM7h8YXc0eon/R4TRbud/CFAtfZ21oJJXG1jPhiAnM3lPrCm+S/hf 6ZktxPT9iyR1JJgb46J1YDXe27e2vq1YdIr5KfzPVXfuKLfUipIU119rddT4UeJozPtR8KL7w3wZ bSWi7BeJYRwAQzc7paLRRRwNDdZmpFVJRF5g54WG32x/Sm+pGX02VVboi8CY5+UYv75B9y4i6BwH 9eaMU8EX3+LRBRDIaobtisUhf6J+zOXxWOZ2zf5hINQDqofkyKCCfOOFcdPb8JHga3SKPq6wH+0H LLn58zFbwSUC6sPBXIYI09ToujfWfdUgPncCfhVzplS6helpw7ZPOGfopgeo7YHb3vLn+4bjlm/2 o/zA+iaZldkghpJLkOlkM9DPnVmSo1SzdYQ/R+l40Svh17KtRycHbyleRK5gSMTuRHWC7ysLJrzV YLZl/SfAbY7SMICV8K3uKCnp62wDB0fk+WIg8rJ5i4aM9IWAzPzqQimwhig2StiIT5Klcj0eVek4 QMoyO7vDNP9HozV/7e8NinOQyp03TXRWyJeXOzos1AX4quqviqg4XlCsBx2OfK7/SyEUqbdyrwOY WcEoWPNl6QBtWnqRQP0GTYRx/r1M3bRtRm/iCQo6gMWP8zZr+cyOesmRFSEhNVFkqZdkwAsS1p6K rB8VdxymkXxLn4ba8epSyiK+85fPnR4FGKp5nAqmGctymmDcwrj34t1a1evLS6U9M7NfklqWcDxD a+5xYL+LdrzblplwyVGQix+MbKdWCyfS5OZjF2A0zIooS23bfZhUjvFacrLL2hdZ2f63zlq/fxd6 Y9qm0OsuBRJohco0LhJUhIxWBa+V4xhgT32qo6oaFfKdlLlIcv7D6RlcxIQyiI3RZre0+hY0cxva +wmoIsTcVJ7FBeeSfVHpjDobKIkHKPL9BRlOO1f72IuYfcTU3MpOGgeiNfSMVBW64k9OKt3QGNWf cinV9ZMeWRVgiQ95dbci+hug2Y+vFz0Bm/x5rOuiyi+ObeIbs3k4LZraLtxjsr+SvAoa0ucIWNmQ Ahlf69i8E9ecoJOEuMV2CgKihTqZEPANjYqqZ95AQwSpyo6Qs7tfwHepWrnYlYyB3vRBVatTSQGz rwmKRrTA9MElN2CshDL+1HOqpedjczYQLSxWHXc/vP2SWLiMerTcjqDL154QWtoTvOkS0l6FdsGk g+Fvwx2ta4rWdSFmlqLwAwtn5eoZOk/Cei1cu3PCBUUPYy6vXkhjSFKJK+WKpGbZ/AifXXCyjeaZ oENehVfM35ARDbQA3CGbMyXBI5chBF8oMj8nBzS8cUah/jn6dMGkk6M7dD7KfGgiSVrIVQqzTNe2 rat1mdvYjVSOQ0M0OYxMHGM/YzWLItJ6W/TxxdIJ/7bKscl6GOXlbXM8XhYToSq7bbNz6oqIh35m kmjX+3H8sZmUocaRe4DnQJODc2SF9/yko2WrU6kh3GFRIq8h9Osfe+8f2HVQ65Sr3NPiFgrBpRbG 3Ulh0Lz7K3pOHNIhquuhk1B19Mn7GJeSV21e+aIQOWtQofeSOWO/0AIAR2XBxAtMOGPXLsPdJwe5 nrqtuBCNj6pz9O8d64PLHz6Jfo1x5b9YBqp8GcKehG1YfWYUZcd+FWmzc4gZ0VbR11l+BoXJAnCj E8YNQys0jgPWv6yAyz7wAc4H37HjBxMhbGEW6J57ZgA0RJO1VTeU/3J1tBG3Z5M1UnjBhXxbEDfY OilfeEMxBTHrISTILUi5V5roG1b9hPDy0HH37voE80Z6NU5xhtjt56GUGYE1Q+oLZ8JCP5WBIt0v Rz/5EtTtlr9kLKmBNUx4FUuWaQVlh9eSlbU1AwzknyPxxSZOWMmWD0hx4WUFkeNLH2ohGyrReee+ wsVpTbZ7txYmMCw2d2vAi6Fg9qD0CJY5m66tbnScMmHS+7Z6Yq5DHKvNz+auhIa8rW4KSw6t3R1j zKG+pJhll7RACdlBIwQfxe0k5ZhtIyqJxqd6ev+VtBvcil8UBHatAPlIyA9DG8WTX8KbIL8HKLDU iyDnSCfu8cdi2J8ogm2rxiKb6/7ogNmLUW5uWF1s2LU4+CIo7fvbisZ2QAALU67YyeI/sAo3WPkC NzfdSg1IaZ1H9UcZOHT9Ts9PpExoyHSdptC3Hg6eJyIFqyIhYkzdP/dg/6JXwJEG3VE5dLx2c/cC fmXlavo4KHGE+Izg126mJ1MNRCdmN9uEPv6jDLSxhRUKlV5Q2B51t+JFcm98b1ofHz7Qi8Png5FY 4Z1U855XX/euJxHpyaffc3LyfLV8GAeOOoJqpwqzjsWLKzqKGtK7Sqd2wVXXJya3gn8xKzmJc3DV 6x3USD4ojYuE8WjhMp1mt5Nu1aT4kT8m6rvBnRUAOMFoAZl7qYCjmfNWpfjYqMdasPdyQLToVbyC 5cUN9nZHAJwIxfp6KwRrGJRyoqJ4q30r3EVU2pjGU8Od7ySIk/T1vB671teOTrIsFEo4vNGTq2LG 7SqIqoCPesSs8AFw3Fw2CJtUrzKKgIJ7slIrKJ3X5mYEWMW2GsCXQLkn7m3glX677spKhRn/vkDq i7EBODt1wHCmhqEZR7d67w7cB75hCgaW6aSWnFLHPLFdq2JqL7+HXKLZEkSFe/D+YvjJ5hLyXLQM BzPmjZX5swyu2iG44pADMRdDIn/Yuyxrw2mWp7ZuD8auVd2hzapR6Jgb6BT01mnQidS9uZ2TQ2Qr 8NGMQiUiZGERl5mjhnDBm/luH4UWE93yDxij7/g9Vg2X2vL2nXlpf+0nLsaEZQsdbVN7HOs0Votc PTpXzttr7lAUN+wZLwsfLtQdwbBq5e0ttPpOlO3kr9Hxu6uFKWEQgu7ySzJ8T8YLKV+85Vmss9LW Qo9OfN8U2UHYQXdJXwtkgWsuynMPfd2U66uPAPfVHRGqUTUlJxIOHRJo1HXxpwBvWqRioCHYXTCV wFRx1VWN8xgR0W3Efesybj0y9h5nH59eFlbX+7fdMgBfpb9+KelpfW7K0k+yjl4AyPyCsHlYLMzW 7qQqyFNg/X+eD/YnPTIZ1HNpc3X/JFTDQUmK2epmKSOnD6lO4QP4f8RWdJ3k0oWXzUFIvAKuH5jm fIGsJGnWBtgce4XeUusDvdaFZrnzbwBhA5MD27Y2ZLiWQvzmkcUYAQc+eWhY/g5jk2A/e+dx2yxU X9D/v4W4GBqlz/ZOlBSgDloghZRP4i8o6oCwJxn28z9AnKpaPLyj/X5Iwrv4I5M8OypEJ6opvC7h 0gEPbdyIWn5WBsap0BrtKjN8U7EtQm/QsC6TCZo6TGMmcfb3lrJa5Nt0CsB1JkfC13p4aLYNVjiZ ZZCLA1kPrVoE8HxzmujyEtLUD4jrvZpVFh5hxTZ9QS/QNh2b00ZHMppcdGZw3FRNHy2Grm1Q8Brf NKEEIp6pZRWwVDY9gcW9SY5o2SoACoemZ/UQnGpi7XuCnvqahW9UfhdGPUB5rJNzBGAaKpH5G3xG 1Mqgkmabhzj9uIRNAyqgoxWK6Q2tjjYYRAeW2t/PMuT3kOGL8vRRVBuR70OPL/f0Nr8GLa4SZPXH HusCIU3l4imCQF5HPXyAYnDDzACAdvFciaOdcGctlFAiGbQIZpG4Ry9VOYPOTtOVtDth98i+xjTW YFlqMPxAXRnwJfwk5vhKu2oHkwOICpZFBJ2Fm8SJGtK1qE7QA3tDr31E/PDR+h34PIhQxqcgMIOU XMOY+rAsHr0xC04psV7e8ooAZCULpmz8po3elvnp1OSxnhRiD7KY6EqHZuJlM+6ugTswsQEPfOpO PAm/kHrN55ZCd2N3896h0ylrvvWud7e1/bBe+k9hS8eP1iwVrvx4Xtao1dwxcNRVi6/P57QvGms4 K2cIP7Wv/bl58ouMKhvf/YzodSMjHSb3tHnGvF+Lq5Rzt8INhC6ZI0OAQjr9nsWTM6J0LKLhFNG5 iqVGwQCkVLgxEqPnYnDLtCJKsATeVpNL5oj3DzGmENZ5/r9CeQ3dZJwQ9uxNwTLoWc/qrIvHKYNg izEAsgp3R9berBwst8+0aq/M7NgiFsc6qhGrbrbO6no1OAXvNRVPp2dl7PdUKDSFMFc6rlVDUZXD HVRxFOqTTVKlHQW///Ihtpb+q1Wme8tgLq71tdHvWNK6jIXLezb8dzOJoBMnqvA+fbwZqzkZSyz6 sMqLHjpIAuIR/LkPv/XHXtQ+QxENAEuMvSNZrgnkqVyGCqRSYtgQ1wvixeOmD/jucjkKoLKcOnrg EcH5Z7wJDFJsMyEpaEa8c4910ExiadsiofVC6SuBmweIBH96s5puGYOqQ2ScHKpvIqDePYwe3bTl N9LH4jHyoy7SVPPKx0B0LaPezf6GbG1sKzYtsycoJRI/yctSNRn6nIsoe5S09Ks1llcV+muEez1l cHnOVGnAzKXsyZPIwyq7LWfsVrkKYhCwuoaVzmTH3bPptGCPqrUQArP2fw8a11uPdLOtMdz3674T XpEM1mQ1uC7kT4gpeCqKpu2/DzuvUa6oABheV1990gMVoQYX8lTpUah0AZOMEuRZoT3XAwFrAtjc jQe0lmvSmZKXqyNIgEN141MXn5OFWa/knjMbOERYAqrrN2IpdoLY50bYb6pbrPbwQ73ffjhlnSbi P/BguF7k3dtaQhtyfal7FIfjhvfHyw2uEiWS6PdfpsXDMCzxHtCXdaAnOQ26GV/In/LY2G5RRS0r aAWKlVOkQZAWpwhpAlJTvXXCRfXeKVdLs3q9TPPJ0uBTveCfRpfZf521YG+29p/LJe9qNsSArNQO uv5NSlLtORB7fsMbF8xi8QL/ZOtV09Pd7FcHle1uB0yKFOeVSkC4yWoY8fRZ3GQdm6GdhCOQXHsS MJylC8JR8p9mVQHmJi+8hBScvJsWItGDWe2PrNwGoCT31IqAU+4flZqI1q3iDfx+9fiVebBTqhq0 wOGVB5005Up1mT47AVaTVKsyY5Yij3rMnY6MKcVKJnrI6Lsmg6/oFM1/d0AxkIUflgj4UZm8hF6C kSKJ35tskL7S0sIQXLCX+1L2h8mZN7P6HR6oXzCy692IWTLcCHbVNYwioXN6Ew7GZTv69T0f5e48 x4Vq219xrXrGzVPnpaCoYNSPlHnK89vDjZFWeIGN6gQG7jnEkRxOiL1cd5/MJwFz+v8NOKFKcbCT 3/2UvRlyoKGB9mAMq9hjkoGWajPVZLQcO5R3afLhCJC91dc/qV9NzMaqT56+Rxx+l4ye7Sjp6fLA Hwb2I1JeUi1jthPfQzlEeYV+TdtcrMSQzOwxUnlU3Unz2AGUu3tArIxtfA3S7zDabPw+DaCswVDG uM2teaDacXRZGll+9oXz5SXTCMsIwGNpzuDZinUsAAAmy2qZRCBwpftyVgDQTt5LbaGEbakB7qJJ o5osiWMAA0e/1xovAqx3xEkpssJlU3j7kcqAIXUkOisDqjhakJiNYbRSBV7+1octnrFNABxSILJZ 4AGysFyS2137Pih/uFmkKQM55o1SEe8fflNp+0mnYNbOmsE+hc5pMqO7ggAu/dwwJnECybECtS36 NqJC0O0igdAuzd0SWh04dh3ypfcnQMOf59xB6/W2YSiqh/ZZpvp9GRd2epQsCkAdbGNjhutvzNgC /phjFeJD+IabDkSFlX2Vc/Jaa35LjM8zCG2i9nWJPlIUIsnMK26dfjxdoQGZ7lvPrSUwdq9t5dF4 Nqd36Cmw/WeNy5Ku4WqHhVtZUbGSaYwjIQOKtwgDrLl8k2/8sHoPUtCzrgtpISSzOhgBHA71d8lo 0AOQogDeqORlFbXdeItJXM0BDQzp/392jwqRZSP0qpZ/yJ4YZMCsJQhcchK8h19f8rl0Sx09aWOU weXQfwQTE2sG1wSx49ZdSMglXsQ4r4HT38oq7VG6r7BozJ7JyS2YFDYwe7PrjvG1fnL0ml9M8LVO WCqt/xDpiUI8MLaeu653hpp3j/Z7yQ1JYr8I3B1BknHxUxttsnwmqHte3IXzghWw1saeUGBWMcbp tB94rz/kvArLRDGFuGXExmBLQFWE4MAtMg1Y87/3lL8iBCV9LyBwFLYzeQXfkYTBNN5qxvwlCqfx iQBQ9faHEuQMErk+5zPdQ60cTiGdRKaEqIBtspWX9nHPWETnPgSN5xNQi8Ri55an+P8l5s/tHH3h B+VouGZ/BHip1vD4g2QO5HAywB7lwXXBkpPNWR6zbt/R25LEl72vJBBz/QMbzxSmHkmt75yZGGZ5 sRUQqesFeD7kN0ROul31otpO1CsnZbKiMcBeWOucrXtZqErDUrBiWBINXs+p+2z6pKeW5ZX8V1vn 8fpgcpMORe9sROkg96e7K9pLiXecHZHDBNOIqLAtcj/e/xofWAF/0nwbPVNxCGwGNKMh0vN4NyI8 hJcFA1eWv2WQH+yhSK4t+8vwzGflYjZvpLw1ARdfApr2t1SdL3OL4YQx97YR0s3tut0YO0q/ikAt xhfm5o8kUjvGDcIOvz/kh2ZmMClMLeCODPsAvgayCorLwvw7o/L8xml2MdjQkASBwvHyMMoQp+Oq lMD7eu4/2Ms5XQv1pob/zMf1MWg+8hiLgV5CuUG7jbxJQvs9r6FUWiYxYdw+V1eMrzYYDDn6v6TP eN/eT9BHOYjNyirlY4h7YpKqk8Cx0Aly5zndTaEtdduORsJPx1zvSGqHcVe9F3KOO5dUpuicJYzU P6PzExzEBhR4k20gu5dml37YiwpYHJm8z0ii2rDZrf0QAxsKn054swYudxPvulooAyRww/weygEM 25eJkcIUYR5Fozkz9W/wf+zFy5u+/GuEp90u8EzmOatvEqf252nnfEc8jU7AtY1QGQ7IWDoK9kYR VooF8uWlWqTtKPI1vHKeI+j1+2SMsQgCgaX8bRk2BEKihxU9BJEIi1d/nr0yzTc9KKmxalv4rNpP OX/pZLeM9DVWQTsrGY/d3Zu287Nrki0sP8Tq02omwKIqGBsiz4J/hEnPopje2ksZge2yHJfZ1n1c hGxDRaQKhO/tOk1oMEr/lJro1Rtd0URpyP+GlbTwteC9JMuALnCVNblYmiUhSsM03/+X8yE6uzBZ +EArPgRhx3JObDw01RjShaNs96Hgnmx+pKLEMNCfZ7oBA0Y2zwAI8mBIA+H3x/oGDxIegeBoHB6i 64aynWu70BPA6sEgL3JJi7Um6Ef0RFnccHTaykEWd3ow5eUdjAexk8Dfp46JvTdPR1rWEf1CM/Uq RmNecKvFzn3P5hPP1AN0OPz0xPakBEYsMmrvGK8uG15lxLJPjXOXeOeFRix2sjJLQCZXiT+CrGEZ +xwVQ8MGlm1/e93njQRk1IrWmTZBNsKc3XSj+ofceBqLxXIDZAyOSQBXutFHcWKxbI2XxFDwHhId yesXB0Z0ny5w2h8voL8Hk+6xxLRvmQd3WlpqZGJwg3B/ef+mllNTEPUwkZegKl8winwgXvp/Diit klf1GLS8Zoni+PGNxsohCjvhif5TgjwPKx5/VmlhV1bXMuSFt93tkwa+FBxcU+7LGWUIsKQxK2QM rzZPEu7Nk0/xAmYrIQKE9AxSK69iwqW8XOJrgViDccIJNckymUHrVYMlTGp/sh1VWnAC3oFdPDvR KdkK0MAkX5TfIex6Twexe60g2cLA4T5rnEkZKZNqNeBUmZOHzx9xJRaJV7VwIido5WnsWqYSsh8r eaoeMkhuoUtLJ9XtcBcmZTHcdRKvg0qeKg9weSLN0gPM3aRV1wO6+NUbkeJqCFzg0hrFZntcUr4U G+hVPCTcchYhe/tl8gCtzyqB9BMcJBlqm8LT+nvxDFlIR+54C/SUcn0eXjdcumHne9//HQw7K+Kr bTxutk62z0hWTIEMU+nAcL0NHeZtrT2EIU1I7LDr5YYuZhxiwe+qqm/SA9WN26oADDDOuOJH4D5n ovW5RO4wEeeibxsQxdcjT+dTg9Vs3kQJBK0CfJJ0XHHibKLFptqTHeAEbxYtq7ua4BBb3V+miGR8 NU9jboVkKgi2WFkoNE+uKZLO8hIH8UaV6X+PQfjg1+5QO3egSG+MCrQXGgE454zFWNma7CSM6EgC Ye/fVGdfUxxvQltqFYtapw+DbZUPZU/U5luWMAtYcXT75x5pAtIU8TMYoXRMdPkCwktjhL0JgxxZ 0ivsDq1PuEiAn3RBFiSWzDQWAJkVp4iRjVEte3I4JUCwWS3iKkWDBHo22/Ur4LDVj9WV0mRXhiLf xHHd8mRlVOgCTQmnwQTt195Kb2wJcnLnAR4NJqUF2iJwd5kmy12MZa/qQp501cdAyPD3o8jFm6gS dLKJ2GiiL1j0PjoBLzVUhLlLKcsXSi3VWCSIE8bvl9hTkbee+JZnh8ecEgZtoWx7pMF1eKxuq2TO ooIwFSsCcNPeqD1gaRgGKNWzvFFDK7mf4E4jFdqQdkVfYYYVHT1F+FaAQQZYYcvSgsTSEgS4WsP/ 8j6UHx82UIK1Aw3FEfe+Dpher51ap1r5bdTax0+/KL7iTWJ43f8NJTVjs3lzB2U1C0I1YOugSeuH ygT28kRW8yhqL/kyrnFCpY0QtvlZUmKPsEM9dXb+bJ4D/NnG1xW3FLboX8lPhvjltRVE+II2xWmd 5YAgGLqGHc50YKq7ytxHpRaSTwesmI11eMymbntkANll3YJgm7vZX8Ue9ay3SB2oh0zH9+YufhHz HX146SJx1BptC9W62n78RiBe3klUweUmNnV3o3QHLp5ENz+s+0bLjS+UI1JBFqtPvvgBA/bIIO2J MCMEIynmYOII1RUNPdT2faaQUZKJjYrVI3FbjF5WxpT28O/UYgmNBiqRPrbB3fH1ZA8TS2ta9Kgv kO0gkwFNvUKgK7AfQtuRnrGuPwZpPk7MtenJdBVRC7kpXYiHu6p/wzo1YXftfDQCJcyuOT8oDuPG D7+hIEyO0v/Pvh3OXTG/w917y6+w+RRPLsylsPvh2H7GvtF1j2J3FyfJTmMOIrUEDnA7vq44a8yw /dNwP6b9pTR0i+0m09r7pZp1+XKFfeu6pLSZlKICjy+dPGcVWfUpQvvU72gn87qdbrJPqC2VEzrw MjqLiHVS5EIuQ3jdV6zsPlNWA7Fq3+7XHaUo1IXoLMVLKSEUpqBWoJqTMv4/xrD0ztOnyehgmVsi zrib2sHd5cHdBCB65qEtc8fV0eN79CcuHdmXIhnegT+OAdMH5M8VMvC439H9pGC+ntZ5DqShvwH5 vGw/9nKYUZwxaSmvLYaCKeuQdY6fgJ2buQYpHakieeIDHTKR7a9PAXpJf3RhUFHaj+nzIenrggWz Y+oBSRGzJfoHKr2yx9CYHdKI8nyvIPf3rlWrmvP5uGhSG8Wa4CT1DsDRu14SK9Evbb7bfngIgtKu gl90UKQFpUX1Xe0SIObRrLxBefZ8jfC0NcKL52GHG6Q+jvJ2j26PzoJMiAplFsmN8w/ZYwpw25Gv ymjFrMGwohT9bO+C+bm500/TIjf25KWIOsLwu0tdtLrX2uMee4iyfm/fI8j6HYXR8wHNJ5CryW+z UyY59olkPM7U8b4qjpwm2+gf2cA/PptAMgiG9RA+tLvYKKq/3x9pjKMRT50DG/8t4Lu7TTNgOPk2 B3/FfdG0TNUNNLqEugVyKzDtFmMx0cht3ardLI/A2lxkufPey0eF4SlZZOqTuKuEuzR26oiYl/2O QJfynsMtZTtetVYZMgD9xBhD4DaezsufvDbGu6tKUffNPeisLTOWBBl/Ta/RdcaqoByzZ0CHOvS2 nMHUK9XY9Vo3MK1Wzgs+vyAgK/k89uOrIlXhSPoLi8tiojelnL2G846bJ3VcdBIsPKUD8YjJoqSL JpvkcmFCeHUHOQqBBVtwRU2ykansUPULa8K06g4Ui8BrFUQvqhOpaV/7PYNGkOBFnUH9ZlczS0Jp EJre0JVwjo201nOWUWo0+rL9XU5k71ahn0J+ZHyVHKUIQEUtYvFlhELHjr5tRda8ix2KrMyVwfNg FP0SZs9Ty2qHXz8sQ8EtCP4HdHIs/7CBnZUHvJ77c3KO0xq5+q4yFy6nwZnCti6NfyENGppsSLMe DBR+iERjfkemho5CdWp7fIySlcfsBbuWYexV90Z2YDQawAw3AzX+460lDgOlyEuaF8G0FCq1V/4P R0pkDV7ZjPSoUjrN5Ovmo+E3y1nSSJhl7GeobxI4o5DWFrY4j4ZbXVMPYQW8Kr8SoMKpldmcXvcS pBc91xO21I+/P4+6vm4hMevy8wvP5KipbrLwkILz8zTMHxYyZ18tWrZfeAsDBhJaakdEsLC0iJNz 141r65xaqBWI4rkTm8MPeRijdM1MIiKitmtUBxWBuiKgj5DwGwbDvqCu+rQNnmPiUyJNF43QYe8R XHPf/QUC1OQkTq0gHI1KEEjZeEROfZ/zfZym9ibgj4sfkkhM3j3ovNvzjv8i0Bt8Zb51KQ85PHKC hC3qTIj/x4njpOcULTi9pYRt8VMjBJHDLqoVQGl9agIlQsU4WvV4DvDGaZsrUMSXj9QIa5pLTH+K bq26vRTXHyrEpUhJLY8lPfTfg4+DHQ7bDe/zl0+RtB3n4SoSCIdeOvz3JK8Ms8EMmQNcpr2TSczb 36ToOK4TU1OIFodpFMqX92zMOjFKUhyfN6mLn1foa3kOhbh5R8YKUhs9BEOXmgpjtkVLXWHxh65l nEtu/buVl4oWNCHljS513HIDRxlbI8+b8iMNrxCrY+tYGZX8T8ldBwW4c8QhMm6/eX+dRPxXZ2UB jecY0Z2WEoUjEIGbh/nZABLkq/4AJpI//S+0vRdfItsUblsIhClk7RbrH/6Xt2FsQ0EZ73Z8NeCQ W6h73ggbLIymvC01U0wveSXb6T7LpriJroNakmS5hFJUoDHrvlNkYpFGjWIuRk9KKOA4UpDHqG2j tqR+WCf7b01v58mfH6EUatOJxaghUl8HIoQEUmBkTkIT/Fvu9G41VrlI3FE6wqcFkY3r7DYPAMw1 Uz/rFny71N/JpaAI0BovKkeQWAtZyiyFi8A10tfIA+eq2bUbYn/GRj40+aHOZTKSqDbSHUQSNYB2 S8jpAsOU97rtMPkftkBPN9ePYTQsgWl3pW38TVM2zQCrGiFyuFQlWSeMasPJ/3LKeaTdsTjIl0p8 sCzoohANFMLm84F7Vo6vdvjZBd157R70pVRB6+eGeR1o5qMItxmTreRLvd/mtWEw8+YChIIVuv/Q 609MJUu2qaSqy6TDO0YGEzAEGgmWe9mXEwDMkvszhctkNGXuiGf97ZsDaKaWl6h6GaObEDsDI5yE LOdheTyoA6RKHKnfn3RzIQwdTsBvX5i5HPXoA50Spp0KvFj0tzW4++izn71mHg6CNSNOQtUXcup1 nEyHlRptP1YmW9eQAf47BxfADjiWYt4zpf1sMDpMaDMTMXzbZWg9R+GOTsFx5AO9r+u+xaU6uO5z 6pILvykwdj5CueIhEJrQBJlA80Jt7uYO2+5IFCnBLIp4VPZ66Ff1VXi5iFTu3gh0TaeUHzIlw0vP /blaG7lunftkta1rLTx9g2wQuXG9CNjh7sRD0ACslM0z2LQlhZnAok95LoIBTfjwVIiFHS7yMybV ujgLdwYfVMwtjKjkifm9i9UzlmJnMww6Mv3pDt5reIA3tOXs3hqBEaFGTaXuLmOayiTEg19IQOWu xbg+gcf22YxSl8bSs1CYCHqP/0vAEnoodOK5oNe2LLFvpWZui9lD1EH8kUvGl8ZY26PsWwTFVH2a UgVkKF7u5+cwSA1cq0Qw/1XVBACBhOqFhahxzPjsC7ozBDccYvTeNObVMgpu3CAve1UqBEF41l0q d3iOVxCksr8Ljf6q+elb0u9YughI0lPVfs9ATgOXTMAu+NUxCKlToWz8AASJy0+yriJmRAaG8uJ/ KOZzAj3pbMG9JKO5hY/CGyqpsNYKtc5MpPaRzPuwullE8GdL9Bk0BTGS93NaszwakFF8BInt0/5h NpH1jhxmGLgZoReaj6CeMe7nBnIGn9CLqtV2OluFKzO4/VRT7iM+/DDdVlFSiKYL0DT6zaMeyq5E 0s6RdBoLNC3um3kJD5/jXLSiAjV548ZHlxpImS9iEo7Nm1eFl6uHCFClBAebnUz6l1mL9pzWLP0d dxWSi1HoH9eyXSNeRhtCKMncVg7jZ0Dg1sSZwi94sEdzErnbEX/+vhK2Xu3DBhMdX381NwLH4Rum 1HqEuFcpZF2i71gUoNkb37rzIq/5+G5sUE+SOz8ktI3WhPs8TMy6N5XmpI4Tl87rTVFso4gSTzZo eihbTYTcSmmYU1/IbaZrD0LBYNkEV81ytEjgvERpE0IgzsG8B8cThvJH+wvnykSUXxG1HrbF4EMB oTrIBSivC4Fjt8RYK4SZgZYU8MZJuaDFrOw23nRZ/Xrs4OfvYOJUq1KjL+TsXEttgVzFbMXoJYu+ FtV5sU9affh4YJypqJGHuIA1KXY+4uVjOJtJULHI2aTo/kgikG6m33ObmKnlvd2uzYF3e7ZV4OBZ w5DHz0ypPfvoTAoMqFWnQ39freHLmzS9uzyCJHJ+9mBlXVDFjHJ5+MLC+WZzk52S4iagHoA5wf6g iVlsV3gmjV51XRYv+imNDv+/0z8KjVElIu47GLYbbCZxlb+lBCQsNLNe4ct/smxcd3S1Pa4YvJp8 9TlU7v2qTqcR9yR9PpzDm1kUIft5Bwo1/vUso/oMt8zzmEcxez2RzTX5kOSNlAlIiDzpLkf3EgXr 4Dr5ydrjS8vlprPzCGiC/R0jUpq+NxznsfmjaYWeJXiP+CfjBMMNWY4iq6z2qAlZFF0PfRBrRmVq u919+qtoooLRtGsq5DRiopI2vgN0QFItTaZxiEr2No+7s77oONQWGsXYQpqbwKcItfJe+fjtMH3d rjwmG2vVmQs7dIRMAgobfBONYnfoPCzuO2p5IjO1ii7NkiBhNjMGXg4mWtnFRcU4eIV0ksCul5PN 02bFTJrIRXud5ly6OsXWcYUZ6VwP93MWnoxOEiSnk+zszIRVoJnkmUNKQfQNkYd5Sq+DkXtYl/l7 MdlKYFbPPHl+Lg3Smk6Vr1wXYg4jKQEGo6LmAlD7Z2lDJZ2nfv0BSemvVYbArsOtt5150JE/m+GV vJ2xRDmtviJQvCZGT/R3V/J45KuIfjrHFOgnj1YxrwVEgen4w047XH/vtJL8XdGeCgx5sIrIX8qY GeQKh3hInQr1BkMpA5asTsBLNMKsHINXun4zOHt68FX/sgUEST4MfA53a9iYApR6rja6ERRcfB1A IaKTp/WJ+cVOzODGVFIsMdrxBMYae4xXEx6zhMBGa3ou3UVI1ublf0f0jtX9r2vFrO+miNWEZO+/ RB+bP2dS7QVISsRhYfrkoZhuLcE804u/A7USjOtWHvSU1KvkdGBcYopOr4xpuBGwVuFd/XYyzcm+ AfaSTbQTogrStGxVJjn6Mf0Xa6QZ+LXb53OSmiB0Bzt+628M2/LUlA+w3GiRiLh24117sEtWdRU9 lqilVF1+aSIx3iaULL1tcVX4LfI/jOf6DCJDdWn8wkFM2XpFhdWdNAS3rG+fN1PNaaMkToWnMysH eBHO8dcYp+UMzPnsxur39CZ0VMkXo4aOAGsUvW60w5FfTJRArwVXtklABoUMj2qHGT07zaLsuwFL zA1uWOzeJWeltXZM5YBEzBvJt1rQ10dvXk930sJZmkTbLcfLAn/O/ZvSIkeWtQPd0Vmo7UZkPKEp wGGUh7QM4hKydbZDBqaAoQ+VUosTFhjo3BaB1sgaP7aSit8XkA8fwO4aTsIw79DiHHeCBISY0zc+ Q8k//JWeTD/H1seZ2+zF1Y4tjfdqvH0RwhYo3SgcnztcmWNUlObnCl5LKNiYXN5I9zkJxNm3Yuxy 9eRY7hTUReZdbbt5wKPfUqjhfw50QOxsfr4Mf914n/6k5VJhMrXCVXZCZ2DEwQ/U3zHx806k93nl XPW53VPRO7zSmgHvgRDQi8RYYM4zeTShfgvcYdPnae20T7O3qP5RYCazWsVayI5u3rUcg/CFHHX7 CckhynSNEcnacMwJlT4gxIRlCOKiFK/vTBID/TEh7AfK7dkwfT7n1ClhnAUDc8DtpVymGRkPGr7t n3tgwaO2dm5oGiQ88JHQ6V5NZyjty2dimbqUiZxb47j/28ML3vttA4r5ZeqCnKHew5wXdnU2jTj4 2fEKvBuHRLmUTrk1E55M7Dyng4YA93gIVOctkoNU0GYb8VAHowWRR+a9xjUAxOCzevuj8Fuo5c8O 32/jb9bTF0fnAOKCHOE9KoHiypa9V60YeK4qpv+WdrdcUm4fNiFuzHZNA45dsyG0uDPg5Hdu65ZC yhiYX0DD6ih9QSPXdDs+rJtz09FdmUJIWj8ZD+8lIi90/V1RvsS4xcg6fM0i5gKwNQ5/4wZm9IWy 34/Y7/BEFcJTqIBWoHtpI3QJp7TuSdc6FbAtz2ezEZEnq5YHs1xLxhX3tUwq/1aBZN6HLTL7b53U Bf1mvjS+OmsWAQm+sSLIWgk97yvNnU0i7WIKk9jrcvP74UOa3lJzsMVDCq2E7xznN5Q8nrOitHp8 gUmWAI3n9uvQXrCTNQuZK4qeLVog6Hwt+vltHXzUxRiSj2SYExlMygBZyYuofqj4yuuOEUPEMPQn ue6ktkI+Chuz1cdNFn+zaSzr40THddktt4zs5ZlgoRpIcYchULDwTZno6ZO1NVP4L/9SB5oA2/IS wDouu3gKhuSk749nuH/Lfy/XRSjVJHNQ/LkRTbc8wzzOB1gwr1XdwPyYZjNgLDWrlEIfjGAroyAH y+RkQ7xomJ4Gane7kkZ+2uvpxvmcrZR47HLltPj9w5UNOO3+d6x7OEz/qNjfc3KWXMr2CoEhtFKY hKeJHjrbntBS79NqUECrjoYwuZZJBfP/1okrbsY3pVOagPueZfGjg5OOGQ7vBUAGXy8Vcs4qB5U1 QWmjLjRLFg92xNO/0JiGfwnQ6Ay9iRuCuAYsnCp2RlKz/n7Dl/V8AQ1mJGFR/4LHd+aLEM1+/xWw QCV5SAcXdH1AKdfL+06M5+wkqNDXSbvpdnMhiu+1qfDqeXyeAVvLZev811WMnjzxhK3J3OsFrWnn nkcW160tmjWUltQ3eyvuYtn/5+P554jVzWyR36at+ixl6YmPwdQ+tZWK/y057FTxR/KNT2SDJLEX orhXAqJqyWGEU1SqP1Z64ccU68iRAT63C5cSyTKF5TuGU0Ufml21Ey88HQJWrDJCK+wowkh20YgK 8ByeJZS7AtV9Zo3IQj6wPs88lZOzPg3w2sjbXpTbIphTAblyIfdWTt1wXGUx/XvE0KBE+2z4t/w3 pU+7tfvdLkI1liqshziSm/ASB4BZoYuuAyc/fmWIUQ5JtsxedyqUaUxsq3+9kaG29n1s9x8T93Pk WnK3W2g3/qO7PRIJvXMqSrfHYmxS+UcG8dYOGTzAKxR4NNpZtpQU8SfyOffZoibhyOkAaWsWFr43 ohJEUc/xcwJfomJZUlujlaevBYVBTJ1pZ9QvO4T48bMnQ8Dg0ZXEg8+/G+uBHvd8zUV1WMBsqTz4 LXhNHdRsrzza4tqMsrJ+uu8WItdtQ27rj8M2c2EE2LJ51arhrPa6xErUyR9x4CTJq6lnl2zKzsKJ YNsia7WmaaQwd6CeDvJw+ztRwKfYUMZxiZloZy/SUCWKYmTlXrbNLWOCUIEN8HFpFjfMtW0r0E2z 7grdkkdyEz5Jdv9rZ4El1abU5uKtlWHJ1617/7h1aVDDtFy6yrm085w478/u2p/7EJyC0L/ejfw4 ZFiBRJUr9WBeqoWZc5erEHSQdQZ+V3/DdJsOdeoUptTPx8QOh6vPaFdd10MDC0YcqmUAGW/UYWRC vWtrq+ecxNRozeLDWaTvR4z+I1APntRyUO/NTuIjMQpqDSxmHKKrIvj+wktC8l1ebjI/rbEz9noB 5Ja4s7QwU2/0yqhOy9FCjDMV8++Czn2YtfL09lWRI2VjHhpEeZZejSTDhNW5qh8gZbQr3Pnzis0T xregR0LHX/e9s3PjKa6b53mUaI5WO6UG4ApI5hE+0WnNffgb5Psu/u1VsEyzXe7bni3EyKePS9q/ NCRg1rp3g7GKm3PnWEmTUfHSjSC2zdT1FQ8V3+HtMjdqWsrO8Od6faw+yKcUzg3Rb7CjYZdb+eFF 5SCuOs7wRnysT59oUUT0QgvgTWO3eeZ5t3rMP+pUt6sxotOscWn63762PiQHMQMREXWhgrPMYARn j5GcApUUXJdcqXUiH8neHJgZn1NDimH0HkQmZI5qSsAEE85fFgbAwSo10sRBULqJ/aOpdfoRYUII ywpkDBFaHy2RDGWe8vvCQwBapLZEzTpz5jdUUEWLQVedQrAyO+JLOF76ngEZ2h5ULYw1QXfw/HPC Q+VIyeIRvrz1KcTsXFt1jo0tXcoemanPKCinjryS6H8VuHhWjIh9Nh5dQy7rOX66NRNsBtlC/ctE 2PYI74Z0TD5cE6VENLQpqGcsKj6zPckUa83+q9i79PyQcTl8LZEBLPHqHR6A+NihEgkX78Ww1P6S nTS3o0vu0KtmYHzhdouqiPoMgH6BLRAujxwdJOfF6ZgPdIo0d0aSVqM0NAYhy6sueNQcyAfs1El3 wEQ/X8MGsKfnwruwbwsrPPfV0ZRj6QikuZQgsGj235AD/uJamUDk/MjMq8SSijFo3KgKh4AceRle wF5IXU3h/rEQL4GLYGbmC/2Txpi3upeE+GaUf+v7WZEpsjpw2qL8ADM4BzElj6YOb4/Qi3/kXjN8 ES8bKv+I3NSP11lZtxX5Tn3peXGDmHut+imGGWaKVXWlRr49jcqCvWE+WXiq9/Thoybr2uYVN9FJ YZAD1tnsQ+Wd77I+OukamoWSISdUV7wstQBMpECOGvoSUCD5dcw6lPgl+ZcF2zOHkz0NVVQZNoy5 FxRKCRWkeA8YKgt8XawWTru8kIIefWzmGJTTj9mEf3OzSKqybXZhAnm/0Zjkhm9ISmJR8ip1X5ql 7GWSvWWOGKU2WpJy9hL/lMAZFq0IV3OVkG8rDdPC8ijnjz7dVYcy/vXptWofZ0gSNhjqf6VDy1IP pqDFkcTG1Zi4asZrM/jH1OwOk+mf/pGLp2DwZWGcdbl+CQTsw+Cpaplo5Byz0KpSbG5UYZxTHwRG TF53hrQWPt9KojVNj3BmFA9rwRATK9Jldr+6t2MWHggTfwB5j/pmGTx5bK3ibLkn8lPn61xiFX79 YH++GknjZIcNh9EKQFllNIQ4jTiwhG1zDGuLZ5KT1bqp0B6uCkzpI6E9ncFrgpbHnc9Vh8A2Jxtt wCDTp0ySTXQtMA/db+Ic1Avy1P0Oife2fEJY+R2T/V6DhR7/DbeWY8UNM05f/XBzDkOqziQBh+cg IUPqIprilDaVGKB0jAlNmpCVz/9H/c23ANf6F5ZhMc5UMSenjcHhMj/O0R8wdpZ0Os4bMoJXFDyF Qb5eetTgQRWTX9Z/mWt8xfcSZo/4yEMvx8J+xxdrjqJRxH9lsgrzOLWv6SgEp3+JUFHTNhX/YhQS rFCYDzSsMD5GUND8/3HLtySt+dNDBApo3k0sXX8dUJDKUE18VvEEkcmyGWPdcUxyd0vJ4yOpzEAa CPug1V82MIcmn3fg6pvSKNLe3G/cGMhbYZo3BzHPsKzlHZQpHQO2syY4GzprYNZRGdYyfoQM9mf0 1g1n9dbYFpLj0NHNOtplw/W9UOpbdlpyo1izZ8Ei93JNC0RYadpc27k1tiOFGs4qVbI/HNa5bicV SUJysXP5ux8kSEvbgXnD1ChVx6uLd1MyyByRF/EMyiMnOGLuRmD2fvbpRelk333dKyyGaJ10Gj99 hCJ4dUv0hMcEHg2NQ5uXFGcnBLFakV+Pe/2rgIWGxgDJ6w+mzYrrDFNz6cWLsAbHFRZp6wiZ2BsF 0Sri/PytqGWtFQeqnk553kuGRIDZuSOK13n2Bn8sjhusZ9Kk8sFRfZ2sYz6cwfBQHJuzxc+zFo32 SbMsXQYU4S8k/sIA2p16Z4qTDg8pic4jRJhH0XbQd+DRtvSaL0Gs3byOd3iQYVTfZyUPdMGNidLm 8kETP2QuMm0UE02/v15SabTS7dsfTXJHTHh2uh251f/K3nqrkhzxgDrw3d37/K1ggbQa8rS0Thzf fTl2+FWnlK7h1E9td+X/0PFpltp9jt4cnLbp3YM9FZS2cTk9Um2lPiH0vbTVyynvSF8n5hw07s0e gThJfVwk1/5GVwRNJZxm/Kva5cwTixXMOijuYEbbbHKI9x5bWaSXfUcBmQ/DzewxI+z3bQ3xxmTY WYWrGHWO92qSp+rsuSXQH7ogbWX4hlFizYiDiPyFEHjfSWB52aObRIp5HVOfTZ//Vwv9IQ5tFPG6 11Z49koEl/CptRPbdkRwwGlTtNRd5Oirur1WtLTXsT+FY/5HPxzRI5tXRw0zv8C3B0LZplbwxOK4 YHOkSNm+JxHjp7phwiC9xBTk9p0gYCECMhuKmIuiipwwtFHMuQe9DzJZMeF8hUkT6PoIwv891kOd RsixJkNs4NrzOlkyqUX9A+W+VSPPBEjNx7xg/tHBLEyo0q1O2BXUHt78dd2XStCRqptbSESoapoU RvQyvYoMW64ZbwzSiJS+EEj29Cyr2XNhQD9kFwnRMJE+wrgP4PJrdtz4hXo/jU2+4xRNxg4TBkxZ thK08XxafkM84f1hIb7hDXCYqKeaxYyV1vllerc5PPxeG6TZ/wCwM8geS7UYx7Lt5fFJMgLN0Dib yF51P/WKgrga/pOBbLlgu68hw/S7SKYi66evEkZyYCbvovXI0Z+Bqcr1CIplLoRNtVNrMEnX+6Jv ekMx+/XEHbGdwumeKUCLpfzZ0snrCGp3RVfB5M2jLPE2OTWHAUbfU+bWit1CJT9//jjUYGRTxkQz xHQE2nPS0KFRF9FPV9GcETQzjn+2ew6jhnQM5dg0xofF0ZAoBZijaTuBbxFdX8GNaUWQ25HwNPGQ B2x4Gv57yHTwWC5T597EfU/okkRH6vL9pYhfM72iUmFyJSOlXZKokariGF+htID00uWJNG/NXRkL Bq5uWoF957NXUQcJeRBa4gQ383ucRistJSqwpqvRLTLtAn2FUyF603RMm7XlYiTL7VVKYT/plW6p CaYadP2TF5XM9a+5Aoikcv/dnh/bjPghq4Q1B2u9/r7SJmNP1ej29knWOmTovY/yCOLdUlymjepV CPfCiikUuqUyBd4OSgerukyC7qGccmqplnQCLndvk0P1FlUsFTTLCtuoiFT9HvN7IMUpgT6AeRR0 ZPzvKKiPdZGUz6Ko0S2gkCJaWXdbSedRC5ATUlLeuQ1x5pn8mxipO7YPClyc0vDGJ4MRBPMg09dw 8IsK9Ed/kjxGs0AEIl7hT1L8sLcBRsS9UxURByNXBY5XEWluMXJVZCLlCtAH5auIkD6iZSoJiByx B1vxSLvzTutGryjRv9tCs/fwGQDHemyG41PeKJbA7TfuEbP6y/jG6XniNrWwobl0/GOtI1ho3f0L O3wsHRbbhS+a7B584Q6FMfIMB5MoHo+IN5n5n4LFIbs20xbFoYMRVpUE/0Unvc+EAOTTbJEbYfdK a+epGTKb776ikPN+oOc0vkqnmG0wm4fLhEuA+wyzkjURw6KzdfEDh+2xHod0N3DTu9odFyLwJp8k 3vNmYpVQgQRNuBpeoL04gzxNWduGod66H8rkrWjsmtdzdOoNaj51Zz3aONxM3ObpoiguQDE03Rad bBzsO2hS/i4NnIwq6/a6Lh5cqqd5rWvqUOxbbMwcsaAN4PPO+5tc0nQckUPNDjou+Wf6RBe/Aupj AfS0y0W1UxTiJuE4R0C6c0VRkM6O9SQ8yEF1Ah2GbiDQ/zrIrwccNa8sTghz0wDTUJYKqcuyZKrm TgTgYWZ0q9n8Y7TL4rbw/I4Mc7fWBr8Xhm/RJGQDCLzEblBpCgFzzozUg4JUdSNoVsttt14M1X7l QEhnJ0/tyR+JXrvgv0eLAB76fawOCv8TQQVGa44u/BdYejVJ+delrwdLM0EErdxyA9SMKpNy16+S WWqjsquYt07qm946xh81kIOAZxlKBlI7n8L05RujMxFhG7W5vCWw9xyOYLqM23JEdsFVKiGBwh2l gzPkXvLffGXUFc6G9gc9ZxyGna2acJ/T7xFODIQdRI/FrbE1cfTt1Ghw4eot3cVnyKGK85DLolzx 33okAgGsvbxdA+vp4aqvZZWAPHsNc0zTxyxTIUbiHLuftSnXaeSavNl4qQXO6QYZYO63g0SyU8sP G32UukOGH8k+XgljAo3xThmf6oS07+1RkG8UeNKr2Yy3i0bB6b1YVJ97KIQEfCOMSudoaFxfLD/o l2/LBg2VmkD3OjUPWNRzDGy9PDIDdlrHuOimZFMBIyqjNEmkW0eCQZTQOXgIFPmzMgT2DYX6NYwN UAXbxZfzlJm5MUVrq9yVBalImM+T6CzIcrRJ4BoZdwGPeg9BWAmKTAk/QFEl5u8yRSnUFvqB3rsW /3seaix6Pv/M6mOBvrvBOGTm24goAB5H1bP7OfFERB08MWbZS0oWSqRG3EAEMlVewbuU5BNC/cOi mQgaXQwbE8qsu6Gx0zBQuu2dRZNzuKnTqi+iPwMjf+zh8TGAE+JpQOOJLsUsH88bFBdnyjj9VOSN 0DychhxGkKjyqaguWR0PsU2Z5PiSoV7trBlZObnWoytFhegvgxpCNsQ/GayRhRNvZB5IlZ//FRya LbboZclZnvnEppsB5grTbQOp4BX5GkSBt7nkRIi07/sIVVOlaqj3uHl9coCnpuZziBo/9ibeSnF3 815JpNlZe3jfv2VW2pHomCUCmVA4UmG9w8jhxcde9O/ygXO3yVJxhtcfufmj7C6keAMs0pVXDI/e zO5R30BSpJyJhTZiX0L/ViMUGqOHVOpUDl/QvkW5ZVPNopwA6UubJwIG1NjXlfVyysU/UMqU8yPk iE+RpJ1b6hpJmExZhdAQyU3Vj+rR4LP1qoyyZtUuP+qEZSOWW5UiEVKlNiUqaNJdATBi74TAZBn3 qGH5rylqA2qWjBiVVmFJ+XNvojYsey/jEzVI0XRtd4mkOgKD1wPpJt/Ek4Tej0c2Eg2iV5Drw4gT oxAm62Q14/fqEs4XG1QDUtkoEAPvjAhKQmCqjavGB17lX4CcRNJEpa/z5M0cK0ZwMzPf0ZDX3Odo ZV7pW9+uB0wxtocxReHFH00qhXqfXEj9jii1E/iPumsITy2KecE5gduDTQ9Y0o5NMUFwlUrWP1I4 Q2lEXA8NBqysDmbTzcDwU4GZ8tmSXjnsRMV202IOuPGqdFScFMML24gnGAkckT2gBlWPG1Lx4guF rLKsBq1YKB40GTBT7KZp5cguaG3aJbdeaQyx8i/ugi3nu9bYUhKv3JubQGq7GUoKCJxClLE07BtQ B/Jupe16ybjonFfM9gQ4SNTjWrY6ng7qoe0pwHZjITq+/PHcuaR1V09iWw0Lkmg1Jj4k5A6TLhXN 6QB0ixe324b+u12mfvSfxYW1bq9pdeZBYCltPitv15ijWnYGXXWuX/cCts/nsbnb0rI53g79z3OT fdoXs+XKL7720b1sYRLOrcQv0JlV4kQokAQQqmJg40ylJJAblJh7sDTIl7q4hOFEBGFES8a+xbBH ejGWRsiXtvgNfJ+XjiLPj4PW483uNo1l2KS4REekVKpRwthjQtaovKguSuyB/cldm03GiW5/Uzj6 VFBx/MOxGoMm+NgxsSYJpBMs9aw/ZmTFrkQlGHbaWBZH6h7wp3jdTJ00JmD27Dd6cHC4roDwdP19 yuJatKOed5Kz+QB5yqOuMlIZNCyUc6d2yfld2qX6PSAIKGOyfjviBAiSDDfhUx41/kaggTOr1mIp VH86G/sJIF1RlYI2WoTEjT1M0lTbwBOABi1SBPsX92piC/AqbtTaDmCv7Jjn6LbLWofLGnwimdYf rlmMocJuilT8IvVawB3pYHTkneg4d6h+ufMF0PEmcwpOj9YEOZ+/PpjSuEreAl+Mnb3Np/W+oEVd 2jRhmNJ9Ntx4HREB/oPnKTXLVNR0o10EXv6kZlbIcgcX1s7LcvwPBMpJx7embDJRXSzk+bfszIIp m8Q4czsh7TqkbRezx/wBZhbMlrw1MpyLAWKMuNsDmzXaxgEukR2F26sZD8ZXhoO6kYEpbxwxTJtD 8+AXDJz/ED3j8F1vIF+tQo1rD/hTXrrvFrplHPBbS3ozXUvSKdaM9WigFKFblCKKceCMffPnjviE 7JyULzKfmNFDkFOcHZJ7XZuyW3UJCYhasQmEWyF4RqHrtJDwlV3k8V2XxHVSMaFQYeTF4p3VVQxf f5crQ4U0gZcjoTFjSrz3y6RRQRwzaKIa4xhXYfE9w6jGjHXko3L6PT6wgv+J6QExitTw/HV6e2MM nzW5UY/VmZZF8lB6eU1ye7OnpNiofuEgs8k9mFGhhY6dekEqJ598W9n/JNBh0Jl9YDLJIwGzbkB2 dTtyGtuNiDN1ojg+/vyUtYAu8yWewhq5JByj1AyksTR8ygsvQANZGJw6QMEnkkhg/vweQZPgWGca vEqKz9XzUGr34tFMaMx84wCpU4Ek+clszCGfVTcbV7coGf4gJPk9roCtgwOMyX8+a9Ff8nzAYM/A OlKeGJ8wM9XQNz699OYGUqlvF5WOeS12btAGacrW1ZXKqsiqB50lg/60QXdrxev0q18yLpj4Nxmx qWOutp0JWuNFrGTv3y0IIEitFjfLirUf5AodVQ5eKyKiZ1McL8GEKFtkdfLLe64QoLYijXYyWoUo THKndKAqjCL+Ek4w43HIbMb0nZWWL1kwzpErAqc4V2fMW7fXHCz9zC+rpwVPxZl52aDAXPfclxgL Kc37SCZOwWNrY4nA5tKzWsev2y2gXEYwhe6zR8yCXhr9YSzRPiNGuZKlJ47/BVkFuJD+SSseIxBK s2Ollny8a3o2Ss2v5TfXd0Cloc1FKE9U8G0xcobRsNGulXN1K/LsMcfJ4yWdqZxdc0WsP1VgzFn7 Rca1iaQKLF6pxsLxLwRTu/OQcLck1MmeQjRohJ1sGMtvY6Xz/3q9CWZpbattCtSPyIsivpHyrW3v YspK2HoJO0b5EyBOX9hA37vIsuE76vXYx6l2MgoAJNlGmp2ndsTZpC5DPHtraFSPG1ctoT6HVAO1 9b78c9GnXNr0Lioo3HvFNf6I88XVn+8VVjB+3FF0zOENrOPvXb2Lq/vjxqR+GzV0Ig6rZTHtusie PoKjpEZaU8BwsXktm57q0vu7OGAADhwbAyyexBrPBHRjjx0vfONQ/3dqhznJJjU1iZHV/eZohUjY 1QFs6Z/xs4dNHwYIXqrwrFc123BhifdQLYT33bqzeNosO0ftUTlJyYJJY27ClowXHreHW0YiOL+V EqQ09f12+4e7NAuy6o6jo8QfgM7NsPYj8+z+pmeTSOep0cw4fdUmlHdReXltZRJSCqQ1lZvMdmDC bE2vQam4RtRjeFkf8FfBVFbJzl+IEz+rTtJRPa+8uhqRCkwXb+8t6y1lhuPcF/0TppnGN3TSFeuH g9r0fJYtilZcF1d321uXKAE+CB6zHLdTkPg6QE3GEQGCAL3w0Sw/+UhRNXA+E3X9E49Iqzz3Hm9q DV4mcpzLZGsO6e9q8FYpF0pYp8qiMLHJHWwPm9koiNFQzWWGSMKHvZXjd4Pk88AZF6xS8N+4iEP8 e7jhThCP2ZXLYuN8sICvmL1GszQEzrD/PuNeqza1Lg8mA3Waug0EnJzL7CBzZ2YRDRJ9Sy+1vXnC BInd3Wh2sQ/Cc4QqadDFk5UIjyWeVt+yLE/IG0khIOqD1tcYnSKJa+0/CJAjbRSTG2YxRt0pp6cj +gviUrJ+O1BOzofAp7RI0LEkHQg9jxSNuFsUyqx6gBNRRgXHwX9cnymrJLgJ5dRD3vm8zsiLlR0B iDQRqlZl20lcJ/ihcFcsBZYcdmx2GPMa78nUN1J9tgNkMYSqoiaQBx4KUAe1auIxrwicA0p0oPEw HXT8v/Wm1NSFSGI9DgCu3Zr8XLahaFyRJgQyQo1EN2zjt4rSYBVXxGHbcPMmf2IwWZLYHcBUUZMy kUJzmbSZKrOLIszQ95JUAKGS+AxALjOaqz4LWxnca6byZvCwtUksMPps6DSueqDuPRI8AZaGluHP SZAgshWeO90D8E+GJ5vuhBBcZXTBlLDVngWmjrDGXyaMTOM0+rOVTZzVSSPiVtcv7xq3JGwHJmUv e22wH+7Lij537NpeIQq4Pmfm0IbVSF3I0WO/K6CuBD10Xpk5fdat06GGY62W0mbqYmtJDug3Z0BT fWHE0VAdWRAzufFPr9ddOxvPWgVAkE5uoAkZ3uIrPA7KzBp6sbNeHXlax0IxbTsXFOUcosPw7pc8 4fmsDCCK4B6YzS2JyUy6h6FsNGydsOOh3oVY7a9OmKpU8aZ4yJN/vxys4H1rf2bGMwfOOi4IfqdJ 5GGWCWwd5+yfX0PfizyZH7p+uYOsu4A4LUrq6CWuPlVjdatVF0r+nWJP55EXUWqBty8vkLN7Anat orpB1mFMiISD6zJwmKViuruLbO9UbPw+VecPHx99BxBqbNNhfZAhR1lnm0qhfoOoVNmUxtbBbENI QLAOJnABaZNqu9lqEJ8gxMphXZZk3jxREZUvaylKsGyFF85z9RBTmc8/XL7CsHV9MDDA3LJtZsf7 fGcX1fChHnXnIgJvmwA7EUZOLZqGQA8r+xVTDsbH2GAHnDQM9xiS99giEEgoa5AAIG014Urq8g74 Dc89rnbyRB/bYZ4OrO8F63EpC6RZioJhPojydoPc3toiggBiEFE48d6olz2gvV3E0+GxxJ2yYNhP cxSceGOFuDIMVJamcL+DicW17vB0M+yBj4s3B/tqpeuWK7TO57/W5u/5qlA02cmUR54vTktNRSpD MiHu0Nm+uHLDF1ntZ3JfEJsoMtKntEj9wp1ttkpYdpunFj/appURhOBZ/kQr956OaO5ZvnTC5jgR vi7NJjW5i9hf+ZgorPPzvqgohS8F3wgjcvyNY2qxCupjDu0wqxYrVjNIJYno6SjkQrDd2Wylukfm efbcaK7fRfIwdcDdNQlec9nOUw8cvvRsdyEmOYjx6KG7RqrjWu4xYKoiHqL0LKwTsYM4tZfPg/eK PaTQnkaMsdqf4yDjniKDFv0M6aIkOQAd97dAYoR4srNz/amXL2oH9xdxB9qJZhTt4ka007QJicLf 4ZKjX8vVPvUKhqOfQCo8U/kBCVd2zI+vdPheI/fTaiU89j1zY9KxD2HKg7f4sng5z4QUrcWcccLi ijar7SCj5cg1yIFtuBKj9gjWxgkNWx/KpLFiKl8V6jTmzmC5dL62FBWG18pQQZupzU22XRHLZvY4 pJFBxbAiMKzXkv95HNQ5qwEc3jpeWjxsFgWoJWf5+38d+6HfpRmkgUYOLK28r14lZewF8umB/sXG CZ8H63bZT7wwXDgrkyMKjJPJ/BMVM6siFtwhSobB5hPtQq2CmIx7SrG4H+Y4J0iTbkD9kFdxilVR DvAL6SOYiIl6OYbN+GT3ohJ3t3TerAA4GdotZFVUyBCxLWJ5iNZH8ynNhHDXQh4jVT6bkORqlEKW njpCb+9SYqKqvff7ulylPN0/aSYQ/2YgrNQxov+fXTJHVtlbORpd+xI1+77JZggpiBRBGmjorW7t 6opGpMGnk5GXq5jMgGRJeR0JhB2BS2pB485VmrlNAwCottpL0YNZpZBVRsT7Fw+0mP+H/xEjzkyQ wk15ybX+YA+BwS2EToLovau8A1bCEPSo3QPavxxAEViU1azGv7Ctbew6vXy7M3o4Fv0Amyuqddnp I3jEh3rTBKzMxf+SPe02r7L2M6JfxDRESeW8cdyZ8jIvUZjP+Ux1Y+NUEzy0nDJi5ZMhRiEul9GT 2aawLqOJ4+7zIyAr71wVpB1OaMo6/81rdakHGL1DhtDYkQu1bOsATTT9nAScs0D4P/6e5EG2ZEdo iqtrkXBJ9vY5dYFtM7ysvGwA5XZHvK4G03Gpx6j3lIqx5R9GBTJ889zS1RCoKyYlwiam20Pe5Wd6 BnXxY37K2Xy18xZspnE6OBd3dU3MAgbXDNtsoufCBG6lNkyrBKYeZjVzXDd9fbqJ6ywtJ/ydWnVF Ctiw9myq7lYXeh4/Wv4BdxKKuJK6uxX8Vtn3SgsrthRLC4exfOwcJAIHiO6SsNWpdJA+z2YeNemx JFvGWN8ShyhLaBM2GAOng6pfNImGMinEXefovpJSuYXaOMwzYgn0AHFXjUMbMMUyIL2g2VEm9L7a VoSxN1Zrjo1+myjmTWi+Mgfr5O4XvIgsw0VcCTnvzZw6CAu/vd5k3RTjf04yze7fcQPONGDJklom yhFXxedBaEdy7qlAkFE2C49MQ2FjWxkOW6ZT/iIIuhZYKAqXNVCJ578f+dB9xNSwbbd/AP2s7lkA dB7ufU1LnYwCam0uE8MFloQXjWAV6b+NRmFhlaw4NYyBwuCqwgL3cFJePexDOwZzvf93S5QPV/Pj CSlUvNsRcqF1AQ8Ohj2p/jNGCPt+fmpNLKJPFjKNmv6Ri+Ak6pfhjgKvONONPGps+ciijfqSC0Hv Uod27HwDQRPOEne/W2OCCKjSyOufUUiE1LMbkVX4TVDiVe+bjjM9lg0XYWCR4+K4yI1RnKLuvbQy LbClExd4sSg3D187vyHgKZuisIDHPqjM6coDQgNotXUrqPHybXZKMacsq4KwR4CYID7Mq8dQJuh/ upHqfv0MUY/4KZu3MxZkl3A+jmFd2NBitDmD1xuv+lZEDrbQvOHa8EbDgjEANM/9izFVIfCS3M2B Co7auf8+PxZyBkFZu5gHYwce2Yt9hpH6rv7ePuTAIR6IFsR7ROmSYuWgxPHGaLYfaKZ4KeVHY7Ek ObWJBHrWhCph6SGi77tNQatwvWXt0ANiwIH5XHRn+51AgCc4Q6N147orJ/KTjCJhgQZd1UsOmqS4 yg/jcRS+lME6eDbeIYwiRvWFCbUbzukujPwF9CwXRqCqBI8JxoentNdgjQPVjPo82F6mHAmsPMpv eUBzszcmx8KllBPDJSOIvuVtgqNpv05g4F6KU0xsHcIdtLOoatagQvuVmboiW8YagooK0L92FAKD rZ9ikp19ZXC+Q4ZsNU/SQgHEeK7g6hx6huQInfj8sOUIE5r+m9OzLeh4l6l0MwuEKQ/RRFSrAKbU 80aZfeU7Q9cnUwLZVjxlflSRqTmJ9QM0u1mWjJo2rZUw6WLtVZ32A2JSXZBlWnqOxz0O7P+O5OfE U2iIfuK8lHphKKPA84ar6gFXp07R9F07BQ1gke4C719AH9bVHHo8hf/v0Oe8fXU6oNnvwfC9dO4m CYZNMaSAl53RMYa1IF0aGtmRBxHujFkbNI2kwnw9vN887pL/iw9GyRT9exbp/m7yS3m7D9owZu8q /F26bNTUkZqbEz8WOG/aYFqgvnp13gahnk74b5YcZgyDYlBHWkCn3821aRFnSP9N5GHwcgAlinoN FrA8jcY6Nq4yAkPpRpWuEmGoLcHNGkfVL8sS3AJOIOIC528FioRvhTvGn89Npbq/sQYefUV0RTRt LabIszi/opiqoMK8W3HdJYeFU5HzMKyLxlUFmNebJwHy95bKXvE6Wnz5QjRFhcwnKbv7/gJcc9oU JbirrvSWUL2/8MUc9NVHelVEuXZKGvswVTkEUj6S+mM2b+btkBMhCWSCD1U6lL5ST2ekGnOuM9up j7KGE9Glhs5w/HLivNaHaymkMPOEaCa1YrBQj+3Ul7sz5YgBipYV7UauVL8id7tqUFCpTZxH0OfZ FY0VRCVJN0tfj2qY9fOY3sjrbIYHCbjq7qlLgmY1JlDtcvFk8BbLZPc35t/5OuhUA/9mU7PcVH5n Eq3RNUlyIEPedxRPKpfA2GZin+yl5IzF8/TM6nAOS98RclbWIIo2znw14aA8/VBmP/E5BF5rRxfc w+YLTq9P1naYoCRURuWqqgdgMel4Hg8QsP9qyJKEPfkSaDeLDZEvBoQCk2BcrgPIa2j/s6t7Mi+g +UhbAfVRyJPZazHLy8/Bp6nZmmFaN0caPHaDGMeRWW4byFz8yU1VXlkXLyLWcXY7kXdITcDjxGhE kji8zqnZi7Uv9zzyBblMyuvqZxHwRRv1KROM/k7YUa2TgTBI2lxO3hsuxA0qDwJ0whdkjEqcm7O2 M4+MIQhpyOzIBupZ0ZHRFTXMCMAds0B/7sP7AAK1QTZBrUqdLGkVRNsmeM13K9lzWAoidKC6Hid7 t/L+Sh8Grgn0rq9GFxduYzvijfixWamn2D9Qid/9HLZG7n7G0ojUY6dInVwUZha+J2EFUMNWsrx8 8cGHaNq1ISrjgWvMwQViRbTCNBEQBXfoJXEQRswlxLYYCxhnrOYBdzyOEuLLSNbqn/AuqwpOHy0V 1+vHvBTYD2cNAlbDaN/9DcX8YkiYMt50UdkSVx5Oc6KthTR/ZPm0yGCZAVIEZEAyk+ONBasalS1+ GJ589oaKX2KSHHyZJW5BhA6mqh11DkkJqRycTtDCzjh0FGONtDXDbyM0YZ+BTe6Te3oJrjoSPV3u 1yj+2bv+L6lohGAxrpaJ/WScxNReNcchPNIOxI0al58bp94QWD3/gFglA8DS8FuF7lT+SM0uPu8z IXjIfZrfSysFcKQoob9L/QVQTDKfxNJzbNR9RFj8rX+/f7l/gSli+ABA35TP6hdzP59xx4zf0XdF uWAwiekPNmKKaen5ScsFP/HRpnT5DnOvh/d4LSKtlkFCkO7MwdEGEHAUmVA15CFnAwAMVy2lrT9t asAtOawvhP93Q3IE3x8pjyFXUsa8cr20b0bHFezcp6J7nYE2jS4LADZEmDLTR7/VKINjyrP31dpE H28VrUqdaJt5Nlav3hsAjyw3ll1D2w69aPi87cMrSMlPmCLfYFXjd+S9nKs2EyJWFdxBpXwse6D3 eQmdEmrDeDAd263ycw8zpNAS81Udzl+a6uK008v03nYPJXh2Xg2H+gS+Hqypc+3E43NvU+2vxZJD m9Kr7QOIauceD7ferPyev7egYag+dGEWiilOK37ityRknF6ndvubBpgtUpmtW5C4Z9cUDhSrPfHH 5p5Izzi9EnpXC1a8zG8B7XrI1FysunctNbuY3qDjzn/F/8+CQVAhJMGsq4Ri+ox4mLKp96fFbUDA axazbr1ARp3E8yLqMCtaiF5aZpLDr1Hkqgk0aiaCz72hffTJaQewBjYFuFGQpR2/1TD+bSSvpxuN sX40vpdR9jc+MH5ajO89im5jEzNvaYAmlgQkx8wkesi+QdsbytT3Ybmg3WY1jXUgXMJK1ORdx2Sl AaQuJN76BsfX8RY8dJ1XhD9MhxD3Eybz9/5xVbQYBtVKejSF1KbpfShIRMFKbqMiztiRJOpIVuvO ikFLub2rg0fa+0ehFTHvYS5h8T3MD9Q14JwELbZs2srhvgzSuI98cQ+78frnGKrli6fEVozXSEJx jq0wii9l7o+ggOGej68XF2j2MJx+Ka7wc4Xew9uX5dX0eCyn6R0OIihjmHriBurvB6/fqkFxLWyH a7qrZKcN2paVwTGGxVP7u4b/eg57+6wkHOnLstw3JYQfVdRnQC+kGyziyqLmORGv1xeTaF2BR1BA +Nq9AUx0fckPjSBBusH9w/UxNEOG0LejDelE7zkTFGWt3112FbKkw2xqtx6rQAmZqsTOEIa6I0oi hd/v0pD5yW/QHWFNPosQGUqKWdkn5Ml6V4OBVy+Eve1+QFb50wLJcyYij7gfX51P6kEBIYTqlK3a +DEmP6VrxEAJpTZ28QwwKqk2pJhf+VYSEQmFGNE0lyayD9azgNmvPpxTV+H3+gV9silsYnhcXpdA CzZMRPVE3VJFKrZcR9emuCqljDtF7I9TKe70CVQgF4B7/jKaOKIVREPZ3yIMGPWTDCNzpvN/f9Eq ChovqHbkcdg93x9Qhky0PZDz5aIIeH7UPOyrlpHmFH5nl50UC0KAN9yAOCnTMrWPlFPT8+TBePoA yC7GyIVK0G0kWMKieQ4BSQA7Z4IqjtOsDlsBlF/nlT1MPXlPZt3hd+n46OSc+o6dIxVaSkda9uiT Q2uRZHej0j5FqN/FuD9VdEJGZ46vF0CJltQ1TIGU6OEhPZ9iloCyRKdpjseAACpjS6uyqokGknjZ 90ZUj1ZlTfb1+utHF19OO466xq7xbjrbTAn7uIjxjNEVIm4xAtM1LPvQDkoOKdKy3S/gwPN5qXs3 bugzuAQkz5FmwU50MFOv4OGH5h3B+t2VFtTwkkgdsuHs5P2bV1QxHpw2yyFBf8ih0smoTXn4A9Te iFVQb64tOKjt7c+0+BlK1AfrZr3IfF5b7VPaLoPG3pLYivdqqiseRx0em96fB+ST7L/ym9TePkgj 5/gmxiaTvdXKcX494wsrAQtimDlR9AeiKjc0iyougGhgLbIZtgyEhZxPq6xE72/sVjJnuXi5xXZ5 f1PVdfhJ24N/ZaNCw4Lm+LeJy6Vx9HQwrymLztYUufh0/dzSzhPhJUEjkALIQga/vAOl3NqZTQgv 7fKmcKkf0GtSl0NWPM7xKs4jLRKhKWL3yAPJiY7fjtQXHdlbr2tCRPfsxxDz3zvZkrX4nAkBFOXm bWZXyJlMZ3GFRs1pgGdR85yAVyq70inwKiQq5cW3kyypsqderqA3bZ7wUTUoQGIIA2QXkVgYUgZC XDXxGk5mjhtNXiwyb401sUaqYSS48cmJUmqXpx/Eljr6b6+bpEkxWhjDjEL36rwzAUvQAvUgTHGk 3X2yKLo4TCJE8ziLWkvr0vC2cgQWLb6KVQG3mXGyZukhzdOIhVUFBqJRTZ1raGG6yF7DUVfDNIMD T8ZDqV8OE7QxWyMK1tq+rIArPqZx1bBYiQ69H5dM1ApOPdI2wDyRaNUIy0SW/OWTS4wc2sgeK/tk kwZwDJeg92v8untaPTVcKiT3SXbjNKOKkzVsn2PKosmuJSu2uI1nMcC1+YcnC1F5fh5cc8XiFlti sqTM6iRodn+9RnpFtTBb98n59zlvw2xOdyJByMjC5PtECILsHXiV2aB+0TDp+RIE413iEhOzwpt7 rX/PxQjkfvMHQOrI0g38hls64cnyfmA0ypmHDDlHfxbH2qCz7VxUBau7awSyuzgyTlaPqoCrAZhJ OUjqtbxHQp/OGUGrMK59AG1/ZkGVjhcUV1brTHDJ98IkJDUeDitV9NI813XmwBQS9si7RKYUCk8k G2V/nIMmYxMDTgipDU0RBHyiod5Q9O2kLRThmrWC2KC9JF47Epgj0yS8YlJtamJN3GYdLc5pOM8u eixfx6pn+baZvwJcNmn0vhJPqfeikJQYGg0/kTVczAvUXpPluvEoFydAH8BEWyKX1dviKlIbNy8B mFgMKi+cRSfL8TVl+5J2tBpgJTSHXqSjuyIZf2qJLbN5J+TGdpBmWY4esxXZ3/SqVz/PChJzfPTI AjEQCm94q7OPwthFjhcoGSWRAsNqusuIhT2tJt6Un/xaRfvjP1aI1yU5RiOoBXJ3hde7U9yqHW8y xNiKw4im/lQr0sffKkQqMLyerzU1TmdRurfAIIonR+HNJZ9j0uvVnoLyb2UoUpHG41ontaQF1wN1 l4jMiN9bJ8VhPLCYLf7jWhmRIvpd7lUr7A1WHSk4I7Y0ufjoF1A67aWwM962K50g36b3YaWc4vUo o46OTy+t/QTQlH6+qalfEy7qnLQ1o5aQLK5ERZGM02hrhrLnBVLG5MnH9MQcvirdcdlx0FWNVZRu KSOOVS8dvd8q4AmtqDe9sBRD5TeffibpFAFA/aLm7UuNKCsMaLKVxuq3T9sz+rfHSJyxf9wOtLIB f9AeCxgjYAvvuAHkYnM0s0N8jrKnq8zFkKTpHpV4jBz22MdaSJlSdDqR3MJfzlnUrkzkiHERtGu6 W6+878VYGPPvAeA6rLdfz/EFBj1+jlYtpw5NsXFvMyhymGad3K954sV3e+UsTdIQmEsWRIE5nb6A Y/e33SvXnMYXfMR0i4joATFXHDbHUnXtZCTSryfEND7c1kSe8/Y0c5L7ZOxxKfZJ5sOtN51TmljX rPNXcxFkkG4fGPl36U0Hlf/82XJ4gSkU8+4wz2GkTLLaFStLztlw66gIDvCyVdLniQLDBluX6ahC 56QSjkUoFIQHMV/456q9pKHCJRITEOkNJExx1EVG91uSvrHtpo8+AvpfYGjmYEfrDPoZp0vAaiKo tnId7nZFgq+zh4mVH/ddo0MJZ0xZI/5xPMvM0oilktMyNwlKHTlTQeMWN9E72Gmy3EMaAg4Y5yAA /+wj7cydmL+AzpQHUJEb/NgqXFJMfp60BJKE1e4d0B2Gr+PgdsgtN0BptSOJfFBL+OegXQa4jxWe K58uWN8CKK3tbtdMYomwoMWrHeGlw9mw3XfWddSFJITQHyjQt/q2XCecLKKGkLbB0Lh2jNIe1rKS bWrqGbVWPYCUkm9Nx8eBkG6XaVxA/J7ugNfxFtf3Lk55fz8K5n5VJVS7ePWukuJwPWc7VurUqChG ZC+W0AzIZ8CLuurBddJkQsTjgLPsUDp1AdxBu3CiTWdk18/FQutIPLlbnn0mGO3YF2Dojb2zgMVu 3g3ELl3XRUV76i1rshbidPMXWsSmNA282RA2xVrAz56jLesMx5Glcij1hzmxFrgg5T+wZJXQKpBh o2bQqJ5XTNHUlY26XBLUYD7vB6JW0LLBLNzry/yp0baUECxHiYEufDCRMS+aIgzWOrRZUtHP4i25 VcS6Y2qrOlzD2pkwcf9I7n4VlQW1POsoFOaRoxhaLIT86Olmt6SYoRSvrF+V0qh0S5NLqHZLn3TG hl+z8QfHrKVVP6o55eOUxWxSZ6qtKUNP+l/TIdPdtdA5qWezPYOcKNP682GrU1iodnQZm0iOapqe /JzgS4jmBGyNoUWZnMQIoKChA3DiGBdVCfXfTx1l0U8EYg2KouI+8nDcxuLhfj4yCZbAbhTFYQmE 3PevavA8rLwzQGX/Whe+Q3GjGVvEgp2ByzL8HC6+hE/0jBQmko4UgVpxhwdEriThxJdDNZ+gk1Vf XET6MEJcn3IC1E/xWIsFEEk6J/WbL76bUyUQRN1u/B7GbYqhAdDfOXy98s/l/z+xRbhLErj7/88q GESJ8cnns/g22SQixEOWk1XxNEnYgX3kdHvHaBjZJjtOTV5ALYN6H6XxIThO6vWEREYGJecp0t4Z hvMvZpI/wQUXA/V/hsSgXUybWCxY7lV5S5nxaXhFQ/7g2udz+j9J2c/EurNHA2iksV6tlnGq3EZW MQ3R5VkP2oxyQA3YFajBv1h8U+ujRPqm39GOhUBNNXsgEVVsGL5Ku57eGabI3DZta5MCZkfOiwYc Z1IYoL1nOvhRN2vGx+Uoln3egfo3g0g/jgAK7XGqMNYEF8qcohZydUnPWifdVMApcY+iSbK5QY5a BbUS+vZiI3Lrb/OCiGj82Gz6nElBRZWvKn3bgVP5z8lwj8YLdBVPVvjKUjTb5mbv/qqsMPVsv3va udc+4x9WNkjOt3wxsPSOA34hGhKheNZS2q2hnR/zvlnrIObtu+4PH20Nh0hOR9SgxelNhVQ8w2cW eDAHlPaQEpBI/N8EOMtJ6CCVguiKnWXBH66Zc5XMn/+8wvowMg9FSCQ7AYu/0jLtk1PX7LQUgaze 7Tfl/K1EZxO4sRtLuDNPKRItcRoSliK8hdm6GuHr0ZuQcLs99pS2v9HdGBYthUY5tZVa+sbvP80J QYncqJJVQaqYblAgd0vQWVaGhwDZ2/XkZae/qiVTJCkNnieeB+SuJAhJLpLaCpdJsei4biQeo0rY AcD9fjk1BSuBwR60o9pdXbBC3qUGPoPSYPnHjqNvaVdyTCVy10bVjSbKl6A3Mbjto/oGuaP7wy7V flrN3QxhhUpXpsDE1EbY5W/+BwsjN6XT6apnJ//ZkVEstBGN8JHRiZg1HxO8WclNojYDXwgLorW9 IcKRLM1wHT16k5ckHyF7pPYzoxMUERUuPPUEt5wduL6zbWnIg6ZsNz4qfWoP81zG28MXLaeyVVo9 SJgdYkEMg6EOIxNqMcnssLvuPKno3pE3QpgD8tH0WKhojOjW/eK7SAd0XKMQiTF3oGjPAD28cGLr 5kBD3J5MyZjwfYoaB5bsmhA7mbGDCKA+bR/1TVsXWByvuQIQB0wxi9jY6BVaaJtxKVg4LVslkqOw 0Cuniui5yAFHG3lewY9p0VelCtFVVIXJNJMs+GTuYIyhELiVC3F0P5qkg9YcuGT7Z1qZ/5m9pIbQ jCemRXO5oQ4/HTpRDNV0yMFYzkfdhzjyUBy6zp1/PHYQtPQyHTiy7fK/AWQZz/mkdxggaGgDF1cr PNxLqL9U1ow3+Y9jPXD3u4Dy8uDW/8U3TXcnyrAuxSASodgm7sT3jfpgr9Cu0CaWDUxGzSD0T4fY S7uUcRAOt3t1xtTTiU/U7Yxt2oeQ+n6HfPOmBzijCc6VHsPJB6gRhZqM8uqLHDFQNQtU6Ug9wg2g PzzSHaub0GduNZFbFUWVmVYJFy/KXC3hHlc7jFcntrf5fIvI9S4VqzvBO+uBtyV33P/3CRRUmB1q v901KoVsswASz9KCcaN2sFhESNXmu0kSD58a9aLME98LVIwAWYVI4dRDdLbpOFX2YMrSdTARNOgI PGqm6fm/LaH9dg0scYblBGYlGLpg9DA8oqVV/IzW5x4jZQDF5CyW1j7UQhhOWm0//SjmXXDnbtom ufvxxlxqP1DLQ+O+7vUpoKfeMMLDVkKAi4Ipc5LsZMog1ZLSEf4TwfbksOmLxS2AbjZVrQ7fiNAB MMCcv0czTvtO6qPIJ/K+Sb5G65nTjvnupXjdqd9s+mTAZvIJZFxG1ABLj9SB2BCQvLUhHv17hUTz 69Y5WZ8SQiw8j5eq+B9/3mGs+Orv91y6BTEGpWIY9nkPuPwKSfOJdJrLfRx+1qZrUgnGfWKv7pjZ mbpnkTAsMbBeNAQcjAEDfF0VsBNiUWqyVIRbCVM0nPD/cmNNboWIzRuNUDsEekZQGzZSy+2qZEvU M0j4cZF35WAx9UgoC123UoGv4nJ6A056Uy2zAuAZzLWciyF95BIdX86QfyHhewFSPh0pXr1D+kl3 Yz5PVKNE58TANqz5+6LEqPWHQqYVlmQVj1IpA26yGKYFwEZI/M8eo9NQmbx2cfjVgqcnr/EpHIGb j5OMar81Fg7/YEZIA6WiXvkDfk/zr0jfKnCnfeIf0lRXa9B5pIsC3rOjd2LbZVDYKDBnKZosIGud +p/hWURAR9e46U2fXRK8j1d+6NRYkHaUU2+1sc3pKGpDRwp4sPprLZ146UNWJIojpEVJHCjtU6qk v7Vnk/IHyw9sTijy3grBVhzoMsjLGt5MNn8VNt8ng79sfDnqvTwUVgXFPMSk8FazykOR48L0X5XZ LLfRF3MRpYWFKvagaEXKJT9g+HypJFCnIqEn4J7Ak1iaHPj7soIqunZUtUDm+bOcDBA6tDz7MGq3 WMcKa9848+A7tCElagD+HYru1MtmP/axZco2OLJ3TsB2WnW6zufF3JMZzLL8TD2rFEgus7XxF/QU fGHModOrSgcC3N5Ozu7OneAjMrZrb/KpoAqWgtefWmSVSQK0nbZtXtK0rCuhm7UWTf8tK2FZHvjZ n6AqYjLT4kEc51BtvZDQFyjWKySpM+mdf8mAA0Ic2fa7bLhYvex+oS2HE4YUeiwXvMBX4ieIEdi7 MMXATghdduLYi8Ds5+5fu2a13NYuBS0ePlyoBbpu2Smyj+8zfhaO152tOOPMIcuTQBsO/KJT0Y7b WitQSqUGJubZRx79P5xVSTgiv+902evFZg7wGZtBLnG+3S4YtHw2/HarJzHg98RHrVqcyaEA762D 9vFmKLSiYjL12dL/FMseovDhCUR6AG0q9W7NFb9ElY0UVOS90U/CVZqV9VLRlSbVGcdWub2vECe4 yO3n2kVdD09+eXPTvP00Asdoz9H4ycgenCJiRoYldWvztE/JpEjNZH7PeCcXmRbQaXWZa+sXCQER RrYLJdmhENMT+0AtXsGW22NBLoPt6YhYDUHpORtfO/An2Yyu15M+QxrNnCEdPP2FJX+DfRAgpt+s M8+uZjH4i8EH4PL7qZdf/de4NxlmgsrIIud44Ey3pj2ppFhsi5BTKVFGYT/lnlZepfq5Bod2MgFt QH+3iJjRFBynoLg5WDiptwG/ZLLj7SEUeP1k+J9dsgNSBXESYdJtgSu1GQ4hLBC2qVGLEf81Tgbw R9wGIKeAcDF9WyxIwzwPKxY1Vi7ziWmGDursW8PjP8BWaq9t12Tzi502iez+WnP0HWtdNS0l5Xta qVSuslB5Ccj+3rpWS3Io0uUi6Bd1j7cl9QDaE+aJV6t5Ts824J0N9HBE1tdo9AE2/ewlIV+JZE4q 2tkM6bsCVCVVu6snkEh02RRJSq3UPIxeOGJtpJCd/YofhUUqIDHxmOrEWyT5AI0Ig4kaFnh12TMG 5pMI9VNw+EI1x1Y4+wgQb+SiFsdAaJYZQE3qf3D9qon22KRwHo2JxkaboKd7+lTh1oGCBiQ+dOhJ GATiYU71HGyLQpy7E/5JtQQykSreqR9gtSO87ShU9WaY4OmMKbx6SDgICpcllqsGdVrUQXwcMU+H zmAs3lXWwktPFjf+S4jv8QfFaIohU6T2YUwELZ5wehw8+CBv9GjlqRvnBuyYMFASL6F801u4pOIk IyQa1mLjreIePKmK4ZaRhyoYD4HZpknoQp6QxyqJB5bixV1n6XcfMMIJG6mYX79H7TAp3qetJ72U ktKolmP1d1ZRR6YRKXYVm5wGjRdqxOrTVB5AHc963qp3RzTRLvK+A2e3mXNO2O3UeG2RIgfDeDxY 3zbPvS7b5huX+UYEsAeIPj4ddVGAsNEiRkh2KwvyV2nPLpKkPaW2WhcMG4vqNXzk3iVpL5+SvO5t VuhDF56pR+4quU1/bmO6Pt/wCFDpa6iIhD8grTh/ZYvFuJpELMM0AAJrmz6fzqqe1PT1ulQomMbQ nhF2JuDYvfUi29wT9/dHKPz7JmySWzXyVSYLuSbkp862T6CzxHTqHwyJi1ls9Ww/ARjzFa86vSMa a/T2+NWHpvYxDznFxWmCcGWZ6UdXca1/xV055a6b33nQO2rpCPuLX2aa9/MlBPsYEKY6o/A8JYUF FcbiSQ7D2xq545z7b59k+O1xyyfxta5kJH9Q/3Rp1S6k4NW76dMGg8PZsWjUw1AIureDd9YfTkKf /Pmd95y+qaRjp9fgUw2syAXhi734EVQ2CuvJHLcCbhdeBsSSFaHAo5jbMdGfIFQW3nFtXjt/zvqz 4FedQ/HfpCJ3HWxAUD63+fVoyaOIepiRxqiCcdE+zuOxqmU26RP6AcCEkE/qbO3n9wAUkP6hAE8T qKCjPvj1sfIOLJZnRd4/DwPRUkmOqXl3n8rm8Iw3vFEFxeFdDLNnVwlLkhJ+S+U/DwaF/DJnsyGh O0cKBDH68y2dMaHQFKU29FZ+h5D/7OpD5pcCknJVAAieRPz5fOHvLfhNRODKHE6U4aLXDqLScYsV CrRUm3D+3WuePsAzAGDmtJ0pnX9bt4rOgKOhduxgpzvGdIFpjjBK6iiRVUWfnT45lhcj41lywdg9 eNgONi012cJjuVllGEA22Qf788gImdsy9e4TtEZR+QvwS25znRe7gnrnp1xjylpcDJofyF1HlT3Q +/G4rFakn3XNIHaJBSHacHlAji6uD6rRqVbTt3M18zfQC1N4DsK8cehmQAQFyqwjAlpS2ud4OqxN DSd77VdbNV3mqwOk0sKS043h+TZhlCGc4MVyaNrgDzmydlIW0WSX244E9GWlEOjNy5CFrRy7WyBo 26vpdTq+VRTzbMrmCZXaSPXYfZEgVDWTUUZB301ato5iXsEowQL7qKIJlu708GIM9JkmvRrsp9sd 7tuoonZKnLO0RNefpEDeBn2Iz+FN/2WXbtUA7cOM1FtNlFI4Ub0MwES+NZufj1ktIrNSJd+nJRNY +ssCTGnEo6p/QeJvfDtridSTAa3cbJrsP4Xu9gRhQaIEUSq2ntS0a/fDY31+WLFgCNWdORoPnAPZ DSZ8vI0Bd97YKVcQDTasE25rvSI3yaaA74ZsfGuMgkoRRRMcSpLw5VQkZQnCMdGd3h55bn6AKGXU KN6F1nIOjsGjBJmBiaS2NoeiEUx5VCv+Ed1r7Tdy/FmvdB5lpRuK1NIQ9zk2PCiqcReyr0gu5Agq YHf5BC6cE8i1z7CLHF5jKW3C9JIxuyetuw0L5nFi3yki/0Pb/yH7T6SLlOdsbN4OpItu+xsDqT8S ebZMs+Wyma0afA+H/F9OQhylOrBvJ4fjZkwZA5QTulCYX+2+BWpccBNDBhSTMA61M+HsgVI2BDFT 5+LdpNRj4umiYiVYrZXeRcFG5pfyRPNZRztJHX/2YcEJHZzrAhsMOlBCDgudh2K6MzkrQwBPNEQ0 HhkwSxgpOkYdrwk4HF5kblYC4D839nltHzxkMC/gYiZBnUqFLzxnhvVg2XzDlmXk2UN2N5a9cWoE SQQguCITHjHT4+YseFSyflI71d/yME6y/xppDCE883mzZeUrTX0PIVcWM0l4QJybT4RybRGy/x8D XocZHsYkeOP0Dsls9Kxy0SqmlmhqY4A08APgftSSqiI7RBQKxN4C6p/+RWfVdx8Rhrc8mOVgqNSz 3ns1pIj2YhpV4L6VaX4yqdDoNPxk6y8D6VAWzOeEd76HgKrazq3NY+ysX/uOe8lBkSqcKTdOGq+Q M36J33hHcCOUcLQkd2UgUx1ZAf0T0TSagyvFYkZUO7UMRKYauPsmf3tGJH2Npvnn0PMpnJZgxNu4 Em98M1sgZBZub6Exms8PcJjA9y12oxipMTfIc+JkkOHPumxPVCdPZEHu4adW/OvajpIrjWnlO8yk L4elQ2mWvMJ527I90oYx+rGXSP7+TY24iqX11jTz3nzlcK2b6dhyGMVttp7Eb2cUYqNkBmCVLFRu NAGPJDUWDdRcdDHKn1V4Rh4j8MemCeC17GVBscFsu8YlyeBizs6ikoK7CkAi3I9uVzMIdWiFgJuX IwsTnYOpv7mMFJVJ0SsV+NVswcIslcWsExKJcf6DZJz1pzsB1nf6TSnHEUSn4wAA85dMrP2HTN/E CAPFGAetDdyfQdDN7BHF/LR+7KQV3I7hRLNuhq81sEnP0oC6DodKuFQs9iEmzC4eNKMAa0RNHJk9 lrnH26JwJwmLU1G1H1ybWZfjHSqyNZ7YQyLdkW61tsaFoWc8Pwp69rxHrbppH/2g0urZ1fT1M7Kx SEpAHgOyRQOLQuVjRlj5aCWeWx9VkA1N0Y5185utC2F9NyVJBLtgtUVXsdm5v+zh/GAFw6IqZyJE sa4zMfk+TBkzSfHHJJMS5Lrlpjuy5vgqBpC01h+TvwAE7ly76H4eWORtBh6q+SYlpmRaoYqNjspe 388OIsPOJvm+XNScSDG4MnPXlHiy6NfM3DUrZbSAHlHwaIx2Cc6BxEgS0bzqwMjM8bYa7uFw9Ibh I0huRmtX46pivpi4Dn0qQFYuLc7LvHCWV0ACj/Qpr0Z4bV7NGCPA8JlHlqm/GfV0e6YKz9z/OMfO 6dTMbP0xp6oL5Z5CehMTNlu8OeMjSSpYfESJwzyMxWDSNSOzP8Pjdgbv6qN/d9R0cf5jtyE/LWWT Dwh61BGmkk0IfZSFzAl1qVMn8wXJWLaSLiUnp2yROYb8yPWGPEk1KwfdxVwi+bHsafhdtTPrj8mL lLrGCxaoCXdy4s6Zom9AV7zBpZV+9PtbDbBkOHtp0aTrZwPI9Gay3ltXpzoXbXbC3FpqZWcmuxJx ygxWNzE5UPNkgevpnui3C3j6ZeVbEvTWA4v4tg/cEZqK9hUysUw4fhPLVCDm7WWi6fYl8kOxKWGd eJHqo/vacRCBng9hw4WGRU+0KZG+U7AtQPVeuXVDhGbvo58a4BgvrGLrN6JHXDAKhGpABM0PzcSa nvUhXPSZaATuNQD+qcZO1+T4x8BIp2NY1wYSOkfZW0+FUhvIakG6B+91ftYOPQxeRzU8HgdMspsQ 4TOinExv7MeYU+ffkvOhjNHz78cygGSIhhbk5SokClXDLOsVdb0DJfCS5Pr+p1PAXLVU487YESh+ qKYQbr3rtG39Wx6JteRTQWJuHlN1l6cCkXksQaL6DJpT3hE8A/zkkky7d+NewrKq4uDnwKYVhlEp unYortZ6d5K+iWtXxvqHbXLlh+H659iotIwXQ3fgWI7NUfaQGG3m/lpT6Y/H1kSsUb+9BG35KAJb o6jwF8K1ZEyEF+ShqEujhEkeTG/fUDqNT7PNu3road7pFxrcJppEoURf8EDrHB65CmRiDgu/MCzi UW75TP6+Vmlg04v5Jrjfa1/OIW5D3xew0HM6bOyqpdox+b1nnMdBjlGl0u7QgZOZ4CqQow59gK8/ cFU3h4JvmDu4YRv5MESicCtWc6Xp4rPQ75ETO1IuMw3EHge0jKhrcBdDY9XpidN30TrijLYAHEpG dUnTFiKKg088wo7T5GniH37JAfWTJQt99KhELZbWaLLdjDW3PxbNf3N44+u9zGBbOeX8Lty5t7+J FJ67qq8xWWtXv70hMBcn/4ui7FTeRaDRCg+A5/nH/d+thdShG7d7lpQATUZPPNExcI4P0MIEALbk zUDzjeU7/V1IHlxtePwGxQq6FPGUwLfL2n+OYH64uCJqUdH8LQ0SxhUcRykvsB7/BxvipBHNXP5u FjA2364BBeg7yZbM4nK/0JS3+M/vionvBVZG2V0TlaBCsXxMlJirZZ19fiHsA4cZzd9FHvODxXq1 XrLgk+KfcoV/vyues82XaBokK+0sKJ5dGLnBP9XuJQuc8SN8+M99dwH72jtLQmr4ovEXxlaJA0Ty tQf/2L0B/deBAclOHeiQPDn74VSDTbBUUMUNdH9TB+hqmIVsVirUVLlMidTGtjSPUUFfaNKQDbkJ H9ZOZiYzqFY9Tk4X0ZQxaHmrYBMMs3yBIHHKMKOZaGFc3YxPZAQ1L3E//GiPThjiTS4lUD2SyXVA B0rhghOUyMZV89iw2oSPIslZ0ASsAV3PqmMOoajTec+SaaL6300XWdQrZdvRiDKkCFx70z1/Y5Pq BNUgZ+kJJqOCT0tNdXcTm25yvCNjarQGJyByPGDHJx/gvwzhVH5bsET91PBwXFrFG6cEskdnLDcV pkxvUS7DjXcX4RswLspVrvbRGspEwXQV1yY7W1b/ezCVhpxK4YPfB8LDmUURnS4NMWZOb9BS74kR uEGxinEfNcHquwNdrAUPvQJ/HstdYrqSjeCxeEMQCjhL9bgPmV0LUl8R3qLdN+ipQvXsoDWDa00N r9dqwO9ZMnt3Tm2coMHnhEaEJ+4bMaMuDonarr92kNxjaij7cucvAz4/q75pmDSbJSRmi2je/UxJ hY/sPWAlqPPgAE9InPh1Bzhy+pK0FFJqlpXEfYYfNXPEH4hqR2YmNOSzFtvKe4PrqfTZjeedXAp7 9xttUck940oN9+Q/IJiWIedxWmOpU9LVymOLocEbDARn0q1kwMxTQ/hXnntNbQ4exlmjJIuCULD5 FyhrpGwpB2HNdFi00DFr2bhSZ98YZA0xdGCWNtNkItNYWxic4LUiS4oLnlenkb7QhIr7GNaFEoLP boCVZ1vvk73nj6SjjEVJAeuW3bj3+WsZij8V7uvU/ruSF4e1GqE1cglLTOEoqfof5VoLe4SL2p62 4ADTgwK2Klk5FhZ8zo5SqD9dO20yvfkJKYcBp5ASvnj0kFWUaz+uZbK9YJ610KIdOWc5/TEVs8zy QHCHQSG88mcQYwHGizIs9WjofKoeu03+cJ+DSUQzmR0NptEDt4GLQxkzXlhIY5qXVR/JpuQQ+wov T9gXb+UDRl+fPP7DV8BITKnnMsrQ3Kv8C2QOydJhLtd03geZSl7tCK2+bt2i8qSGe5VnN+AcD8gO XW/nCLaxHCkg7ljPRpGZcymtaKSjHhoIbFCqt4dSCymaJnanheR/hwBto+T4hxnN+SnXy4lQ/TJH XZejh3b318uzFv6Hu//eEgs6FMHJ0WjxbqmDK+CxXsaC7oGgDLcu9XiMeEM6POsDJXAwLTLv/cUK OLBArUsNZL+jcxhnjSRQqYGNJAIiZdvU2qRiwYqgoUwl0sMvWCK2If9hoPr2qhqF1GF9Nexs2U90 gIRtPIT+ogfIerJxOeYKAoh55uTfLLeOXmVth/BksD1LVRPVWhhiQo/uDCwRGyksrXs6fSGIvvGA hkuHYVZ45XafIzWkIxKBt0t3r1nU13zPVGkF2brr+styRyI/kIwISh7KEVL9cdnP8WYYKYfma4C5 yb79xhCeUkOS9Vx91NAkekx3JP5xfAx3pyFM8CdAJGcecz6XrNEQhQvLiUJzWplDfXt7LWPfd0Pn UA1Vgy0zErmMT3x8pMDlyiLJef6kXRFn3GJU6/X5TYgjlqOD8TqvO9v15zCXQwmAHrmDEe97tEfT 7+d00PVDlJrgCacepyh6kkJBviJCnlhzPJJfhExrc+Vh+S4pWdlXWOtaZKjhKz4KxK6Ihm1U+7Ze 8WE1MhIq2Nvhu/Q2dzHmufQ84UqoryQq+oJNWOMrCbtEilfa9ktyPcaSKHLGgXyb646Boa/oXXkZ 7r2Zg6vnqWAmooiwWS8GHlF5xG0FTB5KuaMbF+sc6GlcHxu3gZQroKK5vpCQA2mg7JL+GgsxAws8 P8UwHXY6xqI4dcj9Abf4yV3mt8ag5GKC9fEpOT71FltU4GbxgXv5AuvYCShmfalWg3pRmTYttAC/ ldpufG5X0imlLacVcUtdRhEb4gMyP7rCbIl0aKfiVygePveGyu0T06tBLUhE4HU3obK+L5wyScsS Ds8O3czpEnU1hG1Tn+EImb2C/N57gjIOqttqowHNaW1sRlchNw/TcgWqeOv3Mo5G2pF3TA6ZAFzi Pik3kP7pu2GpbhnySi1aT16+jGzITKYw+ydIlY7VrZR4O408j3Q8Qh8sjDLk532ujO1QpNZeN2I6 OFb98ttwYBZHDtAS+pLpGCjhugxDoYOeE3nsbIG+HxlYFZGPwE4sqByYPbzoipaUKS1c0+4gT+Dh lvLaoe/JZ6jzYbWXIf6XVwJlw0PPldMCZ13NlrI7dZJty7EntQLFo0ETxgpXxBOgW5AQ6+/TWT2h ApFhWZZu4nwvEaBOvzYXjsGwNdIl2R+6g4eBoNrDu3ZDn7RBSUkZrmFmKCg4F2Cw+8MQluzczd4/ V/1c8w74RTqJeZrHzrBBlMG+cyaIXRSlMqPpDYQSus2Ri5sopLltHw5s76aHzhJxNuvQuQ70yeqG ZUCXN2i0W3qdcLr9qFoZLe+9atpAjjQrjjAGS0xe51ipgw+7EXntXwW30DmIDIIUXVVcPvBLiXnW PWOOM/QMkf+ZQZotagpn1s0TxWf5nmjxGndXhfggEidpu1ytehHQsdw0ULfkvVrR5ve3Qpt7Sm/s FYtnDs1GLocnw7HBtVsoUrjvJKB0cV/FI500KmHyqfPyjhJq9vv/A0SPvXpbM9v+aM658bwG7w+k N9VXrTNZ/FztlSUqqoXp4kfk7FmehAe4IgOjbZm+HGtRArSJpuHAQYtd/n0kzgAmgyOLCU7u5h4S lBMTib3komQ9G+bcYN6gVg8cxZ8PfN8Ki8sav8jLqGi4FjXEwieT0PH0n4LXwYRmQ9KfRpyTRWpZ Jw5KVRSJt7riwq7Nwd+ofQUbD2fx0DtNbqyQz5RTaZrOWI6WGdi8J1T73YZ/7IUfeubdPe/uMSgG Ow6M44Qz6xWswrU45UKS64GS/xEuUoxyA+SzRQLRwMfOozdmgkCDAtbByOZVBwjI/pivL6G6aQ96 9BPBT0DOG5LCjic9Rir8tJXaxqzSgs0HjF27ejHi71iUnC8mC/AD+vzaGZjHadgoqL5+afEh+/VE X8t8RwbzQIcAUM6X6LTsCIUMeRGprbkEaLlsKKs1Ef2SdWh6fh/5lrU9knrbT0Bkpg2ZMkLR0y8g G5exlVhZ07xIhrRNvzVoPeulxi8pPAEf+8hEdNYmtQlfHtmcNluDg4kzbCXN/k3ZY1k5SBlOvqf6 qhs34nze0G5Wah3aiCwIVpyggUiGYoI1QrAe7WXLOiyWD5HLEbB4xZdlDhkQAi637myC4NNymRgl /IiiawbeBimnZPJY7udtwA8ZmNrK7rWD2YzaF3okks7vB/jVP/jyy5ZUJ/TyCEoN4oBN3VUG0q1I u+Pr2o6J9aaE/qrxDOBFJXSnu3Hmg79rn/Mz3eCw+7/iFSG40yFCW7C4W5UgcbqhHtB/XMWf2Si1 /gMK4owUhc+Uqa6qRPhBLlk4kVmdP4PEyu6G0HwGm/OrX0HMSlwS/o4s+tYmnQ0UXwzArH+Fo5aj oG+9gQS2jcSr6OtTBnjyz5Sfj3ktVacOg0YHZbo01Nv6myDQoJhRHnoqnxNat8LHACc1caVTY9ac bQCe2kKUcx/lXbdqHmOCy4ZnIa50F1sTJM9bmiRoYiH8I4r06YISkoBs0AJfqHekmfNP2fN2sOL3 Q7JAyOfgIkaE4qF2gzLmHm3glq1VMh+iE8vztHa+o5M9ulDlnxBqd+YEqo/Ym5WY0gB6mOsMQVfk svO4OQd1+JMa0IiXNvyRIUKYMoyf5n+0Q++WYyHOofFRTbVVkKtWeAiFD5GJYgu/0BQ4wRnnpCxv LwdPMVBcqKOKfnYM0a/4xgYIa2SmT4z9rIB6QML1lvulpdRsuMUaE5e5ow0HoI5or1uHKGLMwSAj 0rXlJe7n2qJmgurFZQsWg7YcTBCo66w+TwBTLO2LSPi6f4cgQKPfHhsgqkYRUkNttHnvRTtEwlcG o/WbA8lctVttZyfl7L3/ubrE2a2n7ZwLKvp2qohRJa6BMU/0MNcOhMJea04+j4lJj8Tne5NB38k9 i1KuuCAyyTVXZTw50ukw8/A35oGPFptOf6Dt9zCnDJc/Z6oOZIdnMJzLjU63Dfn3xAeHhetjO++L O2hsGjJMAYsAVzRKj67ifuqUN/5i5tSdJVS8Aeu2M7RW8OdDJfl+pbIOz8rGGHeDCDH8+cd+1oK6 a3x7gfwjJV5o65rg42xfr1r/RCgN8rUVSP/b6ZtUf+OfLCPc3hMFGOJHvCi61OoXz/ELI+/y6pZ/ DBWzN9Z+lZH2L0OlIMIDppSdFTp0b3zmcZ9VJ37BR7Hsh6Iazqy3GrbGmrQ+wOkjbvgJ/AVpnzEq XV/EVWwgipBvRamHFXx60R+uC4Z0OrTlgRdacKMblWCraTr9tSjiGGx9Wt6q6+ugO0/RllOScR11 plVecB3ZUNHmae5hl3XoYf451YDGMeF/+IN2y8xbs0NsjdkhQPOzMYCEll2nDX4LjuxkfFINQbvi VZr3onxptzzeV7ON5OXwW3VYGdFW4BOt1kp8c46Z1pDQ1bbXGgKHdvTv6k3GCaF6c3OkYEiDDFoa 3jfTPfIDAvYB21gnTfXOyt4UlABFm1qxkqe4RAQCWfIprvzQsc54yJ8yTYfZuwvwj7VBTFCYVNJs zQAqGf7kk1tw06DUQiA8T/csdfSaPK4MWfC+NN0W0L8qcr1ScdVvEIgPjxI57iVWiasNoIJ4Gtcf R+B3sAxn2Ownh9Ly+RncDgz+EGjsSuqyi0TpDXkonPwbZE21nC8lHGpJP8qkQaJzi3MlmIBtAOG3 5LRmAeUjNt+KNaOhPXp1tjQ+p6j7VktyyjDyVQAgeWOS1t+YokKk01Mb5lscE2qK1ZEMwQ4oIdk2 1eAT9AkW2lyiAD3otG2bZZClw7e8L66uafaPiOd65gJqieId8kVyVpAyghhitwIvR/3Mczb1omEH 8Lnxz3MZRs4r4sMGg7v09d8QCG4pVmVGUsCVTT0UdVOmz4Q0e8DXXsSOLxLa3rVL+ws5r+kgO2IZ AFaJ3fse1cRd89YjHR8owR0rG7oZOS4tJIzfXAysFFsp02+KlY/Ty8tipCKdBT29OIqJPECk4dmH Rf4sNmMr1mz+D1OQHxV2ck8EETEBNRe+Uxjm5+goydKtb0f6Iym+6KEHiWK4LjODjqV8q2UrLobW Jo6y72OC+8jxCgRE9JYwGzQJ4ixPLvQoVAoRQsGeGcr7HNFlwq1r7rQy0D1UX8zKsac4QnqXp4aL O1xKWxR4vb/oDzCqow9V+bv/rm91ppQFxwqjnMwwGu6mdBdACjK8RKSJJVzcUp/FYFXvA3QX+pdT P/oS0O84cc+c5nPdCD0u2Ga/P0YYbuJ2bdrgrpOb3VXvPafXsjgkGcnQ6jxs5qmoQkvE7uutwl88 BDzHdE6r+rxlHBwnSaCkUPRSAl9GgFAs7K9Nsnl+1dq6DdSqIT8EqrNOH2FQrBrQnTyTbzbU4KLh ejKJKlP7ngYeNmnC0zGf5XnAQlFb5NXIdgF5VwbNIQcLyJ5CZK0KBlq7IBhqj3OS8kVFmOrKvDvB 9mfitiTuihJHDkKt9Fs6SALAuBvPZseAr0gtGp9XXr4a/iphd7f9vTxHYfBfC7jpckHVjobrWfkS vMXjcGvhf6B3nlePAWUXr+nK3Bmi30nUFh9vU1bRZ4F4SDDmReEbofx4ib532392oVcs6H9rBs4s DPiRudF2Hlefqb3WRNsduQZOXzvsuLEaMbQ54cGdIdZ0ipNQEpStPYgy1/FAgQy1X2gqDNdOTFgN TGSUnBO7hskCYNeFpOeNTXpXCJKz0BzyTFvwGv60qwrqD0StXMA+0UUgvbbazMDx49OnfeUfBXk8 JMo7p12YjO4LtLR4X6EuPcLSM6mU92OE0f8aay037Y1BWU+dPAk/XKmoxTIzr56ZBvG0tUeFvHLP 2DBLCiy0XuyqeyyVGRt7f38uxza+i3+oIJ47i5Pfa8A9aWbcaCRqv+rOpWb2maOOE3t9mrs43N6P sagd1poUVpDhhK+Q0jyPXqSFgtC3n1gmSaRuAepGYFfBJ9mpen6eJSDwT4oKTPSff/9i+wNgh8SF Tf18Cfz8k+Sx72U6bZi487RkDklmaFtMIZc3zS9mctn3OIge4cemck9MfuK96K3q50vmVAbPNTdj FP3eFSoj45hP58oz6RutghPqeRiOtnTY0RhqQ/ZNSEsNKajeGCuYXuviJBd0kt07napnpBjgzuqn 7KKVsYbeTYvIDqV293uh+9B306PhQMyF+SPfaEBtrrAUm6mg6kCv20PK7Geaclk3Zr0zNEis72Qs fnTkFIv1zzK212wIqMBsJeGLlCUdf8ud/51cZVgjsk2UsUz8biziOozWHcc+Datk7Z6rQz7YAW5A qDbXIDwLtiMco/gqxC48u0W+Xi4lHfjQudLp+p3FDErq3WnZbdh0M93X0K4o8B1elfpowQizOqbR sR8Sa5ed/Asw/Jn6dFiMxEDywd+xBU72rDAmMGEOoNMw4V5Ofh6McA2WmOcs+Gz53uleLLD37t/T N0AZRdtGjDYy0Ig1kXo6s83KoAZd6SCkA5dPF5d/T3pi3zE2m7FSfRjuobHD5PXAbnSOZT5zQUFz 9f2Mg+yfZHImlaYIQDD+VCXstZKJuDLTNS9ojLb7rHWuENmPz0Q2I8VJet6JnFb5iErEN1Hr6kPV DQe2TxCrNObBDjt6wKAMy1tI/FolZ5a5w5E74TM5rG+xAtOIJ6Cg1aiCluaOiaZ/37mnG+GD7Zhb k5cL489Q6dx790fC9JXgmoSfcPk+ah6MfRVduzvcZZ7ZTkmAVVWsS6y9r+hsn7kq5wbjlFpdrojV 3XlTZQQ5BnzToOiFn/jSYxwabrHsEPt2p2Be+ocGfZSmZsczcS/WGzlEdVNvgXyHg8WNFmKOJJcO oO5UYyqZ1jKbp9qvu3P4U9cWNqpRr5Kk1qqXRy7zv1xbY5VBGYWpQxJCeH4SF1edcVlMlt7CgIE1 uUm/urR9xMPfL6DBf6ngV/nc9zrXmoB2NVx0A9nQVCSecGxLrDRHfHOy+E28olpGKzBTfKmXgmIf 867YRy0EWVq0BFM4ZtDtl67WshtDa75joGr8Zqu31uguBhVrZFKFhUBV4iiJjNm3a0z5DYO9B5kb oHqTCjEB7fn2EG2f6l2fOxmsvTbeo64X0UeYHAbwuNpZ3GF1qNika0aIFhTXPfgGwOFqP0phcUU3 m/8JxGKG1AHp+Oqv+zm8ud++0LJk8UPfccaU4hDsNAcpjfCJVz3yFx9ABx51JgMDIApiXAVlcih6 oqr+LRKRz7iN/IJpWXbT4vlpaSXeNjURu7BJDcODYdEd2AX4xG4oFzhrPL1VeanlEo6mqjQ3+BTm K/ylnqSgBQ//P1r+xCgQun0cYM7HszYTh7blOhO+oE4y0lavSJ7w0bRlUllNBmwCsEeWq/r80NNT fhqq0I42o5IjBDDEb0W1IhDLSQbNRVllQeSCpBZpybklqIHmtrf0sLjp6mrRwF1DNuIyzQ13lwZL 20NrRqXEgr2U3ECyITesS8NUyfUf+CLMf9bkxiCq+A1pl8Z8wA5GXCX7JFanZlg1YiSy5y6qi174 LsX6FDSYP+SgvhoTXn3oCvWTWj1bITUsYXEhnpBi5U8+fZ7gIzi8rfc0Opvp+9+lQnAIY+zJAwTz l5iZbjfefGMQZtnBJ4KzJHHPFljhWLx139O0h50FrSR4aGNpUrMrcLExmKzSMuYdFSrjZ9Q+fat4 TZjdiCY0AdJeNBfkNHb4NXyHqIh3yZgoc7X//3ahvWOdsyFJgqdDvAMC2+Y2HFVl7uV7WO0QAlVC p3KrMY7uaD82shw9nDqWGm8bv0kC0AXokGgNDdh5+i6crIIbddrRFltakZ2nZX/VE262Ki1jnf1I CxERkJDZH/yw1jXHKUIyaEs8/uyJlqZRyRU0tJN74wrc4y9yUZo5ir03bmDJLu0pjOBuKAw/1d8z Ap87ADv21cJXS8/Tfi1Z4fKe6uvgeUJ1uKUmdkVyi4EYxruqmuee0enlz2oK9Irpi09arV7ZAxum YYU8nUy/dXZlHRINGhf+MuwUA1YT8zKmlB+lm9X36IZbDeWR97BlYNmyGr5CpLeTWPWWEfGTGpYE dtnlLdlc7pDnD4KVC8ge9NskRq0sbXKlY6yoDEO6JfahUR0C5WW/KUo95l1EiLY43dke2SuBs5qQ MgzFwUCUQl5forHTiGC9wijd0GB14GTTD6tAOkwxO2dOVBruTmPK2imI2g2K+LjgiW8OrJOFsFnm WXhCDVF7h+aYwOMG0pByNaGsndkoHr4eqKKa/0BZvCKco72J94wjrI0xxyHxf24643iIw+cR+8Pb 9+jdTTfh6JIwDZ8xkWgwf6aP/Ay72tziSTz3snUQR/e0CmwuxPgCmgQjFRrQfdMPuxKPWDb4Sfjl a1qYeBjc7rvQ2ksfi52tia1Wy95eoQdtLF+QzX5iQ3mWp35BjewD49wN7Esf9pTOlKP+ZXZFXqiC XlERf8LyBGbk745DWheoSM5wvpat8dMBqswvQFIt9/2oytUoR64mCvzE/qUMxMKNZvM9rVPDo7TO 7FTGnTvseEFdlZRqdlQ7eQkE7+MnbePVJF6c+VBpK55vXvWzeWB/vxQ4gT2xMhjd5hora9lvc2EL KeAdOvhPxNGw1sta01H7jxcxCdpv30+1mYfy6LT2aaM1JWFqPXsvVQb8YzPaRBhVZ/JQyMpRKY/+ xkn7k6HQdw1nCwLl8q7AB/Um/TmZ83GOdHixwe5DCJo+I44w6PkthuLhRdtikrbbaQ3VR9I1Le/r WC0OF/KSrsgmT0/2rsnn9AHzTX2XscFdDN7zQGN70cY4i4xYucLeOyEV0XvJ2zNY6aESSSy6Vtj7 H1Ivx4nlYYpLDn7CQFnkPGqAqok9Kp07R354nfplx9JPHHFl7klFD77wqXiFlHzs2jl8pumOS0ZZ He91ZaJ1GEQYJTDy/J6LkSJ+hhvA3IrS8XHDAqMBr5uug+7NbvIgMdhrtHMe1ph9gLv5vmFhlNGc NGMBHDVCkJvXXBED5e3kPiNhujllno4GLxqzNJ+7vM0t7VAZ4hqxqXl30a4/XvTFqi3JeHl3fHuF wzjwfBU7uTTHiKZjUg6m4cfRKc8zVhxd6GwzjGD+D4XGva3R7oHWOxnBG+Iwr+kqMK+JqOB7MIFt bKQI4DgeCReJV23YeH5E0X08s78aPBP+bVfIMOCeTsvQGXWrloX54UwM3CHZVbXBYvSjUG+8qz2l utCBHJZDqOi1IyULuQZP3kY37ZVzC0lbCCSALVprqQ8JUolZ/s04YKT3aFYsyneyxyQFGbdt+doZ yrdtHf6abiUQeerNTL6yW7fFgbM+cuJvSgyZtfiTKoSFL/UYk6OB1A7Fh1TGzZEsTd+hwgOXpioK dL5ltUybV5D//L0VW193nMBlBJg/px1ZKOhyLYnZ5+fuvB8zsNQdOPT/UMcJMPhjS7OGw7bfjuZc SYC468t4aKnwAgRWMJGrbsQMUZzai89tmIiZt7rWLpFm8ni832RM+SZaz4TU2cSPTLzdf7tcnl7y HjA9JMIsGG+HxQ3E9bbHspawjIYmAvDTblXhoCyJE3yS2oJXHQ4JOLt7vT67OG3+nseaMDp3LiiI xgB1pEms2cWPMxLzX3gMbw4meA7ww9ooPfJScsneTe7i5T2no2PjocG8IBQiP9MXGhtgQqIbS68k l61gls12b6losCrXSSzglaf1+SewfXi1Cqy6SNmYJslq0F6QBWFwwTONKZMAPLtomcZRAYJuPz43 cNdqKrik4EW1ltFrqh0dQ1qcC6CsEX1virWl4POvIob05+DMZRtWk2deBj5wwOojhMrn4LQ6x6U7 qkBFzQ2XSEvOMXKO3X9w7sG8kLVmfJHBEuI/fFbtxxLmPxClXmCgjr+QqTmNgMs+R/a2F8IIqSPb zdOvw8dX7x4iFTIQYW+Z9BhT33ly8s1zCSVd02Cb78VpTsROf97dnM7Cjq/STxH4zdEjLALZabXO 7+QhD8ul9tO2sRjIRdEWaOyiWSRlNmXz++ysZDMUbb3saWvITi4N9FX7W1AqfjtCnYYXEkKFQOHR hYN350uOyxfLepmEm+SUuD5bqxSVYVfsgNAemqaiC75lpGk94JoDqm9WAhPntA2i2aahx7UU+94l Ma48YjtNKLW7e94Pauzf/3CZyNzIRl1iMm+DcVDFBIWQqiBy2q/10cnf4CKL6aGU/893qyJ4oEl+ mRZ+4hD7FIeQFsmMUpWQ+yWC2Sj4wBXKB08Mzz5cjejPE3oCBVOXKJvJCLrHhCBfg2cHCtasjpdw Di+WPiZgbHRXJ3JlDPczbP7SZ3tVwGwia8fNODMKCaZdLthpHLIiGutHjPBWZc8qeexj3GzoA74K kkr4ABuA0LFTw+Q/pPQTIaM1iQBGZxr0e2U+FmNltxXyMf864s82ZyZSj5RlKp0XbHa0etV78Lpu 4zbOmrp+s3OjEZD1xy+I9m15le7oRVmaH108cJXLJjccf6CqX0ScWuRC/bWhlezoEGvL2lyzXFTk Y8IHamFnvgrnAyWZ+nAO5M0NMOLcOuxnG+p41OKFsmWLj3MB8s0rssvDg0Z5YF07TNXvR7bEqBnL LYTmBInYm2pvr4VIr6NKjOLp1DFMXKGt6hFA8QOdvMRzG7KWoqJyBFSEYVnAMU50gKuZvJxuvsDP GMVvRMakscjQMFhX7CgeV0Jw0kfYUGSMn5qMJd7bRgwq4A/crXP82iEdNnDT2h4hU1BVqAX8Q7gU EYbMYvabKaOsj0tZAWqTIO5Rb1X85hHmIPyRsaiodLd9qYMIj4OIyoywGvgbk/wPG6eF0U1O5yWg 9twl8FPkJvxjqfaDNbxJPoQJnTsAKntwu5c3J5yQNM0do5ol0utYIPv0IsEsCRAyE43FLH3+Tbj6 kKm66yX3QAiXokqNIb0atKiFzpMLF52PAsQl0ZRS3kiqFtD1mV3yuymdvS4OInHrtWM5jSmzbLmD qsLxxWolORmhALbMZl+A8f0JiW9mDfV0bkkU79Gwtmy+pS5pFvhfFfUOPZwYUlzpqaaUJMp8HPBe 7iGX0rfpo4szIKwAjIFCtYlFK1O/dkyvRSc/eaIgIuJFY3jLy6A9yaUzJTwiYBrAxdFP7lN4PC+c fbMvOncumjXixck3uEom2dai329nO4Zf1X/hFnnZpZlnqtPGbezbDOeU/cXinxPKJqr3ZZu/gW6c D+sKBLkvrqt1gE2yam7XptNBUW/NZCWCkPpc3eRdUbXFjBEIFtS19JhZ/DWKzYEObvK7aI081e52 1oQFVkgcuEmPrTUQDzc9eD+SgHgN7v0XxlTb4BxJdja0cs3oCXTxONxWTFOgnQspm+lH3+/EZ1cx Mp5rVXYUfq0RXAtS94GFQptGw2NGbOJoWMRDCnd7cG7eSuDvOLQtCHe6e1h4Th6H2e4vqGWDwRhd pzF7TtC5adq32xt4R8jWt+XpHMxvSKgoDkANxceA64HnVbN+p1begaKDZvVVO0Chz74iQlYFz/JF yRyI58ZDLPMAtwY922972dB9Md4EA6Sl9oZLgVnhPvOfkQhrGgAHITXO4eDalog9NG1fVUzF+10l mPv9XWmsRIAjyxMKaBV9Uc2bfR4SjPkDfQP6vIaRKIuEXaf6tjNXGb/ebDpwPtfNbm+XLWkg/RjW k64IPG0aecFPmnTUr3Uz/CD2ZW+OSpZovYm4TzLceRKTsoBGZJaStkA1tr/nlvUK43s5bAgEYfq3 Ppcch0oTJ2jNrYgy7ea1OuH4JjamvlDW6Z7ZcRsoIfN0IIthSWXaWOAYPF7li2kUHn0ubpE6lbli pzpy7WvHd8fEvhjSg/hZkiFjRmBJbgqmaRLQ/vhplgWteOkQ5n3LWK2qjjJVnZXCe6jLj07wT/A7 XhtjpRGi4L7mZW3Lc2Fn/oAGUyLVFvoDjOGzOrlTU9gfc0lRGyRzDWxfklDgstgFAVLGtdOD5Uht njXS9zEcADraNIVN74+wNMXIFdb8NqMExCGwNkI3nUkV7yr4MSoYETEBDhPMNtK8TEQC+DuGv32m OfvpY4m4zZgd0x6LsfpUTWXQtbOtfUnD08UHCfhRooKl1nrNRQ0UWDuhHWpJZr4XCXo4DcuiTx/G W236Y4cS1vS4C6TEVPZCRVYzUsM3GATBAbCkZQnePhFi9mdGGMFhDVxhbK28XZToB0zzBF2poReY T5yaeg+QVGmq2mMZrIP7gA6FKUnN5+t3b22loucTiG++bcjXffcdaCvH4vue9Mxju0elms7y8cZo sqonxIYL0IuxVTXmofCbRUHYHhP5j6UbqD2HEKO4GOiRHBpbTM3Q9z+3/tOqbh0aER9nKW/6pGQ9 Slv3c9tXkadaN0+48Nsrb3AMS043w/aylxz/DIIbH1ScgtcR0PE7b6MDkTu3WSJ0m6aSwQ6dZCRc iKjHaCgXWKTZPZ0s93aIfhSsLYg6m2g3JUZtFB3HbVSH0SJl6ChIMeqIdL3nyZqoEhehqgtcmn9w 4UnuK+VH2qrT59IlUnoKMF3QpOxnOXeFd0ke4//OZyC7/sJXFRY8dtKKUrHzcmpPxzxuiRYSNFuN Nkl5eya1c+zJoQGanWPJJZzK7uWBkcozUucPMPQmSJq1J0Sen6vOf2FLtIxih1jOoMl3Rwm0AEzv BhVn2in8/WEydydl/27c3CJYhSztdoVDzVdVhUZvQQ3dkR/4pzFRvEWKqIOGSeB8sT4AkCrM9e+n AFarV96YcQgmbA85huo4ShFDO2zvKpgXgcwKADGsVmKXcPcv9xRJLVzx2Gy2geK1D95g81INCPAr q4aP3kF/dI0RAvsobhI8F96fFoj2hhBjux9QHnYQ2SX1bUatc4jPIx8wAEgEowFaJZkRPoB09+Nb GMcMWZF42oZVFmVM0pQPiSPxRei4Dcxzm9P7fmm9e0crj3dBluldM4nafN7MuKyWOfxUo5bmkOCm BRZ/aReSWbtcDKzQNKPooguPyHPMOpAHeE/yCaRoDzc4HWXDHJYAFhsG6tS9vHDbWuWQHJxRRZ0Q XgSUsrZOvwroYVza7WjndfuHrP3GuVuIBnMfXuHBCasTq2ttPaGw4fRfMNudky8J4y1RIjuabAsZ 4RuNGWpN3o0UtzBSH5pLYT8vPmuRzoixBPTjYZTFPszHb63tvHOAwLkjidOc1fI69b9GYKK1cGkG huAh0wr/57tJLBrCpnu9m7ImfGj7xj+n0FeTLbpjpp58CubJoOKEwbsmIM3TQuvoQY78EYIMX5LI X9SEJH7F4rzCF48nXy5UqjSXSohSrrHEeym0YSNNmijw3G36CB4Um2jmi6zUqHjqPgeuOOOkmpLn aju4KjUXgXJ2mpJvvHVS95okRCX+VNQlvlm2mKSnLJ9sx+g2EORogFo5xGFOmezIhWK1L02Pr13n YNpfWPnpP3C3u341goqyVNdAdGshHaFD7fCamNydGWl257M5laPEBEMk1SPcWoqaaMNceTI7Fets 7OBqSkjybxkFBjYtTI8V8053HNYuymzDRZrkCXX6WppXdtpLL/2/ImkYXl+u5V/XKTWAcrF+gtZi UjQOhRpTI/brBoIpPCdQx+HZsG1jDnNQyEiKpLsT53ZJzEPbQZ58xmc4gkircurx1hLyclJuIGjb YgrdjcM976/VrFgIkjxt0mZjj8n1sqA9pPFT7F45orORSn0OAySv8GMgMNyyGyIcAlwyg+uBuJgn t/9HznqEobNmV6QAamgt3VR0rsgfsP2NvdfJPmaj0yXtW1fvEhLeE9YwmjLrzStGreFvZFADrZqC 66hGXtDjGdjBNdEaYKvQVH6VSzXxGVd5fL++CLGqgiB28QbvzbRttAZQSY+4fyvwYefwrRLALTv7 OToNjI782xyXUhUIY2KS7mW4fcCbS+b/pGOYRPAcKJY4vcXljIra+86O1kkK5Dn7Jw7t3MITpYm2 3pKJhJ8hemeENu7rxDlBN6aGcynGCAZC84QpDjYb02IpZAM3gJmd3u9eV/lHg7cx9xbVdBiTGoXZ 3sXfJj20YxowGKq65CNI5dodZ/fO68N7nMQoq2ymKr4TyQzeUzhUkUYcw6TXgPx6bznbgKdv5zbM 7LXWSHsXinQigPlBol7vcXWXgfDP84uJhVA5JH3QTE9azl5lrwD7RVqS2V67NYLb8IhYOUQiOGBr yxtw9jBRwcx7hVghmwsCOVQ8pOM+DhFzFIxcLH/YMKefDl7cHDsuJwdpUTldLWqtwW0IOxVmCKH8 8BjNV0TkhLm/mb2CKIxlhJg7070yoLWBAxczgbS++D95lcSDPi7Nn7QaooVGPml3yl1FXovi24Po r/EUuHl9BOSn4KOk3d2Q5WD6t3CYZHARfTBVUCfFDrJCkDhhtp1H3zxlTMxNuZ1m/bVfWWykRhTz jnvmJnQaXd4LnBh6opjc2PngjZVyqwb8YsJt7dgWS1BO1+vGR/5p1nvu0vjtQB8KofGDB04wJLYv uLPqw/G9iEDnkmqnSvvClx+NpSYeiM/wU7ZQY6vzjZeh9A9WYAO6slhA29qN/o0tzN8w81XYPNgo 9jiCvkcAIn4FHmNX1JmI6qAvuWnzg4AWZq9vWsLi0GTa1HAEcMOU5jaGonsckGuVhjeBxVCnHspB ea96mL7ca+9phqoTAbDlYloDZbeo58vUVAWFn/xpcarpXgKQEXrxrU2yd6xL1yZltk8eYGeAKzfl QoByENASkzpGDVdHfA4NrE+vrWdep2MlEoSDM6G1FKnWlbwJ/eV6TSTMMraxZZ/3DfP5deVnm1xR UOWM3ViScQRRh9aPqfBV/JGv0GvZM8tBOGDt5R/9j6HhJEmmRa1mBEXxHaLUAD0IU1tbc8IxdqFT C6qfQonpaeBohU4GAkASk96NC6Y742or9CVjdISBkMvJKshgBbTVkHVOinPSBo475tiqV4CjS3a/ Pg1KnUjseVyy0NSC+hwC0Txn7sbYrd7byjGPcJ7zVgykx8O8he/qIEv1r5rWDdfAaTke5T7Sw8v0 vpoZjv6NQrZixAGdzf/MqWtWTsp1JanMZNke82DBgjSRCv81yO3hTEogZRSP9nRBWErdTJl66/TJ ygVglQV1cV6e+mGTZg1309WBgb4btwsxnBebNSvuLcv8/CviMf8fsRkPSkM54+j5L1Ee8w49F+aC EiGWTaHp3GmlQDe4Sxzx+szgVqHCCRn+PR2DljxU4YYTrTlZcS3gQphw8v8KERanCBiJKVBgmB+/ V4nO7Az+104pxaAMhyGCkvUp9i5/YwIxHHkd02pcpz3uWJjqVqkeMOOleVkXgk/FzctH0vmspT7w 1rKex6kksAdt6YNoXC25fc5R2RNVXhPDuX7v4NKn04FBZY/s7B+BZZKza7YZXT7M1XoSZoIONX1j BlyZ3XNWBIib8XMXgwiaXzz5B39czMvko5KZG0sZkCCBx0PhVlZL04NjYEnJw6skJ/ij29C9mqnt urEQLGVGbU6vM6/8ZVdT2WMaNSIQ7tcOGW/OrQ6cpGD65LV+u1U8tr50sZhMu9wcWFZ0c3dZzDqJ PaKB+oz6sAjvMeQREaKApF1YIhqKRYn9AgOeCOCVSPZ8v1EObnrWyfScu6wf1ecG0IY2XKbjvtnu NM4wBz4AwH27fS0/0Vl4DX0jjDIpu6Wjzc24WvLKBeWqspmUJpjIfq6uOuwsphoapXe5f+SSQRCa h+V0tWQ1fjQHunPNlpB0pewghymDj5Jq6xRrDEmM8bCclDjuTnOgx0J/VbgQxbPbxcOzdQgKuIEK us497wFTqCj0UTNVlf6SlvGm5OYTG4cUmhL+DJ1bWU0tk9UQ8otC4ys06r8CTMz/SQznI3uVI/E/ n4jGwprdaWtF5awZvE0l4HwWbLJZgC3Z65cSPQUzut9c465caxEc2SNtVRBZmgPUm8BKhjUUWiiD vfJ7+8l+mdDsywOtHVDTRYU8xmq6cMfc/K0OmeaYa/l5y2eRWonmK5t1Hkpo8JCJx1RUjpZuFgeo GpXj5ZS0XPeF12BvsaS0vVLhSm9D/9T6aPk/ZczECXN6qA6Spkx/LMcnVCdAYEpmko82yRgbOeKT RdliSuNZiYnoIH2BRPmF/7scRD08wsS6okq8yM2sjsx1qaP0v3CZVOPK32FSIrXZgbSTTNwa5zv3 JFJu51u2K+VXBNeXo0rp0bPU3JjimhDD4vmdRR48iWI9OtVUSNuO0E7diKtWpqC899I3zVWpx62X FZHtxtVR6DUF6am+3F1CzMe/xD/CeBi8ES/JqciUAoXgkP07pUWte+cqRzwh7dVNm66OKhMfxxny se3Obux2ITY3dxz/YphsLzhx2QmgTtBlew1NG8733ZNNOsxWjoYhxgHZ4vjg16IscvZZs0bmHIhO rZlFUF1jVJhCkS34rICV4f1zzo4wzFXT7F7TDGlS3fqKOmnjX0l814f0V9bdgVir09N4yEMlDRqE 2qzL0+Ucsr7WM+x5GP/D6wvjdWkjVrGjnACsc52kndfDTTXLxDzGnmw+h/gSDgMPi85ZdV2Qt2d5 gpFg/w41zPZxfO/Qh0zeLo3EM+1x0G5kj7IwPLHGdBIu4aMxyFZtyA91dcl0jV/xJIl0dlXSnTLo QeIR5jia5WCSlbB9jfHhJrXZo85bK3E6bL2UChJ7dv3JVhLB8k2+XCDWE6Jr5PgOVTC2Pi3BcoHc D+u1SoDJofOgE3qVa8/D1WFS9kaSmFHyUvoxbxBpiRGRKI7xA2jr9yRAYibnKtptw/LFlORl9/M4 sx7QjGKVLNrRcsesJVaBjNxoxd7Sh7pQNioOJ3Qri6vp2nNkfeRWOWS/glYC7xkHOkZNiww0W9KD LbunpiNqyzMHeP72rtx2Qu5robPR5CQxtgp5GTfvXDvCgyjxvvOHDyNT4q7PVUx+ZVh85VSQI918 JECZhY1hVqCWbUiJiqmuXRPMvohoR49fDFrroOProI2016NaFLlH18CBHgBxqF1jK7Xkq/W3ArUs oWK78ArLqzudjpE4Sf8Ws0Cq+zPnnjT2nrZNU+vKAGDq/hu2YYp4i91u6Da6p26MPI21fXnNpQRw W0ao3ZbwszepUFMvc4E2dVV46V7+M6xvPcq4BRvcGpybfGtPVtiDbCmVf5jJqhaXYJoLpn1URJ3I +p4wlD1LiK1w3PSardEo12GRJnMfVZ0TWLQYMg62FdxB3IVqTOKe4QqlmQd+9MQTpyVnFSdCqioj rcZWc5puuxPDNWiEOSYQn/VkIC/n63RWlo2rnIDEY7eZOK1KHYEsJh6AUXpjXRZ1z3ZvfwGCcJGF qJphP8ktyGmF5v1+D9dsuMJhwBNIDebAGFSIQjAOKD4drvAP432n353LfxUVtDxe+7vXR2kQNS9q IH0snIJJoagfsgB+svITt2l1uwiwRnT9ZKygJla8VYTdXroQdib1iolhLNdgS64XAV3WCi1/T88U LpDWtODolDlf9pPIsbKaos9uljy8vrXDRLv+VeKhHPPq1ifnPxvgksfL9ytQTdTlMkl3ut/ST7Ai RCDwvWvU33JKiEkuXv5nc/EUd1uJD/ME9EOr0Uta/MobwUCqXJCC3lXmUHTE4y/zS9DLveY1TayL pUfe4JiB5AhhI1PB6SI757WtUUODhXkLulj8kYD+nKBvlBsVOmTwSxJZewIi4WrW38JgwimDT2x8 MQITvVEjyUwPgqtcXyCUaYJeo5zLbDvMLDdVzwL4lsjvb+hewE3m99cFeh0cEfDbCruD9UnTxegZ r2smx5Dcw2+ozsM9aIyQTNi0aJTkRFwjXZ1hFvazn15SABsomSknmIoSnc9s2RQCr4dwVFfPJwA6 gV6KxCQW2SHw+pQT6hH0qRpIB8D9Bn0H82PIZ4bnjEBK8SsKTKo9LMA5zL4pFxDlIkk6FLgvT9ki 1CoCibRmgMIKKJqnBr+a0xYGMOH+ptNTEw60rLddWawojR7VqjFJCnDRnNFnYoWr4KldTOAsVTP9 Gmugoox7tANPUIJkC+jWs6v8vVl9PGL219cI5h+jo8ccVcVeYhxGSR71VU/DgTJgXV9TF4p3O9ye c5j2QE4WVtoEw1fUSGkVSBdfEVlVBd9WxLaWSFUaLU6mlaK2hqkHrPJfHoj646fiwFdDh6hJZbXo Y78eBh7rOtxL5kHp+4YR4rY6gHERKCDJZobpj1RSWLjPiznx1jSiBFjKLf75vvZFannkARVI5peJ cauEO5IogkZd/So9RhTgY4XAydAC3ZzFyu65R29Nk22OAgrLz2T15gauUVU5UiBxjkVT6H6qfWzP NPi1tHIfN2tyVDPm4PsnJK71wV+9ZYMyrI6g+dveyX/0ZpZ3H5KNUrsOEBRLqmOn0IIeBbFSMhR4 htDU03KOjt9MccK4OloB2PGxzRicQQo6qTTjJAGj0Cnu0VznYbHQPP0Hl/RvnlrxzqiwaJ7Ot3A/ SF8ZdM+xOKTT38HzlTuBmsgw7r29ynDp70sRA9oYC5j21oyGmtASTT0u5j3FHuhfBVEBFkL2tAQz wBe/acsVFz6Nyym9gEZ3vVIzdd6hh3PLzdYXUrHu4KIzpQHvGnTGE+geZ8lr1dWXctQYLmztqEt+ rgm3UcNdQPC/hZuuXJtP7bc8crzBLnx1Pr8TOc3kir6WhPD16bbRDVE+KsDLcr0y/yhjWXc3jYng 2juYiTj6vBGVBK2UAE53dW8FiIiKnAJed3YYjAAyvRe709D+wnzf6aDNv1jSK/ujDp8T2OYd/qDg EX6u3wj633W10Ix9u1ypyyW4lpkkmUsRwI0cmokOiijpVsyqQ9kLG1a42qqhw0OPJt45UAvOM+2n 32XuF45MEIhq4m1tZ1rNuvNo1GwRQ1m1xdOuRg55mbJ20kYPkBVBMS71sPJyzCZaDJ7kb3X5n0LV 7acdAEy9ICUUq+bh5NybSKOOYNf0m99EFvQCAkEsHqoXOSkJj387W9rcAqvgIz66Jkxnb3QBJyRx +NYzoIXe4+vNBcAGLA/iiNu6/CSG+prCR/By0qHM1X6Grz1KfeyAk39+3SVyq3n/MlQD7QnTvn2a byauCSVLej2SGr4SQZ9lbmALXT0b/KUnXWX6lnN36kB7tm+JHt3fpAIrIZ848YUZTvDffVgdvSO+ mId/0Yuy19P8hRxhx/BpFOmPA3AGoxpHNz8EoH+X3P6UNTeK0rl5CmhgWrAqYpxWHJ7wNGsSqhvE +fspWirwjrrQHhvr47feqyl5BP8eqluIkWMU6EPTjbUoJ5cDnmV+NgujI1Phuw6ZsmiqI2sLl6fs feIM5TmaEBRQb7vTrterGjnzDMgCUoFLvG+F4DzPcpEGxkCr2v263/qg0YAwQY58zsGxK1Lw94lY DQGISkafZ07dee80t/hRDH9cYYj9z2dMw799TgQntB5gOjBYDlPuo7bB0ogkqEulT5ToOwXYSoT4 ya4RC0dV6Ez4XmKxIlBTyGjW+BoNe01FewJu+pcvvAug78lwcC9N8bPirmKP5plQ4A5eLSErELeM rgvmuNOMV7fg3peL/8qxD7iWNLyoBUjUL6L5YmYlh4E2CeKWvUHPEUv9SnZ23wVszHopGT5YuZJW NDhgAvsNWLV6b0aP4RZrtDcK2p6ndgTgiJj98w+w6xikbkSdVQlNznbDosmpYyYkQ66e+GBe1+mT F/LyitXbGQoMtYGM97rDrT+2E4xtBJSPeEhJv2AQ6stX5ACP6wA1j5i+o1tkR+WKUxbXqFmkzksc TA+C58DmcPtfh8Ig6J1PIqfgGm83A5xzQaQv9Ppu9Q3gDv7W9BaMQGK4Bh7Q08i9+kIsHh1qWA7g Z0nLekoKm9THojierSbsbvlneU/mMVFT6cx9MPjsVADy58jwCsXdJJq/H0hIe+kAlI6ItnVjShYf qUkJoZadU2twg2OAzWI6bm7Yzt0IYoqk2AMw6nYlLKfnU1KQbL65xSLDeNFmflahkt3LuSG7Hnx7 9D3WzOYSYuYaWl4hev0W3/zS313vVJRjGk8EfdtvrK7c0IJFyqu6sn9dd0Wg1nU5vOSc1jj89DNb 9LPbnRo/s8ytA+kMmEt4W7BuHchUtpSPGINsWujxRyGbJsBT6ltj6uwa0htOfHMIZWxckOiD4Wn/ E7q+dnUDGFDunh5igXq8m2Ay9u/nwevyYnwHhoIgekvgoxArz4SVevibbQxY0piEjRALcKdnwjq3 wNKioXDRrArVLAo9nAJN8LxCqN5/XPGTnPNhvvfzBhwD3ID0ThCJ5NhbTR/TPTVbUtNfLZ/DwZYL rCvA8k6tTeoD+sC2+H5ms1i0phnZu3mNPZUULnbnwHG8k85yUQfKkFe0V8ev7QYeJzmnUWVwFFMZ 2JRugj+gNaC4pJpFxz4dUmQ9kS/s6Fz6U32/qZf2pIsmQM5V3IJ9tZr62KuO175tiGq4GtYFWlVp JlZCeuhIyRabmsOdNAekUnqNy5I+XPxmxfaAOD9PO+VnPirjYlTCB7/w5lk8WBIxfp2/tLxWuAcq 6L0M9up39jQD2OTf74mN2xM2Xqi4ajr3Jluv4kZYuD6mQIIeloToThkvVV66KAfyHVRBVCUTZA/G /pCBp8p0mFwQIwFsulMzBvnFklyrKzSSGZjtlXIFpBVGbJCoLvKmvNYbq7c6wdipeqVsS9wCjv0V EsQ0r6wf6sq0QRBsG5Q8sasjdBCviESFbUrvSHizPZqXgkzP9qzT1TSTZT77lXbsXo/XaGPvwFZ5 T4Nlb5qsJ81YIbyl0ckPFJK4n/FpoxoIc61RRqgM/WL8yx8OL34Z/zypSqh3Qp/fteeMeMtQ/Mae aT5kAO4e1iHfSlfv1XQgHMGrf0bq23Sa6iVnGtUNk2OX01C0RGx38+QzbnmJUqXz8z0Xp0HjhkPy SuRGd2HZG+G3lD+flswkq7AZT1foKbIaHS0EI39YOygHM0PnKcv09SD5n/IbEjUep7d89wPPE7gh At5A1aKF1smhliB7PN8BDbJrZL5FBvVI5yo9ZNKN+A9EPZlvOy0z2VtN/bZbCPJR41xoNJH/84WK J96/ZPw4ZdhdgWRIWGtbVzauPH4SDqjUV+lrDENfD4ev2UhTqgD8eG51+Lynj+1zh1JVBnqQrwSF tzd7P1tkkNfAHPkUC1CAaraL679cGCcT6EJ5nkAOS5mmwwIZCROCMQnUZUMa/NjJ/wb4KeKltTec F6aSqMinukXHV4Qtr2B9KNIgbzwuD7wcwKUYHrgMelcBiOslTol0NKdyDCcV5gviNMLRUjAO0Spm AwLQgmWsWLH5vq+oZ3mn41AarTxW1A/ypkw5lIy3ZrOzc3Ld8HS2MeQR0QQYr1PZAGfJpLxl4TmY c/mw/387/shS+OydkYiJadx7/8CnlJGuUO2UGlDXHqfo2sE7vj1o8WyULlOVTu9tLxGP/4edUJsz MhGXrv3ENzvIRSI6MlR975MkdSCznld528LjWkho+XKanLV2GakMJtrxBISAdERgYWIO0K39QLzi z2ooAHvop1Q5dP3/UPvwMmELoQB7fPEv4ky0m/9uqrp97kPy7Xh58JlctjaxEO2HD4NvflN25K6D yt1BMPeODN8pK3/IgRLBxeTEaA2l3ilFE89gSyi3w1Ni/zudSN22EocSZRGIHHs87NmpZX4TVTt/ WU8XEZmPOF/ukJPN/OTzurz0aZp6VpZcTHjsdyt2WqhVkdsY8MLbsP7I8EalFakSjKgkYECDWCJ0 efylffl5HhKrwjjdXeRUGKwI3TclDQfYPBSeg20kYU/NKETzj8Qm30f79T9HQH+T50w3SqFSP7cB bTWS6v3b4wbeeX+QW0u3lza2H3UtON3R/jzNFb0CYuzWWEKjFtguLhep5WjM/jU0RVmK+5A43LQy UrV1enOQELvEvoTezNLMsDVv+h0FEh5uBwkLPyCJ67mk4bed8oqmxPSh9kTvnOx2yV3PSJ3klN/x e8dI5WTA4DG929uYaj30GUeDfmguzKduR3QI7G/jzps6p9OC7CLGyMrP08Ul/RNDaeFj+sS7BJpp qmiehrWb2/8Vc/oaT6orkvKySEzgh7zRwQMURafWpZTgrlpN1c0jmsOMO7jKMUx/3CwrSrONTbdc WIRz5R9qvgUoZ43JmSv6SCfaOWnFW1SKDFCQn0YOy9uTUcQhYAGHbH/IrbAbfeFPXv/lRps4RL0j imufYAOel8EW6HcH23c5qcGQuagX3HMd5tsxZyZfTZGIazA98+PMH2t1JeLaE6jVWVnSnzwxq/ni pJ85QSw28bs+pTnG+7ueFYoWjpLq6yr8G4Kkdl8uRtZZU7JZDVViYuy3zdRvLPz5a/v7rgMeOHg9 q5iwY1Y9ap1wAyF71AAxfIel4FY3hoY2nDp01dQSRfp54DhTVHQTc+la+oV3FvEDrQmcm6+XDr5f 2U10VKWhrPQggYL+hDe4x9lPjB72cyV1OgXshp3l+EVIjfQdRAZ4PlKmoS9/Xd+Wv4JlKPJXODD5 BZT4BJhDTNhuQlc1asjMlfmbB50jUw/EmCwkmIKR1RJgwkT2TUpvgzAoogO+LdMHrUyd1ne3Qqib hXli1KWP/io67+Mmvv307D1EIqKAeJWCe5VASqaodtjlxYrwII+O/BL3d2Bdp/UO2/YXQmoW0DoU 8OD0WoP3gOc7efYregIC6M4/ax1TLt+Sm/N0dS4zcPE2RucMu/wGEZe9G8zxwawKaMS5qQ3liE8c 9GoryuNn2Fdaud+hHlzSimjR9lZHFcqTI/ColpjtdHnXdMP20ukhTZNi4zVF5U0PC5hiRePMo8Cg fIs/rc9vX+J9FzQJHXJpE1DWCuDrSbpJnljnGKSE0Q/HnPcpKbH/GOMLs0HzjkqBNUIBJ2k3UhFe RfWmaNu1LFXMQxWIdiWwhjtDHf1HdgzEKUSqbXpOaQ/q+J0nz1BE0/x9mpZS1KR8nPABZo0nox2o ftdf2KB9f9ub+oMSqkEN+8f8D2KiEkQDPELiQbC68WkJ2xy4aSR+/UjQNepBVc2L1LUv9Au5y9nW w5YbX0VZMEezkupjErWfbPJzw2srdg5SS35wtJQ4dX9FCzQhmUMrSf6FvnSHvyMarEdVVewc6Rs8 75o535EpFmE/P3QRYXmzPj0OI+u5v3qHBVwSCIwSmi+F7XCz0gadZVMi89VcIQjZu8e9HD0+jUbT JyYJ4GfT0jUxSEoS8Yq2R0qFWSTZ/Gymmna93VGeqX0uwfG5DuHeGZjznYDLopWSDH/fTTpRhEPr dfApj4fH1hI/v1c/12h6IUg5zzM7KDUIhZXml6lzDkP4G+yMh4tSJW7lTr9kDXIv2zoaGiKS9o2n meaeZ3O2dbYLypJCPA6+fXPMSLVPy3pOVqtm98tt7G3d0Hke0vAqAz7pYjLgcXXgT47rrLHX0zcs lcleIdijyndPw7UXJBkJpUd2QL9iBeldTreQ/bKswiUBrxWIjRYD2PDUHDyziOfZEUm834HgstAN oEIl/LdWCPw5dGmWPmQeXJbVUSsIuKeI97XaqkZd1kYp/TdEJLQYSROw70YfwPU/sTCQ3yPMec37 rhbE5TMDqu8Vg1VM/vIdvLo8tywDnXcVZ1d5qKHJCSl5Rnb02yha19mjBjrMwt0c8CL8PxBWXq4O AhmpyauJT6RrFDdALWsx74QlMgTHy+IaT5zgY0y1wJbytzLef4mDvFBmhoyrQhxs7LY29Jrr5L7E 70/v/p2bFLVHbU38w4JgGj91MWegSMnCTWTXOXtmj1j3b93ygrMTEQ4dAsolQVnxBcHUODhrgBUj vO31C9F/OAxo9tegFKCi9mCG2k4Ln7oKhl/BHagTEZe9MjmKHrxJ3lqjtnFZyOaWVIrLUE3wqy11 OTMqfECXvVNAhwwbSrPHMGK4nl3Xmf4r1feP6291gPqUaMpvYUjae+sme/q922F+kz2rH5nWDAen taLeVUrm5jRxhV+t6KIlN+aA/1iXC/dqIdaz8HWW0PjGuNL+ucBXUnV1lVaZUwOLeldlqnS50fmq s/arIphWmIQxykSe+HDtNvHB6bJCsPJ7MMOEbDvx+ilPzFFNMm1fW16xpmWNlqjdV3xuurE/PKSB 7Ew8mF5A5fXJ5uBgs+GFXEyzhmb1/F6GqvpjWwGGbbxaKIfczSGDLUxTPZNNZXhlQTzd/pfrW1kv WbiE7xJ+aK9czocznvGfdXUnFs4t4VZPeKVkBGL8onmM92gbq75xf7iEb9E4N3oJgg2/vbPz5bkg 5OlTkxAbanmjZid+352Ig32n9SzhnlMTGmJ3IWA7ESFGWyK23rtWRI/xTPTclyRxz2/UyGl3Hi8p KBnVCRKp5KVhuEOve9VYJ3OrHKRknoWUiIobETZ6f6iQQMFo7dfgFwPUUxAhNxDOdRpBhuQTWQNs o2NJbHH9AbblO4ini8VEi+Ox8MjH7/sbK6ecqxsdhHB/okr04knhusWAmRt6G1SA7COuQacubOMM GDKb5p5mA+gPfG25/RYEG5L1ognTbEjk9CzDZqU8UOalpwjdiLPe4apPpPHcT47wcV/OFI9YWYnc yKa/jEUUt0C3zka4OHCRP+6PWx5LbXcJpUK9Orn663v+TNsTjKKrzQxsgXZ3WOGkjSCVIkHxLWZ0 bsyaUrmeGdtDzsF89RAzGQD7qLwnsskNq/rFkzRrTkYltr4U5T+nrR6MQT/TGeO1QIEIR9X53+Zv 1lhVSm7+PtxA6u94UBeCY2/uS9yWIeeKz82MXrRFpfzmWuWlxSXChtLuZqpZy94a9J8Zf15WH0S3 d5XiJ06qsKId3m079KbfBFU9tZuvGf2NRJGud0WlScPDsoupboZwAkd9A/xruS9MvS09UQhh7UDg 7tYPQ78zJKCoCMaLbkkhCxtuo+pTUMV0CLITe3lHRMWs5lOBp18Xac6oX7HriejZDeWEO2oOAUvn In6+GNmCe9iO/QCkJI8cx20tewD41e1uTIDQBa9cki+5lNZCCPO33JQbse7EmKAOv0dVNjmNysY+ fPthwUpe6CoPz2c+LVkRF6X93ThFZQbf0gy632PI0o9twKtSkQ7+gMZkKsKNSTlPXb6RRYyJBiLE q7JjsMor1Jngwtq7OlsC8YPDbfESOe2QV4Bj8Kli4JgVZ6XNvD1JaF+M10ZoESeUWYVfYVKv1UcF kTsv3wD0YVpRWHdBfiECXu7rFNucfZCnehnrazUQNOvckiuuf6CBghAYwyIjVG0if6xZVERhDmWD 01s8zYfXAd3qkIVT8MxiM/4gU1WIzK1ACrL6cib7NiRff2tw2ZSJACcfns0Z8SeOgbmMeDCyUnYY 7DIuggaja9bD3rPl4hcxfwIY56WQt9vAmyiHSAoq18eianug32bbdGCzbUNvgQgurQHGvwl46Hl9 PwgK0KqDqQVgAC4fhAvtn2oIuiMUuwdJ0D2/+z2VxfbXKdpDWOvFb8yfBoV292ezIlV2isN1qwZ4 ffsvRBFAnuMci4Bbq/lofBv+ANPkY/MxI0tkKT8MejmTs/ifXicjudD0bIVQiZO4RPX9Nu6vn/T0 mIAPWHS35W9YAb7w1pS6R8aYHTEsvAn9tTF+8EeDszh3NIMZJrNzsS/sXMaDnprxgqV1X8oaYRJj B/QBEdpRIhjpPgTF73Zy1//InVvtC+C1yNJGYuD8OoIY/xdknVvHlyMX3JBCkXqNdrUhelm6INmn 9GrElQoPb3XK9I+u/qM4Ut2tH05SfKEMsMpxTKjkUfakDQNLc9bck4KcdHRCFYuH6y6Jmtd3EohI fj3zB4kp4TmyzITp9skZTb10T33XyEMu29hBRaep/ngKxNbKtI/4P4NKfEm9zXTZ+kMPoy0MpZYC WY2bmj9dVP8bhlbQYo3LPv38Hmr+fxw8JMWsWjjXwpd1FAUg6IFgVuvqe/nRqw1Df6jost/BnQqt qsZZSci3OvybbpZNAzkKn2rpYTVPuo9+LNltcON8lTAT/wWA37R82TZw7DddOhZDLRir0Ylv2aou /MmSKkU6Sy+ax88JTYcuAA61NAShtvZqvXQzTYkxRUMuISW/8PpdB7mzSmFwOMkgv+uUhNgdr4Xd Ysk6RWp6cFLxzN7y7BAtxc08WbtCetFbP93c2a1qHQR+XB2uejyKC2alV2/eWE+0LcqRBqg/FyBo W+mPyQnEa4/KW1g54teSpkn4uK4lTmWcByfUE/mnUZSKbP8WTKutxCamUgERhvQfKniNAf+Qyn9M epW2p73Yp0jggaB4RTt0tMgzek4nh807kSoYWaBCDr+4NfY+ffMg0EB9SUC32ILejCjU5G3HT39S OUjij7vAMp5E5aox0B91kX4BX7/KaOImtwBGh7iuSFm+bQMf/Eg9/jj75rTKCU7GL1mEHPn/jiIk qVwKqbl7Pc++45b51N06ZmIovygwuzKcu4uWP5W3fTTYtAa24d3WBm6RhZaChJsz/dHNFKAV6gmy LZdetbI0DiZyCRvigfyZ/Gam+52asZrnEdDU2YoHAUI2ZVOGz+DOkFFuG9D/clpd8tjbYUjBs2oj k+ovho2+JpyDiS8vFBtuIZMth0XhrTSfVrd3xyXRE4n/0Jq+30WZeKsobYYu9VWEPnj+Z8wyYobV RV0rYCHzx87t1TGKtSGCSv9OZQfoej1SE4dGq4CqoGAebb/JUJ/lgNO10kV8dLVGKOv3roCPgKXy RlxguL0kUi5T55PRIp8SodV/m0SW26l/nmXXCqi5t11xMt1xng3bBVSuTMMsWdohAIzRfJeQP1PL ylyfeoDKcWl3BLQWI5NmQqRQnhVvR4EU8yC+lBQRNwD87BtnUeHa5eiGGqbb7JT+1g8/9/Eo70rP hL5xWlGxmaY5Ow65iAjAxSlIs1sxNX2x+y3zftvVc1SfSigzD/8FtUSzRodfVpawGaT2WRwYVrpV yOm+OQ8/FkVZnbiyzEiBeWR8zg8T5szr3pQv4cCgF3QpHUPbuKha1QeYHZwevPn0Zi8FTMVcY4CF VPLC5d23X/oGgrI9WcGMHMT/L/euUnxF1OsqGHT4iuzP6XR+PFJ0q8HbA2zilhOpFUi664PvG4HJ K5LfkSvqp8uTFvn6ifGnHSGZrox9o3LdJLxoQDUYkGfpiGQzDljccMrckFpJ3IBGiqeUC3QNS7ek I0v554MvkD0gdi8RqlMldIddfIxrUc1dCuaDmgwzuzshC0NfZ4+MpRBzoQfCPdNyf86d2Rc77bJc ScghJ7mtWVxGER+9cg3FalGB/9DPEUDrO5f+rsF2EJMHVBR56bfHU+nlJexeOxAWFMIkylIkcxph AJ40t+2uAdcqrlG/8BuRgxCNOx8POtrvVZ7MgMObfKBjEmHqG3ZeXNvjSaTIV02pZLFG/JpwGjwV j1C4nEHS2uyENEUAqb8N4vS80PKmYmVAqwfX/wp8Bh+LAmLLwzLQ+MOlP9ntdMW6lZ/VzsmdC2Aw OLlMTCXMRRK+0Kbo3EMopps2I1DD5jzHsNrSdaedvqEtb9+kd72B1Zb63wAb7z/hxLfKb3VJueum F8SCDnokomQing8xGSTsRdk+bijZpTxWgPfeSZNgV8iOGMInpkUZOrvJ3HZe0rCwFeHn2c/aZbcb ZrbxbYpoQDB2DrUROA4CrUUUbdM1WrhpVeQL2m24IJLtbLoiBgAKwcDMZJeYkIaCygzGOgWMDCjm d1mz17FlMyCH/vPuIT1a6v+W5bQnOVj1hlDiLjxDwsqA2UgWrYVDMazMXngFeBg2heD89s7Qvz9q IAMGyLzbPDN0iaTeNrUvvWFqMidDxssz2s4VVyIBerB6pZlmMiHi7fzEwcWJpbTr+1sfA+RIo/S7 xW3i6q1baUws7IBmDEAvyRcnmusdK5Wf5Bk8SFvrcZ87H+AS0dzKVNKKnWxSqd8sWI+OFUq4OsZV gTVx/tLSBgQaYMpVGkIYr7Vz3D8U+eDkcTX6wPi+TQeNrD8MmmUKAQwSXtPObfc/3yQn5SEsHwXz 6F9Ph/AVmLGDhm2OlB+K1vCHM2eiKbZBXlDlJWrbZeQauxn88wNObPUuX9Tu440jnMZ5yLrbsj32 yeisEu2TIiDxHM717vBgZ8SGzY6ECVsnha8MpsRKDA2AG6RtYa6ahfbAYbj01dbyZ0G+5ecmH7Na 7fxDyfhpelVN3fE94Rt5r5a1jAcPVGULU0pP9xl3j2SOTRSA0ZC3wUl4whZS06BzWQR57+rMkJMD KktuSxg+gM/81m1IyHWlD0BRK/9AQ7akkrupdhrQwPer5OBdErXmUwZ0/yvP4srmI1KjOuvLqzrL WvefadpD3X5O9KbRqEoGJWD57Aa32YUxI55FTpvIMb/Gfe+ffQOs4vIGb4wTL09WhMsajVAeecx/ aBVeNpgdygfIEq/k1kVtWf4QFmr7JalvhX/hSOGRyj3Ot6NuEGCuYau1guT3ZF8+YKfWlAOFDGQk isYOBaXco+Tk8gCXFlpw8kbCNv2Cj1CW+fU3nopttEYnRdLZZoxfS5zaJZ/BhlG3G60eQC6az7A/ 2oBjE3sVL0678HUBqo+7VXidoGkMqNvBu7UpDsUScCvDcTppGv/G/plIMfw5+BE4GwvpQ/hNmF4w IEO0qLky9Cky5NSobAY3htCo4iR6yXzW2lPMIE4OZiLtrVHOAgTeDUeT6LaSt2tcNTaMtLwVJi5K ar5hmI4Rd1pjoTMLnSuc5baD6wCfMNlAXDockgIDChPwhjkb8LJ1GA0aqakqL1/XcLI5zSJSy+26 B3U4mIKbFBOr+bKolI2rThE2QFkTDWmkJjVaPP4bH6+xVJGDaFtJjhP5vo1rA/YyAubjW+3k/865 GEeof44Dv2dT8ZFCpKtDDIINgqkGGY3HsC2fTg1eZicDlZwGfn8AUQKLEMYW6Z/z2nB3dTltmVd6 GjFnqaxLgAEW4JtDwyeA/AuvG6ypadluJ1I+jrr82lAWstw4euSgn5bV6tvkFiigG4r3DRdYtsvz Cd7an5pMIPwd59f2EoExLuOLLOuwmh0XF0kMVzogK9Bn8txxC4PM/UGKApaFC0KZTGjxVeKAFsin 6zSnH6m0j6kwMSCB7ZTwAZk+y0V83ehIMXKvd5hdc6h+7fi6IYhE9TxpOF/pho8oVBLWDfyBqwff hRfm2jvm0GrAckrztVHUbGjApwZyPwoGheYB3GjKoNpsYEmDywLKQWdcMp4WpBlmLj+yv4IQTV8H PPvUJTfojOiLigNxkZpnEp0c8sIQW9A40d9Ku/PqIzaaoWn6VF4maHy50trWNPF2MW1m7DoTDBRE 7YShV6NtRiDgbwsx8UYSE/2M+M1IqLeWLIs7UNleSHc/UMMRb0W9Zi7Q1ERyl1u5fyHzi9YqH9nl uqEGSt50NUftTgGqzfM5zinmpLLlh09WTVtUS0GswDwRSxAE5qz9Z71KOIGcFm4DBPzZPAhJtsTk fzalQh3neKQZQS9q18GDueLkchAxHCXVxM9Hz5s/Bs+h7ttQf/fapz0ZCfOte/ebGeu7DsB3p0nq 90GMlJVBTS+47HgH2fqUsNpBV6f6hWTGn9hqy7fqXyDaX4pa1TSlWPqfcymIZgCyhLSRoJhFtm0M CGD1AP96CKtFZit/ZqLDDB8UgycawWpax917p6Ltmw72d9cvcLCS07kbd+9kwj6igXSWezJ7JPp8 SwPEHoKAsUG5BDD4m9rLc2Fv5JPRolcwFH4WbLioy9S2qEbj/l3HGZjkZNVZnBMxjBYRDfssvDwy a6ygySu+JVNqpjOkVY4QG7Ty/89XgPRmRjO1BjDoejzAkI76w44xnQAEW5RwXuRoWt+VjirywfJb THERDZEuBRRyy/W0A+e+t5qlYGy9Ls2KKt36mZLomr2B4ioaxtdtXh7KfIwu2G0EBOIkvC5I8rz1 wUVPGMDtwjIVs7Kn+/RID7MApDiVsuLqS54WddITDRUcmEppLYdaWrppFCOOSbKyyv7LCS5DTARm 1AbGpbEH79bsGnQfMnX2abzwTg5fQxQSSTDTE1TBhFSb/VIJr5ubVAMYYheLE1B9PUrLAJfGNM5A LbczJutx4hnClt/fbkI9bkH66Nm76NdC61Zp7mf1Ero5hNj2lmtjR3kdfTb1Gav7oBslismpbzFc uEIRO6GyOqhoPKb3nylSbPt/Dk3Ps/UaT0tytUcu657m1MahIHiVxy5ClpEet0QqqAi3OczXGmaj PJ1u56vAqZW2iCx/lNJBkViHlnT02EIAZuxsLwIUhZqxCJbNUYRn0XjkJLB5wSOr6vya8h6dZj0H 4jHM+vTv+A+eyoyxpSDZ6nG+ggFTS54KL69fAYhFH3aB+EiKzGaj1yTlzzf5uCYTw5rbxuv1jOaa AU3DnAZV5jFBYuihWi9jx0BjZ+yDNdt3Q6jnIF6oC67UZFeA4cgAquXJt1j1ZcHrNNngykZQK+wp pHxAGp/2Wopy61qR60ZOLqOtba/4zJXZN5pUeJB2ngEM8F0L7MFMhsyeyNC/PrJQo+bjdTvNjDTY CRS3usBzU3FvfU7TLk62/mhXJzZkGF6BP13C7w5ZSQR7lHo7jSz1u5GpAkfcqOkPCyXlTDtUvdaJ B10BrNnINqezKsfXK6hEITOTgYuWqerPL6MZLs1GE9W1qEOI04tvk8V9wmaA79KTSYq6v24ES4j4 WdTp5SqExmHFlrPfyGZ0Wh/y/yUpCfAMYEikwUpN8eBNpCrLQVD0AtUEhExI4UEjl0NJ7UcSTdNt AoKVxfKW9qywm0akBUVNEp3bYpX1oCPW4qLMur1iUA/Jraapa23qY7fkMixG4TAQQhnpwifoKsWm CASHS+cUrzuPehdeNgfq5n16L6ZKhNLni6ibjvZq0hmP+Ad1CHo+ABDPxRQWzuzSLj5UN6cKh59v ADoJssnjt+n+mK6SYUvQI2SmQj86H0Ph/yyakbNe/DYXtZaDEhPayFdiKhWLBmG154VWTeTLgHrz PH4h/xdq5WRi0XTWHTza9HVdLIH7AvISYipTNXdE3GFqq0YhtImWoUiFJoJSK/GOpkyqM9H0vptH KJkjbDXu2BiKOBJ02PrFpcwzbBM76sEGvDnQNMjvvO10ElqnYaMnBdahv2gFF5raINTKeEWmBPSQ LM82Rep1iUbJ10GG6KJqWOv0Z86L8IuS7CWtIC8a+Yxt7WdHBKutlSWNRBvlnN7bZ3kTWQJwjF+X 5pxeYNBjMfDmuNcY6wLkwpaoPByViTYXf36y9RJR4pKJ39wLn6ohLvfZV4uawjclB9Bqdc/I6BwX 5KlVGeHLa1LKlAcYiZgYrawaulNN4hpViurdz8R0NjycIKCugSC+2axPVMFlfdDbUJD4O9Fs8SUX oe51pVZ7KHPsQ7jEoic4BPM8VHpl6141xV3zl1ybZf8zsAwG9DgaGZ08Q2ppAQzpfQhylJoFGrf9 A4iXFVyBLHY7sprKSA+Hxy/ZRyO0xWB8FkhoFnZSwn8sBr0KxXqLdams/8SUW/1NG7wqN8yMWgyH N9xIei5SEZBD5AfH+QxgfmG4AieGGHipH0cBPUYMGwg1otsGgFx2qEAYHpwpe7jxNAUjwPXP55JZ YPoFXV/cAl02slwKLlyquLCXdmYxDdbgQPnuRycM4SYoaL56+1XSTU8f0OKdn9yPMhaMbr1oVsFk jYuVWcpdL8flVBUiz1xO2EB8MIpyqeyD/b549rwBZh1mdlm+SPaloiulyZbSzAgLT6nqg4MmQCsV o+z3iN08PJwgMMofE/SEYiXaRLGHYst+kP0yCmkjS+B/cLbKdsXT5dS2Vzp1UaogSWeTQspHN4e5 6By727LKUgb9UePmCQS3doBVX74Gv+J+7U37XLQgTIoA1b9zTF0ZxvfpZZjPXsWyQXhxvcesoxq/ mH1SIPqMWvtK5kiOEwJrOUCxqdnKa0SsceNUu055EWgy5RJQkAoprcmgGDQjhGuUvcGvRnucKhj7 mGKZW3nuoKJQtYIKQBUZXCF3ivsZtMRZzuA6Y0LhM6mvNMQAioMuO1kY3kVYuLKNXGeWY7bhO1ON 9gRURia5vEbi5wTxErgNG87jQXNJ95UU/TnalQ+8/07WT4dhnYb3gx1RGgmYIdXiYWvNXmCZ1trD 3aZxnLcueFuQ01YapyQiz/FUjur7P0upLZ8eEMJgIAQJw2dxolXVJxJctAxWPtyrWb+DJmChHyf0 A3iiJzx+ZO66Ipg9PrMzZaDyMCfWxc06GmUFwADdLGuhXKUdFfOpYBFyR+Wid/ZRzPC4xSUqxiJ6 LtG17vK3L9+1pWOLTIrxvPi7A9XQvsJsBM0NBghDgsCJn+aArup0NH6EpmevemA/er3reCAbViJ1 JdqD/W0p0Gqm4wDcq+wT4eKLqh8OZf0kpz1SYiaO3ez7zOF5wfIrhv6DDqvZLaP4GZSAFxo+wUtR dPoYCXMCUZmaUFYQBeh5MShxZfjzPKB+5CUdu988J/w0/sKw+9yg2MjI0iKy9wTb+uTqjwSSiqhQ gme0aMXfKcJdWIBmzztV+DE6k+M+jp2kzooDb/7SJwrI9hVUYlCKgQaOpw43HmgOrgSsOWASdMB4 oRZBDge5LfaMqGB9igJLeW97idBWjafM4fPxkq9pEPfqgG/d4zfhisVTI3h3398DHJVuGfBo4TeO GCh8maH0AZpVdgzuRmHZJU7ugICUyUf0jVx/NE4hoGXxinj7MN6nBAUWMeE4oL8Z0yzkrf/3oGFf Q5d+6lW34McPjIEfxXHH29qVAUdtu/v0/E7/EfV+x/GzpMD0qg4GoqYC1wnIInvjr6qB0TeM/q9B GYaEvEt++BHDIxa3HonY44UJi9yQIZLBJr8utHWSVnIya6HwlQYlomHNzzWvzYG8HEgb+lgOjw41 CNey9DEhVM1i0UMINBxnkcje2qcdtf5H99VnPh4En8aVcPxqOkp6RqFbyNh/xw10saTyp4ijKZYB /PXnC1FytdpO6HRdd3yQ92hXqzgu3NR2uoCK4JveRDF3dUgurz/9QJp4/2tiNQR+WVsi/aflzdrf HElXUFnLrMKRpzktLFdsL+SN16PchJZ4Z0cderq4Ej55RBSx7Lsd70DwhLpp3JEVpQ0rri5wpMmY zgmWbHwzJZita0Is/o4FCZPUK1I2/XTu8IYAkb2eFl75atKIyfsa+s76K1JHNp+l6rsRNHnLZR79 8fZvg6AQYzUmo7LJfa37z8cUA+oxJqi2r4KqvMrDEFR5fDlbqUCMbAPhtvXULehQSIo/mjyuzgmt d90k8nwt1v3OVXSDWLUszF3fCexzFn9FE9J69uuc07B3sUCE/ssl4rnPmp6WNRFd82SjxzHOlb6V XguF8WgzXRsiUSUbvYX1eofKvsWndKym4W1j3Ne8KK6kUrRRmckCav2qi+XIXaQ30Idg/HThpBJv jcajVPRuDjCCRJe0XIsOX2QF4AXFrDkREI4L7P7rnnO87iQheIo4WdHQNtEyTzalHNoQyWNc18b2 2XEGfXlo2DMW8KZhiaqCEah/H1OWH/Wwz+iFb7D6mkExGOxMb5Kytu+jCqh3mrtP6wnE4nUnUV73 dDbyavuQ2v8NdmtcWX3QuLuZACCV2OqdH6wEN14q4GXut3NZfMdwiocSrTLflq4RiawnztICEMhH b5L5Etdm7tibhxsCa0/OGyyxj+xejmf6ziWJkjZTiJBo0hksNRa1cIT44QYFwNyf+V7+MRV37Nun u/Sy1vZYPwgXr08Ln66kIdSheL3+S6TMDz1of56q0L959+DW5YVxPHq2WJ9r9/qG2X3HRRyTgALv MoULFVF5ZrlSb2G7N3JAHwdJs0DSaVHyLoTuoDodIey+R2gpKdly3u5asp2t2lLoIt+hDbJascVC FOS42riK0St8lWzT2/i7s6pylLTQuPOhZZNMrLKCdXc8X1hMq/rcLgNcGtJW2+XjI21AXCThNFaI ZllceMXYNU6h/C/zWRYEN0Ytmjxs+3AszxLA5enu4MccY2H65kY+fN8nL8juG9p3Q/yuv9DmZWbx jJsMNq1jfaMkTizn+b5/O1mnlVEsjV4XqZT9EmoJ9O19siK3h8Uf+e79jV/YE1Zp/c/gufTiuMqv FYbQ3rW5uQbJnzbYAWH3jbGRGNjDiYgkwyyFw1h2mjRIpFDnixlzS4DbDqp7pk+CLL3I3tAlNSum weewKw9JrUcUDHOPcBMu3/qwJODe6cokLzeuy7IWhyYz0qyaJQradrsrUv/aVO/w+gcOAdPGpT+Y jNhq+Hbpk2dYPf/dkAhrXq6FbgoNYG2hAZ2nIkMSzMyMM2Pd6y52KgpjCY+aSTb91qwp/X7qKgf5 iobsDzDns9Yz+bAqXX+WzlUswU+sIvvFGDogb+flIHID5W7EWBSdFkvLni8d9/DwZBkwl9v+nRKl 1jp2cLuqAV+7b15Sh5qjQ3+dwsJkM5Jb2E/iuEP54URNJLwacthll9bXDxioCR37BCOxCz4XKP1o F/kb8Y+Va6PGnFIhxKTcssYQ7PIU7innX/UNUXZG3v3cKrpuioyr6VMTvjl+V1o9A72tOwyUuK6c WsSYq2Y24jTxx4R935ykbwIVPJEmJK1vPBh2sdroE+5qDewmU9cSSOuO+a6KVFaLQUiyM5mr5vXh 3b5TWWO20DMnkYhXv/GUFMnF+XUQu3H6ci6cGfNBK9SnVSCi4XarQ/4hatFz4R6d2MQVzxb98XHh K4CwsFisFPNOGcyzOcw5XXTbZcTVw5+mz7qFv+HEtlnL9cz3xArFYPLBHECrUONgjgHqXJY4AM/l NGElFRrf+IRxVZCmx470OA+fGGOmrU1AV0o291Izv0DKHLaD/sb5F1A+0mCqoq96C7lgqTQegCXN IhGBf6bMFDxs6mkrkXFdzVpTZjvekjWVyNh5O9aEqQwP/IIBhNdARYi0VAx0g+DuvmntejoGAV6A KWdVT3vIRsipEwM47PCpmnH2xYYu98ihh+/7Jpoo1CJ/ZLzXRjo9m/yp56MMFVaZWeqWHwidHL3B Q5v730/1HQKaZA+i2JUTRqdudgOPO7WDUqjfZzKhy77Hgxxde6jZ7Q8ubv2Jrz4foikVvEUr004h ZKgSp3lAUis18OBvaaQ/cD2ST3oWLZN9jwrHhyXq14UK9KCIMxP7CIc3NbT8zygiSoMIWr87L/HC Yrh04374YkehScnAerSavziDJ0BD28RQSUJpr9NRJGF9M04z47OY57MIpNeFmYglEE6unNJRVt4c 5bzXXBKkkmATapOqePgp9swgdreDZhyJaAkd62dyIKEPTMvRuCSQ6bo2qLpB7g8KRF4E6UWEHBxS /7RIXXy0XXYL2I9ilop0x8nUgHb3IlVLZG8mD7tCsmpTUMHGX27qJd3ECRxTStBurJ83FurTiJi1 Ss/41F1WPulyT2H38H4eV/bJjCbtDtxZIYZki6N9Y4qw/VfBkfoECtpGx73AevB9KZVV/1stz7gG HDEXZXL9kjXnYpdI++L0m1u9zgHgUqpMRAIqxKk2i1zCcIlUuFp1wsELgA4TdAnY3ArtHyPsbKf9 27w1aPio9fcUlsRwRtwNEYG/Oql04cS7scjHqoppGVIbXhRISeqN483zHaCTaROb4i5ypKYZuYCC HJsZgN7kmWl2ITJNXDx5x1+NvUy4LZp/nRSJUlWsTo6Ts/7B/4yv7CAaaycL7pbTbbyVk/W4ftvL bm5Qqv9yzDaK2NUAaVXmwigxsFn9d6w45cWy4Gw6LWX0vcWCf4bSEkUB3j6PJNuqp6KqgiKZ3SvU ugkFymbHHJzAaF/kaJeppXO6HpZshcY7QyXQLi9WlKlyKp0LwHWqG4oHxn0RoMziVToO/dEGra4U +emHUnH7NyF0dzQeJJX8tKiZoRDGp6eJphQc8KM+wxjFPQwIwPmv1C6cyZh5CK62CEgamj4fMLDg AZ3QeAxRKmMD107llVI8v6NB9itlQxBdZML3YnJ4yya2fxO8zL+twm8c5eQgtPTwFt8zppL0Mhv7 35g6L3FraYUwv9JcttXK79paYfInfVD9XT94ge9hUtiVLgUe+BRoUHOap7Wo1Fix7X7q7n63oEOa qvUt7C4ncZ/a0DAr+dgyrWjBtCmGH+7BL3tIBiiJGi4xYuzUO+9nrZuEL37daP7gT3FOf+aTQbXH c22uQVuabmTafHlX5qVszvGirwXs/d4o+v+Dk/MyLsfRYbWXbSVj+yTJ3dAe+Zmeh4D1dHkDK/r6 xgWwpwgjyOXwpTAqB2tyj/N9ID/eeaqUVAUIuA6YYhLG+BKknyb/pKTT8H7IXYJ56OlhAN+Yy0pG YYWfXgBUaMBSMhju8dSi8yndklLTecs9vVBrMadaDAWrGFQhWoeasfOSe8UTx07BFZYcRitRRWve u95zrgwZg57ShIGIwLsyab6fLQ82UsiTeb18myj38MjrQTStNh5idOSjM0B6Ib5VuF11kXjj8wrJ omrNBYTxVUzUMzydbsmWBDaXuese07K7PKFNA0Tv6vNyqzpw0O3ZuGQzunJm+hLvsV1Lo+g++8mL 71N8ebLEvpX0qvWmLgnOjieEnZG4N48cw1pyCjIqyx/5CFzncSqdf4Z5c5i7NBEGByX7r62xYWEV obL2wgX86NxbiDcJdb0+uQdax0jhbuj8o02u0Ff99sVRjo5rB57PbBJ8ch+xIq3S7tN2E/JS1Vgg 0BYrWjwzdVtw/xJe+9renKJIwfzsyctbAqRQWw4GLjLAI1r84dMaZ/+nbAiJSzwNSrJck+yh3BJ6 Z6DEGgO+hAAxFivruiP5EmNRqI3Xa2hZfPe7dN9EOk0sMBIAzhoQ8C2STRMUz/RRj3byeKPoyUbt 6Hvp+K0wboJS1CDAaolfKRBkPq9qFGjOGMcBEppaZ8g1uCj0BL48ivqMQimJL6cH7WfflfU6V7WD Ie+lFoEujnt3JGg8pOloVUYQXyTNixwAB9HiolE54NUmvkBpeEGKtBe0P5lMMsczPTD9a25Vj20E 8uhvj616f4ixg7iTTsfc0qlvcWxFmmk7B7IMW626BdnAjNADJ1xPrtOyiWfZEVVtLdeDWspGbYr4 qAiKJuHn8kUDNzFuR7vMXLefUVKR7bPWSCVzEvYoxyZVMXhcBTJYydQemZZVx5MgaYm5yVHy021X 5NQzkatKwK19WRJvl9X3hYdAAk/YoRJaqOjsKOpZUOogjR+DTWo/PT+DC+eC51NDMKJp7ynGnE6q SnBdUJb6QgnWY6i8VcdWYguRu8psX7H0Bdna9pNgL2pVgpzTKunqX6PAJX/7B7sj2mi+yCHhfTTx 6ykXz+Vqzo3LNPgXii4CsFLPLa37rOwHxUyDy8N2x4h9As0abeprXVSWaE1vIyDDmUnF9IAeE7Ow ddglvcryuPE4rmcX/aE2uApBiCrnPskF2LhJQ66t9ov3Kq9pQ6V7jg8caxog+gXYZ4tgsk7vvOW6 f7gvh0O0F+gKHdJ5xE6mFZbBf2jW3sMRhoLA2C5RurUcFDZ0GJqjmoaZ6UG81UzsXPv6JuqV2Z3e LqH3ArNkTEZBjUxtne0giei3iCJUud8LBITLgbUpf5djBL+TgCDM+4ymElC2jF+KVjeoNW9cnQHr P/4VYwE8EuyUpL2rn7nUwi5Z+z6zSEtrU1xIYpd6QfklvqGq6k3wgXhH4qSGUOV5NGe6ONNGrf+8 5DdY+dYWcGQEPGUduqZsyDQ4AO3uHDHLFXY9htaPNLVozKLh71Hjdy3tdSTeOImQyauceLpL/3oM rL2NBnVcFHQ+qWFPzH3zOB0v+44gnc653lsaksWPQP+kTb8L+3Kj9JSZ4Hu01W9Qef/GC6HLg4El agBKzlsXDKOfjMDcwmj4ZbpR2v6i9S50dOhOXRCtpKSu5VzvIVTU22WYsfC2bNMTwElE2oPzzD2C S6pJmMXZ/1mOZHwnbJC/hQEo6EiRfCalPQeIY3gf/mRgBQ1smX+k01wcYo8+zt95VVyqivifbdmJ H9PgkQvmxbctmcJS4gQEx6EXHi86wd/RD1FE2f/xNtaRFuV7E/Nu30rrEZxEuMm48zYORVg+PkTv HEwdJpg1IyAcqIfNpCuoiMslvk0qO75041t9uKNJ+J6OygPIDVplGbLXuSZYwzh/L84BEWPCSorc MyMmyQkjvktqWe+e9+zha8A4VBBSKJ/3CJex0Ohv5TDf2RtWxrlflBkaeEEmLBRI5fCcpMXGL5hK W9aaYweK/qQGFJWyqdvDLdl/jKZOpEXpjbwFToaENdvIb97u069q4zJJp3xgekoAUgrVLgucvCl+ eork1EMH3Cwgh3+TNYSkb0lGvAJ7IPq8j3T8ay4KBfm0QON0HoBKTgIzeWPoZHmMLFXmcLV6J/2g p83M3LoYXkJxRa2Y7r7kXzO0vElKkGo3iIqrLyCQMsqyFegJceWkJ1JlGHOl3RdFoYY+jEmG08rl 1+m5Yf0kveyiEfvelHN6m/p5w/JD4zD9qr2X/RSHl8m1eR8zTvsVL1nacztsc3igvBCw18tT6oqv +w45NX1k3HvUGnUc3or2YfFNl2fLNDzYSG4Kbd/hcBI8oUzOeRGn4eLsJh58ipqzFSCyaQkMlZoa Vk/YOt/xo1m1OzqnqaGOMn0bWBXMlX8CAwLLouEjPi0FTlus8RC9oV3/6tyOCGcXhLwqKYuNQ6Io m303hYOLp0QfwEhvh5T3j8+ZXQDQ/I9zCv3sdMwf8weRIWt7/r40XYOvocu5vwD5GZpXf/CsZq5j C1LRFggIhlHPTMPwWhzYrPNY8ZJJaLRVBC676noSVX47lLholRAZMVlzItx+dz/uo74m2M45ClTm pudZb4wZPOEWGSS2qkB+ctqZDL4qz3SmhOWK/ELqrmhwL9EPxVBmyKLbJSNeBbKYOKamAcrVLXDD nRTF1FPegM4FdPZxt+XnW1nK23Fe/57galSyFw7ebZqcMr3q8J/Sefw29vPXWwdNQ1VUOanJd1Zu KrB8Xkw4hlfdeaSAxCQUyREUqQ4NM4MTRYvmpGkSLjglyaM8PzI6Rg2ZaQeV2eH4dMQnr6V4/UCI fiz/iblQEAyBdzrZHT9E3VNzy+SbvrR677CrZWqthkCBFD72C+i6O4ur0mXuz265NpUYPCHFx37+ itrYvZsaBI8P2KhF/CoYI0/WJUqJMKjxH2vxgQ5YFQ9HLOcZCUCphMVat6glRqvoPAlT6DtdEwcP 7+23mQJbVV+x7JdGiVnYxVWS4KeVTUV9N3V00TuTGwxK/36pSJ6rb+bbbT3gcqXrgEaZ+xoTrlGN 59isnYv0t/202SITeMZyjBzSwuTKYSFDnjjm9ttNwhdvP6dDFBFszW7AIPkilFqdmPXghCvj8oEV gIjjifTQ/QNtYMDFWQgukxM386kyNCgMXjIGs2CdgIz7rzpizdzBeXloJdLvB+ljbB4K+KnqLUFV /jTLKxePwM223JfpowqTyborWsPGK6S1CNJMH+CPyaCKQ26Qfz1uGEPBJDVXeZOa4Bk+fzVxFvRg xwchHriCmM95U+detLIIMFOObvLENuxYXhNxpCvNMI3w3w6nvluiQlPe356c5eccXLRTVevRMpnY V52HT8sXODloN0I+o8qycP/kvI9KsH+AvXb+AxBeraI+aeS41zogQk+yzGgylJ2Uij5+2+JGuPge mPvLOJT8L/9F9PtNRZ/EpuJvf3p/jvbVeky0CmI1kCMMEx2LmgEb8j/j0RfRq4VGI732NeH6p4EW qwPBeyBYP7pOg6nktTOaxcVX0S65371Id7yB+D4dpyDR7koKjnL0eGhZKGki2OALmODYLG4DcN9q Ec09qFH+b0q9k2ygIpzdev7k3dz2QGeEwAIjoic8LuoU5ATnJkJI4zR43jPPth+z8P3ayh+a7fgb aZi/zZ2tjiTwXCghMaBKC3FrtH/qwy/WuictdKnnXUfJJZLeSH0Kr3j2SGCxcj6wDEwpIi91yARH b7FwxVe3C/dyZltEFvuGO0ZykJDdn/LxMcgvxrV+gheVCF5hq/Ub0N8tJT4iejrDDN2xoW/Mkh6J iKMJFFTbeDInAVDjbP7l/gb4FTxB4LshAhXyfbZ60JLPqk5qBDbBuOQ6TIRbbG9x6yQs5riD8Gm4 0PtDrQ/18oQmm0j+ZgLWWUoiB0zhaktiyZh4ZUDRmkwIcAbOxS4KtrXIb+1phfRmQ5ij+4s8ZT42 yjGJ8grhWnDKAscKp+lYgUnkM+h8eYLde6m5vVYr7k5VY8dJAk3gAd6Kn+DrKNPmkPzxjVKutLrS TZq7z54KJDeSNECv6n+yLdfQ7aKahlbZgRe0rsv8S85XijLnXiNEOPpofU9mioI/alWcodc1vJo0 te0LTbDdqAqxeibZjiJq55hVN1PF6k8DM/q+9+Xn+fajXeNDNlWkI+vucsn76TGhnlK7qZP6iqTO DrPQ2W8pbGlV5Gd8AscpDx8P5gA7nTx5+tmASaSWbadnP8DsWzyrl/Y3U2az75+dK7OOMUK7OgWi D7Z3rIxRhkhbFurAZkhXMroR6+tSPhx2OqOwVYGOFoYbb9s33qIKYhc/JjHD8TdFfOGIBrz76hlH +wZHA4RBNkASeBOy2570cacjk8kzA8oS1OCPyKCO9FH4Y5gs0eHAVaoNcOIn7WuDw0jZeoDNOEcS mIpGqtuu/nl2dZ9n+aN2HJlcM1QfrsCDOlW5koIuuaZ2UCDdocQ1oSPqSNQ1PoKpI+CgKU4D0Mh5 oyG+1CkfpLXP1LTBNBCgKOtq/Yzv3ZCFl7Pv0A1wV6NK1X8GiKHZpLlTMJC9rOaf3yNpywFhUxmI KOLJMayzENgtB823y2FUvtJ+t5XNoSGqo9hlt5J/2x1bx0qPZpAMddjMgDOab59yRkkKAdgnhiCF xjwjn8nS2DHuB6p/QkK8qZgA/vKlhYycJvMioZQQAwQGhQlwSrtoZLGN5ZCMP7OuK+8H29ei3C7M VC7IFfwV+h7t5535D7ikqI13Mxv2Y1mhQ4e3SOn1zKvMheQWlpkD5ckOHldJsAaglun0oL/41+sV uvLa2NHiZEGquyjNrH3PSEOobTqTZ32GcObbi4XfQtpaZDQCDPfvWbagNpDYmLtCirkhG5fHIcvQ puAsd/vPD9jSTw3Kax+Yup5++bdzLH3YelvNMYhA9CY5oYnlll6UmU7NolW+1XIMm9I2s1Gn6e+t A/G7P8GQBnY9fMCy3Y6LJKgqnuZSG1ofzIiBWgO8XRXIgqqNj2bzWQf/KqLPt6GhkreE0cU280uJ bZ+MjQqPe9WWZTjytgczkA3yZWKrQgN3EvCqerU07mOYN5dLbezc1uplYERVpTB4zUg3zvviTHiI /1ECSGzdjN55PpE5iRkXs43RP44YhH4EVuyy1JTB5GGr+YfuNV3Bsh1Ga4zP/GzCsFz6HB7XkDJP 8NhAQ/BxnhWx/WOODbpj8UvF24GPWmsh7ESKHAcznW3OPSf0OYJ4OCM2TlJKzgXlcd7/nmdd9G5k 6YbIotOTGtkvZw283MTtRFw/ykRXmCPaNSMSJ4053FNq5j28278BVikR7moKlQMDwS2gBld5Tjs2 2u9dqEF285gZJGwrOqk5RCJmBsdI/CgA+aF5oQk22IEoDmPVw9R2FqVjDTngUGKGkGnb5TeukcfL bQ+uiS6gp2VArQOzA/RAOjJ4GM1ZeuBbK/P9qfiBBUzrxXhr8yHWtRzxObOhvNPZ8rTAUYDi+Pt5 J74POIppW1G/lL9SRESFgnHHiYd19sRABqFP18qebyC1Uq6YUtdLl9+pA7e74eOOSsuDoqGkB7me 02Nvdz1M0B8Fs65VwCB6/jItRknT9G/lRzOL1cPAAHwBR5bZ+kuS0+QzuCXHoRs6aq3Tba+pJOTI EaluiA3LoBunDlxhQIAeIjLjm3KNEQm5CP4p2BPkXAhLbMo1GJ6PTJq8A51dYfdKZLLgOB1BjXlF naZZBIBMVnUdVJ8DfaPZ3Zo3ERMzofqw5YEGBRkc/Oe0JkBTTpITRapQQKZ7gwcx5klnWbfkPsKI ZBHIoNW7izl6XIPHUA4Ap6QU91vo4l1sXPqpxXWTbbroAdlEeoJ3Qo86zkDbOKDvYDUYN+TMrvlP 1CWILdG3ZlfJTCNvup6l3SLdPcl8QXuPFG3GorZQZkO0Xl/G8x1Hvlym+Yt+3kBDVjtZwLwolztJ hHRYhFwo/3AUdL5P/f82vCQG1bQDdPGryTeH2Oxe9nH3zZOlcacHt0k74+lMcYkMCj4q7SeVwA6G m5pozS2iwRomPXe1+nY/F8SpC9qhRosx/U3+cEsJMmx2HaFqGMeNMkAbayruvnuye7BgZqkLZn5a 3JWq5L/h1igqwnnE0q009KwMS8uM9WvLIl9GhGMRzBOC4T0Jbi9yRu6mxAO9Q0GWBGiiKzKxPeLV 1jmDFYvFdEicqryb6cYCvj8n2AB2v9CWHnm7FtOoEXBMz1PRsu1pqRJnk0RKzy80CHR8jydaEyK/ KbE855fWf2Qdaae+mIa00FWAW8B33ZkC84wLNU3KWDvm57TNEzXU3kXXXNEOPfTFG0dM4no40g+6 S27WQ9XHxxqta3cqvdx4NwnsqJE44Djiq5kOFjfYpWnbkiCqWv48Ys1Wozfo1CnhhHm9K3R47ydy DwQ1A7597gmnfN4cqq+Sm6+0yhgwQYtSZPCNp5XhZMKS5rQ0rcyZwpsfveGtXFLTKqeYL5sd8jb3 qQTIKGwyg5iNa+mk4EQriPeLhdjEU51YaLZHhOvSa0LqqKlbSvvWj8R7d/J1JD8g3JDtlStJM4Ay TmFKdbHjkmLHhyjpIZ2gKU49iirjhLHxoyYynQ6FmM6HjVHrz/MInAcSP+GBRkVTBb/BcyLLGecc UWTRTCnjnH5jPU9AF8uKu4NJa8xKqXYP0upEM9jsoKorgqdcZgxt0UyX/Jp6pYtu0eDKIbMtri7j ElHYSwdgLiWq7sCNFNsXg+vht3cKl86QGkkbe/TxEjzyIrGk+f6CrqG2QMri3MxKgS7vjkH7rBJn ZwzIu9GaO2EmvkbXiDaxXeHUQq06NdxTWuOKWmaXj8n6FCVhL3CNbR3SUp3S0ogV/YxfSE5CQ38X pJv42LXGIjIcRHUHtIfXQvEW8UE1Fltq4Jhd8JcwlSTNfs7JNa1YyGT7LU0+u6D5pD8xEBPRjaxQ PugSEM4dMtz2IoWZpCM7L8tC3U4PhP3ZtbF+tffoz031+nKoNx0SRU+resyf9HJdM9N1gmralKZB nt3z5WQSqpN1I3zTvno+gNKGMxf5Rvw375mwwAmOz0AvVyy/JMTTk4EB4ztUWxFrKB3w1ZdlZtLs fX8f7crAZWugvLv7QFcTthrPDO8A67oOiSgni9HVZr0WdOj3upGwC4FxrUAGM1s2jLXXg9xUlnwi fgRKh6t/vLSHKzvVVAThbxdOwNReZjETytY1qFkCMKVFY76c5LJx9z/R6ytV5VoA6RnkOg5lm+pN m8S4hUxwt/L2EfXWR+nHfmSueBcMeRExR7MIla237dOpWYX/SlB01yI+qVTXCi/xk345Gn8xPc0m KVs7CifYo2xtbpo4UlBExcHHToY8fbyQRH6TJfKOLqwYfccx7Y8FEuI1QFklklkxAd6mZ0UG6wUc 1tqHK1ZU2g3uf+Bx7T5ADkZiDaiJgeUIq/ytRG80LdJ7GnSdxYlxSqPMFSB54sHZza0au9BNHY94 6GsJxAR4MPWlJSllEZaopyK/6ZQYO/5iZQk0ytfgwMF5onGMzhJ2+o3UrBXFootSbxdLflB6drZQ frNSHLj7hOmyp6iofhiKPGtTdWid7n8n3EeLvFl26kuPX5VDS3Q6CThem0mzFtoRu6F4H3DZu/vi nwqfAbjVz6wa+CZXbEJNPxTcDWtmqpBcAcSerLhaQbwH+UvSE090jsXutGO4b/byQ/n5EprhI0Dj DCm9/Dezfyz49ynr7aB/1j9t8q9pv4XIkaYM0JWAeiEhlUIDaRHX4vWtTPQokeHXPcGz9NBkYkCt 9UePV1Y9fwXE64t8h1DW1tx1N+tJZ76XFzj43cygWWqYIN/GQcF8zeC1wiA/Stm6e60QetL//Pdn KkMYHf4iX68IenNTpkv+GxLNTHqp7AsBLYtBYRVJQNbZFvmos4cQPsg6VyZBZ8VzIxmONO7lRc/O 4XjH1sTtuogs+eRE64xU3PbzE4IEP0NqBGvrPuO5nrpNFzYs5MvIQvcJ+2vF9apOtOag853p9Pd3 4NGbBbPKro28x4+fdd1SJEgIhqfXSsrFUHpoD+tXq0UtllUp0tGd/JWRq+5ZPmZa7+Bra2aHu5nK 1bYiqpuP0ZIKIraX7Ddqj30IBWb0pI0Sny0BybMAIp3+3Qme4xbePPRPwZJVSW6u8PGZXjmoILzv v5U+KoZADxAYhI1eT/pZ0heVhlJL02cmQXT2YoVyB7CjRsgLi5YklgAYcskb4b/pomepsuDzIh2i CbWrzzVNrekBlUOu7vQme3BEtHabn2Mb3i/R7Y/Fvu1cUny2H8MwYb06RFrk2dZVC7cR8cr5VFfY 8uhYaWsBG7sFG67GyRjpuIK4j+6jfSrBP67TpIjnh6wguwClvMLdsrXV6jzCm2ay8+EigSvJcnhm NJyV11C1I94nTy8oVWD2aHCebHNXYhuWwvZMhmSXPQB7VuKgSBI/XyropsyG1v6W6qnftwnoJTzr i6roXZIpPDWBuvhCs2F1FRxO+nRY0vfv2gsO0m+ZPjgz6jb7MXfd1paS/GnipKpUfq4rBe+pMzYq fYMvi0sJDkLQlMGk1t/RS68AWLS1+5ZWVsYlfPekOr7xeVdV2Vmc581fUo+rwuvV4DsZAeVBnP7B buV4To2mCjNqiWjurTlol8mwqG6lZToqFjpHVGLMIeBUbuN1lX215uZg7nUMlh7EdS9s19Em1UbX ET4of8qfbjPUSpLPbINZ1dYDvSP6hW0jSkpNQwtcxlJUFv1uhmiGqRY1eYf9j+YV2gcMTN9Z4luu Nof1wqjSEredD8hzlt7CaRYQggTxqJyr+vEkjOsgW3a5AYBFk28ZttcNmNACCcHrpD2LGQ128jQg e8hmX6iXRN+LkeY2Btt/wSmIYm4SNulnT6Hq9GLUDsF9yiN810LYHl1GGRuoVp8GUr5Fti3zKerJ he+Ew3FgU/xEP5RmUN8tiLBJuQOs/yF4fFS689SovWNC2B1cojCjOZNQ0OUZKCVsooGiGwsYPFgJ xz7rIiSfqRXZNQ49UxVYEqBI5jJuZVKukrtQtHyYtCzvq/tLpN1WuEjDlk0tI9UGc8eDhhtqLgyx 2MjG1pdRbhAv82E2F/CW1O1OB3lr4fiuiM4y1O5SiCgybC7MZRbpEL3awggDRqiaydE3RFnDLXPh QHLYwLHUimrTEFgoVgdyMRhLK0VSxCLNeESa37pa+MkHuPjdbJWKtsvfumPnoX8WoLEMxGUdMRez TGPykmNXhAeX4S9i/JKtIxapKYMtN03K8+Fa/zpwOUpU2zp3HrucOnv5ioDTo1A3RnqwbCG4Dgyl 3kyFyWQLHH92uKow5DYmkSZhxnX+oOZHAT0+QIHxOBj0kY/BuXh0puUBPFx5w5HcRiRvMtoO4WwM 1E+cxJtn3/Rt0/4ypmehrOi+rdc2A8NQBXP+4RhhXW+GnV81Yw6E6zKcQlijOcXicOSgPV2gmm2D JgNWpHsugCroYxPqUyIvklpEAYwgKycr19O5H9aCzFs8hiAigKwa6pV67vsHuVNenKR/NFFXncM9 S/xrtHiR0PhFXv3ZJfWZh0kMKuCP/jghR6UujkHvSnB2/+o8VNCklmsAYEzaPZmXAc+RpU412dHd 8vw8b0prsq9yVp1owfGg1J1CDndnIxV0cJX1cTzYQ6+wid3yfa9jmDxmEJp2U8EwSirb7+Ct5sFF NYYLhrCEnHb7NDwYrPaJXKlh6Jplbbh/0yfxVzpQj8lqL3lQhkBSNaXHx1x5LwIUYi5k6k71z9cm Ur4apxFAMcVqBjN1mSpaVHod3FEvuWnfKktxzajq5UQWkNm02yhGfp4pGDvTG/9yOyRQ27dfltyA U9FYYyV+xBu1mNqYgrtxK1BwgoO+9YpHXM1QvveDVPDPDIbHkLFaF4QCveszgOj5h0g0tqiBdctb fOgt1B3NqVvK+z34h5C0b1YJj0ohOGG0R5TdUsG7gHMAwGxMmQTrhc2bytQKKrWIdHmjmdjqYy73 8t7Mk2vfuieQ29+1BfDKZyf4Iqn+DLVdhf07bP9ijgdQMbQoqA9P4aAdk+/3eieQEpbfj6YOYHXa SU2gI4KzD/3nuplkxsQtKSGtsAscaqz52TvNXl+h290s6RpS3AbPXMVqzxjfnBWta1UliGpFVB9W SHtivoVbp5J8NXhNS9ppqUPZnfz6RkKgBx9IXeXLtt5NjhE/FNFR6+ANt4iz83lYpVyDXOBEG/LY 5SBY59MPr+gSWhWjDjkRVZ5qHxprWzNk8SBxHAbQXb3NhVs0DOqlSD+7gnSLt/uBikkVHpoA2oz2 atKXFR6rPk0iskHUrthH+HziqbrsQGkNCLi7kYVU2FSfuQTSwxhIFqDkAKXgoGhe/PML9ahbkxJb ux8j9aYDEmiqqGt/RRtYiLJwNT0pWq5ngoyVtyHlHlekeWgZD7S7gXAiPMq2BptHqTSNKJGKLcuF 4mMGtbod/SgrNlrI1NgUjK/CPtT0zI47XaI2jPaWbuoQ8wbauVzzCnbfbRfmKZlGJEqh1bJA5RA8 JeCjf3j/TTkRP5u0s0XaG7FVBsEk4/uQ71kEQj0KkYqdxjUURNGJgVTr4lSsmPJYmyjDn5i92cRk vT2JCqDDhZkge7cwXLb8YQ8QFc+23iy57oo96N46AIkvneBbGEB1OGiro0oWMGPcoCssTPAPAuyf 7KJJUtheYQyUlSOFlUfH9SNLUaGTzwBTi5637g6QOPqwGPcWFFwrO26eyOUvP6RXIPoHrRkXODKB /3A5RpEnnRL4AcfHzNuPscdQjOIl4QRHkBmNWEFiwJnRo/qFBleHSp2vl3B18douvje3XsFEZ5cA JDvd9TJKdUiq3zP4r2WoWS3Uo0t5FmjgZTU0CIS9gL4z1atzH6VsbowtCr9urq6hBDK17jd4+GmT atPqP6xMQgRjaShm/2R832gMlNLB3CmoYFcODr7zWl8f0ugSp69Y0xH479ZSXj2GUr5wzm42EH9z HsPHD+v28k5xbtwmKhRWTqqbmRq1/bMR5CXFwjT0XsK46rvLb1sFXSN+Fv+z9DpElMJ8PRmsqgq/ AqCzM6uKmMPYeOF/cmkkFFPQ4s5C254nAOBASsag9gYoCkPdqqcaE5Y9G5fNyF6W/ipWOCtC0MTC qzDGApXfHyzLIQQh04M2GNF2hBKBYtxvdFM9FIioqFeC8Ne3xGSY6GRuPv8mTxVmCXdAAad26EF7 Mt2tDbr2Ag/Ond2hgrPjSVO6rK/3roDS8jd3ZnVyfaMIcF6dtNtoILt0fumaaLUqJoylLCOBn/9c YnRY0GwA1Nc2TS9eZqEmRVqqsCHAn+DTZ+qctbk01JgIxWlhUTtFOQ3I6CGJRf95txaZsUgHLaUf FHQ8++wY+RBA6bEVqFeg15KQJ/WdPPysd+bW3XxW9qBMkQQPScWfItweeSOrxyTBeX0kRiUTyd67 VZL4YL8izAHz/B9qaxsX0Sv3AMYxQyJdPNARnjsic/8Qli4il5unAWCDalLu4WpJ80beS2OgK2Ay JoiuMSodZNVnDMSOKzJ9bjbGXfIsItF7CeeMg+KJCn4b0PB/wFfb/Asdp3Ovv0GL+8rj4wgD4cwL q6v2+gPihbfhjYgGGKbqV2ksRoMeBv/Fh0vWSTGQq5WyNZ9b7/LjX/qGc4VwgzMhf1Mngcd9tDpc kMU6PAer0JplBVzit9Ko/mvbl/iFXopgErXU/KndWNdTLI4h3QUaPCnQhyUZZcwWq3n9LviO8ovq 8N0LxkQkKjDl5sBPiBUDtdacUygiPogwqia0Fbpm47npBxG/gO4XqFR105n8QHlUrLXOph5BtVUt paYZARDwOMj2ksvL/tAmhM7yUtcXOdZkd2BOzRKxsq7tTb3lfgrGUWuyBzfQgoCFDMC8HpwiKLD+ 19PGpzIYJKZx3+RB3N7TJRVqlR5CwZ5ZtZTYZob7+FDXgoDH1EQg+Tw/0RWv616NGx7GEe1Pvm6v CBPlKIm0A+4DuJCVic6pojfZFlkaZFxmnHzGbJR9Aoo9LYSEAczUdMujz5zSW/XES8bhKmHTJ3Ua FVmWo25XjGjybzttiT7Cft3KaG2KGb+gYG88Hi+ABMBk3lw+jjHmX6Y+8AIIk2sZItl4KTxnF7wc wmUE1nvFQG50Nv+WrsgQIcLPP7J2oNUHAduaWLdc5Y8YeABBYLtH8D5Ro+Trb+aKA18sVA15F7tB X5vSkifvf7BeAM5aZbGz4SUFNXG7J/O7QotRZcH8uwobhI8iBRn3GKkGrBiE2W6dIDl5dah4V5ww 4HGaPdaV7PyNL3awtqzxNLQP3LMSUgbRviuVSJoOdZHmTmuH4Vv1Q0XPkpfFQJtQ2X119X60IPqI QDUUdMZPWIqbZOxrqmySqYGe0lqgWr3YdRsQSWeXh+q59TPe//RW8XkhjqtPP12GjkOSNeMGedvO hU+7OWS3WSDxEjKH2Kt+/9up4O/Mrw6LpF/MgxvZzo/TuvoJDK/6TR2B2Zixf4BAdTc5xwbiaG2h VN3e729o/znqAzqOm5mc8oQ6tvEfUSHxYKfwQXN8aCCJQMl1rk0DVgvUjfTcAD7r2mdP87tUOQyH aieDpEK7XD5WZZTqDKsWsM+bYLbz7C7Z0AI+X7M8i0tFcxMb5k6CBDX2IvyZjlAfwhn1ntB/Nl2n qNIoE+rCLSJQK1ynb5L7t5qAL1k7Us2ZoCUJr9RID5mEV1Aayw5mGOMlVJx2bQXD72fwyw0Qlyv+ LyF8bysU59R3MKE9kHCQjJUZ0NUhZ/5YVd/SGgVleQAZ0AaFMPSmaFttiyclYzgPwt8RuYGXlOlA c0Q/TaFBGbqjdE02RuJ/YNpVdMoRo92oBszgLYa6724AW95xf7BkdWeET5+nMf+eIhrgpGFtJY0y rE4gZW4c/N/rj+8FgHWgTJxrMa48o3M6tNJM/XO0pp9/FvzNwFtdzpSEM3EpNkcrox6Sz8BOEPzl taJEiJJZ5nHx49pIi9yj/MjndahZV8k+rdd8UhO9DdOJglZ5T8yZO4W5qj2Bu1PFxpmaDCka71Rf 5q7bAa1/Bk/tKgHuh+T7V3kF1ta05WmBOiXaLetWtBQ4MPgOD9FrG+oqyC44r6JKQElDn5guRkdc aoJIl7i3X7Efj+BPMCHolpk+snp/cbBcMVVe2ekfEJpL8Jl2tMaBCYcRuQcnOFZgCkyb8Xx5FW75 IcUTBbBkerfdbZ0dFrAkqLj68+Yj7uHg5ICxYua9+CXFzK6lJWeUWRaipxs41BURHeq1ghhlPDMl zKmgy66IfetayS2htX1cmrFdQNvXwooKkskRjUFbT/l925LQGWTCQWGhQQk8z73CmVn/qYG7gV32 7QS/ZlP2yoFM45Rcdwl80UEtr7xG3U9KT0T8Z/lbyn0SdjhErbhYJJVp24qL1xLPg29gG/YgB74y +q7dBzBsAH4RyyfIblfnzQ2mIgfMmEIBV/ESru9i2mHHkIoImyupt/uw6I/+Z7zINp7SWLL++Lup Ipquuk22e1JAm6RjyeDsuGWS63MmxdPCb+GcVl9UwQeTC2bhXZCLKhpXXUDZP0h9ORthMXul0XCR vvRNu9BFlEZzf6f26xLvYGAuxuBhpoZV8+p1VDuBNzjLqOg0Addl92UqXmnpX5nWaKYk42LoSqsb IYZ6i28kR6SThVfQHZGbPBzgg9D17PcY5L3C5MCNqTWRXL/HWJDhI5LheysWjCMGvY6LHHsmDFUe mfu9q5TqfpAr1CT3yl9UaLcQcFCVXigdPAiBVYC/rD++odT1BQMH+XJou/Lf/xYJ0WqRSuhy/fMn zLlvBZsTnTj/jB+joIoaEQoyEGbDiUTWxnsm1POoxYxF9hmwmqCl/clnGSEzg0NySpZ2QfiSOti9 HWEEim7YhJHZJT06VxaXGS/+ZBtCjVjVRy0jjnCqO1jVoWcAnHAz1h5hPPb5KmCNJHenrFNGRggi 4FOgae5nTpAIjq3IENY4srSBkdOfRs7Vzfh+KiZvEDDf6DxVeSt5xZSh1BnivTV691NXDcoPbaBZ OFCiX6nReLwBC1e1aK+85iLzdhtfmA4f+UJO66F1Ci1Rale9nI02kqQeypBcZb46C25PNiu6DpHk 3HxT+a7AbMgQrNuqTev1a8tkMtnf+/38bVBurxyuvvR5fWs1j+3AFPWCFxQeXGYJHBtCm+hlYnPw czC408wQlboQ6DOetQ/VOE8R4J3xtEDucfnbQ+cRXhPJ0IpqWQes/3W4DCy44KpFrt4wvQuErwdm ETxRzdoROs4mymI6Oxis2+Yngk14sZBHj6TQqWD5kl1eUBKPyH2+yu5yumUNk6PV/dWdAMdGu24y Py4bM/XDZUyBFloSUQCc+4WXgmCEsnX3ir6mTmqks+/7B5RJT8urUjRUd+0qSXWiCN8Kas/Afy5y uVqkJFqT3bc/Tt+K1fjQLQrUzRDu8abFj+08StgkauEpax1g1JuNPZd3XzmiQbDVtK5CXBxrSkWn 1ck6NVw13QFjPH204jCksfeseOVzYziwOv4rl5JaZxmdvLvnGi8brCEAPYi5A9CaVW65rGvlfXaF D9jfTOioe89I8BbkAApC2hU6WvU87mrTCAx+MKl/kZ5W9kjeKLqvmVMxWSVJpN0g5DJu5Gur+Hn1 8MNcdp+y57QTRlCreNIAjNfbjxUukFSnhP+D7yZ8MuR62H6RdGsQklaSJ3s6U052rACkEi+cpUDF uqopZagicyCfGWLIihqNuWDrQ3L9YWS3Ut/Ze5jxYtuLoUfzc81d0XYxaVZIQZvYlp/Gzu3PzDyu mwNup8limmgF8bWP+0bRh604L8VGV12TkyoHscdl61UkutRm4kQuVsZ29WDvYzZ2kZwlYkfK7OuP OsP9N0dZxSaPUrMK5mvasaxebsa5TeMl+6KFQaZmkVUt8zQiMs0GEt6vI1JFoc2peJkz3IhnEoU4 GnCeJS4oULbMeiZYaroU5x8Xk3+fPP/jOXUxfIgPqUYX76BmYIobhcZbkm1GucknmeTvpfrGiwXg 7O4LgStwflkCq03v5tP+UMS3VQNt8dsEbHj2F9i6kL7EIF3f8J/rApCR85KfzeZxUU3zX0Wg7Wmv DboPOyGAocydTnV0WHZhicO9RP9xJ5EUzOa7p1GNzBY11Loe4gN5fJBUwKMmDbvjRGnGiusGf3Fe BY2op3LzH07JatfZALznkGc9Dyc6FFxBXsRWG6d2AHGuAA2n62r1BFKc/hlk+Iqtfl/22g3xF+pj 03YOx/cxjHAOJdlhuc6ATY1v2ZZZ0gLlDhhy8pMD7aok39qNkVXLaeZIuYGK6QhRML1w2bF7wUnO h/Z8XqfNTIypwh6hVWQAzzuNvdvy7+H35cKb/RYBdI2QHSNcdEkJk4QT54VnLvoKhf0+/cmyFSYy 4ER6KQV6x2kFtwHyL3jlIL31uwfSl58KvQL3Hq7eabQB5Z23MML7xACWgqKocfOmkdvVZ3aJiuHB JiABp0rIH42R653HgaN8DuUQCHfOwzZRRaxkI6ZvDbRIne65cn4MfVwuQulzffu+92HmtVlENFJ5 Ghu6WIcyXowFsSZUsXyb79UNetyX3+wD+jstbVnwFXLWLddLkp5KjZH2RZif1oE+qztSv+4/NMDy GBfAVNUxKfvP1njVd6Qsr5WjVdxvXdX90OqmD8GnRBKd5QzfoD+GnJ2dMnzPEnaOQVCW3tEB6vwM bw9fxnGpfPY9VMagC65Bt23+wYNk9OtY154qgijvq16go9l/1Saeg9tdikjiRezo+q6hSMaj8/HD J5sxo3z0CKiFYOIM8+FlCQYT2Nd4CKDfMU8ypgXLqSNoAcM22kJ2MSmivV+77pmJXM165/+XCrv/ Z/JRmk0Y8ib705L8KRIAaN3QInJOxvd+ceBcxTUp/0hwoaBEWYAaKJv5qRY93O14slQFAFeA/Kc5 hs84sqao0hWXAJOn/9WSEmVCZ/WHEC9qJ0c5DMF32Ar2GKKFVrHG5Sq9DyjO7QAfTxJzYTzm6DJo meG7TGuM4SZ0NZBxtUX9k+3huiAqu0q3GHpCVd7CRcVnfYJweVirhjCCIMjFIW27hrk9ImEzGUSW 3rlpbnKqwLt+VJEa8K9YfHFFMYvja/k41Nn1aljP8245JqVeyZUzWMbwJ7k6BGoP8U2nq3BOYMKr gEO4UTp+iRY13orqUtCawLfZwsQMzXC+gPu2fi6yQXKeD5PmWgUFhhKbBBVGqpyMjswg4gWoZABP 55xaX4ul7wexXPN9IfmWT4U1FJFnupHkjrNCx3tHwf3daK+sHwxQVixByhJjPqs17bSxw74FTCkO gdG4S+yhMLHfvPYX4RTSJ68aPqPIeJ9XBjTbV2IhKNkoItjBnUSj7Ulr606hzYBhg8aYV0WWqgYq lrhsLxp3QTCnKj/XxroYU5pBVC/s+mMviNOxQz0ZNkvaAo4mj9m1IKk/Tjf0O7u5aiTHvCbU6hmh PHK/LmlZun3dMlHZAY7wwRk4M9DnZFi51kvL2dbhSkuLr1oXxqoRBMHTDaA0l/xrgnTKWxA4Kzl2 9cCYhVFoOCKN6FVGM/Qa4Vs3lgZPZeZneUx+M4AHT70l71eMkkZetu/PF1ZqjAhIxsEE1WTPPYaJ G5t9mDIHGDaxOIxafQPzEf6LdnwKSq9xqrTmwO1KEd8bJP8jB1m342pE5WP3Hpc+Gu0GO+Dpwbyl QkVfSUyw+8RvMhULKcwpk8Z2S13zbS30RwtzQVZL751p3chDembNOForMPypqIrzESDR7zCcums4 +laq/sulUlHAWJmP6YVRGkttpTNHO2XiCudmflt6UOUPXt9SknqriXEwtCxmNh+n1+MY6+cWaNA1 VGGJzjpPr90nS0dzhKxfdxTLO1XfWNLlfBetB3V49ajxaT7RCX3MLYPOEgJABl1hfvrDb4Y+r18x g/ecksvF5Zx/tk30XV4lfZGsX/iRVMd8/iztKtisAtRQuKxseNKi1775lJ6PbyXpY3CEhgHFCojN +gjvQtAqDtdZUcsmIs2UHRZqT/PgLZRjWVUGs/czITpZqohBeABfsXztwSnSq1rwayfPH2cU0Lkd NG979ji6zuVzjiKBfxXbqM6zup8RsR6BgMbe6hALkFxRc01ZtqZfBWUqFbSAYgD7TfQUAIK4ovdj otuu8/3agkPSPgtQ8ZzIo7K6tKCy5zHsX046/4ETbKO4XTbCnvZFWV7FfGjZbldAuorxCnsJT7sZ r5kRgYGF/BZe0DYgX5tjP+KMTXhkB15Vk2SzG4cPASYn4nODn9qiuBW0ZrS9gkImUIpiQ1WOyaTV R/KWRy4RFnfUQYSGyMYars7q6IJBz6f1X9MFdA/EcJXmH6lreYlOAks5gh/D9z0PXBzrN/qIZsBd 6TU0Ehm9CSmZdDD3rJ1G1fITJSHpSeM3hwOUnAGofgaRPPuKIzW9hWLkIIam9wIoIvu5ZgPK+VPf LrtZMlB4PLSJCpHJP033+vT4XJ6XIVQxQym6L+CRw8bGdrWRe1bf6z4S4lBWMI9TsnxXx1zFYsOc 3mgx6sEq8DOamtRDV6OdE537IdmJoUqSlTpr6/77W2r+5moFnuTy6wxWpiPTyO19cZCMKdK6n5Sg tXCLgzDiUpJ/fFWqgPqcJ+CXLnkcNy+dsSxUASmhmG9emY2/g+9ykffrRRJV2sCPD1+IuEBDFAtM zFPQ5QH6k4VkoEvzGyydLG4+lH2t8F9nXbAIabWiITb0H1N8Qj5yb2Y0uHIVmDUHTW91T9I10jGR e9/PiwJ3UZMEcyJgMCTb5FARf5a5MAhqHpvzRk9t/tdxj8swPJu25gqjmrT4j/EHoITf4TLN0Rdf ieqXIt/I9+BvwfCgDhcubDJDi6NLy/VV7Qnm+rOT8vVBnUmJ20Fti/LtxI6uojfnAG3nq348gLTD H5WIVuAah4iLWPoRsXsh8ZqGppsdZMkS64zIJaowTJJOjjWdMfzLSryfE5oyZD/W735ORvfNIkyu 4BqVAa9UM0Ai5l1XMPnrgdYzw2KLu3i95cWWgj2fUE8EpdoULmetu9BWqHu7fu1r0K0H8YvTCTI1 BPbxxdc9JWLcoOhqL/iX5hN0E5KyexeUMeb7+6ZH5i++HuKsH+BfUmbvaogldJqVLS4yncpW2FMo 3qjlEYhdV8ujrNgIRPqjWiOjsdQVEkjBZDlU5vJ3jjIuFqCKX1GM6UZuWFafAzpPiSTvRIPR2KOC EUG5SRtOrznn0IlrSpyPNZz5AGIP/IFLCap41Xa/XMnEHregHo7y6f4t6cYC7rBNi/jWTCPwYspp Lw1yqXH6D+WWHdC2AixSf9F2A0/npGCVNR1+/ZnhZfoSNbjPJifWV6gseyakU+lwcLXG6F+GEUKX NrscR02RXG0O2RMucm+VtiJiofspeG9EKIgEk7b5V6HPNQ9sLVb7nKUvWhUakBhhOYAWhejEwp9T Psb+3sBBWhh+pMsgn6svbO7g14jz8dYaS7YT4HuAm+1WdQgEBm6xl8VViOGywmoLeW7fsdT8/xos cPY4CEmOt9QQZecp5G2l/J6I6vbVmxDSiDXfr2tSfDARiMcM1MQyLzMIvRs0cc9wa4h3PBgyrxLq VqR84wiUyIuWOagd09zMnzKgn7pmV2VB/Kx5ey0j9lkmNXZsRGQ98BN01CJZG96o35SKrI0GMqJx OzryAUmTnUC6RdMsBO3rgDU5/CBTFp+7QQgfYdbWVX7AHDESS+NLL4YTkOD5+mTHiRFfDvh8S9kV eZH8YoUsj3M9sIYeUsxfHiiBOd2VeJnKWC3JKLRdDF3Cogv+Cw8TxL3iwwc0P0x3NoE60oOVLkce 0cf77WaRCHhmBrFrM5HcX1X4+5kGOyBEZZ8nfE0OIYkUW8F7NEzv27MTUZwiqYj42Sa43gtp49WB oV08Y5GPnIkC34OY9pwoMsqgQ4gz34q23FEO2rLHN9cSE39Jwdcc9s1/WGFu7itHmTRX8TVLhmHZ 5W/1FI4YTjUOeUdp/qq9obCdVEznkmAGCQ4f3rsA16E8ufB/FUaeS/Hg6llfCsGULUe0sEKzNAGN Or+ePCAHEQOclw0aIMLup/md0fDCaHs5+o5LB1ZTxgMbxHk0jHyNGhSfkTEcWpuwqTi8vbtN6CZ+ tlTTnPM1p7V71LqWUf2f8SMr3/WVs4ZWEt/UxgBBy5UIgChx2lUGvzEQGt8UQx4Tdmz/chhyv0TY 4YHSL4hX9dJ/EAi9Nxktn86+lgLeuGcvaDftVQQwdEIiPDqxVEII203q6WJ+H+T4KBirkxnX61A+ KZZEdITArpXvhlM/1F7xj2B46vMuStqzzHwUKx9ONZidTc3Gb+dfZdAvZoLoUKKakO4ZcdJSZ3Ec jE8R8n5s2HnPr0syGEVNOwzs1E6r4mFdiKpCDctjWoLsNFEBt2eNvLXskmWQ8D6W9eC+jB2ARp7f aoZ3RuKx2dlhKqwktIIR5+4WosrMBroWMNOsHgnXu6FyXddb+8lTt+sKd39D9wrzn3jzml3ZZbCX KxTuiRSTUjlxashbpm8nDOsNhbtFYqFlJolRQZAMkw5y2POfjAaExNPYLufuu1h3AaF4U+d60iaD Zlkel4TmcpD1bMSc8Ms7YnSercw+bA4nLYw8m4Iuzjm9n2cnyvb+Hl97CL/1bz7w5Eq0hHvXqNTa IOwO1XXiDySGggLOn5tOvUeiamJ40ySAEnXTJ49odXjb11fYq72gzFfuIRG7eSiX64Z7E2Zn/uwf aK/MuQR6ktn9lnkMSady7f9NzQr2t/0LNS7Mh1/Q6vV7g8aisFV++wLcdcBJN8hVXXQmgXZzAI/H ys+UEFWZvjosiu4fCNIGUBm4QSMlwzNLjFdhxAwcmkB60jKJ1S14wN+uNHU+I+M7ouquH+c6+lXp TeiGEIDg+vPMxByHojzepdsdTd9oGsG3mm5RlwbydgQE2tgZmrSxUxpXEOXmQDdURnbiexRla4UC 1ZJi/uZ6Y51/sZhvjo3KwAezzmATwcm5rn3fJJXx34V4RZ4sbSsosfwZNuIgJSdidVUpgSU5Y9P7 bTGwV8YEMNlYr/rRqxcIgfTxjwkH84+nCc+1itT/FpJLYRDfv8bu+Su2+WhmYlY/x1vK69AUJyBd 2RHinDjB4pLYGWMO7eBWvhMngbW8p5B6QyTcVhGiVxa9AXi4fu8TCbGTZX5i2D1o/tnLwhHQH2Ll ebKDM297elL1xYiKs8ijfJ2gVv23NzK/5QbzsFa3oXouAdceLXh0x0iIzANa5NqqDbPok4cfZW17 zbKp/xrBelBU2Btm2DJUwXr5jxQ4LJ74bX/mLyAH1HdwXNVRdO6O4xOHGd7+j8wSt12yg9k2TeZH Lgcb6ZAtX9PaqtJuf4aYEnrvToWzETAHh8l13Qp++rochAImkXwssTRHY78eyMRHV4PZWEE5xM82 oG4MZ4oI1IfWXRPdbhNWHGbqhOoPeeJkccJS52TZccLsZDusDB1jq3b2PzAiGgb0CJ6bgQm8Ipyi m7pAew2Dc37kS3Ru23uZa3+uTnP5F3CnOsG0QgSIIcXZzmYPCQtRkPjczncolH6fUikCIyWNDaVj vVVGl3IbFTIGdJrBWW5uoclg6vkMHzydLftED0WeIlspU+i+L6gG9n04jTef8tj3hWDGClCMDRoj C3cQvb604bjSPs/1F75LDeW79QS5OAytI3KFOWBv6TqjyHYxOrSDWxTMJ1BhJ1TK4TpHJCaO6+xs AdDEqUUUdrCaSxHyt3/SB3IS+EjNBjtk/nEx4T8pm0DRxTQz3uFjsTe6eVvf1o/PD5mKkqCZGouL 2SAKzKsvw7NZbGWV45oDJvDAjz7BUDNqKbrTcjE/KhvxlUVW122qERXao0aGii03Zu33NQqEpsjQ BQTjDRwYgh/wDLt8LBGmNqTMiDanZsrYGQ7NRmRHfNIUioCTp/RN2O1vPA7ER8oYb1NbELof3vS+ xed2sPB9mPbfdyzjhcvqqvO/3i5MrtK1u5fKelYn0nfJ4UDYlzxvQm4VRSqN4HG/1Trxs1dOAF6p rkTkxFphVnVtNFyxOu5+FoTQmX1PhmjDt/fWyRc4Y8RjrDeJYmTHWTHbnxYmy3Xm+JvNUOwW/W3P xv/5uss23mU9nWlw6ez00/M12mFi3qUheYGs7T47oQt3QtwkqjS/H3I57uXRzOJuM3jPF5UP5v61 ONQkpA7RZh6JU6dcAOb2aE9riabj1xZuqEonqnpre8BknmHebHW41Bma5pPCvYh/FnEW9nQ2XQf7 DmZ/MU93VnoRmVW2VLDRS8pbxnAozmRSO0qTko6dKKYJ0db2DPFk1Hm1Q+qIzgO7+HjZIWatrngt tA9/Ipc152449BEKGbdutQz91L1JLmYoJUOz4EuNFcqOBSXUCp3OCbzHHK8O94OzliubbkvumpyD Io/brxsOhnmJLjGNYi4DpikMwRFMNofGjuY0zpqM6XRrtsEI/eA9Lf67y6zOjDroAoXxZLyvI4Vg s/gA/BSLgYUBMsUSSiACh5Qq8y0Rx5vyRNX7vyX2ELEQEwEzbQVHYwq2UzHCnXTLdgZGcSCd7X6a fL87F8albrMCMEsd9az6aZh4QAOF958BDKCSr5InDlawCP8bZ/YxWtqZ/1eXNUBMilNcq6/+J+4L rrR4rUbg3Aep0LihzGiAeZ0ynWDlw4FyPulxrh5NKKSQjN26BW8he4UJqeF41MI/KAoECVSJikkJ Fuqzu8UQ/tMbD7NYC+ozZXimGTdPKsS1qUdFVVPLrR2Am86y2nuVZ4oCGNBQaX423MKQJokyX6Pp 4GcM7uwII+hNXd9OlToI8UsSgJRmMssfUo0dQAW/QMenxnGRufQGuD0siCKcvxmNNA7W9LFkjZ9j cjSOMmm9OkbFZkW8rXVhf0XHxrWb8MKyeqWAMA8yhPQMCSU5FPjHHyY4DB7JndMn3dPZcY1flIxR fLINa1zYHZXBTYCBJc2WjvFuUOGMi5Pa7ImFjTfihvoAmHcE3/pfehvcfCw9FU9PhzUpMWKZJKwV nsJul0H8bRbNCeasQmRoTR/+NMrVunocQy01OyxpFcvCgY5ysODpxsTc+JrqynboFXybpU0xaqIu y7AXxN/FJioVi+mAhUQ2mBxUjqUWHQ9AZcVzShH1XVtatO8nMfzTeBWfEqR89gY7Pu96PR2bEAgD Hd5Otefl7EdXLuda3jCs9piTP7CwYDDL3ODg4XYRhpvaLUTAVVorw5E6mFvAmEbim1pZhtb1Ld1b BgBlchxSN1Rk/ewflVsGsNHZoySidqkOZRFbdqZLf+g4SfZssRmjdYe8S6XmRgAU1ZfFKsa2ZTkd 68DPsA7inCDp+RmjpDEU9DSCyuvRI38n/ps8ufFYKTTKuxZRUXp9rh3dsei1g4fANPUZDnbksZOM aaI/LT7/A70DX/1To4d+vuZTyG168hZjoTAlNm7dD4ePGX9gwRy134oNYoYbWI2CWzZ0NADc3U9Q watM75bZ6wPnsw+cD0Gci114qBGoJvD5L9zUBQ6noqG31rEPp8HFS7LPvrH3ZTn94oxKh8T3xh3C i/jI42pSQWIL7g0JTuwQIurVgZDP56Q/aZ5NjqwrwmpJfg4YCSA+7It+NBnVbj9BwwQ7VPIaW824 8BvOD2+chJ4xbVSR3WO1SR5DhbS8rv/DiLLZ4H511RYqgDsaYztJAyANmBbQMb7ql18NAhiGeGoU hHFtJtkA0ws66omK1aVapT+IXrrXcVpDyy8Bnaj+4cuKsqErwKuct93yjHpDyzDqGlA6vDdyr70Z nthanGSN851Njlmb+BY2pqhcSELkk15hi+4GVeJ0+m09oxUqp5Q6gCuFI4bZy9VVZk9d5TzHaRUt hQjOH/XHtUKFaVuzYb7BKrltkWRGzs9EkzEvljdeE1uz5ViDDPCTTht/HVNUG4tQu/4VGdriMeRP XM58gw43qlQ35elc0ibceK5pIMBMuQ5VycNwoDKkWsbrAotb4URE+VG6QFKpY9zgpKHDytJGl77w uZl4PvlD1+EcdFUQEGVvhCB8dMQsyaOZQuL75xUhCAl1XI9sa7yP0B2Js605hSUAUa+ySm8eBKA3 ISW0Nk4b/T58mwQBMZHoEXwg4w46QRgzQyR3rlmBl0CpZOW6Zdm2FrdbHyb965o7USubxdmC/zbi PdAaf3SnFaaR2ZitKdPXCyaPI2Aa6K2Uv5EE4s2MD5Eajl+pxNUogyW4QNWjBGKogi00RuW2cOLe U9gN+C/vhB25oup5OPrDQo/UjyFCGdwu4dEk1pdXM7JhwSnDeflbhSv+EwailNPk29MKaeAi6EWk HxHw/MaJYc4eP2oZjafWAeRExJskUyzWbxfWg+bnqrrYg5G2Bs+CiEJcGoL1DHMI/UfDhta++aX4 GhA+YrRzQyKO/Y68yChOdJgPLxNYgs7i15zKQM8c58Jy9eUqUqC8nvuLhiSqnd6PjRj9j0SUgRCX woDP/SiHh9FRgrC3RM2o/cloEkn/PEVK7OeTnp6uTuh8tIkn3xllB/i0ZcYGmoa8PJCMI9BPqFr/ 1RDWDvPSndSPS1qUsH74FGtkUE5CodDCCIVQ61Y+ENyj5ahlaFRgfrsX9MXBMJ+0vCR3Cnyo00qy vYAf68kufM31Ik3nuefyY/BCXJYpIN3oRJyygW4hIpgVSyuZK0vLl8Xv02hbjNA/xdCmn2+I0hly MaMRmHGY1d6L1fpDgWY7zkdOHjcVkqGSvTlF9iE9GEhYHjJJimTzf64vpLTZ1d/ipKF/2ulrZa7W zC+Ocz8+2keCOvoYPk2BErnhgwUYUO/5McGnHVH/dcAwuuVD54BrauurC9K9ZAi6+o3+vRtSHbtr A3NRZICxe6vlK1DevuUgiGEm8pWkSMpj171atT9uY9R2I2UNmzgchzF+XCSCPephFUTVRZnICKWz 198oL2XI3JjjRiKovUyK9+u1lSOm9qXqWGkBU+klmM+GK8owQuA8cr3FoysIYAYT9dsNAujidZSp nREfzSmSvS3liGzwtlXCspzuPqsvgW+YMU4liu86tJtSo0AYNmZcBug2LX893WXchfxb7fJ3L3aO lPn+u0qAkjQg5v5SZUq1hrBSm54K1PhWEksAayB1ujorlq1MwzWMQUQ1Zt52UTvxhG930dIEFfzJ 0rr/RWQtHeW4h6yhnoXajo0IpNfa3XjaHq4zWjkAKMAPhFxBGwZHpWsiCTjWXm514fGLsxbisIlu VRaaX6reOfE3TZCiqdKwagm/FTBoQ8gW4Vwvms/ENvjQOr/UBHJKmGvE2GiepRiKMaOGvkHsJ2im QY/AyxnuhEM9nYNk1APnAPAH9cT1IAJ0m+ajBSIqy9P6iAknHYrq1jVqb0Sv5QUr6Z1DdGxVXc5h hfq/pfx5Ix2vVv4cVLhxxyC5lsH0guVZ4xl3sppToHeD8b4HEfXchXTFojUQ4s+YoAOVBFZXe48I c7TWhI+4+tHA3E74efrCS7AWQshktI3FMOtIf5cRGZ2UOmjEJIdyS5heUBWXYWDPC4J1JwsrCgOj uBDiA+5aWXKkxPi5nt4EM0o4qdG8UYIayErbuFRsseVnLW+5hw+CDAwbSQSeVM9nXcgRKk7RyrRM aPB6ecr3uhtCanaA8xOWtByWryxv7114ONdV+sEvR0isZ+8CEHQwrwO5EcMZeTrI3hu0/mUtJ7YR AXakoYsp/wHLkJis1qurh2/j4Vhtlktclvq6rO97zgYPlhS04RV0FeEU57yd30R4sz+5WCrxCaa4 zuNE+MxCGPxQZ15pNestFAPtW8NcN/jX0rYKBvMaqvfBS9BiPAm41L5qtHM7bRbQXGhxZr//dgHS t91Frvf6yiV8eNBcPJusZ46QhpYfueEflmgG/zGLjK/wEAci8TPMyH+Yz4wBT6vo71VQsqb8nMUL pbHC1T9Tz4Gog5YGRerTPlLysP/LdDAt9kc7UbT432+FKGAfUBSrrBvw/putul6PnyHsGjRNhJYK YYm2E2w8F+J24IiMeShneUOdvJ/Kj+/iFncjsdS5vCwQPDp5oQtA5rgEdVuPUAgM11X67sMitsVO NxxK+J4jmgUIAeXxsmvy44rs3DyOFOr7b0izUvDlBgthOwoUsnawOVVsEZvNyt81Wo/v//db6P0j wB+K/CxMFNGM3DHxYVWZemMLNz5vpp6XBGjZ7mWG897vji7jLXZ2JVBRDAW5rIGQAcUON7RKKdjG N4PtGda+uwT6y7Bhaz/hbqLT2R03or6seTsPCVaBBqJFdsUCpu8QaWI+vgaJ+5eNJQ9gw4H7WEWt 3B12fm/QddJnayRl3duGwqKkLH9Dv1bFK5ISBTDq/KcMuqLBsaz1GbfMm/gIhCC2pskeSbwHLq9J RchHf697dmIDDNLbU9z7dheSJH4IrqkfUiUGvv7D6GcI2jh8nSSIoe6z9ewDPWpi/+/nwaNzyk1P OX2t/d4STQjFhZtVbzpuf/dvObm/xaClDrksPhJOTcaotfLDeWZxoXdBrLyNFD4VxmGj2M2u547r Eef1rAha3Y0/sBHn/MJzKCdWSrieDkBB53JcQtXLf/as2pno1Y9KkRFDkc0e9iV/2749G9zJYdp9 oi9RqijJrf9tyfe7BIRCbaNYU5UXxM1LwbYEQ4wF6KyeYYeLrwSZY9HOK1Jz6qf1T+cA7lMVyBAs 3etQn4K8iaMuWHmFeDNhXHB1DYsiV27hreDt0PbkPtJapEm5nbHEFDQog069PyMzaolhH7pRXjZn cwbm8p6qktiNbQkzjpMidhWS+cKK8bvCj9zjYic4XXHN5LulBdfHcBSCQ6KgOldQGc4/fNMV7mXx c+4vTSI5qIQTWhCN2rgnmdqNy4r8PKl4XK5n0qeCb+yr8dGBgVOVmle1EwY0Fpv1ZNCVMnxMfrjj ceasFTa5fm0WPQXAPBz7DU4jbj7DikWbeUSI1paDu77kbKU/5k1QDFr+q14CJ+avjBRtFYTTpSTV +zV2+1CvoOssLf4FdFKbV0Lq+mqMi7ExWfMU6e6f4UcXa8APIUju2EJXOKmbph6V5WVn186YQ/aV Lmp8raKHGzUH9GvFF5NCz0Y76I/QoQb7a7D6edYmf9tf+LD5756eBsbAtoygI+KWEmdZ7BbVCZu2 B6inn8dQ+VnLil9wshX1+GixwhfaYrgvkn1fSkaBAGrruAhZVy4t7HCGyyWV2A0sKzmi1v5ilqlK /9+PnCKAFXIAJXQ20Ithrp7ILXyIBfyQGkxSNcMz/aN7K3wElz8/tKTSnzyK/uxB5nOoaXGCC1tA lW70jqPj+qsshlJpSxpbRw5Rcjm2VkmFt7tLGidE4Cs+ZuDpwmXtaAtlABCyNDhRe0pVsLiu0hUh YirInPKuD7aBHC0Mp+9KBklZxrchXHnU3ARb4/iHESFBFWUO413dlTtNwbZ7fc5PZaS+PD+wdMcg J7uDgOAQYAFkAjXp9ibL2/jmkL0kaZe+VITyF9lfllnk2Ttzct95zl1ypJPZeP/Z9VThqI0O6PaV 1RvbBQdmSKrdc4PmUO1AhxnaKmFOt1r90/Uc4vADIHw08wgNoagT0BFAUP1HCe0ZF7Jk5nNbOiah 7U9XVDm8ImHP65WuL5qSROV7TgUsX/XwacnEZIVkHiRg3Utd4no49RDbnKUNj4A57k9MjIpu2Pzw vf1D8uIt2UvQbRYhYbI4zBHbm7i//oM4JX+9m1l+dLG5FG490ULE97f1U/pfQLlUMVyg8YLAE19s g0BFY8fDVpP5vm1nSkoVJkjzFRWBWjy4ZORWqyKU7FBsO/q/5x+RXbWnY1E1cDrDY5ay3u4XpUFa qJIz1G9ZTcVONcdpDupGZnquO9ce1g/JPZBIP771X+BnndeH6YqkgDSeQiI0q6qEsYIG/qPdwiI4 ze0mv9SGEcj+OF/zMBc6bXVqZ7r3x5Rio2n1wCNTRKIzzGlPjFi6Kyr+M/IO8XQvGWROj+y5u3tP aIDiYUBh6BIuW+FxryfeW803p0Leqq2xUFsc82vUQqIjbDYd5NLWegfuQSiwmrDPRBq4LpNsBpKz hZ39byw3vH3sCSfcE1ZbHzSWZeZV7sDeVHjpuCYzjqMPcuQdzNl4YUwqwnFumuMQfEaZS5MbzbrC 7Hlu7kPuZTe3bsh96RfBclMdgvMSGaRaB2PU27D54jLuROix6eU0Ji3pWVma26prpkxEGnYizEj7 VqtSQLUnfVKp5Ps8abxfaUgWwDSH0t8f8qx3R1fColco4Q1cdCjkoph500OPCjOHufOFRK+GgmPx GA1cNAiPz+oNKqKvcA++iTBIBnP/oFm39SRXabBSH6R4Pi3sagOME4oKAeCMKZWWEC0lwJ9m4x4p wXwwzDFv/muJ6+CVr7ZXrAR9FTmAvPmwv8YgXn2WU8wsiqO0QQsAM17+I1t0wJQEHhuqn2NJwFco x5mrAgpQEYoNsHHkl34KVyQEk/k99BmajhsaTzZugO3j39z0IWFDRDm+TZs+VuKtCyu3yfVWMGji B/dN/sMqoWrADoBVBM6XpSQpRwxB/ApBvw2qFdmFEppafq8sJ9hWygWKUIVQB1VDUAFwyheQqiPU GQ2sw8oV7msLsnsZgJHme0uBWAfkSgpWSn9bTTitqFwxnlEkC6x2MNVhiT+G9gkPXGUOsTP86qgB 5kk8iL3RePwcRDzagl57vi3R2LMn42cPOUwd1sNSwPTCEQiyuxpz/Ov2rfzWpyyxc52Z6xpyWSWf REfe6Gq9fonsrlRauH81HcqolfikVX2rXvNTEgTyb7vaSqd1koEPKfDPwXodbEoU1KIDnTX3rv4f HoV5wntm4b6wOJRCS+XVf8rla2Q5IGHhQhzRViB+Vee+EbYxag2fgDCtvrNToeMY4Cu4bRAOKq88 suOU7cDuJOp4nQoMCYydxL9Zo2fWKeK2AQtKtLURnrHYVY2aCaEdWrG1xjJeoNCuigmClyZt8eru SNapHCqU4LOt3YLFVoi3lvTBnJiP03/rdFysBfeQnhaIHXrv5xY+pL7imdo4mituXnw+ads6ExC2 8U+e5HtnrI2RKwN8aEfsYf6KiBh55UC2upfZKQN6/AVj8xDJ90MJR66iG7c0EcfM7Dfd78PMGDJU t/vZvp/HZm7oMFqK9yQvOiEbwHfZZrD5SrnUpgDdnnOkhxCC+PVqJHAVMVqm8RpOIuQa+R2wAly4 8wlHXp/yRgbkatCVIb5FyV/z3r4CnykXfV2W4/DkzcAJplVHRx6GLovh+WH/IhPHo9uAfjJwO2Bj AKWnPlvttXjqkb1HFceZ39RflWQxVusQtYDjOLl0dmz3cq0IHxdbWIqZNnUfE+UrxvdRnpV456wJ tD1POSKBK4cUbqt54NR4Q7TEhKgHbkZ4XMyNQH9qEICstfyvJeoaPxvQ58YsHpYmDuT74oaGbsDD ZRg63h3dQIS88v/f7G525o0A+7hMqIOhUUBWkZPvljZnoE/eDBjnT88EiF6BYN+Iq8AcwwnLHu01 YPKyZsFsXnpDoXZ7BLapKAIjRSiZeKRb33IRvZvfQN9vDymhLSMVo0eCZSjuWB2Lt51xuov9FJ3W J3w3qeb0wVxcdWzzKCSYg5OxYEYz9YRTwV+GRS0TO2AdbJe2/kIyQXMrT/F3gWLa1d5s+CxqAf0I Ug4ZTtCJ7huTc7ICbSIGaYaaXWlnRCeB0illyexnsZg4NnMnJn09jEmeI8bI8z3N64T4vWkU3qBU nMdNUYW/k/HjsNviA9rL0y0y1sB4fEnKXuwnIs90R3jH62M5d1QevI4n5R9/WZzF+D3mNliHvZ7A JRj5A0pCUd/coG5UO3e0BXFP/fh7BeSWxsxu5TlDP2a4msoufNrPaDXSEEcPMk7N+S5dJjBR6CyX Wdb2vgaXBagZr8AthsZdWn1Zi7kZm3mUTLFJ4Ra1v0Q+RMIE25POBVaZsV9hIYcaVY378DTIEmS0 ouTThloA3jnvI5iOIjXcA6Ww/bOL3wn0NB1AyHB36EiGuYNnQbpqo0dQT4G9PXFtYNcGM0+77I/Q vLXSpos3cLLbQ0BAny6B0eYh8aNi9bHy/RWOGnnV+j+335gYQWE/2Pjtt04IqGiSgUFYgT2U61Tf gZHy7kQ/qKQf1ce0D5sNXUs0GuSjQ63l0qKJ3lEJET0rx4Qs9RnAe8yv6IQ16mIAqpk/jTKGLJED KUT+1TbH4MeqqO4FiZ3zlw8LBegE7mDg07pWoO/Qbhc22/lAsYFCAwGUW+UuH+DZxmRFXLVp3hOU 1EB19DedDhjX7SUvhbCdBMMTngqNN0u+AzlD/FjbU+ZpbqR8tCBTzBGHRd2c5qSmf1/iEQJa39Wh D7oC4GjwaBt640RgkXuqgvNbhtYC4j60nsFmgAneMHbhiOr90oY6axkkduIh9FbOa4kR8epsj1TH mMWQvGwEAQVqUrS1c9AhbS/UE7dTRIx3NmwoTqIeqFRYiu4dguAS4slY8W0g8If9Hp8HYSLzuYOy OT3TekjnrYRBOofwfh3R3fqpvePKI39iqvQtSKTbK1P5LKnuipXzeoI3Kr4L7OBpkwYCjNxtidcW 7Rbsk/ILHCVPHhzXDA2m7sxt8/xDo7bzl1oL+kFoz7RuYn0nivKiVr2VYC99hs/wglJoMaEwYPS7 N2zdpNUbVPOZHci+rdIVwli3ADiKSGndjzpqdRr3o2G2j5C9I0P9EXzCWDKNvRFlfZcLtRr2Ksp1 Km7I1nW3WHvhMoAhBGygToYtGKteesbU2AOMdSCtZde5lvOZBIhDiFYYyFzM9nR9EYNJyAO1Twye H9Sf2ihob6o7Ud0TdQ1G6+VvaaCWzPHtSg9S3c/cIshOnLi/J8RVUERjMNffqamKWed3d1kDFQMp e1IG1Fl9K7TUwgkDS92YGOdl/LpJy1bYrZWqHs7MZgMdpTTz005ZTk7UGdpUV3X5pN/lQs5Vh0CY jGkXRaLXuoVjBzyToYJxjckGCrsShUOq5aBQHWqnRby1HD+xEMvsEM5gvwA8cTPjlXgU9tvmUW8b f6eO8ZJ+MHVRkBfd5QhgqkiTIup4d3bnJWiwyYIYe70kr2GWubPh+enFUznBJuXHJi647IwhMev3 y94I0X0iAJYd0R1WsVAiyvtMX/aTJpfmYHOCekhQ9zV3jeW3DOVJPxgOYdLma7rI3+57XX3xgIyl OEGyYfzAoxZBhUThnJzqChCoNQT99PaaEvR0j+SI4XwyC+9kRGoyRp+jtkl95M+N9IUQmhtYmfjx iiAqT4+NtU0lM9QJ77y5EvXeCGkBlgHbmi5Om/R9rf785+o1EB6+fo9XO9oeTdkN+PN1zxomqyog v4ZZZDIfsqit7B5dKK1yO8/XRH6yPHLaAUVjUt3uu+aTNd44ABXfxBzndLB3ExuajPG746xUP26n Lo4QRH8A19aSpo63Ru4s3H9oD6db3J70eFMVmlx1twvy1kYJg9BFme6tAT5jLglNx9uAliTrvT2s pUyKkYw1FopgWpTMWnUAVQMa+jhTu5J/PNrKaJac6OU4TAp+LWGVNdUH1ucxb9yvhUVQnDZcp3s3 tgrYTcvGxcFf+98Wz3vICIIoDb5yWprjviOtaYvMGb3AVQmRbooBY2bEGJSxhOlrfLuu7GlMI5Nw owJD03kFxP+svutQ8EnCriHA4wYVuwzBgnWpKK+UWoPhzF4Ash2Os3RVhPWIGP41a8EZ6O03Rgde qlFrB4pFEM7H2XUNJelu02sklxruZmomFkjmwRc72lBTOJmugF1luLwIDkm1dq7NZ2P7mMRHKmcx hkbGQCXwRo75t/hk8nTlMgQoIClAv5OcNGAEFCzQekm687Bs4jV1H4+5rBAwPtONDNClCHLqBjbI 2OqkTzxcI5pFj0+ntUTMHxdZa0DBBUkNMR7IKpt0Ts17Cm+khlr7eaknidmTdhDxv0GSDqOGP9f+ qAfEks8yFj+meAyY1BG3tZYIoARRfe/ZzBgCNNgyKTqpyQHoc/zZJmrQhzpNFimfz+TsdUZ10n+Z rAX1Xwp77u6kiScpmZpBOX6xvB5VuSxOq0H/xnkQybJoJ74B3VgWT1o9anfLu2MhKRElNv9DUTZX VTGsCdlwV5E1cBK+8JY3GgVklD2Gc3MhG9a6U9IgkWL2dtE0bpdnWGSqY9R8MVKB1W0/nhCHNvVv 1x+xrtHHDEuzSVjvHyyuIckVtCrQ20MH2sZqZnO+43JIcWbMqyy++V6fevBqhVOYp5J8FC+O6qjS omD21J9t0z/pFaoQ79GsGLnbTU3xH8bgo08uqa7aUZKGnidlPRGzU/Gl0uGHXpZfyEEvRgYr3HEX WsIFEPziJuEwzYJ7HZzy6y3fgtMQ/iRlqBQmcIZ//GnJKwet6u8ykiF7dLcR//wUolAdDZyJ3uBY aBGitwljTT/aS6oRgIM7nUzaaJWvR42/391tKzN9wllHR6zlKYcK2qfBHRsz+TeuUp20Uzmy611M F4uSVHWi48vRx4OK01sxHVJzxqMN6ztfWCK7W5VgUd6HaY+TPWOBGXvVnD7t8MCjBtqW1/371iev 95rJpC9mMWMXui4qIUoxzXRVgQGWHoSysdZVYx7l0YuLXrSZp2u06wwpQZ1wedax95HfrVa+dh/o jE3AQk0xAgYIyhXNaEdRcaJB+r8CMCx2nUkUSoKzqmdWyF7uuwgriz5tHZBfWBJ8F2LuOJKbeFG/ tdJAhZkSuBTFUPgi/MTPSX1T9WkiogURsdZrObXycWM6905geTG+p3dHae7nX0XCZUMNUixLUfcR aoPRSN1rx6tIoV1zMLY5xv3mEq+ZydhpmA2rprIfWMZc9OzMAm+PLCMSEz57nnfaBbwmUEQo9jfl e8Ilux7sRfm4t/AMRvIj+A7MVaSkUEtaj6qpDb5nA6TLpR4Jyr5RM/K5IfjOwzJwBrN2BkRH4AZB wyKGMiTf5g6syHLXSHyKdrr/ujKcF77BIuzWN32gp2mvnO1a3zAcZocXNqnDkku6JFLFRu2mGTAb Tnl11SPYz49d1oBQ8ObdPROEZXIWVeL7n2HEZpj12WZo0zXA6R2EjZjR+rIWPm678hrPAz7tgaPZ izBQOAzp9E8LEhJR6dxysWb2JPGW1HXbGWvVhQEZse++/bZp5IPGoF8Oof1GKgSmgup+b9EZ/ZLc BGD4lS8Rjq56DpZ54bA4eqzcTrZbt/mGJWjUhou/ZOr21YkfMKKiAWLmywRCt49KbmWl+6CbCpn4 l3T9uszI5CiNd/wlIneyAqsDDlGAU3YjyHjlA6y5RRAtowaJV5kpAE2LMR65OSXaUzqoVHZwop2k xB5DcwUwsCyc+tKY3rh5phtfitagpiQUww3U9/cG4Z9lVHdheVFeJDWm64dUjIafYhE9jOAkpNFx qAT+DmzN86OAvkevDxGwvz8tOiRJ6jraoX8HxQjnktca9PRJkNwfysD0PUVd5j47DtcApUk1kOUm p3Xs3HsJQq2Fyd9X1tZv34JF+1mvLP7BgrBMciqhT0tA0LGAazlRgCBJhsBFoM0xihS5KOsVEHqf gqwiO/2QVzMlKV+hamSzD/6sEGZ3CEMJNAFJ9R8ZAzchfeHXoYP0EnMiyDCzjwM2eujuEn/l107S pmAAHW5m/zRHsOQm0zoS1Q1eTs+AZb6OHe46i3+dQSIinlt5L5DRCoPL8+D02CYLnyT1tlFXsBBQ KujFdv1YkUx4+a7tdhYiVW/cdJgstR9psR8V98JeNyHjOrkxPndT3j3LD3auIQO7x1ZIERWNBQ1Q poQjsgbcWcc1Y7VTs6pseJOvbAJ9QheYZLT5UYTEWaTzojLJPJqK9EjTFZ5sgCHKNNugB+ZCo4U8 2UPmonE1z4eIBCoCl7CduWcZX4APYORocDEfTauWE37Yz3O10Urv5l9TamZT48yogR2+1FXOjlDu 2wEvoLeCxs5FhSiWlk2SdFYg9WeaexZVjP3sobMlVZ2dSTlWbw6zELdM6pPg/1IPtPyuO58eRoIM fZlO2oyXB6PXR6K5tm0u0mvRalsJExhOrefNYYPBuYSAe310B3/hhZOoQ7ol9D9VMl0WTHcWqeTL SDfMEOI5QVXpY91cptOYP1+cviwso36gyDxtpls7VCKj6Xn+Xk9S1Bs3MPdHrB2YCUQZAGOBLNNn Y57bvlvPeDFC7tWaZ1WlywRKOx/6AOms330Mj4m++Da9NL5xRo6Yb8j/AgzXf21pVQwz0LINy65G PO3Qj2WyJ8vFoxgd83ZQrN+bp3tID1PrBO9imqRuJEdJHhL5hymJfruovLTLhTKF6f4Ld8ME7uzn goaDSNi5XCPHE5MksuEaIPX7bJue9Jmj2BNZeONlbgiRpn99EipW+EUDlZ2ShayK7itU1d2Xh7zn rTjMJksVInwh/NG3sXj4FDaFH4kV9IRzPk2SRTiksBFabZPQ7rS7e4GT88M0jwZgD9LD+2qQ1mMO 5OkC9E+RK5i2PH9YoIrJ82YnKYtr5Wv9CrjUhXVa8fPpfDvZaoLWtGcKeVQT8fyHOIk3edrTK++2 fgDiPgxH5X4/nzwWZEpfRGEj19mrwub9leCbG0i95eOvhwxtUn3Er4gvCo+dUpAQLsRJEFdVKbHZ uojwN7GmEI3OTMvdbTxKH89nM1gUm1LNud41H0WjW1PEZ5bZFUqSxLmJOCR3e8maG5bK/w1gC9W5 VBIn4AXK8SZAy+kdkPPe/Pt8ZoYBMRjQEsqwFV0gxo6Xg1V7y63s/QFAmKAB/f08OJgR0AQHInnQ JRAu56K7Ygjrc8tmEGeW3lXGj9+/rL0SAqmIOXPKKWmKNeDL4HdrXCuseuvwAvJVjREecy1BxgsN pAg1zDveB2QYMtxw4HuEq9bqNo/kuoKKvpufTJGylnTrGD3mOkAgMTG1N7UT7hTb3zGr2d0Sbc9c mZ1JziADK8CowL+o9c7qogUZRMAL22Y8ZKkCS3Cp5rQCUqlITkK9bQeciUY9FBCvUOSKejLARBud MAcccEq8tevrFoQzoKUdCEQw7zMSHYueIatPbV3Dgsm475EcNlSIy0Jfh5QfBrwA2CFa2dZgn5gz YETKHAzyKKl280fPZgPE6BQh6TDA5sWxWTYE/vN53GJpR2YWahv3ii4Cd9SX8JCiFbSbeYdrQblu X+djK1veQdiBow0dTlYaEd3o15ubmkG834L8XJDahcmJMOv1aBFCl5gTFJTx0n4ROCZxYgw7FRdO zOtrOMYmwEENW3djKG4MkS2q7rgg+P9Fm3AOgglja9ytsDD8edus1GFmm+IuF2pbbfB9kpjO/AJa 5l77R6/EIA8PoeX+hFReKCDnFFotyM8Wt/e0E3kYM4DYduTNzFUjows65aYBH6fq1tH1ILny0W/L WnSTBPgxIFfev+1yBX6/nbuDDacL8J4o8ck3wmZM236umWuE6vIs1TQZVQ2MNSHMpP0O6bARkZCC 28xirAE3KmUM3L/MpP7cQFKPx6aF6z/596SmFb5ZRGyaRitde8UXOFY+kkhgLK+zsOuo/DcNv91d Rc/1apo7BzYFNmEz6ygwl9kmun4xroDLAg7sCLm0DSYBEHkLs1/YO0kGiDvIPjUCIi8YzsSY9F2Q MxhcIuvpSVu1shD+EV7dX2C8qR7F1lrMPQIjbxVb6azfPTCN4xI0CjqouUo4mQF/aymsci3+mBKT rY5KG0tp8JVWqDcskVc7AGCkzVrvc3BZJbrA/EqeWNrGo2iiCO3QaQRVNVCpnEMfUAqjaUXLDF8H 70kXn9fXBNEJVtXUpkEiC9zqqVd0EgPfD4uMilO1Rb9RH41cT8lieq7h5JjJRr2WKgRitmi6n8is 6Ifp/+Slo+7GSj8hKUvltexgWmyBuW+JeDpehUgLxp2/J7wcTQxgF6HSE4aC6k/UqseGyCm8JVP+ lbwiCIv02N7I+Tbd3bAeDxsBqrClSDiMrVwsVZDeWyL6kXvgZK96GyJtPzIVoxKxkVz+yvVzwUJv PN/BNGzA1YJX05VUlyl42Zt8HjZXb+7yC7/0pKUKWxIpLIjHzvADs2Dyez+q0NHs7Ws+AYo/9ZPZ ZVEObSZxHF1HCRGcK18Jtyq8g8npXIVvbRlrPQEu1W74ednWaJ3YGO1CFnB6s1j67bYxXYsrevid osU8XzykWskNajYXV0rnfGAUjfPHxXJYZcP70J51rPgBxMqao+BwhdGl6vqUPgQd5KJbPaxBNFCs nzM+1P7x1oR6yAiiO8AneX6MfLzOzCUs4awoUMsRYOnanrwqcxCpwPaUoJJol+K7JnOmiIVtSjrJ EbitAxLond4AlWgRf7JXis6UfToUmsBaQrrO6n1t5jr6TS1g6zCpqUezYJRtQ3BvKldMYdiMEMPh xJgryCB/Kmr+fhgD2zFGprs1McfXnfUa7jGlFzH/XgqGREoTEYCEK6F9R8PtEqVqPf0eWE/GcSfO MxeIC7LUdfpy0+lg0Pd4Dp/Fdy8/kUyF12q53pmLF2tppd6jirm1u3Qmbc1aCOnzwWqqHnBgbuyx V8pk/h1BHwX77m1xJ9nEjxVjPJ9pMvf5TDxrEY3rOCyZjAgXCc7iuJ7aVSYI0uXr5kjGHpZCRhJX 2t068wyh6BfBfscWbSvYPCQHTH0CHCM5yEgYyWtE1fFODvcL09MapO0SON9MpTarTNcXTfLYaoGP gseHi9Pc3FWCKflPaJRiuPFVIkDi3R9M/4w7oBJb/K6Ur2FIm5TzJUpSit8nu9u78cRFKl97+ms+ CO+AW2oniIzXzgqr9/aCfpIfVxnB0GeZ+RkbvYSyzFd6q2ij6CRRdm1kkLjrpOJ+IQlJsokX2RmT dmHliK82v1RxJJLFRPzzIleqCnI0/SRAjc59HGzRx2g9K6KYydJv6FtCpio9EY9GYkfK7acCdyAc uxu0WtiduqCmf8kIL0bxhztzWkdfvU0FmFkqsteJbPQeMvI/Yv4ih0jL007Eh8nb6GCERz7wKM+K 0OT4BbzDkG+JEucKnf1Y+kyG0xvcRmZ2ZTVN4jk8Je+ixS+fbgijsOCUE1/OHescSxhs+Kch00MV eNnkcgYKYFTfoW258i006+DcPHuQgXOsmpTVbKvrX/mKwaUVyqUT0Is+S6/ediflLWTzGnoGI3ul 9yQCIuE6TGar0ZOsGsvYtpkrsEp+teN3b2Hkmr0zsLxHuWhbGWe0fnaUEoKn+5QGmR8WS43gp4DI fPe7ZA6YtW00r0rxMnMtf+DP64/sIm0i0oFhRTztOYV2Z9TxHePeXQ5xFZn8um6WnIdfKw3Ma0Xc J0ITpMtCIhiIM0TE/RJnAYZN4kUQdjlU5NP51C5l6jHSnravQ/uFLbUTCZAGHRo5qcPl+94+JjtG 6a/CljtnHCSPX2y2KLWhvEZHw1/WxG3a/LaymJcKtTKH2tqpzzaM2VBJBk4vCPvjvVppS3BP7nGe UcKeKXHIkDrQG399p4U4Jt3FeDOZzhuaqqnw2V/FIOTbIkwOfh3c1K9XLdPQbNL7Q6/mJYxqR+6y K47nV1NwuQv7famqg5VAkvMyJasTNg9GdIiSOWzVfqF/rIoVRzkMTfRdUT9STjWhimXHBu0CL0+D zuZ3+8wXmqP6B0WaBlwPcmoHjK50GFtwt5X1UD3+G0zKOFLl8Y4qUL4hG5+XH1zIU0mPpwRo1DeE uMj9eK6CW+Us4v7hIApuz1l+dUUSzwG02ZiAQSNycCgwCBm25ZCaQM9GLHwkspR3auuHtRhdRoEv IJuBqaB4DP2OFCC60KRHxGAa++gGbwls/neqKRRBzFuVlTvuKTaNscHxTiUvvaS+C7z7k0ESabwK JOe3RVIKFtUZMpzpvfySkLJMmjsqssdUiU6iEG3Dyec0bAaqoT+wFV/rz16r5I4a415vM/q8Fk+6 iCAmZjnD7/ni5S+1M5wXecNaQ5g185MRW6FJkR8rIPWFJb2gt5bun7/eUrHU/UYoRKxAuRcmoZGO G7qP0CQDKNOZeEMTPHDuUg6ID9pzoAchtHDOf1xkXA9MMpUL66Hxy1lnvYpM5/PimdbgC66/jBBj dqM4yiSuZuihXaasnoBedRk72iKHx5UyN5Y5dYb0qTyxa5jPYpWlgiavLRgNG/fOYuPGmpqfcl1e rX5Qw5Twu50urYJUmLcMyvkOnKc1a4ZJe0B8mCT/yzpdZUgJc/c0yrxcHqakqNmTayIdVLWAERjP k4uP1OJy0XzqiortQkmyui2L1SewaAQr2m3E4qQCGTp55qltIG7E2oEgh7L9ZOTcbAHVft00nVvq DyIIqAFreoKwDMXcVpQ+SOCbq4JPJXE9ENjfq+u7wG0nu39ViEiuwqV3eLbEqaRKzwUojGEM39UC cBtW4uUjb8+9jh7VNKriMHry9896VQVpjI6xioM9crOPuyuBJTePTRTBKbTPgq8Tbg+rluGrMb6x zuTVGsMClIQl6hdA5peMmOFUIC0RhO+5rRgU0K5Yu2fmFhmAz5GTqQAVAx8vdrxDYRgF2qoCooeQ IAUEnqXzc8ql/9HzuZWj/Nztg7iqw2V4qzBv145RIBZUR0fC5S60+qtTd6NR7WPv88Coa5ATTxp4 IAKGQYNa7I7ADTRbRVvmPjXtaT5ydus13D6tzYiNi6PLTaLZaxxcZThSyE2arxai2BPa0AQjbmJf 57aFuNDAeBbguBgmkrlp7oK/7Tb/rLqTi4hnfeEbUjJDIWp198abF2mxMszf/d6ia4gthPtr9dtl Qs4RDHeEvSrO26nXverzB7XVHb3iWVDDR5F6u7geA8JpJYWiygR+Uu28CmDE42ez/8hbhE9lwdX/ hneMwLtVztujSpOJT9W6WODN40L1hMHOBlc+z5VbW7XiHd4WAgRpEH2jPK5kIp3o+KMZqv1sGt/h phnx3750J63X1I0J0wuZHfzVgu/lR/ObCbpEZ3wz8GjzTV0zH7QVuapYKDe7/LDRjCP8F6vT7ZEu yebQk9kBE0MX3axGVUg/LGDVx+S70j5hVtemvWKNKCu8odjaVW/57NktV3iSDIjeGpsMJS7mhe4P WcNjzSetfQmf49359BW8xgW6Y6NhCIFjPGfpCyW6EM80UfXKeSCkbN8DSPR0w8PIbUb79Szg1L+7 3FU/pVz3EQPpGA45blSzT7iLNcm8IWNmdOA9Ib3m4Zkamritz7dcCIVZ3wzy/TLl8gIvbk0y3IO8 jI1M4jHejjqvx0fnCf90SixSRiZB8mPgtigngBd2tZ5MAtocWER4DS5czbdXj30C463mS7dCydGs tm/th1eTQY6Roo20kOTfQunQ/mbD16BJjmH6KJTOySyAPYutxiqxH0bLbmZajZUVN3j5MnSns84n 76FBkUOrScOuBj4fEpSyDFcNC4k3WQVzLH6zERSe+WGPj9Eun2hw6wNbME4yNfjAAGCFGor540rr SLMlDG5ZUQVjtFTDGSfNPqEMoiyOsfhTtjW6Hj2nTK8YwyFJ0bA0LyXBnfDZ+RhgfVA6fksr+OhX XjGTuBYlcl5TPhF6ZXL3Lo4VMH252DmN5QShGtIP35uaDIJ7sfUWXh2ZBJo6jr2N2kkYQC6MVVN3 WQUKgBOqZ0mIb7DsQnQScAB14c7narIFeANqINtUk+atJCdHb2Aqy6Tm/gAHdFOQ3bkm+j0sw7dw tllNs9pphYjm17K6bkIpI2E2HpKxU5EhNI/0zs5hS+bRPMvmwLKWPcivr84iWnRAiW/wXNvJPzcO qU43R02EtTwV4/otKoW0ONeylTBQQ6lah4UZ2iXsT5Na094xKRzQIH9gtW7mZB/wsz2EBY+YoZWV ulxUoLaY2XYX80zUk34O97y+PVX79Z6qxxs5ETUeKdPUMJ2acCM2+WutpfCX6an6uuaeK/8bp1n5 QYjhGN7NRZmVktBBR1KgnUiPL+yFVRG3Czgg9fR1E/SC6kdGTub0sLhZ2/DImCCvhNFlwVEzBf6d bQXWez9TAKYdfzAZIXSeWtv25/kEOf8MQPi05Ld5h0zjWgc9KGWLIIhQ8ASJSL1ibThqNVs9SbGQ HN0ng8wnraLpgOqqfQXdNYVtw2c693fSLZYfYM849pRUpds4nitwKStE2/ViHNwmeLEXkkhigWkJ vtAwupdBbcJBPx789iPCaBdECgCLA7VCtq+1kVa7q+xGKNxDrhOw9H1Or5+xjidLxTzBtSZv/g65 V6tSuCqkHq4qUMvQed4mm6JfrnfTk6WAYhs6IHyyeu2qQOYogqTtWrmY6EQSwkXzvSiGNO0CidbS 3JQ703fOuT8G0z898gEeu7gba4D2WWZ1E8XeOlGwK9qICRauhXSqDi8jiXxrdtyjBHxIGAgJ8PUu XIKcUMCkr2jxG6mwHrZ8PgSCcAPlZp4PfZ0mHZfFw5Eui1z4I4i2qZ0cWWs0dh0/eWD5aUf5wsJM UUOyP3L7nf/X/442MHXY9BuORuB5HFOWEq/Oh7AXQZGDQ55ScSDITsCHCIcAkim7Sd/cxx410Xv0 0b8n2YMx4+F31psNbsFLFZaQZTluwZIEqL8lMU9B8Hv59DQKutF4gTjPLEyblNakwGomi3dowuOk sjf0SJQVGoRRa9wAWv36/mTGtRAMTS78PH3452c6LijSt2X8Y0IwaTraq1fQCVue6WvF7OeZCEJL AVQ+7r1ldtU8ODuIQKa0+iiBRBmVCDtk6DDyytMFWxYxKCIw7PL4mKneuW0cKs2eKdZR+MP6dVj0 H4Oq40rVU5s+NflnhrdakrM9yanKUTS8DrtS7V64N9kXTvcgOjrAiq5eIs7th2DYb9mKc16MQPpV pamt87lx19J7hGCIYpUkeIHZID+LpaT8V+Ux0XjFA8G/nx+luHZw+qnEb3EM300ovLHz0THz6nVE N9MCbMafaz7akQRuh7+Ye0Fhqo/dzIW4IeIEGl+xI/fUnBw+9olOYNhVEjDUPqW3K9ZBL/fwTUG7 9tL5qAHQ+LGUUVLXlY002QO79+xRZ2bjJ/uTIL+NrsDLlvtzeArzgstkyMXUXe11NvPMocIWhU/d leKWmwFB552pQcA9STetvHUiO9DBGhZ68ZYJ685An4en0ZOBQaZ7DiRSyOxEPsVQ8YXcY7lCypgw zMr0sOEYNztaW54iGiLZu/LSzzPWFimdMx8h4s3t1EC3TPHebRi8I3d40KZJnC9p1FH2WbgqhXNH Sor9hGHPp/2p6hBw5xwT+ADlUzlZ6Zavw0QFh3PAwNEjDoeB3PaXeVc9uxGP1r67pQCZZGr2Jxv/ e1KwPai/Zue0Abc0y6K0ZP5EqLRVtWQdL88PH01EUixf4V3i1TSA9VFmV+axPJwjNqBUvKZpoNXn WVz6M2PeLZyaOv4NZWRXUPJBrT0LbL4gFKWe32sDG/vKrUaZDPTkAvjeuhb9dep8N4cROi8cOaX0 4LAmnz5NrCkf5lTkFglm4WMOtwKTj4koSrKSUARPkcqGzT3pBHnHZlqp3aaUVEzywSgWUysVSH/9 MjuAYkGKbDajVzpCGciAzVGQg4W813KG6eYovMndGCrJGOYYmXPbI8SULfjAoog2CaEOeSrOzR/J N5peFQeimMYF99fwVEhTDFAOPcO0W6yhsYNO6u+5855Yxd8U4V/a9AjCbiGuK2mI13nmHkzWy5Qy pEPmwkvTpHag3JlLkkw+/nBGDcow15y3WVEejrSnbOy4s+APKwI/KVmOMJ4YbVs0LoSQyQXROigq 2rxF9bex3P6x+ds9+lRYMCHyQM2FR3K7RUkaStbHLXv7bAo5jYjxctlUDLWj6dViVhL+PaTXXRNj n2jopCl7ZkCLKlWTWRktZq8uRrWMFBBHNRoUJapP5m+AXIgj+s3MfhdbCr7kX2f7t3OEl4tKh6jd 6oeLBIp72/5bQwJDzoNpfCwyg1oFOvgAkbABAApArXt250P2N2QSRa+/yQrhW9FnJX0UCZahk/0J 8wHfZMQ76Y0Kc/vKCXZ/S60EFyXgRku4NBl2NRzpwtOi038aCFfNTImhqLD+gHkqNfls2ypxYD0/ UuHaCCBeH+/nwRpS8ov1dSEudYPHqeHCtGcEhf66WyoOjSVCWFUf3on/ObLtuX1byz2naA3gKcNv loY29llhsC/DghuAuqEaJA3Irmseiu63mwXMeAre5JpTtZMuSINPJMTsRCagETDyqPHTr/CoVwrW N8aogPcW0RXijaSowSToI1FHuBt15TCgeWEzF674jttLZOLyPW1asvEJybkMHhuf8OhsUAxbNE9y M5Sqihh04m8noGhsa5xVxbK15+j2dJZzcHQ8P/ve3+/GQc2GGCUd8s5qp5WKScJBZD1GA8W2QS8m MTzl+YzOhUkpx+90yBuiBs4zd9K3vP/AhKvQkP216h4Db4Qq8lkk6shxzjHFnbFfv6/oUewj7rMi NRLcHbAVsNgKaDFTRw7dGGSkB8D3WwxMsdBfXvThG0269EKcYoMjOwwJB1gGWn08egbdSdKAPRdq +hwYyWxE3NtDu+S6N+yQ1KjeuWb/WalQD6yIZJA7I9AHBH6ADxk928F7bLPRRj3TX8zz2SQPKnzn m689rQa16qPZKnbNZrnUVF58KVZKE5BNaveyvnkOp8YQtCmMU2ZQsTUT64Yg+Z8MYIEZIBQR7phI mPNZy3E8bEIxUMx8/GpK4RRsCd26EZB1/n4JFMgbfNTaP9wkeDrfn6dbrmdSjS+/i2puaEbA4eRW JhPQy/CjB8AqUbBPtEeOlaODpTg5JgOr8W8Rl8cqKslGKqCSPU7m9BSLSYtcc/t0z0y6EVhC6Cve AO5sOSA9UCeGoqkntLgsRzZ+EOa4Q1U2w5xc3aiS45AUQ2WjnG2suZHhGgCOivRaErb2mwmESlIU z49hDQWVDU8pcipNgPZP67Tumxw37Ulv0Z4ouOa1L8n/GzywH2TDaElZ5lZp92TX5P3bYXImUo0t mCSNmhhANZD4OSi9BMdKz88yn0jlioBRwo7uitU2q9kK2key5tp9HQbH7x9k9ykKP10dpqWFmTpE vHM+Q7C1y1HjOnPeM2MUwT4OjjQC5lcd1hVCrKz05CmFC75RY7fADNsUW1mkxFfUOFkBc/quj22H 4AUZFYptjIvmX9kVZJ5HJ8MMRILLVg7sUVWKqQuAOx9CdFM16WUhdssPMkDC4JumJ3S5CfIMIZ1N jqMX1wUaDRYZApZCkNwAp1crE45daRjKGctftzxgAY21eI9JqgUM9SNnwQhXT9tkv1oyRmU8r4vP U3LAiWSBNSW3jWEgFiG4v9YjnvWWd7PQ3yzBDBxWTU45+VzQsSUQdbKLnywEXed/yDwZJrfXWXLT F1k45IbPxyV3hA4qAoToGohq1eZnB0IWpFS+DLoAtVNcZIad2CtYGq04ykNA+gBHSVluTKzorLdO bLy02zBDoqFMBsZOj+/HMCcDAOU4RFuiG8eH21ZSoqybRcuV7znNtE7i243g/0EbL7s3gIDj1Lyf ovu5jB5YLdLR4Eo26OMaljlbLm/OjMjpkAxcQOaQCeIZrMEkNmyEEvD5LNpAR/+KXoUjFnQTd0WF 1zQrwbd2jdbTahg/0NOUt0T9d/CKJ6SecMCILsuDfBqHCFaCjhnfwFO4kbV+kpVp90Kn63Y5XYK3 4e+MtT8V3Mre4Kj9g3OpAvs1d41txdu8+Mfu6wDfCaTKQSk2zfFUBIWdwFPZhxTAroA0b8WPnNws Ph+P43+gY1u1xR88gmST71KMRGXsYTF/zQlbNAQzCSvrGrljAWZC8IkkQH9Idd1kD6hQu68fXIx0 PwE892bK9C/6wwkZdXVQ+ZB2+dVjr8vGct8bYbS1psW8cBKkMoZXO0SwNs0Q14BCinP+14ofmDe4 ww1tkmJuVNXPAIWc0rYxAbjTojScv3hwCXbnGS5F+2eMQx8sgq8S6DhXfqycTlcosXfUjjit8qjw kFvpwdBxxPKdadDBsihA0pQgahpAmQ3U+VvTvjum8wQN/x32OpYeUQLvjmB42f+iQZ8URZ/w96R8 koOcgh3gBY2at7uOYMzHbzDX6JoQamlYkBUr+8V7Ag3tL0IS8p0Als9L7RGRtIUcp/sBhQnEjuuw t7z7wma37T2bRh1mkK1yveflYk8MY+6XlGLEOwIh451yi+Z7+FY7PmihAGFjWdk4lFaeq/S9LGL+ Jk82K4bncCutDgacUd9CoOx8H9vxeL15MBpdrebo0chw4o2UpIfoTVS1oQ6z4CDRZhwclgy265Pe egF87niRueWU2m/WPY7hAgsW7ekLKZcRZFwuKkwqQsAWpVT3nVUWwy7bXTWYHA6KfNelrGMCqFpD wGKiX6+f4kcTkBsvM+2AKiMG5zf9IglvYrR3iN06j5UMwTB3UYdQirpuaIZ9Jt35PRFifB3CdKxc SyJoDmuXURsR+PhtVerMIuCOwpDKpmSnKGfmUO1nkpMuA85O69JUe1aGaMBc5ppveeb7Aeq7fj9J /0ZtPR15Zq7oQKMEmmb4u/pTxk1I49j+F3GKwYv7EFI/OuX32lFUe/pYTN6hcFU1eLFS+Z3o50Ay gBTtox0j9MbjZRRb1kK7CYMhd15lrX/dT8yPw8s2lDyJzXXR2gkujVV35aDOMNDGW8dx8jJSqA6v /A0L/ummJAI0DGI9q7jkT5OXB/pnNofU5rcP17ZqbX3Z/kvIGes7uf7q22VfGTJfFINnspdNfkVQ 2fiD6nF9Jp1GZCTat6oe7+gvnJRe9walkLktuw4IZ7iVb+wB2rs0lwdDJuIE13T6r3HWS2MozVpl s3TndbhFEb4UkyowF+B28NLXtaD10yHxFTgCC4CJtwtNaIbd2tuD8dxBwFZLXYqEMgJySuoDD8DI oPsvf/eIAwnYvvMuvMjkvGAiHFd18IOlwL4b4tOWkju/3tOuoA5S8P9iXRw5Y7lKF4KxSybybvOf AMdpAa9zoYPar6tg9PImzZcC9gfHCwypFvX9yYUmr/0U2GTOsw0RkZcAZyeIFZa0XtlCYO5W8aIp Fdt21afZSO8aEepN1SnXsbID1gLIOw4iuPd5Ep6CWZ7yT24VwVc3Pq7YKlvl3ijAfWEw8/2AkucB UEINYqXC5gc2p08az/PMQuBKoNnaZ1uMpOdIcbhhHHNj+vKhnee3S7/Em6nJ5UE0kNbjlGhZtoFX OpATLJumuWhXnwfwvptR+c3J02ChTKaeaq6yj1al7BaCE7TQZ1KA6V9+h94mYaRkrfJXkMTNHZUp YUOBs+g4lIQqBzsloQCYBN178wPmAH0tEJkHnHHevG1kjMJUq/5nZVacal5W8q0s/x761Qn8gu4q gLKa0mpw/KNXhFwVdxN07caHcSYxa/hPl7QaaL9fqBWWkIxzNRq8h9tneLPwOxnvNWz7Z9xKYMVb vcis7qD5vC1nvqHwywVjXX7Cr13pxGKetfNFcOPvUGdutmV0pODyd15dl6Kk0CitlH023x7nmZhN XSR318Z4x5v3EBtNIR+bBFo1LvAqnEpzA2HI7ZLWJE7VtmtnUJ8z87WRCYM7ZaNerxf1lotgawhf 4nwDLe//tpYkd42EC+gmMNDzIkdvg8LHEbHd/Eij+VX+6T4LATQJZTqbN3YBsFe3xYPdMr1BgKW9 zcfNFEA54PFtx8mxWjlSuGfpruJX8ZKJFDdIsDzkirUXzB34tD/YHnCZJZNHJhrJUwrKKwOTRYv9 juhQtSytUN92t5WN63pH/th9peP8CVkzcjmMB/DgXJITWeOrkqBWTKEGdOY0uVLl5w2tTfHM5Y5z dpF91qywX5sVNq/aFLoD8GGdS2avNlqTqN+DZIQfdO9lAQdKLwJlKOAyIMGk6569Ci4pzoc3OAnJ fdF3PqhCqnD4UP80JpLXsUTp5vQmEyzHdbn9/WzgnYHX2V9k4YTfvZ1bRmT93J7Stz1HQkApjBux sDernCW+GLqY6dOFS+cfzFSuh5dQzidnZED7xgxVLrtXUogWnnfqLCTnzNFMKSGGeCRhn73wjW5f bi08/XSnul6BoZovNRHrnqE+4yRDUYZZgY+tkqKJW3f/14srWNuEbJgvzX6kReryWIRp4UfQhme5 khAg9SKmJJD7yENCr8LoeE64nHWeUlXBcqjkgHyVY3J8Zi+RK+CJdB8HUmZsoKJvQYCmDIYiyaHT yddNhvWtjAETcsZZY2DLOt0XC5txZwsUEYjd2SaZK0Lkeafkr49v42VvNjhxeOX164sqClAaIyzf aE03zpHj+z/l3+/EDgWbTj8oSTzo7Abu2ocOAv18Vm0y5c4x4feXj8n12CiBAChuQUZ9h4aCXuAJ 4bqkqL4oURiTrpDDKP7lS+3lrNuVnq65M6nuUhFtZiM2EaCT1A2ud94gwhDiM39sSzqwdbXFuPNv Cul0SO6mJdEKFm7G/zpZsuNHw2QsXnNehqkyAaNV/PoOW14iasHkotxvqnFhZvGaNicsgOMx78tz UYDSV7evPIdaKD2gsrbNet6PMul6d2uZRjFDxLoI69mDhyePaTHY6FBd4HcIOsZZxPGCAefY9Wzk K8POtPS3+fPMIGiGSgKeaMVdEQiLxkxWTsRXVhNMlqSymbWm0ynsRFokuJZNPQlIBvwXiTyxjJ8s 4UDvyRMI0PJu3SQ0R0xZk123dnUYKx7a9YunPiSEGTMv9RKSC+OpNSyZobiALbrx3GupqKAxjR5H jSeNYEtOWX20TW35MAHj/GjPpucGLIJQ6OvkPGO4nrGdSyS6MsX8YJLtaQhtIbXUWL1+poPlWeD3 jhO3g+9iuCGiWmyYzjCFZR3FEapPA6FhCrpYz889ESewXlsUXecROOhrztq2gj1w9cWiI1cD8eJn L+DKrwDiPLjAsGigp7lDI89pLWMQkVKc7pYXxyRpHhd1EPzxV6VbRVaUsd1nn5An3t2IWih0BEm5 wy8d5iwVRTt7rU+xf9JCzULLiVshVHr8pCGOvwyzSwdy2Tfore5b8mppUuCM1GYY7kzDnG/1IMZV 8bcNxzLWbbhZV4CkGBolGLPfqW/Tzbd7dYEkhVtu7XFggaObE+VhQxYJ2nyw0zhWABdE67WEMb+c r7p244F+FUHPHRsuWaEthcJLwA7ftmpQd7IHGnXMm2ToWFeKCvmtzeC5jRzkdk13EUAvkpdARuWc GGcsX3kJTI6UdD1yhODY7/mcRuNJsqsb17WSHVSqaKmAj6Bqw9nE8QrOIkbz5cjkqPmVp2oBG7tz x5gdTtcxDEeFO6OOc51B7pipyTVlcU1kXVoaOV0W7ajLB3HfYQqVkWl04WN49p4Yw0AdL5euWbzV aB3vEG03BRA9PBCmmy45Hlz27pW1RzNVJNr2R2JGVUcH3HPAtA5Ph/RfxkKG+20MdEoBcJ8RC/Bq omySBwcfrulDVdghgPmcoXJV95o9c2wdGouBuz7jnTNpqSUE19/AgemA0F6TypWrUwH29Q5pldfs H6NUS7O7ULbpc05RCWdOivO4orGLrxxL9OthnQoYHw5nFY8hGdhj8454ZiNrgUZS8qNscbj92Ejy 5Mb27/DyO7FJgFlsa5NoyqCLfoNS7CS7jo9zjN6IjgN88WgXUEuZhEpp27Lqllo8wyvl8jy3SlUd QTK9y9UzEqU+pAaH+zwDFOcuPjAUEcq++KigV0AO0HAdxl40Q9ORUjivUW/B8GRvO3Kj+E5xwQpV MtvsK80KrgqKr8nfr28hgGBcbN8ohrSGV4fAzjj3/2LtJaN7NxpVgGgVkcxKEbWwiyBgEULFflbS MPh1lOKKrBlkdhRjtDLOyGHz6RxES+pepGVnGdt1X7Aqt+dZ/5vDtwEiV4lxVIkxqWOI64XI3z2e PMmySbIPcd+NCB3EKu62MP2EUKEVNruAz8Mbpuk5nT1sN/OkN21m2ZPO7dtrhd7XO3sK7GUzhtKg 1MFPhw1Fup68SMIGBQhC1t2zC8YXrWicjHQSw631tVT+dsgPMZmKaASn6ILnRrJUWLzgBgAQHDfn u2Rh3CQlIvyABEIxYQjOWECbZ/pMQ+I6E1gBtl+L4aRgNP21WueKng2u3eCSpxUYa+4NkL8zTvwN CpuFo3zQqt6ET96++hqww6FJ0CTpvASNYAeUksVTEIcgt2gJRR3VFuee7Uh86euamDN6CBO8Ksut SznEQU5Yo4ouHNoK7zk1NMTCaExaGw3XazCTCVeYPlY5ZmKB0sNHwy3b5Cq7MuuudrfskRMn6htw PpX0vh1L496rlkZhXD02e0/1u48hq/mhy5lQIS8fQF9GQ2ql0R0/hQ4Akp7nbPRA1is7+h97aWxI DzO6d4rhkEkW4pEAXnfnEFolQ1e0FnDXiioLwOPbTQUpO4mbdznPpipzTYixcZCc2RkTKtmb0Yxz jCl6ENVShG7yAIC/pCCeVFJ6VdVg7ziV0/rv/AkpdBPcgCgm1oT1djAsDyvxMRicCtDP7mcytiBA WKURZJ7en77z5EhAo9ztvcSFz7uIRD4RJY0yT4MBcdBR1/DrWfzvJ1BSUWUlpys9ODOvas36CJ0o PhO3shmC5P2cMu7I6kFNpUwVhUjVujcGXNLp+zXWack43nwSVcFe5sv++SNx1zD7/5+L22kmK0qH 2t86lWnjWDIIWW2PGCgEqv2S2YPNBaVaHjUlKgo0bFziIrnoIfA/766PXpuIHl4TrNwZA6pJFD5t XlZpOv0Gy6hkxFizi8vFRqODcDmatb3tpMgBISMgRni5cKUZwsWIDtnAlxPBxUxs+QOunlpL474w beOiiGSr14/FGaxmZDo3An2pYDwVqpbTvtWI1YT495g0jqoGO1OmQZ46CHAEW9PPeopmBLdur7cE cywNeusATKn7acvAvjw8oLdOVJDVNIkAzVJPzzpq7A42VzG4H01gOTpOWEl/YaXItzZJkr0QmDfE YOLeU/INMvveWDN7pjXY3BRtY7ZcZ+vQ1bBinwh3+8TsjRDFDwhmY+pIr2qT+nvHY8J/mxGEMEMZ xo3ZrIrXowEbWCwEyZrTvPgRHn85L7Sn69ti3DL0iNEcGnj2Lm32cfiKmQgEFx0n0d3u/gpiWeSN 9AQzjcNH+NuWb+YRAN5kdHMOn5/t75bt6ljkEQa34lO87SVXjmXsuevcr6frk1SAOxX8+eLyVk9Z 658/Cg7V5vNnr1RzQcb9lG139NKdrO8ELySY6eHqCKXvsDXx2LlL4zVq5wNz5Q8T4vjF1cOQ1Ln6 aEDnxK/QMxD4r5oy2UtRkdgx6cKsCcnpQpjC6eKaTi/88kGtfB6z3Nfd/1hTJsA4QDHRWHVJN71U 91r6jKLNxy8wEzTQdh+IvxaEBjWyIc5JEdJfqLjtjPNPBfosjhB3ZiqQ7lO3ra1iR7HePF0atmKE V+/4uve0oElWqEPhP1Sxzt6Q0RxKNRUbKIN0UsWFRMOH5rfqwOQZMV278JeLFVo8DmLGWZH/yUt/ aNa8p0wehucG4Scl2Nxr/lCCByeNGui/rnGmNDJj9uTKc+Wt//rxJfkQLb5jEhSeJw824JLiD1Du x5jBfOffeF5v6FZUuKw6A/9S3+w4IeGKGKeLJ8AvruAiV9Tx30f2vitHc/zUKGuoKymqAgf5ixUp VeD5jk1UPoF21nS6R7VlyaHylJ75ATul0lbPIi4exRAURbplT2+0NqBV3gAKcbhiqw8ogdOjQ+bu hoBinIyCUVdY/3W88R0wg4+4kuFIsnaD+bWN3zGKjo+1ylKKbzs72HfDP+q+V5iuj5QMmEF+YdSt jyyz2pSuzC/WQYwXNue8ECBuJZZuZj9RLumA+5VSLZ1RAGBWa2T/Oa+bT2vqj2J3IVDR7hSpruEy c8ZdOFEnyFhTMWGIESBh72S2Mv0/1yVaiTYDBFbD7ZOlo96YOnzoUHG0OkKpslQl042O8M45odab K30657OONTRmRCDvwlTMiwhRp9Gk7SmDUyqZ4jO6F71YhwiUlcYTSZhAQ457gAIeEOdYF1fQkxEm /z2avtB1USlsT/WGYWtg4rcfMz7JkNGZbERnkdvbCuVTCgasoRrY3KQXlznrHZ69RV8vV3SLr5Nr IlzqCJzWqNXBuFvJ6CiIrVtlanK/HUbJp2LswIR6OsAyP1EVlUIV/b3mNPEw1BSa/NCxLw7CY9jX speVPlG1FvhK5xUgvFugoOvs+yeTqDmsgQp946PDIv7j1dWqtx++9WJMV5KUt3+gqzLy0W4fnc+E 3/LxnB5jk05mOo9m1rUJZMMOSTXYeDlsCTvOzAGdaS9SMpq0GBVmx8sPW08SglO9xRf8Bfs0FQvJ lHKFblj0dWF9YComukEvc92gQIB0UqM1pCsu/6pu6A4saYHMRsHoMFu6TxS3p7Pqe8NMeaejuTEb fMILVn3j+McIjlBBvL76UY4cmWjMPRXorjZgA34f/CQqU+xjXYFNQbXEnJ+ZKjnut6ZgbCXJlFp8 1GEduAputrt8F/+chqCQLYfni1X5og44mIuWd8ptXy8nJGwH8qAeZp0MHjVdhWw2rZ16gcVYhx4i EwbTwVq+xofhH7NZeDosbADPD2eFFe1cXZ2f3U+DeuZ2oQu6nQbByvI7/KS3QNGU7rL/38kxK0yO dn98ltsLyKyCiMOZVF1wfzrfFH0eyk2KaUJjwoop0b2HpePynKyLaKIWlBFjxBxdiZwp+fUIMiWN lVpuuUOt2TgbHEaNN3mnCRSHA4ZR9H3BkpiN/VNNSCAqj6OL+hIH+9Jp0wLkmRLj245gTXLqrhXf EBA5gEVTQ4ovYzGc9HnOrNu3+8d8LxcdzwOF3GaHYI2dIJ0gS6SjXtNe7MEjKQQFIzU1DlrZnpqm uAUIhC2SBQAScNQkbVwixpfe4DbiMYNXzoc1y41CASQgYa8r+YvHZi/zIWYCtcfnBXpWb7aJzHKU /SNSjieSgvz7Kq1N6W5uDKtI7fKNBmFLOAbstNvn1jbzFYsujP9D/mVooNoQZHApZH27G/rdSB/C qhpudTjsxuH6NUryviQEz9zp+5CjuMx2gic4ixE4Ladg3hbkLgArTx+/R3a0kVMDSa520kLibwhQ 5dpc4dMZ66oEDmlDSF2bOSATvHtsx5j/qJ246LNlUUY/UGbGrTTQw0+3GL1Y3f+uJ+JFUJiVPQSM ucpmkWSVufto8LeGF4HyH9Pha1NF1SUdrU0p7Xtm8lAADQ7fXRt9yQ4cJexYBqFO7wDZzU1ABKNd 6XvZESo8rAjmtYLzPb6WrWeugvM/cGq00qXhzlppZ8RePwtWLP7QKucSnXny7FZrxt13RqcCIga5 Dq2B2MHdPhsFhXACKPTqgzMzSja6qhQvZ5vEdzphhcgOGpNg9w9EiYrEAVYh+FpXa8+MXyJhqdf5 JzlFijgil7fYzb+0E0gofi9wXpR2sr/WSg3TsuUjJsGZDC2OKGXnsugLMBpyG7DPlk30kVD9t1F+ YSNZ7TU2JdB+vuYHBZR4HKljeLrcb2SVNX1jPFtIrDiNakzfgAOFk9Ks3ZBgC7kvAff6gF68WSms XWbPCxR3LWxPyC1NiSb3aZZKP9q4tYdMeA0i+wOcS9ygXQxXwEzu3EX3GHGw61Yw/E4Wq61lmHhj 5KjsZZ/Pmg6PmSi17JLqPYl1a5XhUHiFnLpHMvFFKXPYsIkCWUDaAq4kGC/P05uPZtsTUALQZvDa NeqlbJaNIfD1zESLZ/Ho1V4/jeCGO4C64Umivh48tlNIPYGDHTeHPTwnjlx0Hh5hvWzO+UU/cXpD EZ96nS/V9CjvuwGjIvpD2x2XTuId+wG7ZnjTBX4oEmTAyHeVOjo2z3syhnY7Xizj/5yyhxOLZJgt EPUWeSp59aEqZ7uFzHS/gTsCjDLGUPsrpW1ZkvviHcN1aoZO9GR0uU3pHpl/6JGD+ojgMriD1yAT r3sT/E0Xmw2sE6z0UaKepKa/KKQ5q/8fzvHvdmASHlvLhS8zzP+xGVA/Q5Tzp/3Q6zcRJXx3OhSW xS3168MvufnJwkFYEIHJm7qICyu4CSwgn7GmuD5Vb7jXUorKj1R4xA795KwGHjCLUO5vOvyYNxp1 kitb0qwE+mBYVbzYkxxS0bb7zcmWhYCaD7Jmqjj8PBx7frAc8Sn+Fyo5YEzqDFYRQeLNnbf8qjzS yswgIfoYYriKMsotPfRwQ6b51N9+0Nac4pRwTtDtIOI+xM3erZmeLESsnTb8yK67TEvYw6kSANFd mK+7mQScqgoUvHP+2iViK+mXnkyeBGyhHC6TUX9WmgBoRd8uWBkO6V0ZzuEBgIRmyCABQtkNZpl6 teflDVxH2qZobG7jPbQgbisR8JzgwLsyirRN5aQCYiRQyldBZSkJiEYONooqF1oN0lV/P217EqCk QpjOwhi9RW3NwUIpzP4Cb9TRlQyJSNAaSAS/8Ca1pAom0PSqUjKOdKSmkX5VOObP2zffPOXeFHgH m8w2CegKyT7cxtOj5EUAeruGcw2qHu6JusjYRtbwakAYf1ULHj6vcma7sw3Vcs0FilplPYS6Ik81 61HiUQUNoAuPuGxoQazSASV6KoXvuT2aVmE4WU0NYEA5bGlbiDB+a+Dp6vUpkkqe8SEV7L4kMZBP dMC6gM+kxQgyEq/4yP5O9OUCoaN97EftVG8/ETfhX8JEka8JMapDGxrBmriXzhBRTnRVJ4QvUgE5 dCdtDcQJS9dqX4KS1O/cMc1hxnHQ1U9q+hQ7S3upyzGvVDH5dfhx5f3B8GkcBjbwp9OSdHbZOrXS 1MEFbKsOq7/3d3Ruunho82tNzfWnkhPka+ULTBNtmZQ9+sME/5fXHGtsiZ6Hh81hSUOlinEdvWkN oD98JNaTPEOCC5xk+wLHmNpvIUR5PkxUyCUcnT7ODJkWTBhTw7JRAyJJVh85IrnhYGBrMJ6ZEJA+ wW5hKWcd0tbVVzoT+u4lGNRpPAeRBIiIja+ucip2yQn7Ndde2Bk4O1M0lUaxswy5v0riUs1rpP4v 5azyp9KxQ/I8hcfIgCouFqV/HeBeCeptVZFqAlFirc3Xil9oIIyPxAOE6Nmgb0kqXa/E0hzFvp/I qxcNBZ0PPtSzARNW9TQEzslYbSOLoda4+pP7gOBQGn+pHoH7J3SZSQ6Vlu/AEvlj75EaFezqoUa9 ax4/TvTKKb2VaYaCSNkkKKQ/NHJX7bic2DZngaPa7Bj7Y5n08+AxWCG2auPde0R8WvtX3sd9/J3C LQHEASppWjR5ep1K0J/zbC96MpS/1RGJMVFwhbex5sKQuOhXce9AnQY2fFdtWlAgMUSGA1phZfLa +B00RCR6ObhUFCLWg6CDpF7FZRMRcWTIsUotHQ8Tr/IyrrGu6gbkh/8oV3L4P16E7+LZhE0QzhSL lhprZP0NgkjcPLBjuKUp7V5SxtBM5Tn2fn9PORz0OQ45S5ya8uk250qLaLEgU/hDTox0t8esnPyb C9659OdCki6r5zA0BlHEdY50Ixtkk1Ltkxh8pxxTGLr+ncWLa94hmP1vbQyfQDE116xfXOJb64/O 0IhvNP87xu/qXTlkWfNHgQuEhhJUeHgK1oc2FKGv3jNAWFf43XF245Ndte55AGJoVAc+9Ai3S+sT jJ8AKcuoqd05lPRlFM4OvXaeqGl6RuZiedhxJtk1caW+utCJFOngm3FK/Cf/jQ/ljK+X6HdvUHsu KArpQMxt3MAvmiTiAFX6OAC6f0BA0OQ9pVys5dUaEvOI1WR4eSIJPA6PrYS+pzwhNWfj52vO4LRE /PNFej2ISuTSID74EkkBXUze9/BQiSuzVKN8e/6wcIHXAaAfBePlwsl54ypGf3bzdwTEQiw+rFZj cxgzdP8hxWdcGJ6JFLGTJFnvphRX7nAmYZLCIXdea5rcE/ZNNsWc6IagHdFj7TVeNBkKeu4SrZRM xNewdSiOvnNMB0UfHHBs3DSVnvWXCUI5MgflQYzQG6sDe/oQ7OTZTgWvTmFyApAaic7mna3QtCXN VxAMEoSZAay9F0yrYujl2eM0EimA2zWmjHNsehJRWB2AnH8fGK8KmbuTeXcHT33IrPjzEn1Mz9P3 MfjIgo6IO2nz55H453cYJtpf80+1fFuOVZhLyYcy80+fEaN36YnI2UqzJUZplXWuDfCq4jYHRjah 4VScWmqHJqtYZsPvWY9r0vVBze3uCnZGQobzJBa7YNnzhKEq+Sfr4IySvF9ejl5f78m403yYc8v2 3HPrXehS+MkuCmrfrqGGDsk5ZgIXlQKFTUqJauSq/HeZyh2hUUlnlCFLwQxKIX+Ya6wy/Wlt8MZc LEkyr/22cEFVI+ptd00R/LYQFfBrVNoVEirMsnBeC9bavyMHRBDw/Ed9gtjSfDWSJkJb65YAyrb7 h6TpeNnIjMVwbB9R2zCxEkKOV5jD3mW3J+3+iV+OyY+9HmTyP8/+8aaojlnRm3J6aDu2YKGP/+O4 R7rH1MJ3InmAWm0+8Eo4AjZ18trzUOAD/hZjG70a4Mh62NDBbKcm9FMOsDf4toWC0g80GBQ3QhZb XQ21YC40LGSQQCt+U9mHqIG4d2oKUoLtFh/ytsAfedPGijz72HUGSTOybPY9MXixzrRmehBRfy8T SAC2FkqesUF4XM8LjXL8Xb20kwUHLX+PEgY73D+7gRZjGcKVpzAhmXiMDwnYr15A+v1Bmuy1T5er oxUtlhYY5AzbWDRVzz3pJpNCAkS0/dw4BQdRLtqExdVjwRz3cnyQgtUK7DSEPuTrMxuALocT4BWg b5YEtUKnrG08rtrcfuBC8HCvMRFYrF2pOWKZELOLT5ARWU4mRx+H/e5xGrp1v1KlhR5dukmFUjF3 PaXaXjpGJKBumJsVt2ef1JjYx8U9v2t9cfNQPovilpyoRsN/4dP3j3bru44v0g9bQ+NuLo1O80th iW9ckU+zcbdR+9pIfql490E1zuHX48KfEWSOuTMYmBQ5P+iA9C+y2ufWmA1p6BUYMAkuw78Vn+Dj 5JgFurVdjDZQHL7t71JAaYjlbT0+iEt6+sed+yz806n2JZQfBnfMTZSDgYNJOT04j5wXYus7yOmL Qr3XFXzpyXQaQuDdhye/FohWCHktOZXU2WNgnduJUDWBeOMKjYHqRX9pVNg0ODOAKCKqGsypngYY Gzs/Tc5VVG+eXdfeDhgjiCyRgfNcuPxvqJkcLJ7GceCmS0dq6gCF1kpD6ajj/4l1QgQjUIVLgkst e3Hjjnkms91Q988pzDLtlqCzO9eApTYP8DdC/DcmtIcD3YSXZT04LKsDmxctyfouJKH3HvCodMLL Rhn/TlaQ2kfFHEbwvfTawNNa/TufFDjZ8rxGStu2s+UrxwFpkWKq/jUsnn5WRWG5wA/Z+CZCzA1t 2JaFxRrwTxQZASt+/lq70rJVQntQbJJFy5N3TIS9QnH0VKS2U/qOrSWJxjRqe+2kpd3h1VteeOkz 1/Tknf/ilRvlo3R3qfonea1dxI3lhC0FqAKzsj6Z2t9YAeH6tmqUdaWkhAsANLaJ00YnzounprWL Ej8MvDSc3eXBHuKu96ZNLKvtNxLqq6ilB2CRFzez/eOMcACc/P2ZOvUSHJt/6Dmx/+/0zOhULWFx PY5HHjQ4befbPf76Q+mHzbhRUdFXr61Kh9NYuyxmcjQ6ZZ2x3mA9Y9QptPRtPI1uqedY/7TM77BP bCHYhlxcKuEqzp6BA2CYkvNdMAOOttxaX/TQTKuP8hwdVUl74M/gou1KayksgbZ4qd4QJKnp4vVv unBMp+Nd5VlxidGHhoUk6ycZd+7LYnthNRpubmCV6sdDH6pvCNoYi4jqod635buQYFfKME1jieIj KYA0RIjehyNu7k48TeXgCoYmKQEa+W23fJRi5JljtnTtl8tRU0UeioxwdfVh6857+MHsG46Wi3PO DMBgOG2xEVPjEVIdb4FFp8u99is6IqX/aIbFSrXlud2fsar60NeezCdOBI55V/fVMPmyrVQhM0U4 tcnZmsq+AAg8Nc/Zrrb+1lMwsJAeutZnWD42dLfsnDKki5d8w6QyD8tj1cdK9kIrJswJT3vrSvUu OUmSyzDd9yzTRVaHKCQZGRv36O/hGKzB5f1FwFSef24DLCw4Q5x5DgFy/QzZzIKABoK7gBXRk+I1 +nSeGbYDkQR9wAWFfFX2Y7RCaazJPHRj9TtSQBGmH54wPvjctWuDWYCAyFjiAlN9iR/ksDjZ+lpi AAHwBmdizyutqG5r4+sRSnPmzbaSiTTnYL6PMKOdfyzVo5gcJIMa88w4a54bhcDPpvTZzL8wLIP0 zsFms2lKqzMKg+vN+ayIMOgQa7LnZ9s66ek8jStO8TJLK++n3LLmCTHwEyJq1XaTGZdU3tLL+O9p LWLnC/1MmM8cXcuwVAA2eEf01lfGyNqs9WPHhBk73/5RbUHLnH9Uhh4m2vbmq0EQF35r/UMsMu2W xi4aJ4b6xAekYPCVu910tX74PGG5BN7KwucnozJGUwsL9uILR5EyNtb71pBFb+Lh8LsN9LFgTt1b YGc5pfC46ZWyDX6+8KMT5ShX9ldD2B6dNbna+1iZavN3lh3KnuV9IJuMi7/PJemzG89CPPmF6N2i TUq8amtKKwVUY5y9+KKsfUxMFUatUwsnkSDIqtTf+JXXVX+6nVRiAgY62Ei60VZ8YBBX1kiyXede mUBTsH5aKZ2hqjjWcV5xDwzWzh+DSY94b1Nv/VRXWN2KNbC0NJWuPDBsnlv1r4WbQ/LKb8638KGN Oehx8AySN6crE4OtuiHx81VR0Gc4gtmYfFZTwy3SSnaw8bPz5NSjOdwXysYKsR8nD2qtOKiXnZvj TH9JvcI07PkA5EZLYDCy+xaO/D+Vwi2UEx4KHrWPgGb/wbmogl1HRusWNaXDyPJJn0hVbEFhBG5U 4fExtDBndsSfgSEY20oc87p+8GaYmWYD3lNxk4E8veLIf3ZOqqRqe93oG7G6xXM6uq7/N5zo6UL3 O6WifaslfzKqUKi8RORjOIBuE+8gyyNpS9Z/GpB4Higv8Y+dVnL3GfToH+S43+MUv4Vc3xpnGXVu IitpBWFOynB+COBiVRyVTjSj7Jh2vvUFgVWdSnvv8qn3CoXVhvAdIuq0EO715yymNzBRB8L6bdjI dujXKCPtvhmb3asrx9OHcGYSxhDfZSappBl4xhwY9nHZNBOzh+EkEyZH64JcdZbToMWhydZjUnsY rQLt857iZhB1icWZN3pLGCAtEM6NyYrt7vmVgzCwXeJCoykoESzPtoqOK/54g7NRZGIlXIK+jFzb Yn3LNOvN8kb5/EgN629i0kToMD0Z6PnSKmw+63Vxmx0PD8kE5oiZs3k83wXAk7sahiSqN2SXdsR8 TnEeisP6g+jGo/eAz24N3NTWqPsNGaST+v0I+6KcJLNPbog9gXletrMvQPDkQVUI90aua24TxnLx yWDZ6dHb/m5E+ktIS2G5Mn1f647bt4FGGq8ZAamwFa4zG9YhlKaDplHf44EBHLFKNQ6BK8/9ixJI DW+oLW5MMUF9Mj/bzrwiqq8r3i+LsQLYgSy58wFNqugwFE8ZCTT/5VGeOnV5G1fr8YLbuTv8IaiV tFA7P7DxJcyz2ASR+AWOnQH3P+DRqngUcMFxP9hqy9agaKjq3lbO1z+gRx0IvZckiSvKRVyD+m+Q iNlCiBLBItlkgdOlSdN2wqIfgsJ+c8BkRGA/HbAgZMVItZLY0rzRwv81ECMf65dVTu46PR7nFZP6 WVWKsyQaMhbWXJixR7sbf+2v5m9Zvhzs3Ckn21MTTKzxgsX+sl9Ntj/8UDacoXzc1B1nwXjdcf4k 5nkh6riIsEqVw7GHLXbsc1fFkMxAxCsIiY4UuYh0JutEFSkbKW8cbX4Rr+3ts3dRCHDXFrErLTUJ hDqxOCFWQfN+X1IHJamBuWv1i0wgL272H77t/qR5+WKVIRtCiEzqOdxGJYV4IHsOfQZwZO5T9xP0 o6LRJU6boC3pCAyTeOqp/b1SxirlCXYI/SVM3hEvUtJZE6pgTHxnTuBw4ohMFbZwD+gB4+6Pn0Ha 3DfSZK8YaBpcvBEVqXVJ+pEd/ibeXRmQdQzUNOHe7baRwac2oX6Fdvu5OLKKWgNbArn01MF82U5u EhgspJ50d23QM/UNTi8K+nq0fnS9IpywzX3MFyrevfOknFRw74hLaOyHSeSphW9dIEBeEgcrpWLm 1VLHENkTw4mGgUYKIBY5JoyMrSmlWh9qZXx/PtZHIxYXmI66J+c8oL8QVzdszj7gLJpehgxvdkDJ vHb2PRD4PYMqatqZu0HYrMRODkpuqwJLJfFIxrqQKgJrrWuTzpeyN4IS/wS18xlAEANzM4Kfrn4o le/lyshgeIbDvK7/fK0zDhT3L7AH7odlhlQQ2Ics9vreV0V3gaxkOuKjZF5FnWLthHLbW1SJL3NE NokDqFvfUkHB+lfpizgwzG0EikI9qp+xSyRTM8Y1Pm9ID7hXs8lITqg3Hu/LL+RQq7Ylel4Z2Tb3 vXUMeAJKgdDkL2jrqENjxQ87YLdwiIc4nlUOdOxCprSJcek9WwQJ2Z1kyJ5him0Q9RzXQY0adTXH knFW7oZd9lYrPqGtFHTMCO7KWdorVIyNN/AA9J/b9bLRqh2FNjvY22XSqN3h2/IeQa+S2itEBE28 2k0qKwLldR0j85f6vPJP7EiDKusgY65llMgbV2kqg531v5gf8frlUhcPqXQzAU9BajG3m8Bk3vwK lGSllZ6hpfeQ3gmfWfG0udP5+viRsml3DwBTClBePgBjxf2aaJMNEeVq5hkNw+HIs1l5IcntcghB nKJVO9QPVfCFxwRhEujn47uoIQAzs68PXY4u9x6WttkpaREZrILDYCv+BWuuVMxB6d3qfB3ea3Fz c4l1Jg/hWSeJJdwHOHMUEsErAs8LugzTouqizJ6BdLal+cFLbkabvZtzubo22L3gK7CIlVw6Ctl9 Ea0+X3MTDDIHcZ8gfDYnuh9HNpyNKZS/9WoXHz2OfOoFZpl2FUd7K33Kj/ewjYT6Eu8sfcDUIpsl zOkLxOfTTJQJSt5w7RhC0XzNKsTXiFK4UP//g4rn0ZN/hAnF9u7w25+eSqOlminoCGoQOFl00z6p opQ78Fk34Yvaaff0ZbpX2KBH9gUpHPO11MJ7Kn3Tr7ydR/BwvvoMNSz/CeUc6JctwupZHEolZiMQ BbN8/9m6/5JhmbWQ5XQO19NhXnuLQcFzNI7K9vJ1nr2N1AzEc1M5ZgWc8pghezrEMppEfyY6LBrS SIAGZndzcbhcSY7QJl58IFKcNev7xuOPJFGE1zDttkly2AngzFNTSlytUPnsqaffeKgfEaP9L4w4 nxQJFvvfGTyI8juNdFl7Z7pJbu1fxD7FebZ9j868ozGnGJAUi5ny4GAjDi+RV1LFHKQd8kzTkDPA CCeCUPOX/+Y+3EmNZRdPpZninZLSgfd8EpruCr1IeA2TyDj1LeOojeoS1L4IcHK+u6izcOaz7dQD a2lSaiddNrahre29Qlsletg84DzBWPmYdDA04O7gRnXLgdxjOmoo6ghs3mzBSbtMOlLw2NheAr/G mi+Q5/k7dpWSiNv6a3hvyOVVryOxG0ds5VSHkfTRHWlRgBBjp+dqhg3YNhlnla8cu2QhwZGBCD9N phDzTyOgdU5YiWw9vjk/pUo/NYu1g4Of4KujmSr9wp0vKXS6JWzFxWNDvk14vH4q1bwE1PzvpXmY QEfSbJ7PmP95VRsR6tOQr0418bYhtkO9W13f9BXpD9wa+2fjSD9f2bNtaB5Wdb2AnrDQ7WXoCl9K kM6bV9Pfrg3tepraxK1T7zA2a/DvC0aSlAfJmNzGgQIbNBgXLpN/SdaoamIeEpB86W9a/tcGoC/o NipefdLsIJSUfezKwry2OYcnO3gnPRc//Wz79NphoG1qSoisrSd4vXxLWEfMYt16/6F6Uo6rl1FH 321BvqXsz5FqyLZYmH32VC/GRxuzBbHxrL91Q+9NevdeS3oSu9dggnEQWVfGwexW0aMxnKOuYVOu +tGkytJ9xNVXYeCOyreHQzzWE7VBS7V1g7UqfDvs5NIqbEqM1Agi2a0vuQwOg62J6VH9KLSxFlEO tDPY6rHNZxZmZD+rsYHEzfMijBVIC60KdQ0K49dFds5z/mxNCbP84xlVA4n0J9WqYGJrO0iwUAHy qld9Lx1bxnMBa7qvJSzVfDoVZDHUREwAv2NUwxlsiCmNFw9TExDxHnkS2EzqICm6x2yn7bFQ8AQU MCTWoYUpFpUP5SguVFxufDQS9gflwSPUkarGnf211kKOwFQ7ZdoOo27WTuFd3lXtHjK5cr38TJXE KxMXB0OcqqTc0H7zeS0rN4YaK3ft2YZQuwg2+QzNMD/81+PGyG6kHyPZ993iGmhRRqc2RuUBpeH3 ng99hiSJrAg/8vbIMbMXE301Lt6tGXGAct7RZ47b3X8lA3jH3I2nj4eKZHqhaZQQbpRm733jxzsj VJUDI3IoF9BPjBp/fC9IXjksZ9eVR5ycQG0A3IZV/BM5lnK8dzIw6siiqEfyNDA8xQdmJu9azJH0 LKhLK8ZWJyh9aKR1kCGpHexOt6XaLEN3WZV64FcYVopOGW7VCcY5n7EaosbVxSduRREX5QFJq95X QZ+vkSjYj5BZbuSCcxLhnj4p/jklbhPJrgBj+XFhFsQ5/l6e1P+i2tstqecj7EFQnvPEXzRcNkPT L/vBuqSJZ/e2lp4mur8WEhD7JMyefY7wjy8bxed2SmQ3nMy69VBQzTDsXBRTsnyQmxgIxceHIwrX 7MFrNC+3Lkwk/QWvoOQE2wk+SwwtBSRbvf9aHBdSAyAsyNdePRexlVxkkN/erjqKycElSQesmQV+ 10gnBQLgxYPl8gGfVnxXssYy2pshQodvtIg5TqNdwxU1FyJ7jAnEnXL7tcEqVpzoI+wOfKL/ZEX9 SZAz90R/qHRCii3JVVoGS5TrZXhnlyB5HluXYLuf4sq25jY1TcqiStmA5ZrNA6Ev4/GkmzGVmEqw I3RG/7Uax3C636iAXsaYBuUDQ6pzy7fQRiHFF6O2M2rXFHTaWG6v5U4+4/FAmcAsLzMSXEX5aPDd KNPxaOKURMyb005DAOf6mCoF4lC0DKBrjRuUgZMno1LPxo04St4BfNrMMrj02vbtIaox49ZLI8ZY LM0mcSp+U33IsDdxq+eNkTR3Jgvn1uj/G8N2CZyXMscX+l8R4U7gSxaQNrxFv2avdfICfT6u0HFC bu2k730LWqNxN+guDjsGkpS/bIkV8JxYN+UOBssAKlSIiNewbaRjiEyb7QBw9xNrLNJLDbnNJgyK wencAfQ2iZGDQpdfV/TSDnkOrZoGbs+NM/iweqpy3Dz4ffn4GKiSTQDcgL/w754jRIZR5CDXjEpv m9jcgLCvCa7Rwjb6aB1JO0t8+E4ym0NMu2icabKV9xSNU7wTrYepCIRg1fq2jMksqjHtmRKbrnDX Qto4dGw8+PYvqCq/7DX2piNgTJHpJEJDnpljRjUWN08fDTYEjnK3KVQ4IvKla+7zKtL8dBb2Aizr 3ISTo5y+Cededzq1yyEj4mC9hH16YImoJzEvubDiHL/m8PuyNuzWWsTqP1AWPb0ozhu+avQyvWiR 75UL0wwP8Ht8E1rUc45ZbaL5laYxy+8Faq5Dr46bbU5hHvAdx6RrizW/C+ssDrcTVETIcxJlqeLk ndoT+UlwlOctr4ilW0ujYW3RPGm8duPSNaM4pYl8eDwfklATlkp2ndNVna57Jy94+yLvAmq+IzSK mGugkCn+6FJLXW8goNhMV2IbjuPQQynAAkplTX/BVmqR01mK/H0EJlyMTijIYBRkKBxro8fh5n88 CLmy7R/gm+Q6d3J3TMGVP5D6lk64ONxzsGkYvREIE86l4Lt7RzryW9AiMrCWpsqRRCEI+5VxYPdX ldzUfujBLOHXC928VapXHmh6d2ltkGYFRVJSe3LOK3n1PKeqsxj6z7FgDfMJSHhNy5PHz25RGdBz wlZznPhm6b4ju1s//qOychJF97Ihfi8mKlvFc8HmQzbxyPtmLQD2hvsi9EfVibh8UN0yuT81Mxs4 Suu6/JeHCTxKuKgpQN/YBhLjCDV5pzQThSXOZYFToOWF2aCWFYnSvd+v0UNtstzMBUqwlQj+CRuN p3rFDIjP6T+aTfja9jw7xz9H5qiuLsjO4SuKzkdOg1lTBdxvSqH9JF/1yuleBhY1t9CzopHGEgew RUDB3jhk/kelpfdg2VNYTL7HdMBPxtXmoYVv/m8kw8dWdD4WxnafElPjzmKWLlQb+F+whZhA4+rk uNWes7MgB5Tf897mAeAoRFjivcOPa6vIV33rSLcS9RRyJZ+PYJfO3aZ2hLEd6oN6uBUvABU24Coy FX4A6BWQkNownuxQRTknh5jmEygKwCi3YCC9JzUcYyuPDdutW3YkutPRdHx7AkV732kXASQNMDcN 7io9YRAOveneHVT6IVoI5LSgEZrsSp0F1nPxWdINmjvC7iRrWV5gNx/LGxcGi4N1yh8oLwTa/2fy RDinwx5skaoIK+aywv9nbb8lvbuGLsWYhgU2jF3e0Lu6PwUispWqaXRCZcpomOoDlNMiwU17S7fD qWD1tzFUeA5xFDTx8/6TCxguXsmKfMrJOSe8STDx89XVKDDAmmqy+cT/FvGak9DW6tIq0AC47jU/ 3zUnW1wihins5bPHAp6xDzu+q6QVVlMO9nENYQZiQhYD2rE14LVIFjzhThze9s6btYNI8nYzepgL XlAx6Xi/uoLvqmvFNRSbjAPbVrOrK431li3EFCkHuqOGiS2lWzUcWA1lbH6RNgQ+6XEfl5RkzTUM 7NEXpwBHMAGNfmucbm8Wf2lWuledEr3eV2bOhZs4XJpdYpnXnqDdQPpQ0AFat4PqnizC6fbQefsC jMia0AdpsbjFsnPn0T+aUEb/gQL/qbJ+X4/gB/BcqOE2ZNaVvDBNaKCTnSUpR2F1DHDu6cSUES7X K344l7168r+8x/Ejg/twSka5W17lcfGG7rq7+M5S6+v6v5bmuLccc12+SrE4aU36zI8S2TnmgkuG qVCZQAGafjSsb9iP9TTDc9rx7eiLXx39wHOtIjmlsjvq1SFcSmAaaAK+mCiluMvQVjEc88KpDvmI SYYZ24vI2DcRVX68PALF6D5D7CA6/SCQq1i/eYEKE2CdSG/UvTG7SZioD6jPPpV8KN6E4pyBC8vt D1k/J9fkiYlvFktO+ZXc/XDU9HkL9iTWtNB+2QKJ/Gr4nKmyEtjh5XMzjDz/6C6cF7Or3gBi+b/s TamkIPDtZ3OwPlB5J7hCRTG0xVzdQPgjnWiX8pFr9SkRuHib9pGazztgMMzuiNFIo7u073JcjIVI PQ2wAgPrZlw/8YTkyZmO7/aC9oW4Qp4OedcqG3pavTlRyCKhioT6EHZSCIXKaXpgTtdXC4Wge6wa 7XLFTD+4pLER8F1XlWqe7ZHW3eK3Ef+6XsKOe9uJf32uofxxw/efMA8cjNgtytLDLXEw2TcPrKcM PqQ+Zcuah0rNKymjMFEwM3BhbPgq9mGLxe51HR7ku4czXwpwvtJ/AhxwL1auKP1r04jMRriwqJJJ kcC6DOrarPWugUCNO8/LyQgtVTVOjNjW4nfYaC+fg8rYZcfaftInBs6qlCtRTLjBiHrmlrgdQhaT YcBu6N1a/nM4h7OGPbyRkgcFVqrng1TZS3vn3SPc2kKy2JWFXlVBi7GHYcrpYHw1O34Yh55bFgYc ATYbMBbemVjy/1TXVb0cWdO64n+LPc5B2k8AquL0FxNLn3Xvl7qCtVBC+Ha50H6nd9wdui6U6YuS l6cfml9ax6QeTG8xR3fvICPyY2xnpa4WFrzcMEF5AJPW059X0sbWq1d7P40+5ThHqfub0hw7V9Kh xMY+oqfj2NtTCrK471KthuASz54a4s3cqfKgnnKu+SyPnnMuYrTtiRrvqhaP9uYL2I6nnScCYoff 2SUwp3SaXeGu3BNStuuyw4i9rPHR9PjNnlWaUVBTmvUhOU9aSFbYxgI+JQGWTXFv9iiMSdXcndYe KVbdFG5LVM/ez5+bBQZG9kCBpEhDnZx/8pUT3DvRnANqyLHefk93CTK2pCSi3iGkMgy8sOv9URBX rk2tYRNcIO9pAGIMb9o6XqIIW/ZVFSluGZ+ueb/K2d4fmrWw379HvJAdnMrv5+e0IZhtAsyGBzFI bUKudWwqoioPsl1roJ1f1/R+OwEHFzxkmbS/c3AY40N4MQL7hnbRWVml5+1f8zRyqY2gggy3MF+l /Dg4ICDZNz62Bm/uzkT9j8cJctco5FFrVPmTlvaoecr4Vq887GSKmHZdSJ6EdSlhLdteFVNnFtFn pTIO2MRYBYfbYobQ20KeHQTrH0zoQaDT64AJoNnQduMZR/I8U+72nUpHUxFkC+uiCkRlWFAZre+2 DstL8cd/NasruNFjGDZ7vjbsgq5WTbowITOMo4F9yhOXlgXANYvIGShpxcNz2wJ4lJRCoATgac6E IZGGvckjebO7oLzeNc7EzScl5R1uN+fJ5C53V2a+K3UmX72/if18LmnxSH9kv1EHGJ3AuElwTO6P NIMYiKJ/GqmVr8v5Bz5JnCZ7cEYxUSPq4oWWvOMr+Q4w1FxVq+4m1ZCYlieklKRB9SfLtJ2LWvsK jRYfSwAYMvE7bY3CF7gb6+5Xoe4uvi3u1ulbs1qgvMpzV4bq6vtZFKYGQuJdQUvULIXLiRrS9kFE HixqQUPv962LRgjHhRYx4nwOaMRXnhYaNs2RYykUkeESlnYiVvHD2kvJuTq52tZdb8aoeDJZj5e7 Fa43F1LAQUf7Ex5zm54H90OysNtWPVq38WrZv3/kt+ZfiQrjaaH8+TUcR1Vbip/VHXrQF3kJFkur DbJKpKMelHounqsG1PsYxDXk0H+LkL8aysUq5yqA7Bc9CH4wo/X5wvOL5xnVAT17QzEQ++DmQ/kd BRspNog5AOY7LeApo3pbN7nABuEoW0CepBaRO6YzgVEctSyfliTg07sob6mFMaidMTMiILfPjAhz ieEqCPxCnVtrPdhjFFH3fcTNUnMQcC72a299F2pcDcLA5um5++E0eLJQlGZ7E4xz6rrdLP8HodGb 708pWsWRXHyLtgk1X8D1BHRo7Wj1UKxzAV/lCMxItLfp+B02rzMDRQKQRF8OGahGtqp+nJGvINma 1wpqghfSARfp3my76nAUZu4aRHcA+s7rmAxEaY/4jDrTaZS+aLQ2qLzugOVFbT1mG1/vCT5rdwis qWYrbU98MeeclAVmXsweJbcVfEWUxEYL+gVxVtRECx3dtRqtMBkPbTpkfZu65xUxtNZoC/+4s58W KudGmvtXekivyuj2PVleC5obhTHTQAKK5j3LkpYxhyXOdkhrZy2BVRMIalW441GH+LPYUBS6BUtg p66ihl6+wspKe/snW9l7mWGXY3T3XM+AhCLjUo5F34ihNPJwl84PvOS7WRZmT4i2Pzd4IIeiHNMG IjBzEOloIbHR2E0+BTo7eAQGLmFdABatMcBHn9zNNs6ze2zSG5lhZYu3PQvGs9zhPxnmJAk91ZYa bbPrORQPlVd4pBicPQdvFj+mSZptoGshw8FgeGZUt/OVBybVfxdc3VTN/bQNQ1FkinvrTZ/7bXe1 WUOu+GG98+FVownqjsXqEP0vvOpuRNbqZGzAiUvoTx6kOiY6dcquDX0hYPqXCPDbvBobcODxE3IK 9y3FphxZzPqkshx3aXJ7osOJQS8aq/bSy2xlQIPMlHnFI+S3IghOKi9NpsUYG3zTezlxoVtc6qoX 6Kzo10gU8QiEBn0yqKtVOYj6CfCPr1wYfLRtXGBRgFdU4D1Ry4b9T7YTdpDi1n4/zCqf3lcG0K3d /bZcexIm1sstGbCfYjQmVBok98p79hXZKUD2/XVq/rI05ExcTpskj5q+QE5Wgay7l4ADduZN3bhj PeFynAWvc7N4GLh5RNi/SkoLOczE1KNXAPLqomUYK6jYSntgbAzX2L51LCoacW23dCI9ROWq5I6P SWnjmZuDUl9LSqKQURCK4H6epH6l+oBG5Jp3FFkmmRutZCZ7YJM0Kyi1zvhmqi2arqKUj/ABOtES LapUzI2mnj5xsx3QbBYcFdIwWzm2m+WgBv4+VrQzam2lj7iGBsNOCS3vg3z6N/ft5BcmD68v196t CDTzky8jkudQm1Nyr7z6HrqZfbSp77ECwTAnQ+vchf82ms5QUpOGFfYqOKsXBSTWB/1a8a66AXRx k/HgVRnUDcFfxOIMfPjq8CdeuJhNE3yQmBCYnAn/reCtLG5JAXrsFfUPv6pDs1+LjyeaFdsA/H4E oZ/eaD4OtURxjyCqEvm649SjbX+i4r6wWoDK7Yt8emnxAB9/xbuXwai86XGaN4G+vo6Q56q5f//d 0fjFxPT0rOI/hd3C08hPy9J8hfuo3obmVTW2c1zvFYmE2l2n/ic7nhanRdKXVv4OU11J2SMg45oA bXShHgriiqRbZ8BB0yc8KPX1BGFBJtEmtwfIU0ExSTyHPq/R4WNvscig6Jn4N3VCno4Fwh/z7sXx Ui51vkD/c8MmOVxOfYd6mcfnexI22UAMqNb/BnzkVBPhrRlFoJIpGuxYnUz/SEZfjTFD8KzdxeKI 4mP0y87kkCyLhS2RnA9nYvmcvegBwGSfgsRAM6cKxX85nbl1XKdeewkDwKYB3WLyxTfV1xrIIs8u m4AXavT5R1WoLh871/c1Vr4MYipqumAoRP41HtaD/LY0Fep/x0hlLFAMwLrOTMeVeqJliQNNX+iC CKIY+6v30G60AH4IsrjJZOrBEdut0/5ucszT4F2u5XmpYdYyNNgC5Wa7tzyq8NHmpZTdsKoAzkPV oEu3cuKMoikQYuNfVfkW3WwXNwXgxRszanY4qccBEQXNx2ubkxr009JSVFZGixOrXdlFHQiwpAoo rc7ar4RYV6bJi1iFOCxy6NYgBTNVj0jSruoofZiu+dH77j5+ppGB1xPLOaD3ZpWOYTQX9Tsl5FTK HM0tuzKhMvUfSsEp65KpAsMSzJPIsFGM5AHHctD75/Y9fIUTygM0E/vzUNpOEvEe1WFYXCFUM3SV R2LoUX1gsDi3fj71lRBhQgYeYWH4rcpTwPw0ZGhCxfx1CKyyfl8FN86rJ5+dra0pTyOeHD3/+wqu 4pju8m8C5L0jw73ARN2O/f75ReQ7SAENpxvb0OmQ4m08A2ytGw59iMFLqCULTKXoWoTdUwIdH4kF 0PkSW+oNuTDmIRfxwLIT2mFOHapC+n+oRcLzi1wvWhLuZ9B97QInuASSRXoU/+ZbU4YtT4AviGy2 Xk+fObnp2Z/WJ/oKcLY8cwfvqggAzvlqUl6io9cUgO1scLmPPQY0kpx9KOQwiIGhjMtHjOr0mkYc LCrXzA3x24EpzJzPHRZw1nP48y1bTKIE4uaGGRlw4+TeMQ2PUwipU3XHnlMDyBggN4OT6BWdJ2Dj jH9BrbDMxG5nkmKUhxSO4R72tY3cDslkZVxTSsaoZlN2p0uZ2ATszYQoBYUJEFUvYU+t7V/lSMGs 9m673yYX7srrX1rAguhUpn7nyx6XGVXNMAyOUetAKJ5yEmyL0ecGz0fB9CjViy3LLeuKjkfWJeKp cNaIUsACqBF/TU2UsFRS6nrAKoCzQvAJBV+hOzk0ymLUxlaQ3HmRomCfIEedxdZDJpniwVvancGe 5N5KJVlJSt7Lw5p9o267ERXC3PwcSmgr8iCU6T4EyUEx1vONg773+QRcPMrU5WqVifdUEGQoLG+U 5rYjdq17BQBNpy453kbtYSTPkaIkwZdTq06svioZAppQYat2XvBhkxNhq69jbaoh42b+QZkLqPqJ bmr62Kk0Xd22uuVaHjxuqoftAEu9FE6m3fMqtQIwg8q9P2D9j2ucGDzUHfQIQiCtSSG+DivYQTzx ZBHsdHe26am5bOh1Sq95KatjMz+iCh0kxskx28grEhsxbjVoBCXvUlv/t+1FK2+YEJigVgGZKSH3 Q2GCep503V2qSzaE5krIqf/c9enH6WyifC+LoAxnFhj1XhthGL73CE8+qFZcMeEGwWQw5y3jIW+M sjVrCLK0C/4AzdjW+3dRgo/e55tR2/9TIu2uLp7/tgRSD4knfr6SLsTgSJ9weUhYoug4vQX8sY5Y piYFkZjWizrFECWMyg4PYX71/E35q2bcmfWEuz3+Cp5ymaW0jxYN5PGaXm4dewUhGiaICu+5rZEn uDRvS4DQwVjRtEJLaps4wGIKjd/YKZjKAhZxQzVb0SI+LD2+ueiBX8nyvMgiEitTChS8oqjkKeQ8 MALKMEFSMHc3O33+uivejviMcAvdgaW9CSjSWBtvqP38vfIHQ6hoQwBGVnerElhq++FxGltXX2w4 3asSG935c5bm+Vg8FUjR9nzTQXrln2h+FTMPbeeXEtEiYO4sPyT5hJXL0V+US5eH5ApjjmGt8R+W iG2d/p6Lu1d5tPrVieAX6ElRQwfXZUm0Gw6nLQbakCrULQzeYf6ARehspxRNiDdn9pvTK5yw3d9u pEUQOX+UKUWUATAgY8J3g74+x1Z2b+Au68Wr4tN1bcckQ4KlHOclHwIGmKfxUg4l3DHLzT0Ru0af kQLlqJ5OhE+FTrnIH9TBpPukEME1Iptt/3Z8e4/7JMwv8jJoTFrGYSFUNqpqdkuIEAr3ArWQNd5m jmK5/ICNLRdvUjYHwN9NfHwGda9qzKbBd6UltSGb2aGFp1rffanwPp5XWsW41azTdghh0bohMv7r RxQj5gHasgLgc+DG2plZSJphg9z4SZfJ6UHevRGRMyKs/C+JQvXCtNu6CJo4UPusMKtrMvzlt7Px FTwaXASUzcdjo9HKAgfyUrkLvZV58yqE2FAmXiqrWh8jTU8XkrPWaKhC58kf5tY9E3GPKUeRTwrJ 10u8NGd8CvAfpg3nYIsZ5BFZHcAcYGjNzTlmRvbLGqqGBicshbcbojJsL7/Hn3zV0X0sR2xaETI6 80MFUoJcXkFmDWO+JdFGR0k3Fqsl7fHRZ8PH20LxN8gyVVN4walNxYV/PVKD3SmbcCw9+hvCWZuF Ca4MraiutTcUcNfU2QQ2XqXFh1++LiFY/8tm67albUISSYUiiqPCjLAkFUyN1vIvzZKUAIpP/XPN Go0SmvXgunw09yki4n7TKqnH2+c1ttcnpbq91cC0CKElaWvOm4KSgtRo1cZL7agIK3LHv34PgDbq v3mPCCP4VPFQDEVlAlxP0OWZ6L7bPGaKTG/s3N//VrmlfaSO10dAjTM1nA0yPeOsqUr57Gx3afJD mqJ4WVhlhrhaapOM36S5QkkQvIuRPZTbr0CCkRHe7ROx+MP/qhbQ7hiq0V7cbAvNqJ6TBkKHR/LZ d/VahV7qFeAmRklEdEn9F8sxCxHuIpur3SWjvpIeNnmN3YtX31H5e8EKsJRGhe9laN/Iefk+MhRM l/mUfxZdiHYoEwFX57LhKr1Y2LujvtpVpuDGTCJO0Y/2pME0aly2pWr/OVNNwZ65j/BekHo8sVDs YTPOobnMUxK50/cI54G9k/53xB+4l7CnLMptPY0RFQVdxgSEg+Az0nEgfqIrLmoqY3Q1S0jUt0ID sxMP+dZD94XQRqvTLHp/0ocZ+MGz8Dq78GM5DPXX9Sf6NupOEZY8ee/aPZCV2FxiP8m8sCl1bP3F 2qSDMbFfd0iIoWtxka7ioihdODLFGIB9Hd8uvv4lRFPiTSssXl+0eE46OjUW7ND+/DBzl5MgEwX2 PFjGkBIphba3WBMTQXwu24ebdaEX4pS/aeu36GYsVl5lhq+ZFb8WL3L4n4NDsY2ThEue0z/iSGAp Dp3nOm1+lyvok4ad616b6cEmUE6DDgcU4hnmC//T4RL/5neoxXd86TZXDiseXsysKCzIgJEAJ4eW 5YozPkXgD39WRxrBjRQFTjeBIaKmarGJwte2QLVqstyEd9w7ntxMff4vScDPE3DHX1hp3ok1jFLX ZV6sI1iVz2VPCIZvQ7pTK3wdkjhjasTIeZRSROvnXHj50RdL/R4sfCYips9zajMagvoXAsK2gk77 KuML3mfOW+m2mMMYOIl4SfE29BmCBEtHV5fvdZp28Y5sEVs5QkCkTTHJtqoGffA8dC7jbhQS6yFb tMP/N6zzGgU/ZjjwYVqDWPgPk72Xwln1+TgMlcqCuVcO/GykiBghv1xsDwvWdhrCHCFMOvnU8i/b aWLbV0zqZPEkTAf5hwFV6UqH0oTwu1HE5xc/uQrzmzqUesdSJzatYOysrZDlX7yAbnSagoIWDfqp yFKkQOFS6ppBCqPROgKzZqtBx1LtziFzGavVnqdMhzJ1p0JuEEQXwI+7YMy1B18GZxt1/FFDyxAj lUgDd+T9aCa+IISAzErAa+I/x2lvuQeyyxzsa6tsKlfQL4mbSk77bn6oS1rNT9r6GsvevNVwG4X5 jn5/OTtJSjMlvy3Lm1S2MZQ+0lLyr+LQLFdjXU5amMUtKWVr4ypjtmaqNDfiFDRwXy1DL21yGnZM pZ3RrxDwx4CY/DuSsbTHXWqkTL+senRxKU3QB39MZGpVNQ/UylP657ogrKl6Kark2i4LVaaMYUeN UbegHNQRcvzutsR0Fcf5+bMXTXY8qCRXNrCDF0xshWC00mufnnxTXjH67E9/nYsJ02R6GQfYy8g4 aIEdBTAKn+KoHwEjH8WM0MjSBB3Ceof8xazbU3Lk7EApAV+DA8Z2hMhoo3uHFABpArIo42xahJhQ quBYHii9C9NR5neNdQLIw38HNRocUlxCf0c81ye5lJpLTt0pYeSnA6HsFX6IUW++vhsev/tHCvT9 76jD8rBWzQooVVzn4Xh+ENrZ3TrXr0XOqIlp4ifZn8hNI7NusrPVh+DN9w9V1hSU1Wh5+d8IvprB BuFKeLzi9rcr1/qnvxN/FyFz8LvdPeDxsAT0Oimv/mtPrm+a1wUfrvnBQ9kaVJr+/P5VEqvcOcXg tqh0aeHSvHHfF6FkTUndNEu4LMDKnNzBBXZOB5lyT0g8Et/4e0gEvmHIEuQpNz+x5lFEP021T/1R vYkdJG+IwXj5acrxMOQl27vWVg8OabDxWnXs9WUNF2FAKp6TKF3YawrN8OXvZO7kcfX10tw2F6Tc 2XoZ9u2o8Nm9l7ab+quv89YD61dlViQnQraX8cQDKYjsPp9IBjjA5FiCAvXzAxxff7jK9NUsUKTl cQNwWrl/M63N+czctHo67u36SQX6LHtCBrZ/rpHEu2QueKRmasIPgxIOIVClKkq+x4iy2jW4xra1 M+O+GX10ZHMRG8C60knnegjIhqrvXPDErfj9HvIaCxmIhT+qhCUmM8Y3FFwLJynwZqx+sqYs37o5 nyVjlsEhQ+ayNGgmr9lH3cxeTQhl2EcHBpT8waWeUGEfubXoVjBJqf0H7q9fCWkYM+R/jbePyS4w Lh8HhiN7hCU/W1kWzOgI4jaLXaWQtbiKjnR35wDW31+pUVgbX/MUt1moZgd7bCPTjrb/G4Kk737H u4Qv4bHNZBuVC1LjT1ZMqN3UoZ9J5WW+lnZCICcsfYd0Ucqhd4hkNE6ozxZEf3bUKFFJsy+niS+H nZPkVaxsJdb/mYUNr3j0QCqDcLOlYezpa9gSDBp9MJYsZexDtZdiRpVoolZfUT4DAxN3BbyWwatE ALERAawkULQloYZqt9vjS4Wd/vN4EIi6mfVwsNMOp6XWscWR2vR5pK/oPFZtM83ZuGyYI4AhJGqy XbBDAmFQlQnE9c6xYZapxyPdmOycbB6m94D4eZ8FOsogc2cwqXI1J/iyv0t9fdpwXnn57GcswGNH ++RzPgYp8ftNOO30EsLLdSjcRMGH3jLMUOhJSw4urFNfwohs9Yc0o7QJx1RTrsLG+28t9kwF8mMk rioV5Y2D2IngalbMp2kWy+BK2NDjincrzb4LMI3oJqYFgZvoFvWcfBHAQtSnVD/WedmDgSziDcEg UNbCXgKSBNAQl3QK/C8velxumxRImorqeTMJI80Y938f/8a/E/GGpHNJ4PzDH8vDzDoRt45b6zxQ iHRHWHrYZiW73edrb4oI/WeLSqkqGBBGXjGd0zckVGRB22jJJz5ewIkUnTGhSPZTTgb8rRksmhF2 bn24PQCkr21NC5pdMMxz2b2G8/S79qmvQoJ2sdCyKmV7FpJqu+F+z5PrkodTYC/w1rbntrf7uXz7 EM6zUywoRSFpWaRzjzbq6rKQw6Hhfu06efw+/qX2M+qYDskpurUCHJR3wY12iHO1go6cW+rr02qH YPS2/+726hm86hw3DGTL7ZA9mQy0s3+jCnbgIFKDjQR0d1UVoMqQ6Vjp5gz/mzLjB0t5qHmMT8kc ikaArvEVQzNqYsYuDSaY8AfHVnRCwNaxBQB7yGOOJX9SEx4QQepv/opVPxjXGdlg4cVaiPsqNOzb THeHPIXM95ysnvRLCrcwcdu0AtfMm8pNJvmF/7L+RQ1IfEg9HN358/3GYLJt6NPqB9doRyQOjfz9 jVk3gzu36TzTpmUZcLskOvOb9TYB6mjw2/7FF+l2/2XYhMTM9XQaQvB8jLLCRaPj5tVdfbm3k1yG n1dQ69PK8shiHSj/S8SYSeP92/D9Od1d8WyjAmdEMqlqVbSGh3K4bPnFQbwai5FdbH6X9mgld7wV 7+mUvQXC3kT7Y2LOfWzYauN/N7Qrf3PBKyHAgSVH/x7RmBys7pClgDjsODVljYGpLPMwl1BVnWyv PmXfLNbWe64TwwVDpgkW8juEK7W0ApuOud5pP88gXgJ/fS4bPOAmgf4GaEkP0fYKbdvabiOYenQz sBTKSKJbXMiQ5k71W8FP2nZk2qCY8nVSuYvzNLAe8XVduwup3FCNKc05A263Mh8pUfEdP3KJzREz k1F16ABMVUSAE6HSam63zLUqxLT2QVh74zyHmppklMvMPm16eGfiWrNA4av2t8m06nEvlK3kl1u9 bi9B60CR1cMOtp71ixF5SQmBy9me+RclHb8UyYuLMayxK0Qqywo79Hl/rilUulb4pqHG3Ky18jl6 aHwtqzQTbTlKVo3fH9LMg7A8/9YEPfvMmSngYCHobEoAgUp414EPrqvYENq/dkbIaxSDtEpCRPuc /IMIp+wF7TeGd9EUr35qGvK0CNfQDp5bYKsCInZQDWI6RtByKdrb/JsjZTA56fx76chHnn14CF6K nRcw8FnCq09sQSS6ekEwY8Ny+GjzeHVD9lNBaTxpVOGmnubh64Soelpsyqqf4OTo6FlQ+n59jWC5 3vndOzbVUx1k+t/lapQlzUiUgH4dVOUmyrRlMFLFghIYEXxa4UlNfrozStfVqtUciEaFwlfZIXiK sip6i0zrJDEROY+hGgFV1G4FAxv5aYK8XfgTZR3X0RqHUt+0YsAEHS3zBjf4p/M1bcQfTgjUICRA 3jEhJRLIez23NFv6ZnXfuug2V32O/uLHIdDbB6rK+JMDfm7G+j6KI3ElDVBHicm/dbuIyPyKIp5f i9oeFuxktxYhpG+GH7HB8y/MHkQQ6bXN6b9C5QtcJXkUpBmJEMDuRYCTCaDguTUr5bg2tvn4ZeW3 kEaAse80pfXI2T4ORduiw2ygHorLPxjDbV9aMdFAugaMhWzIWAL0NRAFUThsaANjFwosbCUC1JnE TA8v0KRztLajDVR+qdgDaDKK/pVQPgbKGeexPrAvq/WLFOqIcQeJ5+AX313JCdAjR0qr49EovJHS xXBJe4MEiQF68tjDlzyUKC/86MxT+lSDhLa7Eo3iyg6Z6TW6Hh+opxUdxtxHbUL4WTtvP4e4YmgM IpxHekafj313H7gZK1t11PZ/isL+AysyqSXq86vDet4j69k2pGqzmIsAj7lK/nVdrG4gnDgfiXrh YlGIbVWj8Rqd/WRuYZc9n+gOZYoxa+FVhIsYmWz+T0rJOSVJVmDEEXwl67TaIBChAL5U8z1PG/eZ qpKg78+i7jmX7Hkw2eRL4bBcAv4iwHo5P0tHYsrjvKnU7WRIqYRqFUrxqkINYIi3JXM/cgCDBWya WYXG6P0/OkDi4xOHLtAlluPka1fLkI7q+M582OtEYDNZaMQi1So/kP8qj9c1xL6shCINeo/Z3jC5 1YUdhWst8Dv+6vmF6/etGLL9QVkCAD995pY1wK4QRqEQNd2zT8Nq33Uj44VqRGiC62UG7A7V6YaO wog41QXNrSGrKNhcYxmp1N72n/GvgSwNXjGaSpFvpesgRIQo5kwxQhSUFSHlaeHVwK/efpziDWsb P8xx0w6avMdinnvL7srlYEwcpdwnApG6wmhTCyRe+iR/Am9cPPdB3JGwlMZ+JhmKHAX+OATYVPoy qzYCvvk7isDXUiQ6xCED73KTO86fy4bEVOL+dH2uUZwNdUYejh/aHu0G+KR84oRbjv8/zAih9VxK cjKhpV4AYEY6fRp5nKaJYhy8H0WUz3PbxZAutS79NZ1H89RkqF+cK6a2zK6qPV2i56urkmhX1Jbh hKWduxE8SV8IPN1HZb6LmRdcLx3q7Par//IcW329M6S2hqmHGpKjFtYxQqCUNlW1ZnjOPgDPzHfs hZGkLoe2lTH/pCCK2Ao9FTBzYpynljpX6iS1kVNqmPD8Vgo9akosieE7x1J7D13//5mQ+EtNXZFC VAcdOddgGaFJ6FgDmgfgZyoaF16mFnmi4O1RJttzjjZYrldNGwMyfBLZ1QUgliCVo9HbfynzLdPQ lCSP08qGecVraf0hsicVvqVh1SmhFVZyaP3DrD/FsGAsscRI9zlWcjnRWobu1O3QUqU1kJNqeWxc +zCMggCTjc+zepSG6Afy2PYa7gPVxGSMU4oYsbTze58Cb5H1Qs6zmVlNFJiyncjGKvIyWQa8FKAH k3H9Lgfb/3mzBg+6UEv8IWGw4ZDzDmRdVdBj17lUBrpcOyimCWKs/NmySuH8xCpf0G1VQPp07Zz7 Lxs3C2+qLItOmcOuRGqECqnCB3DBmLugaRMEaxBYH1rJgk7GH0wZtWlrt395Xcpl6ae5mS7gzZ9B qdEz+5ty/Nf7G8CYqgnutsXVfZhMATeWTabbjV2L5FVt973rwvAsSMyto2S5s5ejDYjFN5V4yiHv yu6yeVPSehPimjUGXPVVnAvlVz4p0P23w1obusz0rzZi4fkZEFZVDWK/cKEDD/wsI+0bDnF1GBp4 ONLRzgfsu424fonHCQ2PFGnbIOFzj1lynhI9vKHZFkZpqyNvsVIM3xhZjpm9ftLsVjkCCE58ZCP6 2joDW7UEKEY/Nupi1aOHYPNM3raT1XxMrgCTIKfLU3ePxthuhOqaEMiOgnPuF00SumFi0yBiGjJS THfvWFeUiWPHTpmADal3I3Lxd7l6Kgq8limrXQNrjbX9rKEBsYjggn8SE/Zi69GA5uOUHLeiJsEW vPgWvTpvcpxkwJ/rMvK4niruWjZPhCd9lIPEoH7Iv38zOh+LCjo9YvH5BB9pmrAy9qOMmH5SVkHP TLyNV+8lq4/hpU+6SarL1xmvi/HxNY5PF8EmB7+gMmfQNsFSzGi7TbR3uqfIei2Zao/LuseSpB7L AzPyDH5U/Mci43TfdgHpiiY7Trv0PyA+0KgmVGkQd1L5cvDHFt5HBX2p2N8nCdosvOzgsGCeqO/m TC00SlVrXie8M7PJ9hKsQ1n9zZqkCWdtZHuDg0a3QTAY8jgJ1zvt6t1ELBGBT1OUq4b6q22vz6My /VKPhyBEQMFEM4nDZhG1WOhebYL1R718bMv6EkxEGZehE6hLbbLoW2JYjuvxS8bA/q8VodYcfSkS 6+v1myxXToTHfgoH2qiFqE56qwYAO1eC8NvDNOUC1qkYxdkB40h3g9P+XS/pPsNSqtkcH0paY0Cs ukaVs1YtVAyGKkijXHRmEzmid9Av0jvh9UMXhNFlh5V+vlO4pS9Pjvo7o2zgpNv99oSX9ejVarQr cu8Z6R82pueSI9mJ00Xw73t8H2WmT2RG1eGscQm/HpODhZvHhMlJ983IIqGZkqhHdXMxA8D2+jIv USYo9aTjh8l1CBsow4vh4Mld4L7Pz9SV25TeNxOzaU9d3zOs90b/ExbLbVw1bEnFyRzvxkR1xLpS qAPsmOg7SpAwtbk3itE5b+0K8tO5dgrSVe+Rs+S+WSGcqkGWmHjqoxGZeoonGNSnFhbgW2w7MOUr VdvcFyDM/zJmF194GBmlCumwYMo3EqfP6jzmVwfTZ8rJVxbSBIZHXXPJmxe0vZQbW43WeWPYmj/l 4CHfXvC2kKXfrTE94nyRwaBBahBtm8c+Wu/dLEQjmWs2tJ62yxfHI4/VrYWkdAKtCxwOVnMbRFtN HSypA/V8RO136FRARrDxPXCBO7vqlHsjeedP2/mH4XUJ28xOfSvq8dK32SjULD3x0fsEB4U7RMOu v3CCtGoAH8tIOZRGFY9s6AXFQ4t1vawz438kVnXJ8rqjtWphfTb+QOe85a5mJJfNWkYGddW2d9Yg 9kHxLTCOSB04uQPGbA9Jvwv8uBwKnGQZMkyTmrQL5vfhMHGaP0mvBrcPKtpepGVU9Y+DpQBC0cFp /tGg2i91RCIt+Y9VjU858U3pDMLXpqKi9DvCuKV1MpH3erc5IOwOzEewp/CIHfgLHjTMX1z7Gc1Q 1dCclYqvpIbT/6xgwcS8nfe64bf+WOYwjfOlOtyiHIbkcAP6GzRBfcUH2wbj0JGAd2QgCEzMMMPz hD/Gsp+74a546JE6Rvqft+iiUl/wq8NIXPqsdDIzl9S3HEaYEEx3gvSExT34+TFtt238SBZMZoAU cOH1dAAmdIuK4xOSHGFhH1kUO5rbUSi6jCOZY3v6vu+2bBZxbKQmEujyjfBKSGU+TrReUcFJeus4 RV/TzfugEM9RZgmPHW1AxoHejZO/VxkOHqpeAXB8NvRyZ7QhhGH67FHv8yfZj9ubLHKy5AiLbCi/ jZXCAXxkpncJmxc99jTBtQikeX1ezTTrjVtZhAgbtGLU5Hfyn6+dRd8z+7JYhbL7PeHukU73sTgU YEgnBSGC1CY+hNyGg0QU53Do6cD18Bkn3lG6qkj3MozDZHO0krKK+pRNaNOOQ2GT/L5yoeMAuM34 yj0VSkNUv8k9qShc+pch48qpYp2J9CYnQGPdS4to3rrbzB+zw2UO/EeWiDnvaEkQ1V70RzM/NQ/j ufP2M1GUTZOZzRQYlJerlpnDWSsCfEr4/dmIl5PLiNwh4FEP3FgH196N+6ne64tXYcphs8b+Dttp jRxxUXYrErdwPJ0flcQ+Zo6vfrU51m6UfF2Ml6r7pEItOLg8BD9z7nHRVDvtSpxtPXqT5vdBrmBW axlUCLXaNlUYhfYAPZzOf/0CVNptQ0iXZr94vzYbwUwcyeWOa3BjzVDdjcZSJhppHf2a4u4ItiVQ PPiw1ToMBoObtVzoQ6bS7uaTB9CqLEZzJgGYq+wDShz4EiazKVVmAaTffXAxd+YV/KDVqyL5wIwS crLv5sCanHtCnoMn3ff/eLgqoxfIoaCXzoqOCNuAyfueYGRLx3fk4pN9liJza+B/2WeugMcsNc1P HVf0bhBlNG0UEZg4Dklm9hA6nlwU63x2lnEXm3JpFU4NMibGo5hVDY4ixbMq3dR3vFqFhjLdkIKo fqBbiztQ1/DensRBMLVLugAbi6OamnOcVyl431hvyZ9yK0eye1fSCoSmiaK4pw3aO+qWgPX44PP+ jheZyujwAq9RF6eMBsh91YOXmTTAXLRh5XGgvFai+IpqJKiMr3tbOCQGe9+Zv7A91uKItfWFLi7h n9AnL7b19u1L6m6R7Q12JXJUQ6UUSfJgJtTxAW1yyh2Q4VNKwCburGBcZJ9ovF8w6PGCrUezHuiw 2uOcNL2DfY2H3aAqETGaoZVZUptUgys3Lrd3KpZifY2FC8h/AxCWYDCRXGU6jqJTAnozXOasbkcA +DGyQOPJGWqv7oS15H8rdlhYg9TQWK9SQdUXTE/1r1nXGnT1c30diqbpxxGRCtjBqI3OEp34VSbG 9Xs6x+Y2hXA2yu24UgJh5KFyeKLWWWIHEuC+CaCyWsq7qXvDYXJ5C1tmzMJs105Fp8M/4Lks6htT Fri4TE6kz8UtN8eTAYJ1yQ1+Lcr5x6cCVV1cF8wH3h8/gUM4sZfOSavmUwv8doUErnOjQvmyyltI y2YbSCX9YEKpFA4A8G9ctID4tXtR2YAM6yT2PbAzX29WG9evMmeI0FiHK70KZGIWBZbBZUFsKaQM sEwhVbRrkgC4AfNr8Q1C5pB31wrcZpWGuqBoBmvEd4/WHkwcWfu3NIGk1sl2nu5akJiQXXzF7e/T J32mrh+eTsIw42IlwHRGZfbzMGzc8UuR9ygxv9lRE2m5QaTt6fC63Yeu3HqyQJYI4FM9nskV6sv4 hL4PQAYnkY94mqDEhgvKPpJcYqISYlVsGG9XUVDejE058X6hYwDEyOvcmtbbKQMUkvoUotcLRvY5 mVtqZT+jQ9w3s03Q9pRtMDISXt8cU7AFkgpnL5My1O/YmwQbPwVcZJDIqEO1yQIKKf20SdfMiljR tiZXO441whz7hUd9adxlSYP8q1bWpmPASOgVca3uNh4EZySr0BY+z5ms8qzC//IZckX/5z7Kofhf RqASKqVAHbfyggusq+CMWLzFpOohFfgjRDUI0WqboLIMUxYGdH6Y/UQF6E/qQC7fpNiWygNAED+t CDvQANxN601dVBYIu5CVIHX0p/8zplxha6iXOSWqSbozkmbGpssyIfu0sfz1gtFfTCDIwZite9/R toEz+HrH2UMOO3ElBMlz0MgpneCZXXLq84ci0YsfRBgu3ElapgHiu3IqGYbqr5W7D5Is0Mtn8m25 Z9aXo4IOa9WiqDC+4hOYbkXvELRAlpIvXRAMaYcKXvpbhhDoFWUShW/D+vWyQVesLQdzT1tMrDaI y2w1VtOUWi+BosyLxNmcLFSy31b9t+KabSFZdVgpscbv4sNGVsSr9utE7m+QnsH1FTDw4FPR2CCu shJom8hlYaqiH9K/KKEW1+TYcrdXY8vuCThQojH4iqFksNkQ0kX1BqwxxTOa+IV9g0SbM/rtMILM 9CCfQElhCoTQxfTbGCPoWYVFcio5pMH1MkkIsdWcYtBUXRYncWAfytSoK81loWCRbS2wz6G4Nf8L Yws3kNZE0hGCErvzesVQ+l9TJFuun+uWyrpuLhYbTdeQUD/dtbaHLI6evi3atMC4ernhr4Dxrp+m ZxPaW6vfJNP/2JFh0hdGUkN74iIwatf+sWC0QkhWO0TqbhTQ+CfO5KeqnUwTnRiGb9s1V/LJINRM JRvjVVXeE06efxdUAOMGsYuclAfWyqp70wgTzgMqSkv3JcNenv/rTK6IMCgGAXRP1qpQS8lfAg1i AkV7nJe79NlVr7bYIlc6bxb8CI1+snDTmYyqa1IwPcC5wVYLvg+MCTDCjZH5afqcYjLaCglkqhpk B/2ylGg39k9CsDBlyMIAwwYVU1KmWsA/WHHeFeJuJl82VGXS9lTLV7hXbfSaAkQhoE0iF+/ZIznG f7B3mj0HcKrYt5qzr6qd0HwHRolbSa13AYvWwXEzGEteTGKGxIYHwsNbYqZe/XmekxaHwjuXkroY MRUqW7mjwkKyEY+GvElYa1Pp10BKHjqQkoMMQTs7StwfFtA9hFgwVLJJkjNitCulUpqMxTFe80dg JDcAcd/ACVtDnU1YEW3DucKayLKUJprqZ5ARKcxpGWbR1xh1C8XTDe7pfh1ipAsqsqrKBiNbAn16 eTg5IGZd5cLfmi8ZDfbX2wZvQW847rOEiC7xs1WbVbK/0ylnsRiNt8ZEySqw/OkK+1AKtbaQ1VEH WbiCHeJQALhst0BzKKWi//AFsfCzr3EDDY1oAjOnumRlYcubjnhXuRkVano+iNao9xdrKJT+GY2v UMEq78C2NyA/qZeJ5x2nN6dqdyVpBPSx+QItBjBHWYi2KBwWLcAjDC+VHrSzlsEsoa++dq+Ccsgu 6DJk4XEB5cVfOIc4HB9Z3EPu3AdNosuWaVBjXV/66oVocz3Nyzhop+UTuSVtJ8aAOwZC+ytnnyiu iR/M4F6y75GGHK0DOpsOPoRD5PF0XON0Wwr/pKBKSloe5P6BDlAYFef0nubHF11vaOPyPTBxYWPm IgxUIErMEmDD6jkcc1HkaM3Elurw+3kHOGWW5XZ96+SqUxPLTzEUmFFaZw6hUsZ8An/I46Lj5qOE Owuc/Kj244wYHN5lkWAk7NWyZe/02nuFXxuDw/SJKuRSmeQy5S8Orz86zXPJTQuiC5RPlvD/bA1f hm7KaldpQC3HtHLepuWCFsiKFwhXUYjg/skGAElw0PM9d/Q0jhL0/xLf6i9Cm7nivK+TVbz8T7OV QUKMToYvXCAwLaxWg8H8uqS/dR5icgczKccrIexVdHVfOycGm0/lSV0xjNU/3Q16pC28waQzHG5/ OyUHXRvILKDpDm5JhIiXXgCZP8mNz+bGd9T1IV7iqTDHpbyH3DB1sPlCoAmLug8I+cs7Whcas9n4 95GX7zIDUf4BQa4drg/O3WOTVEvMrs5EMZEcVJ00aCrQdirXE3vp9E/cRGjwwxHQUL9TkWkVo2L1 aHzlnandPXFcuS40XxjszzWUiLgUucWB683Sac1pFeFgRTvNxk8TNn5MOJxMZK5MWcCKLvWDHHQx G67dYyeoFfQs6e4+3ePA9iDVWDISDFb+4G8xcuG8+lc1pd98VOhmTv9DDjB6rNKVShqrfjyHkeCA Zc3LsC+5ApR8kl/5euq/HLPMnIKGRM7G8wFkAHJ4Rd/ZUlyY86jzVH6ui0uK06XF2omwoGRk6lym EBbZKkqqYBvdySFKQ9pOaaQOpDNitv8DI3AaJHB9Xk4x6//0l7apXzAvi9TFrC/zEVSO+lvfBbyr 51qNdW3ubFTuq1W5Zi7ViIrmvRyjMMVxgaHFglNhZsdWxxvx4uaUcmlmZ6NZJCG00pLLzldlKf/2 ElJ0Q9Vc6N/EIwlOtNeby2SN96onZr9ayiueTzc567VTkYQ4HQ4XAcFmuap2XQMmuE6LrCI10v/d tVbvbYYPEs3qJK/fxredXPqBGGgG7rjfvXt//SvPdiYhsvbLWcIVfYXNsARdmukagb4HylNf5G0a nhWQ3uumsBwi4mctrnEdIBqhfIiNsG3WVJQMTtnEWdBYcStgvdgx1vGNvXxPqGJALmRB7Z/5tWrg s7EaxjNBgd6Vv4lELWw6q5uRPSsAvrICoBaeufyNOhHCBFrBhqozrvNZE8RbLq4pe+4vfP7IBrqt Sg7oQTI/x//9/vP+1uKSE2E7Odo+AXiq+EJ3lO8sOUac2Nh86I3uivJU8tKo4hEJpZMi0SrZ7YRV n2tz9U3B8GWmr70JaFDKroVHvgsB8o33oRuu9TaYn6bY/kaXOwXQnjBLeUKhNjsNXPxXPkOYhlvl IxywSn3vYu4UM8pwpzYGwRkp8eW3YLtz+H+GoSsLb0OJ027BRFPVOdq/wmg7y7DtK18ZaQm6OIhk Ze+jnxOxKnHZsRJCZn60Qd8Nzd/8niubvHSIheExG+x8Zte4S16/oznljYtYiml811pDrnCA025W LkIGipQbVtk/Z12aNsMBnJXeA89QKBZkx/qxTw4+7d0BUbROiCdKURzDHE5/4UinR/D5EZIpLLfP NI2H/4w9THoWoD0Z4jq2MxFu0+q4DzdIKf3QXDPG+1H1gfvq/Rogqjk3aQ/Xf4KLWlc6YgFE60cc GamAsgpaHE3KWKl5I/zA1zGLOW6DuFrVuuFlXQ7DaekiPYj1On6hwJTUM3LGNRpCE3S5z/vioqV+ XcuEFrkkdLecIrI5wchpX7sA0IuVnx8SwxeGkFZ5B4Eu266LcOy8tKzWc3y0igRfn/4pRntCbz5N h7uk2VDaIvfN0Mx54IXLusEazxGbOauIblnQ2XypQbAh4GNXnllgx5tm6CEmQxesZWXERji9s5PN J8VGcQGGWbUs1EWLYsBNmaHt1Jo+YVkZEG/AFLg+/f4LhcvA9AUkbXoHOfgEsa9VBm5JCm2BwU54 pdu/2nE+wR+VTiVbUEQD1So8RGnCfXxiUWsI8kyFn+QE3VPcVOOiHZAKyZjZhDWrcuLDEsjZW+kF Q+AG+jqm5jNzrBoCoyoK04YEsvpLjS89078WymD2BYQTVEujWrsJgnHcgJDJCoBDUTILgW/1AJ11 4Bo1XvqNLPba/H/GolgVCtSW0enTnRBolmmQTBLK1TSxnax8+x8V7OH8nbRwV7exSXr3XBw4fxC0 k5sBNpDZX7PbIURshfFu9++RHzbCMrvCYXqHNc3i0vg1Z00JDB8QO+/xm9Up5wPNGZPCwZfNmDU/ mv79GPoB/CDS9exKgHdEcQiHq2/OJdjOlbeJKD6phaVUUSZEkepLglNWqLZxGwsD7oyj/UNG7cE4 to/ohzAha2m+vHfgTkOCgFvkG8fpAa2NOaiOgLNDIXz5ry1rrvf7qAOBjQzJe3G7COhKIKkuqqY7 exvw4rmR1szfwhsku8JnnpUuUbItP6MORqwLRVB8oBDPEwHdz5boPWKfcPXsaWpFj+gQflDn9JoD qaXRAC9uGjrJil784ZErStXWdVU7so4CTzGLda43ZedBoud3AHEO44CTXc0ngSKHTgvdPcpgWvRp 6EMXaZjHrmqFvKu6h8tdFtTb4iPGDhwv88/FxXAHMSQI1UlnDzVkQ0sleQEbQZcj5UmyOUJTtvEg L7VwRogKJYw2n5kY4vZp7tnWpZYUGH+VqLeuy9Laxx53EqPjDmzHNO1Ws2uVwEMpI9F9CwNRFhI7 SPSaII4aZud1igJ4NiP75WT+XH50ZwIKOcUrT1sF9smNFREjRtulr4Si6Sgz5aWW4TWZe0bxCSpu a8TNIr7UZTMUYnzVbLvaKx8KPHpAZR/flAzL8lGWB+C4CIrODqdNzhSCWtYR4j4WoIzHfNX/cDYD ePEYtnqZn+N8xd/CgRJLH89YOVuCKC9YZDNq0Cw7yc/27bAV5zLtDar6JXmswWDaMO5JXUKArCEg kj9KJt2Dzy9dHNjl28FTJdcwRqTyy4gEQRuIqMTY06KybZVPGMBkkLBBg9O1rTXzXgC3X7zTn/Hx VGFtrPNoh3KjNgNOOkbKdhCEQETbA7C7OqkNc2lVIvx/o2QWIqi08JdtgkMm7FpfxiRDdm0mZ50m 8hvu0E+OuTaVlez8Eyhof4WxR5yIq6/fDciPsOUx0s7KfUW+kvixhHaVmIzN/52OUTgK6nae/VYv oqQi0YAs3tdIMt8aQJYD51pWRNkowsOVIhriYObtY06AOktKQJMQUyHIHLbmH141oRLAzAMVNjug iXOIR8Vu3FdcYmLxgxht2FMb7onxg/99t8qRMMJ2iS57rDQOTsFWMDbnXI94pVr9tkbKHeGUKo8Z nlKJqr6NX34en0jUZAkk28juBuROBc2GhSJn5zacFLyZhxiuGf/Logtj2ZlWvVJglFWLQwAFe90P K4ISO52/D0KToV+0NHgCstmWnbT9NPIfO4jA/vZz0duuMFntFUos4PlrVKAMR7Qc+hp8d6sOeMVu cZKktRZ7k4T2TAk2j0yOXrFrcoMI8UInXlKGuDC7ViYs6QFGtKvQeBC61a9n3z61hA11rCbN9K83 Game9S7UJdND2sIpYfyzdhpDMaLSlVx7nwDa8jpS8omxO7AVxw/8x/GWdj7L6vuWtrn2BFwp9/xj DxCSS8m/Qr/EjConZU9QD1Qvf5PsALjz5TMFepFXcuytMKTZeICJBCIlz5Pner3pSWVwTJSajsSi HwwsQRaCfIHUT4/qC522C40DGNeiofnqZUi/GvgGYFgvK0/WVOFNT71n0gVIvt2wyC+BRXuAbtLe ZMUoZ/tdaF/DCg/Z0sqLlkcOW0MvJazL9LvaEFbjwOfSG0n4699f8qRxB15rlaUJwJsaXA4hXKxF 0Ltc5tyV/XZpHdqwMvNTaa4AlV8+uHVceCSkjQwLRMK5CzYUZiUil/vMXBAU3iNkh4UaZ4yhjm7W 2fWL3C2sSfOhb9A/Xg6AXrqzqic7pAiS5uTDjkJ1TjMZwtjWRE+JhLJq9TVXmmlP0/gizz7z5vwE 5fnSf2D3qJf2xCfCCETmYRQKkb3f7rB2wQrCNBYgl6+mmM530QxEpjQIUm/3nDRezQDNvorDFyTa OvSkaIpI3wJeOAFX8gF9MUDfjHmXjMWIPNvwoVgKk0VtHysRxAhj46r+DpZX3H5+Ph17NoO23a9c DTlUmpeKO6cwL9hFWkCC9TywuJRHiN8jgJ58Xr+lt80Yrz2uu2AZHn2mGoeTg5Irh2WPKjolZx71 h7aX7uVfXMVjpxNR8f0HAn1ify/LFjTKrFavrFWfazZEFieUPD1i7W5Cjif6B29bRIXChGTpR2yj h1GurDPTKd0MbVo0/FoD3ILrd7TLIoxJ8KWcjrtEmElf2P8TxmAUv3q3p3vXoeBKUVID7gMTSVIW +h7G00J6yq/W+W9KNmZHomsLBRQfdPc/y/ZkNhvO/XCEtPJq6EO3aN5LKAiwKrwXj+IzkoB/p6GY C2N3EmYPTNUyrsjMIRjeFH0fmrf1EQHBE0gG0/3o+B/TR5yHFbVEmIDwHIV1Z648ES3SsS0S2ERr odPVteN+sg7h/FHcxpvMk6pWZkFqxZdWs//hwCjm5G7Yl3sVFzN4FHeXU8fHGMGhl10yRdpinFg9 dQMZ7g1p081xn+ICve9AsLnwTnhIYGwMKLNmTbH/SXmXOgrvgjkJRp2kikP4oMAHhYUgcviLuWuR L4L6CaO6P6qk33LQLgqqvAeKDkLgkz7OtIsgoVpny5FBftatdSkpm5d2rJoz+vPOBwBRS/x7d+8E i1EBJumfLCWdnaeYZXsojYp+3umbgVojDeW5FHUnMlAXUMRCzDJd1Bpj0KeswCg0QkoxQvzbIItf JbOiBzKdaJvo33122rgwbvTB4mmTVfQJSAGmUqCG+brwpIedvcfTE7X7q0RV90TAu86yvxNbkn45 O0jdknwBUpf7IAd3qWBxAn2kh3PMeJH3RotQmu42H/vR+M3UYMZuKIO1O7ErhNNh0S69XuxD/zB7 z68A26Xavv6Osm9VRWQBnwZiS6cNx4r/UWshKPfu9ikaxk5+FGAeSDuh/C2cMDnob+MQNVBEvjUa be3ZO6nUFwW0t2JCpoh5O567DfMlZIeXq5AFGBZJOww+MinV3XwnpfrTHEJGzAfaqC2CHnxgF64X ZlYhxNmCVNUGYvNTcq38gQ2N3pv+DRZyX4VT5aeg8bah7AdmtV0pchLvAK2lDGkKXuyxLGAk61tz N6HioFEyLweC52qlh6wDdm+odPffSm/xEOcIGA8beiDJkYF+A25OxZU0O2Rs2b+UgdsTqBoHYAhB L+UljIrluz/uvCMMIv1FkXlReL+7Wqt8hLQ4SqKsZPzGFw13kx/nYCf8CcHHiZW5ev1vN7FITtVR ZBaxQUZ9hDqfmnVAbjwfwZIV4hOsVkcJ6PtJjHmDcxzn6xRRKgmPB/WqlCpDPghxdY8x+DkjI++7 fLb1/qcrys8hpqjhDANXfjYfc69UNVeuZLE6rDjLGd/RZuCdqznWLvQok6qdeNzEnQdmunqoKFG/ X1Y/BtXoXvPRiRk3FTRw8UhgdPRo5AsNeXAAIo4tX9BIySRouQgtjtbJee+2xB1CTtuwfwKIIVeq LglAi7+plOOUQ15pRmPr5aao1xGOnuDb+mnCEOEyMT3PYZng6s+oZXy18HPvcmr2/ud0RPNCvYsr 6oPJpvtlQ/2mVS57Y0ogXgM+uMcSIUC1bTtPyYb6/ffLkzHxNg3e95S4RuWusfPrG/AEn9hHKtxP 4Ao8JX9DklZ9YoD9yfHItdB1zoohqILQUvEBiCBHENWjF//yC1R4G+wU1HASqizvsQBnSyI/oMEO jpL+Tziz+qH7lBsiTrpduRv/Pvtp0HrxHN1rsp6G12Zs5cw3G07AHRgxqm1JwBI9Kxhi6sX+2mDb xbmDvX1DRvm7BptqgPpnVwWgk76hPke5UI8QVsq4JwRITD+cr+uTvHZ5z4jKYJuu2u2bsKhd+uTI 5QrQwm0aO01J2pvMkvK+0gOvUGHV5Cs1Q8ECoxHLUB7t9a7xSRiQIRjcJ1LXzjpHR900IAgyjADa tzRuu28U6i840snr24fNpar06URxq0KUFxE6owSKRoyXtIVT5ZUM93bKLe5DETZ8SXzhIcURDkgH b8yldATMpd8urUepvn08QMKU4YQvUejbC24MgWX0VVL6tBrWuuFR9Slrj6gbKdDWeQ/P9lGnFX/w dU9Hqct2UmMT6nwh/OIJpAkIMd+JinFKCchraxDjjxbRg4OIPHA4ftQL3O524HEjPWEJ6ZmNUdFt V6gU0CIK2kpikdXTAWfEIxlJTGZ4/3HIeWTIHy9YIhYJQbITtWA7lJyrC10R56hJ/PBufP6CD3bq ujky51rcUP7cJ/qBjTXBL6e7DZjfnO1u3cYQ6vH/VAV/TgRjLTgYpfIp8z0C3SliJJtkA2UjfmVE BuGZzhPuln07pMYPl2jgb5w6IW4wtmBy9KTRHvJdeKvtKSDx/XPBrogB6Zfe0Eg9AR0c37WF+EwK W+ry5DkSbbGK703j0Ea/rlazwVfCdBSkBLvYFtMiNFOZE5REK3G7pZ+UyJ797AHf6oOIPjEGqIE1 D1yTmpMgEt7/u/JSxxKGPTCFNY+h86amCgwSI3JE/zTMNPdAnkkrCkl4s/5TgNuDhLavrtB4Akq9 qNq5Zmc78krOEu4vMDw4A3Z49ohmoM/JH7F7DvXnsVMAx1uO1ez03QpaUO9cTqu/UT15APdy2lE9 bFJxCcGJFXi1zyHhVpN2KNoSpdfoPfuPAoO5fxN6MNKlR98OFGDjOvDiGoWe7nQs/wrjduzwE11V ZldvVFYDcxzbK7PpD588QBnykf5HWbs1TeUyXC9Ppp/0L94fGzUkFvsBQIkliQV8KK0hmmkdpuMs ISD6IWhHU5pMy3vY4RZh+Fogb/P37LDhb9iFuznUrvajHhepg4MfT+eqsmU2vVTeLqJZ7EPU3d95 B3AmRkEsLLyFXk4IkExOfnxzwsrUYex+hw99VHN2o3p0bMASSLnH4dusj25yU+Wo5k56xxTDuO86 Axl6VX6fMaNhzzN8iWZX6GGDpV9Iz5GFeXwJpxR1XL2IsUbVcQLwkfrZ9wFpvm4+WSez+52/ayQP u6W4BICZKUaCddIaZfhibTM41v9pvVoa8t2r9mjJRxFaq9s5a9KLYX58qCiArAzi/A/4UGxPFsLR JytFAmnFElWwMJU+bmQWW+uMB/dzJ25y2gTrWd5KSvsKNe8RVoZp78ezUMigOl7dKch+PcDQM2Zn lGYU22OyTpbH/f9MybvlFJIMT986EGMr6TPCP2ONhjOrN3o4yhdrkoka3JK0PP3F+x3nvw3etnU8 76HTQiXDkmVr2yQBd8/ZCzOw3l2GV8REZPUXKcFhrEAtGydeczuHHf8WLl7UljA1TaiROyp5zrsU eCT1Q9OcGzl6aaorW6nfnPezvfLx3doOUy9Sxs60b//T1ZrLIdIF5rjkQOV50Lubfbk3mWloz+wN h3JSOHw/q5fm2DlwhJwb88NGkw7zR77Uyd16PWc3JVZWFJPWKwA0zQxlALLqokDgAX+CeInfSv1E BtQ4QjotR+FMINMCYJWk7IqcYd3l96fIZEfFtEcJtjHb0fERwI0iFUg+gHDf42WJezJ4moeZYKlt xby4IkUe9RHixrUM2sKv3XtlDPhC0v8zFqr1wGLLCMR+9tGe3W7cBWDlcYNRl2FHVBLXSh28QRvF LJ/yxk/dbXaghzbp7cwFLXeAkfzeQ94EPYi3sQGFCOyGNshUmcVovcdLv39jNNpqjz+UlUr7y4PW /bLRtycVPWgv/Qet58rLGHDVb5nArj946E1nOwpX3dm7HPWRsN4ap08g0/28xRSOfSUp4Bu+llhc F5NH8b1eoWqU84r0rBuzAcQ7SMbh5bhjTjkn30W2w7Ypy9sFzNQuywpI+IrDZ7KA5Vz0SbVD/wVg X/flNQ5Vg2ZUK9lSw5NF3EP1q+hJ1+W2MkXL4DlCKaLq21EGCH7HPHBGK/TA4KAA4/VHoJTfRIBR diPA/juhOX3IltUaphP0O+0rFR1EmmIyagjhcaPD77iJ3YLJ0/McCGGw8G0nXYGhUfmfQFEMjSkP h92CWojlFBQhNHG3Ax+nGo+M9poTBM1hX+9BEkZAD0EVGdJOWaEIvCTO3izf5hXsBUf/mMN26CG9 gAf1roNgHpQDEIqMX9l12lfQgyr28hm9T6yY/Yr4vn0vxYT5/n2Kj0aPeEvnbd0WuNsg6qxKP7xI tHj8i8zNIDLraLFxfNcrqpK6RsoRvjclDQfoUha9B1r4oa7Bkbmi8vnrSmo5o4rLu7nlDmgem98B 6sqeBwkmiBz5/7FStmSBI5owxyYiOfyWHm/uZTSEGALb1DT83cZpLbSEgK08ydxYN7YhzUWMepq2 +Myd9oIH05vjCHoLBrnaVxsVQK/XY/qQNwZ3yT67ZY3F0IEbX8J+PRl+6sUUTyDNqLmqzSzCWi3C AGFGJhV5AJT8fwNJuvmIsokW38fi/+MQHPU4BO3IzurluZS8u2OaGQiPUbArGn5x29kZi/DnnV+r /7O5M7whtTIC2TlD/F1xwqi/YaX6t9Mauza07q7sS7DhSgSlHgIML/VhJYukBVL50iAJXg5fNj0S 3kVw0XwMZyRa1IcdV0gk5bB7jC8zDk3nbrhMYh7XKRk0dHdxMGD9kJALlrVQoee1vgQiFiLf3c8M 7C2reLzWbEsgy5Dnigg9ESKGnw6QwK4id/bX8WU2ltwfxc3ctaltxfvMJr2kRSKJ39sePnzNGPh4 Bjk1+VpEK2mkXbu0oMM0MYbPFk0BHr/fwA8ADlAncEP1hXYkdlJTViJJhEbcW086mJWOwY3Y7OJ+ DKvYt4ZeiA726sykUJAL1Ea4qV99SusL6MrQNcb91O9d5gCv2s/c3yowH8+Skzfb1u95bhiR/AlR +W1N2Lci+EcYOsbdPxjTf2PrKSvBzL95jskOQxXvHnh9AFAwfz6dnKX3L7ajX25o6O82y6lb/q5F tFbWkePSIGfbpAXuMqbQ8Q8/QLQx7WaVicCjHuwuP2W5YIF6Zjrkk9LIgavIPn/b/md2dXSBSMLz NKiUA/HU9a6AOdDuQe0Zdw9fIGXqL8/+aj30MMDRfAE30e6eWKUbR8hf5pPjW7pCaeLYloGqMcZf FW/FMjFXyjEPzEZosiCpZogRqYs0cdsfdJyrq8slhdaz8TdjmDzI334b3Rk3UZv0sxIPkUOJCn5z uQbgokpNn3OQFGY46UooZ/pOqnKzYYF/aqOWhAbkrZxJEJcvHkCYggme/Hz+NgJYKdr6qC8GBR+e b8xp4W9pj3ju/rY4d9y2DdMX55Jp8WWOCMDUJ7XFenOBVkoszAfhvXi4QcpJ+Yesf62h/wC1rLaG FYb+Ht086Hld1U9yCGi1nUyrqqin8oelWz8LpPBd82MCmthdMpC1XhLX7fyYrYLnosvOI3kZaEVn 2nF2j9krr/aHd3S4MrzbCIOA1tH3MczGYD/piDGW+m3FVZlteSFSc/pZ9MY3cnAlKQ1/NLOsItPe RO38fHTs5ZX466OnJMoEtZeWMH/xofnTHGPvQWJYmdCvYO2XL4WM9H7ZANx2umuLWRF1cv3Tsli5 gBmVNmCc115C0kpxz9949ZBm/rogjK2mc57x6Td7DzS4cq07s6VBsfcW3pAGBnvLlfUEplR3lf1f z4t2yKniXnZJmvUIDWrD4drI2yaB4Zy+ttR7rXoe6fXfFLWwsDkCSihcvCPKuOx/J0fT8T7cShL0 E7LiBEPIg9Qc2FZO2/qTk50i6RaCH4RD3OexhOWXxfXgrw4YsKGJKTpQk2AKn/V5pheR7fF76bXv qmNMNTwbRBSTepD5KFKyI0cfVkE7vbDsLwEEK1kEYi4ZOA5Hx9Yr92BzLSTVsZtiTw6pZMZaKJGR vrce+/JTXukGZf0MH06ctR/7t2kdx7L68LG6Bkt/gb9JweuezsMorZX2161X34sT0YFPXfYqAXLn VZbBSOjDTetQvGpY0uUfj1GaDjKeXGpsXzY5YEFu4m6yqQRbf//wcTi+C//c4vg99128jI8oXwJV LyUEIjZ8KzXrqdToM/JTbfjHmZ6KOPDWgdnJFL/EoP8XJabLeAgDRD0S7/0/MS+88bbTivowfDWd nuBGvWcvOmImIFOm4+wY0UqDS6y4juERBBekNZzqOVggYMrMUMk7qByovN4drm1Nb2DxtHjv+qTk gnponyGaVjB9Rc9rvQ4vva/YnPdrAjh7jH/XIUWG8h5NZiyCXkRLM9qYUIEdNi0abx81H3XmW5Bt bvzw7u0AHUPqvhsOCRdUb6i6tJl9XlqvMwt7eCtpFRzPPlVYvoPXCUS56tGldF5SpKjBpT9v7j4U /DRhm+o2LjcOD/FyUZjM1S8spo6LoNM4f6NruPc1EYEvjCAgHfV+2piYZ3Xr/4zsy2w8GJFN+oTl Y8sv1wCABbrbFaOicgZ+XCwLHkVpQ0y7iuIYxD2pn96pVY6Q3J7rktf9HYboUrr9i02cqaB471Pt PQHxjQSUY1A7HcMrx6pDIE7BWMKpvG2ykSrnksAJd7zraoB+caNaU1uxuArQgtacgunZD9Htrk2B AW3aJk6kAlDbiMEeTCXLM9mvEu7U5x7EY3pPxu0tmgn3DpwQ5lmpAqBUP9gwk9AEbdchTImtT4UB oajm7WzXX+g9YbMY7X1c3qDTVLCP87L0r5xd5sRgBdQovDngP+3sD2K8eT+IVfZiGRYi5z2InnHR 0fkDHD8c+FHL2yzlVlr20BPYv5MyweB41zyzRNAG6ZV9HMZ5b/T2YOijFFreMU7+Hciwv47UMPNA N4j5BhutMBgjb7qCMNfcObDX0EomFsYgOMvqZMRlVG7z322qgNjQpWpbYajb5+JuPVffaoW+tqgO xeJN2DyQTO0Dck0/UtOy4F7SEL2FsYOc4O4DKd5W9zpBZLKQAGW6oRXJhObgj+t/juFu72TIC/Ki H/oIz7dHELM4EFbHMkirbyr6inzC7+X2ZcvSpRn6ZC2bTeytts7UtPXQJ2S6WYxdwxlxSvxqLGko 5BreN6q76Rnip7/lUap+FBCYg8mLKLtyYIkP0dncB806GIphSKC6ieypH0kSL6ZbRP7wm6r+LKSO pV+Kd/LC2VK+81X8iqBWuJahuLiW3T7ZdPLqrb0XV3wzQ9uy9Itx+vl5/NQdRkxv6wmyP4dBqTPY QXuH8vfXDitVMRN1FJACOWPCxAw6/XuBbHPd6tGeJOQAAof6/a7N6wTjsa6pHfMgPMlQdHopRxKX 4JLTuMqADAIC/wyhkiJ37HhH3HaSt3KEcFNNWWFXiyvfPfOpHy4OT/EaMLcjPM9Dh9C48fKYf+b/ Uc0fUxW2mgr20L2SSr+u9xx+8ZRa3bap0re0Uz9Dd5l/Qs5xke2c5QP8DR5377XYkpn4VJN089/M 03vHbqNYPndmeVusVKkrvzNTt/hjlgFsWSCKzBl07mnmVqE77BMh4v2Ic8QjQ5V2m35+Lt2qOgEC LXmeqHSZWDI4tPdHUYviBdfc6f5BNEtFkqTTDgLh0AXkNQAvKKsmV64F0dOOPxtqQA7VUAR6w2UH esQdAcm+ewc8LUzMFt1SG7prCxZaJwaf2n3PyiBHx86uGivULwISfUeaY7+sPp06olCfDTZ63xY/ CGEjU25RNxLK6bflRMox2IvcwY8LMrK/0WYaL+36s0y6vvsiXHCfQV7qpkqNc0+D0Xy/A2gnh5F1 3a2KQJDAEJjNGJjAk6Wl1bQqyRYe5zY4Kc15lnWp/QuP1qAVOS4e9EerRVRt+SNXjdoBmDeJmTEh LVUSA9f+z3Fl+1sleJNK5x3JmvCww5iNoqGFLbUxRGF5LvKY10LYP+H7dzr5UfPjlRB6UUhPuRIs iR0UIuRmg+3stkMp2IGeYFBxPYtuXa7WdjxqtJ9A2sHvO0SZ4xyx0F5ZGVf5t3AagbQ1w0xSkXzc F70NLaL5PKEU62omgOLP4QKnKz3c8spLTHU7LvfKOBlxGcQcYv5cR79eFBp59PMNOreYM+m53hiW C07qXsAXzjLyyfu1eQVHOUwrJ0ATd2YsK25nHGjWN2LeTDV1+Y0hbTU2LPD7qTfYP+yu1mO9tItO YGv2OAB1/F9OS07yfTyHRg1EdcN6P9tPvRIrxgQUTeXG/ikHNHoE0jcbR5qGRDrNr04ppMM0kwhM TNZ1rz7mvOmGFTaEvWeAWZ+i2UWZeKyt/1+6/4Mene793j0udhWm9bmdKHoln6/32NlNcKcs0/9W RrtFX0KetZCZuUR1R9siFumlCeEBNhN/dKoqtufj8+37cfSavBeWbBn8VatYpjmnw9lB4u2seOaA pyYdsqiGmvJP+TMcnd22ytaY5rax/FhRCmFe90fnd/oo0DnjwNgLVU73Kq0wbd0STCIiGOMCBjAx q0AdwAX/Q602d56bz9DKj/1bKUR/aYJ9nFOkuKp54QIVBMmaxmMhsBGAg8zyyloZdfDeGKbAbOjc VMbT7N8Fn8h/6p9MR/LLYWS3kA39roEwzfPy4XpsykFHNB05j4Rtc6Slz/C+24d1xTvUQo+j+BR7 0/GiO4PCdyfe9mec7jUc35f9BcgO++WHhJXfFs3DWS/o+ZV3jWNG31X3zmjsgZVHH8+jUEXeNwbD yOffAox3U/6JNEAgibhPowZuB+QIxJWEzX8Rlnk1mrLNurYIwYtnGVkV0xHt6WeDO7X/s2/alj7d SsJC6TKO+TZpzO8a9odjgD0smtgm/te5YJTTtG/D3hFyc+KHpZYLzfmH//MvSJIIhYGBUAG3L88i dhlo/XbQJVGsnvHDnhAcO05uB1AD+zW4m0kznSlionDV9SNbvsbBABHyIMH3cIEW0fdFuRYQgq4i RqY0hPoz9aE/iAEIqur89K94M2HG8JTp2gfK2rPXD0XftwDVZQc4WH+DrUokrYNK1Qo2Kutm6WDr GYDdjTkt0NgOBt++CdU+JKUp+39Jw8eq8I6Wj/AlArSGn+qI3ZHqe5FLQRRVIp/7RS//h64AIFMa q2H0RezzckONiUJTMQ5TB7a8kFinirTxhNtcfb/Hr4Etb9Drg26oD4P0oKU7utHc+RCcvz0Z1uYo 1uxRdlIn3vpx4ts86OKtBhosZpAM/ypbufYMZtsfucL+RcAs0d5h0xRdo5skc8lKQrnUwUJWLj0M 3jyPLKrkrVkn8eGL00M6ZlqwUSAoUNDNURvqwBsN/dVragVA0VjglhD9zDcvFPDTzUheKCTXJLIZ F3bQ65z2uiqboOSK5eC98GDrxXP2y45yLzPuujPVIZXupyTQp1COxwBhTJmhCqzg66LxlIt6+rgS JtZZxFU9AmgpMTikDCxfXVCvqfUhBTaaz6VV3G6k44DvRP4UvOA9mClhLiHCHOz8Tf+E7VRk/PEK 40TYMr54aFJ2SwYepq0R2dDed+Vbi84Sex7F867qnYeCeV0bo76j8Br9wpttHyyfriI+XZwP6SDt f++MRYrA/w4cnzmb//CD8Z9l5pb8yP+HDduSAD8j/HqJFt5k+llV7Zz6lGqOubnJ6yRopOJvH97p 7vjZaBrcAxXQgFGfd6lFrAwtVxP1KjgGVDBuMNrN1nW+OFNF6AFQ74OBjA5kR2RQ5jaSapctboOS NEGvDdbWLVwAsH7M55DNt3/X8y3/uXoVBeOp7C8Lxjh/1Tn8GiP5UjbfidaAo1t+d7IFnjgul9zR ftM4/1dj3vCKsag5/bGDhTx6w7woi2P7mpb/dn+3wXAgsc/x97A+D9Bs/ocUbK6HnJ+EJoqkzAfI ts24WOlGgcNEN5nw8cL6wTWD+QcZkFPfY4rqf3gqrqFGGy+eBI3PYBSs27oHSHFRQ27mG9gQq1Uc jsHIEB+J5UUJcdo55Ie6VvSN1GFN+HBvpjt1Qpf9c1RhkoFYcEmGD6huKoUL4niVuj5vdIv5zoJF ZV4D5nrzSojZnw5rB8ZnghJVjpbGEXqvmQ/XiXGNeGlV3aErbUTzylsxU+1iRO0oD4nTEvXOconO pvlWY6VnSBkymruw4IMmQtJWB5ST4fLrMRIn52e/FkFneiq2M57iq91qwVcsxk9cwLRRZQBfCQ8o p6ZDHLXW5I8TyAUYUAU2tfCo2Iujm5qrv5Vy9pzFItnhufFYvvju+CHRLpYy5hYChdwGimHPlV49 NzmF0rzz6KpWhdbmz5oGpewHxT5jQLeLVA7Ni+mHmz3U1SF6sxnKQmWfFGMn6BV9i6oM/vkbGgvO onw7a26Lh/ABhjR4EgVKyHNGa8vg8d2P4wZSDnDlEYwpWZ5kyQMQs34WBagi8TFVTo6lVPLrK/op yKbmb82GDYRvdAAw7ZDCEzp+FwSzVE2r1vOmKY1at1ohR27m2MtCDbeqBzORACHP0HmjbfLLQjSu naFO/HRPQ5njURlDB4CZ4z25vOvxe58ymVKQc5nYWaqKJLoIkZqA40XnFsjHuyyE2c6kYybTSyo/ 2daOeEFXJnl32piwgK62BLApXxVuHDugHt4u9fUQr624lZg1eQWy6leaFnG1MFAyG7euDdVqnmz3 5vDxID0fWcCsg9J4cMf630FK5jvTmZrJdoWZoyyNdqjAhoUkS9vgvkW9zMpOW/l+isqGVjV4Qd9k zMZa6SFJtV7z6u1jxucCT9ewiQv5tHRnD7Ueg9m5MzaZBaB3iWecMaciH7eyQOzEVqbOOaKhnKTu 53qnewYX6KChy1ssex9+sDKOxOSVBwa+iwod3C3Pbyq413vWhZYw4WzTz4HKC2+VipwYlGD4xh7c h3eLocbwTDVMl17kMA4rZpKZHQ8I5MX3wkzoVgO8u964L03UENj3THP1Btg+azb6d0KlJ9N/0MN+ svAg5SXc3jbtuwN0bEynzgmpmVyXo6aZ3B2LLP5+1kTRVdW8ykLJGvqULfX+jwcdMaXnuc11Csb1 18MXCcOqVx0VSXdZgL0OSEy2OAonMqWePoXoQi3MqEcPa07fJd5MWEwAfHqIQKplhHmc9eMVUfzN auh3RErEcYMKgUPdo0gdZmkXqwL5TrNxv5xRaxoNNElAR7DJh2o4gkrpVe91pYkb5np2WAcLJ2N5 bwLVtvQ7CKxW7VEhpmm7SzqVg7jVoaASgRZZXi6OlgDlBA/LBVUv3oY2p6QtcyXy6+ubE75FLFlE Lwbr2iKXFmVuLggGvSsBR7HdnyyjLFWSQV8lAIa0nXothGgIablvYf6lbkGfvkGWDViS4Tgduqye Ure+BBXh5m2XbJaWZTJ0BiaZa7hPasGcBELXErHwFcGBNkNecDtzkjA9CTJ6wdEgTO4peA9Rj+7P 2KFmTv5vP66C2dBXme0LRd/dq9cOBCxWWZ3QzLB8CCLBi83LUvrIVkpSYjhUEjaEjBQvbzeTHTBj jy0uFhkot5qAlWgx+zLOHyhr75Lawl3TGO6VdoePfQwdbTvFJX04Dw5cSntCnyDrw0DyxlRoLC4T Xly6U+5n8eMHY7KDwPcnx+Xie/+FtK/YqqZn+rJM+rzBMuk6C10t/DL0U+SCPDqXaW52Uz+KpwTr /FRNwEWimpI3bmXz4LJBkUwiuSRY1WyCfkbuxmZYhZ0Ix7lEJXOiQqPV/AnFrEaet6A/VaEOvhHw 9ToFuqexm8v4NMb/jDo2LGirh0tdWlf7YveVef6gjdUsgmPxsXRJaMg+PFdPEHpgKV0FHgANuuMF AYu1bb3ITUJ4V7ZZ+jHed3nuzOJ2CHaDxuSqjWUfGSrVhkpz0usZROgor0EaVJ4fd23PaCeHiLDw +B4BgV/T+QMOfuzxT3aEnWSaTCXeyVtdxANmM74q0a77AFmubxPuJxubEMH9fFS5xhykv6S/0wpO rpkgU9NCT0ozKqH8dcphgzkrbS18cv5Vd7iaW6V/TwjCPJTTDXTe5WUKsZLDhle9A/jqt19M2kjL VSUcE4VTi0ksHZMBvG50Q563SbsPOwbpglyOgNmbHkU9OU5CqfwjUVa3V1h4LbLChg3aHkNSQdoD Ysex/UyVdYyw0OU6VxAN83uz8sZZ1dpP/qUe1boSBpPcVuUrYtVEeWkiXbCUxsu5QML2PGume0Dt LKBgaug8iLG/U45i8w3nwTc7oFp+HT9dvyb8uODIFxFk2RFBjImxnOLSKm8L11IOxyWWRPxyTLsw l9N+0k5nmLjAjJqLF5hZlAs0dYKa1J1yXvrZW6NGiopxoH1IteFxQqFxxszD6GNc/OuCTYzWQoT/ dsklpgGwVYx32tpdV1VbTwZb0ktF63zkhRYFvIEZi7elpBS+fU7suy8TPhV/ashosioFiq/pcNK+ AT8MaPlEfJizwuOX/Y8JqaqoZyhDhZv3C8HxQUGrQHC9f56YNeLEmuCxP6SBU0uBAjEgtcohKUgc TT1u3qSgu1LF0it1Xq7UEpewhuvs7KQcgSULorX4uIphy+UDh7RQl4kCVUdA6aMEibWljYQvbwO3 SAo5lFaS1D/mRVn9eIq+KOowhBh0JIhlcQrp8iyFkJbWpCa9aIKo2ZJyPLsLDyJT6ncEKBDqr9fO X69/YfjFuqgQc6V6H/X2fDysqKNcAEVOjPBb1vy8zDjw78mkUdg34VeI90R9KcggZXH8HV3Nk9kT DwsWjtQoAEIUna6BrfoGo3WR/q6dau4SC4sVdzRL0qkmlIdtAuKKSfJzmMH5MphvDVjInqLTNRCN gxyn1IP76578LwcTJ71+jkMJwApuOvXRF+7hqXYckxajLd4mpcLsesmRU0vw3jfCtp/mDpknMUgT ssOUzdWc5TS7aaY2Za1JYzlfp1H2lWcpgSS7+pFgG2nA+Fn94rJ6pEec9+8BrX06RnA3dAa7X+mO hsKIM4xOAT6b7gF32+xNeKR1pp1mT8RotxQ3GFr5PeCoXFJ038/j/UgiOAkQYbqe5qJvuvkJMNze MtpGnk46rBt68nZHYxiKrkujh2mI+x04TvBIw7FDecKQ0z2YxjuNt42hjmuBp7hyVkAU9vCKdvVo MF1dlArownZ8TkCbeht5F0oQhq/iOVFXx2fTVD9zQAWfvbut+Suas5tYUX61vr0jD1MNXSOO9dTT QBx+0pH7zAIsIdtgQoKMPEb4ZaDxloUyQo56iCDiUxJIzOHfi3F4IHc+F5rc10tMxK8Lgzl/z7WF jldPOweUEsn9FQgNfaoP6ye1ApBKMpSD/7hCmvRUzYA3x46wWOFgC6at4nAMKokgDLy1HyFzj5U1 H2qe8DYSTnGeDcuk7VZgLTmYzSnwH+nKfqsXdZp7bDaMX30DuCy9/vKkzd7mpYBQNhaQ/QtrXVKv KOnv2AvEO+3NQs8z8TwOWSCj79wTG+QqmBqTggy9ZP80vqf1rLcP7ZwXE4fuyftMO8WKfF72H2Ns BtSRTVucSqg56LLi0ygLAXr/BSEaOX2j2bZus66yAV5e0NVp4LXfyPdLX1ut3OhM2iQbFlRBDDPS JaXvVb8O6zlpR8Vy7dpdpTkOH/1WeWAueTZHLFQeVOT0vQdwxDCBAHcC2xkWRZFWdqgqAKwF612E iIzmMVVpLqEFhbhp/1He1xHQkmdW6mYDBq/ioyIdPoBK6y6AYf+tMtWGHqh1AW5zCbbpCxeCbSu1 1n2KooSqJyPg05Ww2qFduS9zukOKOdrflYnbGhoiGAC1eQ5KVXPNDDdLVW+5VqBkY7yrn0DhFb9U W3IAsVJI2TjQUvmDgzEOiK3YwN0IZTeXOzSSMyD6rHmcUUU8zctkCEL1G5llQ4PeJWH5PTO3PO8/ s8fRlfcdRUNhynL5VJhcRfs79dFD0lUq/urdlJuv48LWhJvUyn/Q4Pum+GOBMj923XaCBdwmP2C7 mJTnM9BmuRYC0qZr/De+ZlqveEznq/FUhgtwI5Z83TN9na4SkeJzadmZpB47+yE/sq74L0a5kEQ+ eqSEwkwwrBpCYIppyrqrrynOALfcr+U4NC0zP45nTt4oZhubfSKG/ZsjgwNfYoi6XmvKWodlBo/o IpcYYQB4kfyx9+QRL2PyjxjeO2iXTIMgZ/QhsFpdCbGJLpddvXhBadC9f5CT6dqD/a8c6KBF/1pF CWvavOatSw6Ki+9N4qBzdiV3t2s4IublwmgXLPdcNfzu0q4ZvedgqIOEmM/oSt1bIYPDLHIuzaNl eFBRl0mLiKnBJt9/+T8bMbjHoSzzwlA88AhwO/JKdA8fy9DsajD+3rpHAt+qtnl7jnb65219IfY5 Zr1BrmYqPUWN75mr4qKCQ0oc7QmmuvoVyzIl5RE7Gk+abMq+G16w28uPBdBAqVw4qGe7gfM4Xjf9 Vy6etkzZjTTmrXMod6rduz2fuKWZLJvWd0lvr4F2KIw8994QHbP3lE4ceIcjK6l0W2PMo00u540d BB0FDxxepZItcInRVpzP5or7yhMlL50cra7Y4CndYxowbFruphzIoG2F4+yxuRPdEcVcfhCBtBAM 7YqCUTsr6Oo8BNRiOwNbwYAqKJrkU0cayViO3k6cIg4A5SJrb141WR/nhbWlQU+KDrIE5ze6Yw7w OM4jELctGt+Y+E6cfLEP+QtBG9+8jyKokSx1Oh0M48fYG74hPRoMrq5/lzhznP7eWH0gSeJd2pcG iOExHYWzCZOS2Ix4+LPC+LK5t/IL+OOc0fqOcW0k9livadjawsPljFlp+sxR/cRe5PVNI6p/YC9o drVDnTY8zqDqG6usvrFIfuKLDo/hxVn/w4s+0MqyOpNda9GtyXBGSg92fNhhFFep/GzcNp74yMj4 th9gMtz9/jGHzXxBSJxNV1WG1uldCXdYk5OsNHFP/s+xjiy4fDITO9FjzKp9kSrk6LN6EsIn09XN NoZkw4ElB9eibB52IiXFhYv8Jlzvt4vBbrv+HVglbDz0x311zWjOZHvL4PVdg84eR5F0+4j9X7O5 BJ2Z+agpW0PWciZTI5gV2PAkk00ru2QGybu+4nnhxbCfEDefKCsLeXqWTLVFwoIwTk/apO/xkBsL 61WacYdH4APjpl4IrG614xhEr2gjkC6kCPN2484kbOilygzgcTOOuTwo/vEVIxs6pGtjdktN5LVF B6kpGyuC2ctk/Hy7KGZVDa9YAuHlhP5mixlvsXhGTgXr0hxq84OVvKIihqBP98adHslVMj/5V716 veY1q6+joJB8On3DEF3KvIYYQ28XAA8J2I79kwjxFwiD4+Fwo4k8yPe+YKcNL6ahGMswP0tHNQ6K 6VZ6D0G3fIGWXgrXyZeIgOcLfP1gl6RajFTLcEEzOoQ6Cywyj5t81EPWljJ4Lj+I0x+nd7p09/15 M+a++Y9WUSirT7zRkrMn0u2CxZnEgBjMpRFdmQKk1mev8Xk7FAur4q5vNN9cto49MFIYQcjGWovd ttBh2NmgH/d59UobN8JRo3W9s1p/OLMFvE83ruXQk3GNf5e22l14YBLH2MX3FCMsnsqDXvC346fG mpEQmu2Ion50yCCoF9obCpBXnVM+wREKDbMIrjfcSXmYfv+54TM5gm0UAqC741IhoBR4/ag5PnWA kMv82vdFzkeH+LKJtuKq+N+nl6bJZ0x/ksqHVWJs1yoZbpxrofzaHuElCochcUhvG+ozMeYyjDQp IRab4c5My/pnXCbs7rS105y2BqdLnZNO/scHTvkLEDp+I+EvwJIbGJYgYXQdtxQUvZ/lqkQ0YR1C OwEYnCwz0xBEaSIenWSsuFa5MrU+MKo3bFDYExMxnqUAdxFXapdgfAgHcE08JSdoYaZkKYukYIf6 NifWYHAGYRNMqIH7zSRMoM7JCsjDlYomIVaf1IQHWfGy4xw7M3FpGD6X0jHKe/qzz+jevLsYlCF9 Qn7Ib+xMmYSIUqWMMYNKqdxvHjhxj91c5hIV+E8FzEiDLpuUYHAMJC6rhD1yyXHvsLZSqGFcXWwM jQOAi6nXB+Ld9wUmGpIvAsRrj5SJ8YJqolvXSsGsCSwndzItQ1kasgfbWWEBmisXc+GIdaTG6iWB LCnEhnjf/cc+JX9IlU7t8RXb0yM53u1JBZu4P2w78DQ6G6klLrQWhkF7G3hf5ZV9TMObJv3ew37M io6PiJ21b6aO8JHBq9pUAgvfnr2jm5+VvzW+j8A8zINr/7Ifekz22v+yCnpri+oMp+HdTtLU3aTe W4TaCe37uTJQd4maPHWW95rLJbswGd9FPIkHzxDPfW619uFQy7peFxHvktA1dHvXrvNtcHWaWseM KcwYmkF7aQCwY79resy80R7TcfadLgG9okFHdAebJ9PIm3zIfiwyMt+OEXiXp4Yt0qlez2h0uftO 0qyLOkkcWQtMnMBTzMfP9QYYYpLo78VavZQQ0oh9tA/TFslMfXWqj+vEyEs7K/5B9a9LbuzTDabK uazfkSnaCoVYZuZmna4FknnNKNhfOHwA15ZMM4cJ7/VUWLjMne4KH5ijkgZQHXz+UGHGhM8RaqOI 83daT3BDes/ljTXYbEPe9bHMX9OpkaOMeucq6kjOfy+loqZz/C5qL9gBv3XUGanW5tye46W0yAH2 3NNNhxtg3nYqqkgp8O+3sD3muOnMRIupsr3PEjILkzEkYQ5qVAFa/4oYJgEZ3cJ2Hy38e22rM5yK 0zCV7p3Y43YD81wb4pO9JLzS3c3IGBTakM1NxxtINrtId3IFuIYcvuMFGl8GpMfLsk7SFE8IQ+x8 6pdoXaM+NAuG5vwl35d01Vd9KYOBZf1phjbCcsOPPZ4bkc9LUbTr2LjQl7bL23PWXPBechC5ar+2 MxWJ4nD8CSzvMMxR7HPMnJSsZGnVQZwFPz3mwZFrp7DmH23wKXBPOQwOm6CUEM9SyqDEP56Bnkof 3emmzrQXv4HRmynsdykbOVzJiIWnClQKZ2xFMTfLOKMBGYJOGbnOE/SrUEU2z6tdj+aNC3SkSSnT LF4u5IKu04UWIf7btQDScBv5NjKsjZpOxvsaE6cG8G24CYk7/rQfLgK24zujbsMwDgBSp3A0E+bX eJSnc/d1iacMWbZXNTRm52sr+oZJiW7UMFM5Rau19FpjbnJDj0eZtA+C7sR8wqcjLG30xlTk2M/T KWlgTja71vypG93bsojVT9Fq3KPkmQV6vY9HbrVicPWY/nZrCnAMQoNgCQd35dKyjWdLqVxoftJ5 6VlX3m39eQ1bR0Xo64byjaOroZMj0yireMJI9JiMKY587BZDvHJktxaXyOPoYlnKdTpsaxcgpjxK reoLq+CiOdH62m5z5ooWtu27BvQM4O+7z56sUKI5ymzjClBC6EQGV9MFuDb/L9lqAUODHTZCDxOk lRk8n8Bt3OEAz5rdcgT+ZcerKM0rXWODJLy8dqrnC+boSSmEudusR7JLUUAOt2Yk9c1Pu/6tCFP/ wyUOcbkbfC2AhMBuwFyK7OMkQLQC1iEObtkqBk09oN9BUfEs4qPJcSnkV18yBeDGdUyAl0LRJhwn YSRJfs9m3QWqqWN89ccwgD2mJ6wC0Tp/3bimn0QkWKKfCEFpSRe8f940pxk6NygMDdlprTSFbcrw NW83E529z7ecuTdzeUN3z7ShwdHUtQca0wDdcfGQsUj9Jb3PDQCPYjiBtl68gPdnPd+3zafRE66C OJedbqnE+SF/S0YycV2V018CSM/hPIWawM1VgXO9L3gVMbUYdH/siLTfSQV54xnUkW7pOn9u3Fa0 8SwBabtuQi8uq5zdBkNjd+znbYVnol74j6fN6g9h7bJa/rFKusfBiO6c9D3uVOyONeHADubQRgAH 5Hv9DPUmJP9CX9rHXIdCEL5ARi7t5KQXVKi81d8Css0fSHlnupgWnSJNHoeO1BOz0UjRzhFWXqSf 5O0MYyHB1/NbLpPwDGOun2Yss2VTkCVhJ3KsEcZNsFHddHLc8ZjVBkO+vC0vq/oyp6xtze94Fzmr h0IGJtuIGdqnDT+0USQg9HgB0eSszOKHPmhEfiMpX9JB52qckt7bruaP9dt6M9cB/Hmz7QEfhX8R c5Fcl5sn1aIH9avpaeKepwMIubEyCSbqT25TqwFHM8G7zw77YwvziUNUAFJr/PkOxlEhrb4v6iot avx3ZDs+Ec/+D/QIrL7Lgg89T6VbxIe7bAuvWo+aNIB4BvdEB10CbKP74HrXDHkQY7SZ3kAJhFNu B0JFVS+BeuYHeT8vJztilUz8J6tuLOcRhP8WUy0XaGgNmwRDwwIVGchAEoFEvvh5Vq1rXQv6buvn waH0RWuA1xb8peyuh5YcLjc2s7e4qRKL3BfCabgG0CkAcBhi2AKMIgOTb/qQG2H0jSh+zP9YwJjq QtwW056mlTqBRrOaUX5NbwLnnvVugI+JjjkprYZvwOmlNNZUUrx7lSZ0OF4fVRf+rm9O3m/oBnkb uOV5NPZC8WrT+4EqSE8EK/x6RihlvuNt7NQ3a3gUHME+tqjlaTB/dEd0xIlGYitiF6sidcR9uKZl loxhvzcPCuoUDoPz+82SZUEci5SsrfDXeq8V5B8l5h3G3KLTilaJEK5vkpyIw0H78kkt2m68esDz WaNco+9fwuYC53bmjh29iObUOKFUwhv+hmiDMJXBuuNEzKyvdOvyvy0zd/6CuDpDc6SGW8LKL2ED EU+ZpHDMzS4ORlBVDQ3TePB5G8S3FyUjxO8mTAk1NZu5zS8kpVHvNIIwBo7VOGGcFRewMNaFOxBU HQ16FcjhQ9RwssFRgqRDqI9DqRGGznWDXzJV9xMrViQzRmVZa9eJLQDi7HwkXErKQ2+LU5R6aXCG tIsiBK6A2hRsBkeAVwDaB87VpVmxmDwrdJHasBQqBkwiICYzzQhI4Wi5iOnw0u70xuAWbfhq96Qf voCjaNvQqm+pIkca5E99K1IOQHx5YwKa97fhgRvlFz7e1vuA9WjoVZjEZHOzVTeBkJnY00r+ONeF 0HQRytrJdPyKAjLFFG3EHtwDRtHdb6R0g7eJVPQ8TGDWMG5+4a8XGnnziWd+EijkybgMeXFZ1gWk m29YaLGQ21ih+VyjL5n4hZ2HZBzGLVya8ZwXZCtKMz564QdVXsfGjvgCG2rGAeT8PSvwy84AmZGd jzP4tORlNbk6Yz873SRPdmBYDHsdpWcHXC3Jwg5X0Tsy182BgZ8MOMM5P62ITQbK2yKfJWrFVCtl Ohk/Nhla/EqV5nz/NcVMcTAQOigGpfmcWcs1DiOjIsOvyNDSkitPLSK0sEpgpuNFVn3c0yt4dBm0 Chk40JzNKpo9T3U87Yawl5y43fWpB/mFS6W9ms3ZsOWzR7GBqcp6GVaJwk+/GnWsEmtATfFGeJHR GAq3i63vonH6lVwjSJ1Drrf4aa1Lmm38MBGLxWDOniu035XGhFj5kte5IUHtwM7ueWzsQue6IU9u S2itP3CweHfbC2SGlmOUwLK26+WaCKElyzk5O4XC4Q/zP/ykN0RNGLhFvofWroh3MHKT002Wvywo F8hMimOBAdr87sPr+/AzI9+wOF+33ypJY1t1vQeqVNNUf+SB7SujnZfNVp3iLQ9ltNtJlWv8yMzZ Vs5u094TBmBrTAyAZSB+4ZbX1RrIWMc9CmtHLNLOoXDt7hhWutkGBkRJnTOWJ18AK3krhElszcM1 7/V08omjy6IPCAVXztEzeB/ISrP70MDz1/Czj5fyLZjfU9fmMfgG1OKTPAorxvYtefsVDxdhXVvb T6nxQA7KXPF7howEB6uOxzyAEgmwccP0K2xRrnOVSRIOwRwQ8+EsovVaFylOsPzHpbS1JZC6brrj T/IlwI661hV4wLIr03m5lFxeZkkiXSrUK/SvwTNFxItreT9Ma1dU8ov4zcy5zLB+O0bGOz9xaYC4 gz+D2eFkDC8SAdZDJYZpVwu/Tx0Li6DFEaJdQbxmYTE4wn+xrzYncy+grOcbGMcLYUypdcyqoF4K LPTXO5q61t7V+Zc9SNZktseg2uh4dRcrcqm2wSe11YH6csCHnoWRDHVCmmIGUFzkfczgE82kZYJG /bHGWOYNLwa5djPtnk0JWJNzAehYnRB29ygoBYg4lHU9wyWq1eB0ZX9BLnq4mVxgYumlh0uY5ORE DbhFCI4IkZMf3IXmsqjCM699Rs7YTn/sh0BJntMKiHnSF0hFm9OVBOa+HMccpG71xK+MsDYtAc7a Ba8T+x6U+3O9PjEMMjLQbbsTPre0Z0i9Tb22s/Xbc5BwsiZSe0xEyM9shDkhRu1CpQCWJSl6QUac wJSX0wmjkrlt1SvyXN8lf7zOej6HJoWIDtioLKIABuK9EgPA2E0aCVSP5PLuVx5A4Yg7luL9vYJ+ K6apzTqBgVBVdJc8HLYXjpFaJL5w2fhzFwBUjhu3DxBbx99N2p8urTWeiw5yXw8q16D0qWS+alTS Y+TnCwEMMYSoU9A1lCqx58O6J1RvP/FjHaS4IipuREkg0hjx19Tq+RDSVYgwMm6EEsKRx60bh+/y Df7uLKuymB+O9ZYefsISDYnDWcDC2FHhkXBL9XrtkzYC2sjDzpd28dkIQ+5eEQD1QAIg7DwtwevX 0eREfB3ZL0Xws2keGhcsM0DefnYiSzH3q6QMK2/CawAfAkSq3IB4WjD7TzJRVFcvaadpr+TWiZl/ v5eQZ/W1hk3L8P1e90SJL62gVenYswBu8iy5V+T0VmuoJb3JO4y9t9imSWBXvz2+dNG0i1EmzaZP g8qikiRgCU1v47Ioy7O1ztCmX1L8pzPtArdEYwoVe2bi6/syKzDbUpim92kfUP7pqC9kfTwyIZxa ShtcBIgPGiKjBk0rKz/fyqQmZ/k0qpvUexuR+/qqMOignE7uEPZS68ejlZHkk2xGyjFz70UE4B+1 bvk4Gfa9Jh9RwBUTSU301gkC49euDp3iJgl3+K5Cbi9Jq7XsgXkgeHO4FrAPIoSmcyS1l05ruq+O TAdxoxkiCEx/NMGg5E0f5ys3fSsmvumNwLbviTTBiuZRMdg+CrpZAo2bIM6uubQx5NU525QTcD8G 1HT4DMeyXWhYBoVg/wH4HMSZF1cVZS+AySvO9KnnVc718cSZgoeV9cGPvNUwyZXBS8NZgA1VkbfV lESAuWZV7n9u2DwML9CrnenNdBIPRAKPcecA7wMSFdFZgRc+eDRn/I854EVgfecs/8T1PSynKq1T zF2Hzux0G1lQaM+RnB0887h+W9l8u0vMXGGi1DA10vbVYEQjpnZ/dl7t2yBSc81X7LQ3QYKyGaub lVwq5yyYIaHsfUzRaJ9RyIkmfAHpJxjjdubFoYnhedVM4oNmEeeKyvYnoExQ7O4Uf5FVZ7r9ZAAC LBgj3yVETIDfNe5viqasu66Yu6y7xN8mP4nFBa8UBCkEBAQxL0//0DI6/YD3cRaS/l/pXmSF9t7r u2SyxlscKrUMm5G5NRtHmGoTbet+cUUNaFOlGiDE+CuCzg0VBKU9uXz6Q5w+fN/3JS0V9Nr9zDuv zKr8qWMFSDZ0t/+gPXBNCu0FBWucBWm2oVy3ozns9yAiZTUEIiuOhQ4yyPJ/5cqXpjXxZPeQfEau CNnWGORbbt9U8YfbHTNVrgLVR3REYGHPEBkfNFZuXH2NymN0/vnsicieiQckrnQGZVT1DvhSutyN B3M3RWAkRPRdWFBS7lT8ROd+3tj5o1+R+0Hp/qKNpX/Ef8n7Mz27yBJ6Udoc54IGDOk/PWBeR0lm 9zhH+DljInGe/UOW6niU8iruq6ZfIl7G+nG/slbbq4Fe7PhsEynKrPFtp7Z5CHdlqPelxNtsY+mE Tnbsl+H/+QWLZI9wuniceBlBvwljchnfwEdwUyXFmQHp+dVezXaVYxH6jEtkDQqDI4swoRv/xOmZ RELzkLnT2k7U91IFI7I5ImxhLz5OJtUCQ6vXJn8rA9GxrQCA20KKUilzoYqEACVeX3VENo9d0uR6 N4EpHl/N3zfd0RrIT5qRLzErk6S0sLejfZrh59adf05zf7DV4O8kHYy/a0up4zm59/Kemtlmi2Z5 kQNYe18NeZuD+fuGhamdnR3ha/0wi/tdF9C1x6Av0az/UHQz6rk+0QQCowT5NxL/q65kjBWzD3ea PpqQtnajBYoe/XSCGRyOLWlVslg0vPScap2Zod+yuJoZnnoqCrSOokxWMscqqhtqSMsH0PMzuG+e p3TZkviR2RFuEuHBxSM7zGndLUdL+6PiZyCWIeZN+Q4K5YzhHvQuwa06XyRFTexKtaJ8LDisUiRH NLu6C9mblQnnQAJVutPC9EBmyag8rOVrlDVWhPlz5RjoG5o+sV7REwGFNxNMb/2YMH9G7jLXZqX0 wigK/yj/40pvOFk9kx1p6la4FjRODhmiCW4Es5gqBi9wYjC8xvXOM/uR6ikka1g0W/uzQdl5kEdm qfooi9RGbOIBvUScj0ZuVkN+YjLwJi9xeA5/ImTdhCgSiFY6Z0lT4Gc4iT0U2MTKssNV1fkkVbq0 bTXWUCsOaMZX4+ws+svOrDtGPRICz4wiop9clMbB2tVgUvvWCOOQaWlSgbVFq+v5pHaCC8EcyVsT Kte3rEZiVr6usw2TwIJs+V/MFkHK7PnuO9toJbwzXoVToUcxCMMoy3XwDK9uUe8DygLwplqdKiX5 1sbiUIkvckxGFxJZSPtizSLIXtMj71XWV3hXmgARY8MjNq/rajq615FVUieD4+v2Jxpdsv9bVEGN jS+DauFO0d/TBCQ7YNhGmafO0/OQhZdhpghCmDisPyjaecXjsb9TsIsAfDfvtns825qt3Z3NO1Ad tG8hjxSqEMmzWrSsNzjey7MyueFruJO3gM0B80L/rhqrCXnocEY8QqvccDFi85+F0TRhTvGV3nuZ gwbSOtbhU+gW8QG01LcJ50EwUoqjJvum2xnpLPw2BAPZpMYeyVxWHdPrt+SLNznjXC1ttQKAsUqQ cWigE7Q07DOM0mBgyuu/WV3lX8qgh/IEJTEvYy3LziO6ETiF7acXXBaKC7XOlZ71nYOqmce9P9Pf vP8tAZH92mebwRdaIIdE2xhm7YhfPK53+q6H+lL0xsLd0AKewOB02mcFYPFRCBSRlMPztjqGxy4P K1lBXxkiUhyggNWn/RVF7jJj9HzSR9mZ53Eqf8h8XGf71saDA7YAKT3+Nz+SsfEKoCxjgwA5/m3h MePJnD6T6P9Nys4LlaDk7FclPXrAUhv3zlDowZXPyTM1aDnW2R0H4qmPPWlunv7rFQ6B1OKG2KsX BQ4LG+tex+X7OD2mB0F+2l+eoOaC5mOib0okdKsv1kAxSfDj5sq7o6JZO4flr8A7Po4FkL/if0QU 86VknqETgNKamUiwRIcSp2ZQj9s3RSR7Fv/MWohj0V6PoT/q8CuDn/MeeGth8RO2TAyjzGMqykR7 WKMHhxCIrnNveFF30/ZdxGY+TFHDDNPUrEqcmrgi0hVRPR28MDKPp/3bb3bio+TZg5fBbeaiaY4H dorRzQ4Joc8simbwG8+tKZ3hMzKed6Z4cGTzFbRswuSCE3OhoaWbIqrdHtyPq4qs4wlYyZXNqTX3 Xh3JZOTIJp35ti4NwMJUjEJxD6iod+CsIEG43+lSi6jdlAoQdxejp6y/YxI+Rf2xoUjKLpKshfXW FQLT5IPuDaTRCyHnr8OKeoyMNMTUlZiXwE6Jxo7x+wN4FTmqoejjxYuDdB7gnUzf2atEdKFUCf0x Gih26NEHgBQ2XPzpybD7Wl5jvGy2JOcRIq2F+SHTBQ79oF0Ohx5pGL9IwgSDOahJFpp6jbnbJjz8 69N7oO/N0bDrRGNhUWnH85xHqRnJb6zRv2l3XhX4Wce6D4TFQckd+anwbJESaM8MBo3IjTcoGxQ9 Xfkld+PKI9tO/UXy4/vVSDRjXz3/Cg7F1y+BPkF5bNilRxQWUPEdiOeudrfSWbfGu+xmPHm7ozkd ulCWgbIrLuU6fkQVkIQvdt0vezrJbvwmgQJ4AYEPYYVJ9WYoNe+2BbiV+0x4JKcpoDJuPbf0oJip limFXeGTmGrRPd5wM5KjzlYobDrx1nHAKMPqpnZtgstPsoJaWs65oefHJ9nx2ZWxr6GxO/bVLBXL B2BhkkQ2HG12eWBLTgyeO8fmSxBpoHdYIkBN9YGJnxPjEGLQtCepvgSBmTNpUgfVoj4BQh7waETZ vnmKw5DLWwYqh4lX92c1/XyzkkVNncTX1hhAJOT+6agyzA7H6MLfQDSNMhnjbdHoZyJhxTvKhUSB euxYr+Onva8iIxccByR6oPbgCqsFh06J41VA9VHiZFfJFO6LmPF9quEomHkSfwHgPD1RVi2TarWO LeAkkbK2c1EhWWjxzwwijNwRZp7yyzzaWjrvO48MuRNpU02RIpmYFAWgAXU3AexA1iqqk2gh3WUS IhBlcWPA8zJfU75724jldyoqE1Vu7ZKwE7WtRmtNYjM3U1BxMFe5VnMEwj3yEmshrFyn5tC4W1R0 Tz6p1SmcLM4EJYAJyuPPPtZFeeZOcBDkN6Ark+r78f1Kii6zYPy/efT0bAuNP18cliRCCxgNzBU0 FEb3kElcXK/xvVC1naQKlu9z8042pvbN5FDgu2nl+Ea1DMr/SdHYIaFn/tyDZOT6cqMeeRAnRU+p 9cUibMP1Ga2oyO2UMre6Uq6BrEtuyUe4UOzAJVqAPx3M1tB4cOTHGJp1WBw5sypIhBPj5EFRH5gF kFt2Gai8qo2CtuGhZNivziVWdrmM9FIsOTOqceqVNzntHWtHd+N501ys5myaGmOGfd+5B/xIQR5K 0Rd9oTgh9BvBegLNNGV7SoPP4XkpQl461BVOYRUZTcDaBkalL+y1XLl0fEwkLeav5HgzPgcRqYCo uRzklWQwAYIniFQxUR4IIgAOV40WdoxtoM7nol/9Egl6VjUZj/niOY8sTY2AmDxyph3Hw47ejokD WIDX++/06P7CDu16K8AlNA4CugFzlGgHp67FQbbEuIi6HB49WC8exz2aPbMH/72CiiiDfe4UQs1v yf76R4pdOs79bREIIQ4H9i6bnbrDA9cJfnYLZDwDc5Js5SXYhCNp7w1ggesBa2oCjiEmzqFLoqWm 5r90VIvxm2Ujl8Vagm7yC0P0gpnnPJKvw7XXMlH9TchkSMTYgYMnXCNtZqBS9KlHQWNyhqqfp+S4 HQuhFx9yNujXwJ3xbafEPIeLgDt9sAjBehTOxoOvqzoWpiYcxmayjoUmTQPvmxzZuro0rDb786nv 3dRMGgxVp3W6d8HVROIMsPUSJI2cY0axz1mVbIGLbHlzPmWywTaOhVcjRiQ5MlEiLKTkcApJB5De noos7yIPbFxhKQfrLtvkbAIScibBo7eJwVyQKJaPCV5XCjomIwPkr3yvampIIpoJeHjDl4aZqX25 3BLEfbPYZYGHV/7+RENFdPCoxE+SeZMcVo8ijY/wnMVfZvqBsahQWHm71A6IBNtqcaetazJJT2BT CXTgQX/qpL5f/4FpEGUavUhSVDqJdaUKOhqFe0Yg08SLaluEfDPfktUrk7LX8LbgGEY2fmq6FiLq SnYvPM8WjsT7HUu5rnH4yJ2Bdy5IgX+EZrmSz3A3pJQfXyHecyFmqE1g5yiFZq49BbMTEEPOKUa3 Xadr4wXdzpdggUS3kiCLaXn8Ec2Q9Hwvg2SMlXjHTBezdQAlLMX4CBo9ByiHYE87FE8k6IrN9Vv/ kWubA6RWXu0dF8kozzJSF4eJYrgUuSDJocVgX6S/z3X0Y3WRtdyB5/DF8h/xQ8ioS4SXvP8ZJBuB o0Z7kLkQyOF3BRq5W0n2YT0dZlYk2Osld+9xqQG6CR1DS87coYfA/R/nDuHmvtvc7htZlFF7kNPH AnFq807kyb1aUcRtcnOv+JSBgsrWmuC6mqqW3Ks32EXxdVBaTIRD1knGr8GOry7/KNyuGfJgikin 6WwWZC8KNbdk/AGIixyMwTkdQaQa6jh3fYqml6GNPatmhL+KRFJaItjC2lpY4qKJmpDh1k55XQn9 guRTrf4kdbmyYKlfF7POCrkg47RpC77EH4eZsD40fey2yLzksmWMQWJb3M8jepAgvJrn7BjNCJiE GTTZA7YiE+SUYoVx3pWjduWqBoih5Hfk34jgMyXLy4LTZb42a4MgIEdYID4r9+IuBsecPba/9vqb g5pTQlKu+B3iyy+N03bJ3B4mrCtpLmPo4e3pY7kHPsAt2ZWSXHSdG9F1PjWjZrPkQojStEr6282h mSpnXJ/w8Rv0pdoFThplV3baI12TvCnjVuRWZmNXCPLNCdThZwmBwOoD3okVWIp/s3OwToUElcUo InyVM8MPOhv9Q1KmjOYlQynQXuIfvkwlv+IheR89kDlP9okfbI0mqB9XWTZMewU6UI2teCcsDjOm 2nO3HrWYkaQsvwSiWtfJDrPzFkUrVWHql+YRLp18nSWuadxo8pWEfWxxST7Kuwq9Qz7Epewk+KIQ YpI28oQpH3f59XSQgHr3HMpQwJ3VFKgwCcQQz44DeN+C3sBR0ErvRrMJzwKOaMY7aw3IAJcTjYLL jwBW6aGTH6e+khlebG2gbzQI7a6YZWpG5Kxl+dhMCVpoeGdw9qXWh8BiqL8uxfvFdOylkKxRnZUR Q2ROYl8PU83KOo0+Z7iwpF+F6SHurE6Ef4vGnJoo55TArFLKdWsHTQK5TeiFEc/CkU+CwFadTLj4 /HyL00LcFN5RIH/fxtZLmgZ2XgrI2b/hB4zkxINdxRgw690yt5rSd2sW1Lv1M1ir81aeDZI8jExw vx2ouxj4vQQdCojcCWyR6MxBVBEq3PQz8Z2O2oUZ5li6vThjAXBkD8n+oy4HNQ04yi7iyUy8uGvB t9TpTlYnkx+xmCi81IEXqK9C3cp6KXmoufI4DzeD3Y53jxQngNGbNAnclOIiUFlJXbtTAXPV363z XVT0S0L3i1Rlev/Q8zLfHyBLGuX+cPtVj8PBwzsZ0s5nqp86VRkJKHRNTbghUx6Z0JMxDVvU3IZj m0s51xrkjCewroKJeUB9AM3TLnGnygSIzE3usTBoyWWb4ilthr8G7a/BV+MM8n7XboejRwrgdrIB 0HZ1+1GBA+Ivk1iPFxjDvSWewRMs2pzvSyfQhLAy9VanB/lKC14U9kQhvCe4q+LUr943tGb011lg 8xEdUTxq+zZY+VguWZnf4BJk63dJA1R1gplFG/yHp1BZhB/2mz1Bt3cS5yAbeXvh2g6cve6cFkTE I0tmfgVlunoS2Q/u6wciXx6njz9Eddprs0BMzSl0KPHQJihzMNbH4FKAB+6ZkvatzUwWaBIIhKJI KmhP7v/XE6+JPSWRB4JkGaPHUkvT9rP07DstmZESBGBJ2kMhatAx4WFXim13RuPhVItvq4wr/RAC c0+s/hJzq/KEr+4dZB9tK7NuXFat2wgOGivpaugEY95Sd/xK5lvuC57zj1a+/vYOxCMJ4LWCM5YW 3hjXqkEF48u3cjgY5m/OI+IA7J8qq0fNBFXMoDmERFKxtbw6f+E29E8anmtFOgB6eDb+yOMb0QrM D49APV4XAJqqD9uiGQQKu51IdB1E38R+TDgN6lLunKQc50PcSfyuEmxWq9obMM+pQ8Urk63WaVk5 nfBG4Y3tFqGzBPr4JAhBiJXoMDKT52gOnNjPNLXCLkMd7GJzDrjmNbLCfOczRKItytOg6P+0T9g9 QFLzk3+UKgG/ltavMUozFNeVuwdb3hYTk4gs+Rgi78dYc8G5KK56Bv0sCh5E8XMIBScebTMKnaj0 TcXgVYVh9UEfoHfnMzBYLYls0nP4o3Di8mwrQjE1P2YI3N43bc2F9bM/Vo6Gz0KUoX0gQAn5qQwC QlY+nxlw/MeEmsQGJ7FoRuU5BF74il9RJLaDKnhKxwBaP0mEXFnB+6fpo+7XWgH6EpLNsC4toCgK TnMP2xxYIbg76Y6npAn2txwr/k3p0pkfLR8QoloIAqqH0AHiO6D10tLk3n/URQ47xv8vbCiBgana GXyPwf7BW9ktzl7sOgsKO3ZCaQ3wupB3s4jyRB1uCY79YdBMH7lpxB++iI0OEMy4KiGkzG8sDKF7 KGuxbPRHNo1yP245u8gvmFultoEqbmJ21uP7jggrkiE1JyWtPaPLidxfHzBhVD+c6phoXnMYgW95 wJOlxBvkShG3f8162mTlqubF/Y7PvAfW3PyhtFdIXaThOJwoqrH9pb4VHxpU3K57kpRfOWN4n1jE GrUZZtXaywegfRIivQljL9fs+FbNWADza3CqSDCl/dQJUlYHnaWMXOilHen0rKy1ZiEWN1L8pKJS 4XRE1OOnnGUG0EpLtFZ/unArhUC0QEn2x+4X1yGQCOPQLxkF+WmOdZMfIuvsckKd21F4TQQ2AcnV diUEiQy1VZo/YTfmiOvX1wWMXL6opA29Fui2a6I+mOYS9ScD3Nx6lZyqtOlwwx4sCqG2gYyLqJZC 9bTjqvwQQqsnhVyXeBRWKX1gTtaJmIM1Tg9KGpjwxFNxq5CIAolzbfEJbgO6GD6hBX30XKlP2dw2 nsrOjhaHHacV7tVb9J94FRpCrUPj4k+ab2ANpAItyXBY7B2n/0aZ++rElhIarxgTptJHwAOoTp4Z s9DjyGD3ab2X+FL3kIFICpXTP+JXHb3Ws9IRNkd/qDyt5iD9/vLCLnKF6OE7Zck8+whPkHxtbckT shzUKOBrUdaT+Zk0BgsqM0K8qLnCeftrQt/BfiQtcVW4YH+zDiBzqMghUzRz99DvLuF6mIqhp3Ze zw8HML8+ECbUwFOc6jpghPwA7l7VZCA+Dy+5nauXfWwQ2urOu2CEo0w82Tu1CFje9RZqBZ8Vy//m URBKg3IzQ2GK8XFJQ1Yx7hrtajYnVELmfcyCXHA0uCbPhKtMbMfLCvyisgB1wXXOh+FDrPBGnM9y +l/dWekc5VQuAwkeMZnJqVCrJOfu0wt2La1qHrnnRcCLhnKtQ+HNAySYxbXwBCsTfQqIyBxCdvj6 pIrsgqV89kUkBu3SLKdsJ+85zuOYVcNXHg9rmDS9b8gUJ2fyMWhP2nVnEReVCvUZBrFLSEZrupcv xWvf/Qb5OTXX8Y5AH6sQDM6smkbQgewpu4ug4NHymTuJ/b6JPXt7zGZcj2VKE3pLH+nHowGlJXJ4 2oSzb+WGfu/f2Bf6teX1LkOrDG8adDyDLsrv/iWmtnhxdxFE5rJHzbVNL+4tjOXV9WRjImpeJVso wHlQgdmapK0OHJW8hVBPpZdXl+cq2HzXc/SwvjGf4XIbW9N1AdVxOrnTD8OLowKz1qUdr+ENZlPk 0Je3JO+ShgtTTzCzoJvVEhCrjqbJSktK0XdnmWXEt5Vk4tiTv/msqmjAnYC8io9FtVm7wqMR/ESz NrI4otJ6sne+2jl9sI9XtN1yMFh5E8SjZzYG4eb7N5AivbkTgvpJnMff5/vZ9JUjiIEeVcgsXp42 y+KsDrUHPb8HB2cnEdJX1X61bkSKAmFm3ZVse9sU7yb87b2jMgqdcsKATAS+6JN+3ozjP0Y/DKYP TeNO06EMkP2KhfrWY1dzFckrHaeb2G9MF1TnTZWLMG/20aLFgBr7c9GsCcNfdBWee7snPYI+oAxq xNSV3+9+gPfKOUK8jIhtRTBfrU6UGGgQVTN/pbGQlaxeMuaRpVpogGaJbMPL6Vq2yKdkWRwWBZzr bB3r//PEHel+6lmFpg2/d6ybj6SVKZ0WFvYR9PFqw6YIzsNqbyVa8Z7+mE9fKoaTM3UP3cOt0p9b vXuWZoM34iHkaeyEbgavZlMmHQFsDogFBtp2ET/kXRrwPKES3SmgUP8nKoOSDLLcyjc7K9rebQDi sDYfKAAE9QakFAGzYREz4HKTdf5b7JFBaqaxiEU9o9uROUbPg2dzjkbYXHe8LhHqXiK8AIk6yRGE wG2mrc025tvV9oIdu77fCelnpMJ+KQs7GAyjU4P84mu33eC2ZtmDS/JZIWX77QkHvQKrIo/raX+Q 74hGMviUg+TgXaFOJddtBa9cFPLS8v4LvQeBS+zt3liw58PBpoA0CbXI69T3KIUkTyCrxNFz+Ole nZEzdWIIC+YlnBTudUbTZ5mwhURb2MQrNy8Tmjo3JD3+zzHbhdRxmHJsO1X4iSkfMJPLUc0YCMp+ jSvefsT+TaCLdgNiizibM+tZ+lczEb5FdkGqXpAk9Va9ENDV01BKvYBBFpsxqZZEU2Mx0ZLeuSzG s6FVjKFl4611qW0IYPQxm119NmePOAZUcenHH3bEuQIl1qoYgLhuN9Jcw60JHmQq8XvoEbZfFWjM PAenUcc6Ty29VlSTOIuytaCS3ef01weHgDMdf2TAcWE/fX9PoSONTY2mtZtwoafqlvwCdSEkYKsZ dmE+TgeSymPoLA4635iZhrdMJdlpecO0MTDoHca1K6Mf58VdoSxqzGPdxAXK9AkrUrwn+6Dvjbk8 OIwW1NIPy2nQ1q18OVcn0U5k3TWJ2v95C1K8D+JFTVjDitbDrcLGFNaSb27K1WqOaITie/yoYyNl mGf3dY56aOXHnSB8piKLMnjeIT7ZrGW85norKEkY3/JI+B3GtHLP7CjVEFp3UFZLsCXHXk1Onza3 hNR1ygYs77lAWz2CJGHA6jOJdDzltBRjz3H2V0zFhzt3C7Yid2LvILlHpzjW3M64IfKa/PLNf8Bs IqqVXEUj5pVl/+7s9J7Blv/8QaHcWxJ8q3yKhTS3ei/aMxGneQVRL00oTckQZjoKiWp8lgYp+idk FOtIH3mMy8VyoLW6XF0IKNMy0dAj+dQBY4WVJyPCBk3XzzQmWJskFHWkdtcBywHB8xZLonfK3NeM lKyw/Cl/P+zSnHIWpwLa/e/zphE2xBj6AOVXDcyppXsweXn+ge+gX3/zGO4mWo6FfG/Sw5GLhgKy tejZm6S9oGaVfmoR9ogsU+Q6dtLiYK/2xE/x3DFx6D0qps7yIHHknyPvgmgTQ5zxf/DpDbOFMa1r uamVt6hxM9tLi6f+UTq751WYl7g/vm/tcRPMfB//vPVzIiHZelGpqtKt78Qqw822p+0gleXm/JIC EanPjvMIIoBwOg3YxmCv17nEqxKpaumYoLoo1PK5vXwHfpRVdyT9HlsfmrkhseuGfIh7BT4R1SAQ Yd1G8JhIPgiSuQofKPtnm4t323qMdV/AhdlcJ5oUQJkCLQ7ZdYazWP9Lb/m8L8sAkyUU972kcN+p k4B0K3k9dvMSY/9WZi2mTB1O0O8lTZECMqJD6zHARS3mEzsHH6Oru+zpjXKpv9sO0YeSyknt2QQ5 ulIaUqbeTjwfZ9f+ryvTFkv5fpBV20rsDg01xlf7XOczWH1n8D4Z0VoLMIIlQDOPDMJXYK1vvOxA WYSkf9pNVinf4iwxmm/E39y0tDG5o4QQaQKYGP6Ikq24MukqA1V1LBBQ8W3UjhhAVJ+is/f5AIZ6 OTvwK0HQARPWBA9Q0LFVo2zeaAxRj4yT+ebM5qxWAHUD8g7J8Nzg+FUPRdGjENPs1iAUEQ4tBFo9 bfOpBE2Vi37n1N40KPlhi7rrOC9cfcTpAxY6QHoSvOogeS25I2M3mjfhtJnVE8HIAFpzIaoEaJpc 4M2jA4HUyMmABEWJoZAhZx/z0HNp/DI103VkbJKOPqLGXDl3nXFGzsN9KVGOb7x93UxqZkRkGl3i jcWIA3kAN6I13zJqUy7KezlRkkFZ1loi/QWPpNGWgMwPwUcpt00k8mKF6beHnbLqpaeZ4HBHvkrP 0Rhh1Z9nq/XUgjksUKKPrrcsGPxJgqfmtYD0IgZTVVNMcy93OijS5bzhaMlh+Pb4b/29Q0hHeLvN DrOnD2nOCTDsCxvfjgnBXGkvWVmYh1ScrAKwy+dAl7HGb4eF1+jWcj/iCcMlauEIuUayfA7vmlkM HI41aq3t6Izxpf23Mrwgffz7dw7b5++GM6nP7HoEChUE5ECpE2DDGvtBmj4syZ++Ful5Zae8xw5h MQIPYnNfE/C0/xxDxbTg3/Sp6UJeG/KxkgmRM/YiGucoNCd4MtUBeMaGsGji0952huO6nAeA1zSK qOxHU3r8ZQ7gtzyC66KqUdnA7UaRhWwe5quycQBeYCPCm1P+9vNbwnM344xQuHIN3Pw7/cQY47MN zvUN99L/ELPo0hBarRzU3r6VPS31fAo/xMD4Yp6HowT13Ic90DIC8I1XJ/RYZv+1Zt8b1M1hfdvb Qnr4inV0ExAJXepnB2iyqzeVwkpAJP01jynizKTeMuJw+Ejt6cnfxb3b9q7GdijykE0AAtKQMIpm 36IzgFicmBR1dl7c6a4dH0B16pC9SvMB41sQq/bilibgm3Ei8XfNouoeggvVUs32mz8py41u/i4h AsrOx5IOPGi9pDi4pJWItcJlbnt/PqlSEMGG9pv9SVfJ2AuJDi+j3mXk6GXR7l2WvffLzkOifqG6 pbNxHq6w+qOfVvotJ4nLrXT9NUZNYx7DSu2xwjqCvXUOp9TieBTBqIQjioO+/OEMvjPUaGxk6kyH GuclyORUErK8lAM6YC0QdKoM3IPGecT2bNNPGckKOS5tVaRPfJEAo0OMXQQv5akWCGT5NlE+6Jk0 lKKBOypdyZCA6NMqXc9nO9hwnbpFT0/15fjj1NpqVPk5J/VgyqYR4jKJRxU92LD7s/5X23cfuGTS KiMTRwq7ffmHjvkzCsJkvThnOyaulGlzhJb6UluS6tskcmoBoib2GDZfuehcu7OspEh00J7/fQpx y9spcXfxvUX7OX/kkaw9UEUaLPnyJ4Unl9TjY2xZMnCBdPwUuQZMKJ/n0UP672ifzf6fvwBBit1v I2FNPckU0RMLfxbnFS+htJa7YGmo/PKMtgs0vAACs5Rcp9RElJBZoeqSWQmKnY3zUCkZ7u3KTdbz czlSGcPKZ7MuxPDE/7xWnmjplta/PHfThTfI1JZI0XniPcLOGEVKeAjCB/fHW/yLbMcPqEFJBN5m 2xObx0njUg+1Vx3E+o+1pl7XaL3l+mrLVcXXjaB50+Thm5RIAPAEl3HqMENZmvVSS7RsE8A6d4u/ WFwoPBfErjx1PkLrq7Dh76f2twVISvJ8UQwrT73mtrveDd9LvKSCPsNUxWTsuvEMKXx5d2G+dcBr gMLVKgy4/x1hhl75LZ1T7skKUTblzfXOkjHNWJ7exyqLHjs/WTtgP/kLpZjcgGctUYqV9QfeDV06 n0RX20xNQKHpF8tQAamFZ1153tQuSdAN43ndh0ZUEuQKGVaRC8M2FG3fQiM4PxSaZ36RzCLD2o8Q BABvTOmCBuRKjt6xzRYQswq2Ld18buwowdNd1GI2DPWCsHpD61GAfVOZBulMUtwVq+mA3cuMhUcm CE3KL3rY9wcC2BzGF3LDS7vYQVysDItOWNl2MKoD0qGfUixe1doSo3CoBb7HbngV4d0HIaMPn0CA kYyRH6G4psWR0MgyryYIQ0kFSJUlZwZ5GuAp+hABXVTKPFynIpekuccHaCjokmXm1YcJCTMVM97R YHjDFdvt7MHshHXRG3YNCD+7AxiPeghp+FuYDOiZpZNFa7J0PX6AYSgHqMVvnK2Di2HcNFMUirMX ypH/NCHIcE2ZrhcuR8zNVvTCDna5k2TjQyeUJCJlpfzhx/2d/uI/pmFFci08R9qxxlHqPuEMSYpi ZSC6Oa6u9EJSLT+Oa7Th+jXt83uYJHwExlDbCyR5HRFH9o5Q9oTjmrAvQyNTkcYm4Zk1X6raX5Ef vPWB6ubo05khT/dsiHoRYFU9INK+fqZBN3PeP2/If0sHslpVHIvRk5HFg/ZyBS6YE3eVpc9oAvJY /ByvJmrYe6Mxfz4X6iC7y+ph6ocIdrxJb8rsnLUagKZgw0M4uzWU4lJbOgHZeEN+3dQkey9+wu02 Fmh/A7uhRxLBdJuKV14WP4y3b3Za4nhwWB1y+5KVvNlus6WOTFEh6bIJt+r996723INE8cIeZYvo TWIvTJdIaJrqbrSpC4VmeIOcanUGRvjbq43xc3mzSsUE36BPuyW89aBpqyiWPbX6l3Vk0SHoym1k +3irmnVL1g/Y5Woj3ChafpXEWT2ZRLYwfBNNbuJn9V2N8W3fPmdngwZnbGkitQyqqzwegI9Tu789 XnUZU3xcVpvBWrD0hll/3WoZiP3lDwbXjobeSlTl5+zxu4tqBSPoQW1eagc4cahNXLsyoQEmCj+F ohuC4a3EJZGad9uPy3StYepTIklFvnd4gNYnXnVEoiQ0huXeAqEglNs5rKQFsM+xrfyBtkviNDJ7 HAcS86knFqU9M82Z3pQVR02bMSg3m7t61ZoJEBrbVBn7M9ix/R84yNE0zrPboNMv1a/Dwmv8914e 2Z1gUu6PAyKYmkvpDYyF1s/CywEJqgWGUgel1vgDFZsYY0PyZmALoRM8rVyqzAZh/7X4NEnF2rdp Mh0LzqUWHnVFsMNxg75YgLmCamAkBL3rRdMRfA87IdOECIMvOjnBiGfL4WYGNqhRlByTiypcS9PZ omKifsf72gftybYc2WO5vLhpKjM29/wOR96/2p0FtTjvCwbkxNGUCaN3uc4WF52dJ4gdkiSJJYxv E1eLv2K8mrJiu3BZEp/w+FRmHOHjwMiyw34cieSm7/7t8kI0Qaw1r3Wms0eJtwRhlTn3ecgxNhe1 nuFbIoZU87IM0MWkMPrTkQSLx81J1unZDWhJq3oPl/H/8gMmX1H3xeKFZYNG4BK6MwtByTKaP8Rv C6zLETIy2Ii0n+VbnDJG6v0qPHzBUYTxoub9kF08fqvQaP8Qui1Rklw0K+aa1GmjHXGTBZ6jU+T5 3j3SflUH3LCVZN4evX0QgjNsk+lV7w8Xr6aJhQyElyZFSCI4QZByqWMB3V4nxJodnAhd9pB0q779 4vYNxjw6dFG8t37LoLP6nelqznxYs9Le1WK5rtfyiKhYMIsDuiFlZOlxAmBcroP2cy4iiqxtDFWG Fmyxlx9bGXTXvLHcon+b1ZjTXZZ+je/Vpng3KHhVbKNOkyQqTrmcIyXp4CSgs6MEn+hL2XGLvfUa OHdjeI68pnQPyj8fLaiRBY01rOudlMyTBaQ2Lumt2f7lMf0ANEuKliiCSB6G8rykCKnSzDsEiAoR b22aIzSogy7+CxMWRKdeCAbXkOlZfAdT6aqRbgDSVcJ7ROtJzGU/7S5Dvl3HrhAYKmgCWRAU1Z23 H1eNwXtuIYQJ8vrUSNnBBf4+jGiXklxDCn4kVfKcVrL9r+XQQnc9VbcZTg6NVmrEFBrX7u+2PRr6 T2t6imOrxxWbbtJtr1ISiIMM8VE55nrTJDiZY1zj6bzIit159cm5H99dMV0N6bsPkvb0S+R3tEpG xIcP2mcLA/fWlLzGQ252LrmnBbXJ8DNrYSFVqWKg4AiRwrH9aW8/kBdk2TvCfPX4xFZ+1AFfq/kB 7ziBBnpVn9yu6pJr7Of6c2Fdh8AX7CFYUZgEdXMZt+AhhPgfTjWMD4865O384bS8JPB2g03Ipfke SY3Nf97u+3uaLXQnvDY3fHqi2kKgzJpVWX+CS56Rbh1Yo2jb7xb6KrZTEYSEixoTB5fvM5d8p2QH /vHHUvPbXZ+FApDxYxyUqX5EG+8yRGH9hgDDKlrxzo4A8q5Tp3YSYC1IyLMi4KR8KGJMuJHUCXDw Wk/+ryz5+jBTVKGZ0PesUs7HEm8zq43zvDVOWAYb/i4T+z1wCSIEC1HSsum5wHcm0ahuNz6bnfn8 UslElrxx/mZo8tXF9BQbZ99XaK62itbODhPs+h+ch99ucfRC4r0MLvgT+KCyTJBV6hIXtjA2Kp1H 462Nqu5blLNIPx+yzsEh7TPKb/gOvS1itt0QqTY9d6KtRbg4Dn6If5Y8uyc06UhJrIoEjHwxGjVA FzWuZwIKBxCXytRhQJ5PUltkzwv7O87HMQ4CiWiXLrE3r77fX+VNBniRmueRH9fA6yxVs9pTt+Rr rd12t4fQprU0KAs8zLNYnyjJDw7u1yl2451Mv+17kaVVrSPKK5FmC1++04J86LTWxtFAx1MKxEgD lFaIzoyq+oulkO2kXjK7VekZSFnA4fG3M287cgrHSfpmZjBzlgQDNt+DR10usm7BZHfjtTX2IHwg oJbh76fN4Vzi5Zd6itbOQmHzMw5Y2i5NlxzaA7ru58Z5DUZUmCuoOj+qMdKUT8cYRSMUH9Mpx8Rv TUT350XDFY6JzUbPTwiKc252e8Aox9NTy0A4SQHYA0RAvh6c2gckeXeFJjYhtlvUpIiE7TbB3kk0 +QRrL1fI5eO7S7uGnsKVCcqWwzA+7++/NdbU8RWZKYVSdKGqDbZB3vUNCutKDWGcRzhpdbZUWclW 3/dNt8x/LfW5zdtG7ZtbIUwB5+Sq3NMkKyZKn77YSyTSyqHWLRNfPHJXlssU5DQ7YOFTiDwrN7Ty eQdgppeRQTsF73vg1vCKXOFNsjlHaIrtBlhf3uOe0M4+BNwAb8quC2pswJ032Y10ful7FDvX/5pE yHLvRFZTQeWvxMazjwz29nHI/P9+NSE3ncpcLtsswkr42n2UbsMqdakKFeflI6dePXGcNLY4TGXY mtZkLzbolsPY1mdcjI7o9vWmAm0dIkJuK/VKuVGL7yv1EZDjJJ8L1vN/6wF1tsXMgn7W85hHOlv/ /kMNdxF0A5pLdXKQeaVcLBNAAdLyadRM55m4NDBKWlaYa0ZuF6CnZdZi/nLsrrgdxQhgpD+WB0xB Y/ydvupVdz5biuG+tuDph3Mm1XNFvP4qVm1QTUbjN4iyyNvgooTViXUk+Wzn6gNLyfy+RStFyEbz 2GGblDB4x6y5TUEytsbUD9+t6bBiibNXxfItvYmoKjX7guBUgDeMEEN3eym5Yu4dT4XwwV1cO5wM tEMax1+Th4bsAbY2LWQkou7Ohgt6sFzbWWYxGkkDyXgFHH+M1iAI1KbQLkPJBS4xHoiVqiqOpsAL UpoNUiO/wgbyiUo6/2oAy3hj8NIMeF0vTvzv2PVlPXC7g4sibPOMARvi+XftQJJU8zdwhCSXMwHP KhtmdIV3u20h3dxxlIPQcfeTa0HvbAoEJu60b/Bu37CGl6WqHoE7pyHJzzxT3g7rRY4Zzg+TylaE 3HebldsbwPeQamLMwxrOm/2XPo+eJGV8GmHDxAaYYN9SN3YqgjG7IRP3xLBn0/Zih8PLBGVQMyZL WAlUvO2ddHwEElkYqYa9JSKMbl9X3GqNJ0fqaHK+bQs4OzOy1FV57k8gdZlFdrca7N2k5HBQ+05Z 0D7BnuJ0SWQRWNvdwh6XghI5qqBmtF2RRlZULdNqsq7FiYKsiVl7LH5pqAgJh9TREF6PdQWCQuJ7 /5eYT6vkvoU5ySsL8wqqR1i9/yjs28SuL5FwK4chzRfJEPsH+N5OsXg7Hya5rloehk1rogGIK+LH wxiAxL/Tm76ImLZzbD/bBWqcdhul4htOL2mTOo80fgkWuKW9Tb4N12D+Qaf+L5FV8assuln2DTHx AvoGqoCbCh2IkNQKDT5jtdTDqfTnjfLkEY6H81Whuuz90fEETqPVZzKXjOTuS+kvHgJwy3/La+c1 OhwOCAdTriNX1GF2XpA0EPbspSpOT3qyXphBsqluBg9tsIt6AzdfoxszgbQbBngl/sYOAvFwi89y Ihj0u+8eAbxT1tfhov0GXB52Hl2YrEerrhiKCQPV9NVm9g9xs4iIUkRbKJHIjkknRgt0wA0ERgfK wu8QFjuPXcQPtANp31TfQwhepZXo+56i1mY2ZklYcfiIeiisAHgdluw9gb/26B3hX2NexE710xPO 2TqoCOoh4qyPY2i7fAKjPoZoHp1mVD1n8GJKXKYSGHaSsgnKqqIPRhjV4ZHZlk6L8dPtqx+Gtf6F joSjh+bcs1vyWaRXyTs2bNY1ZGnBhSr3lNhrgo7KlewnCrdnsqciwRNGxnctSO3UbE4YEDFhLbLI 36H0XTxFPbuwNIiOtWsRCh7Dp/wUhgX8ub3ylmBOu/LLk97gfJJBrZeWff0agTDpvD8PNzip/q2x Ga3I+bZxjz/XtasvzZfdk3D+P8szcdFV8CyvozFOsqljavQBwFXBWFn2W1HCQ/Ic0FcIz7TyPCwy cA9BRtg4HDIW2PqvnBlzzgSs7zS+zKkeA0yDppvPIKYmhsN7wVgkyCk1OONp/PXWc8cEcylALy8n SenwdtKBOMLJh3cbr/b8ZxkZrHKeulhZJpJLls9Rglpr3Zb5NhgXhP/hQ6O8HxF7xBja+zelsDBt Xu928qA1/RbUlXYemizmxwm/SwAJI3YrmA4Q3fUjI6SLWkbgJtiaFB878PA1+Lew0LZh/kAPU9IN hYAjb7mdxO36dGbIJpV/lDxSfcE06q4o1+jq/4ryctihJsaznP8uIjo50IcNQmykovnGVzLEXtp3 0bJaTblS3TZFplo2K41HzAjeNa9PW5l0HGS1Isyj3Txqyo3ijSCCX2EfqYDAU4JR5/R9hcNaN6Q8 hQ8oU70n+DTB7lBvoWPO/wtAvJnmt6eENGmTXo8ArhFgliUzYAFTCCNe7jGNp0TOPw/WoY82Hu+P agk/whAGQsMgx+OiYfW7jJdkyGvL64EFPHJL1mjnNjKbVIglPJONQEF+owYlmtTEB73WRqM0RuJK ohTh8xx3U3iXgxllSZzFSUY+zJaTvUdwmSjOEzM+lQ1So2kUlu7gQp5D1o5E1EV3pg3vfbusSjpV tsQkNxjLTdvs+2f8mX1OVwP0m8QERgDYde5+lEyCVAVyNqJJ7dK1wCaYISrL04IPQHcEnO4Iw1B2 ta5mp+xONfCdTqFG4hktwhv1SN1jphZQHIwNPtpqaEtlU8KOvCgu/YMNdfORLthcJ9MApwjAqwar qYy6zGmhJ/ykoNCgkELlX39FKKzilk9QXVA3BC8Oqzrsg1OmT9ds3odTnu/2UacSvWesWPcyuPC6 PJL5MVszWKbP1YebThSsYgn181b7NKT72qQISYIgVgHqQ5wKH1FIkxpTEWih7R9YdZTH55e3Dn9s MniuQV2Zhphme8kVday2u4XOYxBXlXz9H+DGkDLA0cw1UV1uQph9WyZRuSNB+2AzNTNsIAiOyqGo u8IE5FDnQyHyuRlp5FJ4SEU1X/Nfn/6kORQCQc+81nsUfBp1BeAOHNl02g1oPbIDVzOPgr1r1aVS yXtcfVTh3tqZA0EmAn9ZtBxfqh0bIjgLHTClvJFL0wM+MSIiUV7mAqRx/rvOwUdujknSRANVcsZ6 TcY1WfhTZJIZpG6ih8Ppk6RY4pmelsIdSjhRMhGeHcOHzrkUlB8Z0IYWSFHsG4yVlWH5Qu0npXXE 0FEGuE45+BDP/5jDUtlp3acdcjaLcIaJvrTknCLXKzxQleTDYH6hPX9srQPb+Z7mg6O28pGHsXuC RMEVQ4QVXVsK0F69BmSo1shnPHviAlkwISZXSVM2MhLy5sls1N0Dj4Q143V3QO9Xfz5ftBxn6biX 8kdktZrQNyddQ35xNSewYV6hTnbzglyUPuTrsCuezQfie4FOxFs02j6+VULEBitpL35DvOC7HbWd aoXpwtBFg3gIz6Ecny8A633DI2VIXz/+ozLVnQIIGXWJyZskysggSA8ucwKQEbdw6zdaFbIKRRKb rBpbV5cSpLdWcXy8WPhedHMnqWVyLrMlpX/ebpMabng5rKgrL7WbJ2918cVIICuqN+F3oE7wdgQ2 EoZDjt4qAQTMFT/edpYO2OZeSngz5bklFCw9l+O9xGaHwYRYX/PK73jVhUhWeYMaOIo/wNced56Y A963WRhZ4rB7cLYJENNYZTXe5l2vkrHoOMMplXI5M7O4h84vNpgXBbfkKPNuIpsV7vrIbYhrvqq3 1ijRbEAt+vlQ5YXi/YU4YPF7qW/yM5qfIXdH1kPQJD06xqWYB7fNjrO5o0/qJAKBR0I6Z2m41Prm QHy3Anz8QLowcvFgR1+ynV1F0Prtx0SigEVuvSzaI/IJ1TvNzG31uGz/nY/EEJKHtpeyjIX6LNz9 EDMpu5i8BVGn7/A48wL6Q1RtILgi/MNwVixExi7pwzQpM4I7wBhczeqLx0KyRoF5ZRnaG5cEOrVi k57M3wdW5Yj5vusqf/uZuVKPpj8BgwDHte9sMng2HKPrmk3fi7vMOv8xhn5VQNUoiiA5+1a4fFzb vMnFsz+gVMuM1k7Q8Gw+0ZpaxYDotMGIDwi5NMbxl6K3WcoJUt4/uqR5Du3r/JfR6dAWamfoe1Fa 7uzgSAHDmyEfjM+1TGdlVq3vmhxea+4KYxnkI6zn7MpQczEFaGiFGpWk0xHBATEDsgEyWNXfZt67 JCDt+PMdPL5dmrstuul/nh/IVN/WnqZX/AxADj0W47eBOGzWcL65mXkxBOT3qTqfDvpwwVxYE0PP F0r8YPkmcSq5WXonLRiXhexeoTkcpGOZxjEi6t/Eik8vOD9o52YgmdV6yAAHWQKEzuHMbag2aW9X d+7o6/JDvDwKmks7EO8lQ3Gwxa6TEyZdcyoMcLOsWJdhUeo8QBUMivQhLRYxuCoIu2417eiVz7tB 2JbYcehpL01yVqT6EeVrwiA7+LUNj/HHjR9z8C0OAktciKFvqzi4QMocYEcgA6ktGoFKYoB/3PMj ezDfMwfmZOSxDgd30ZCUzJXEmSZs3lqYYSKPUzNVuPWuURLeiKHd16jbXY0PumpCdKEzETZ19O4c 4zGIB2SBLA3KbSHG9Y97OKmyUewQdbuAZlWosOetLse3a4p9PlLWb76Nlm6TwkVSbi5XQHX1SsNc pdweCcJwuiqjqllNEUVJJkq7BiZL46rYm3kpdzqH4Im5Cd4Ft+kCMIdu8OQd/3SDfBmTSSMxyNZf PUO4o6iwPDmTenMqrNhRqPgTP8Y/BqH6EqMJMZMEbOU8XxJHEHE90u/RAg9AVt1M9p+j9woCX1XT fKv3VRl3T6ysszOb+ykAVNJNIdBwucf0B1rYBXZr8tiPmVI4jrGmKLr/nP18zYesGglt9GOPATGv FKJ6QwygxXVyaOmWgTE6lR+LEJBu4GVhoGT0JL5hcRUs4bDQMn3aryq9BoQQurh7qDrx96knuR7h lZRTGkkcOtzQOubcnMTZinXLdpt5kolZOTwDVTiqBcuiA7lPOdz5V1ynOLBYXhCI0CFOIWULTfMZ IY0ao+srzfu7Dwy3/l1brOmYGWlJbIKobbNnxqTn/RSrB+cTsKOo9vj8YS374U59K6Er5FMSWkE+ U9GVbTJ6DBwbqTWBdWJy0dALP/iVnpr2l2lE0aE/c4JVOJ5FFte+6RQ/adogb5bdYABQSgj8mXuQ AYVGQqfqNceNgKSN+ldYimR0v+GKJ1ChoDWZkJPkdQuOKZd/kJ29rmA/1sMFBkgRgmT/Jc/pIku2 Xsy96tHYgoZPwSdKEQkLKP88VNJUQSL+TCH7vTmnV5rzGQLYbJRJ1HkLzirciRAm9SSqBbjJDy77 R+HKMYgMSry/NGQblmOrnXQwnS2ESwTNcb0VEDjWPCSTw/ilxHYgmBNDB0S32y+2tVzzHmnXnS2x XXU3ppKOn6YYqq1HmU0MOwbJjNpi5m+dMpcDmlZ2t8Fl2EN15EMN4dvEOrtqaU/JyZOheuHnWOvR ns/+VMDtv48quIbFH+CgOXv4eovibIcTPVeTZ2JBJ6gv3+bzK3VJz7O4NxfkVB9P4qdfguA4o3mu z/tVZ9OIdNzBfO0CxuRM8P/yOaMc3RAZ6Pn0iPub0s0oRNIlJrtt+lChm28IEZe0zt09s3hNyEtn MK4dzTL1H2+gN96zIVT3Uans65c/UjzWJZQgraCWkE9MNLxgVxr9E991GlN6i0rnMyyA04JY0VCI ePQkqwCFv8demK7J6Hcn5fctyWksvjah8LxtNI6KCEG8W79MWnWoYeJpfLTiMYF1EG2EW6Ifjut9 6f0D8NrHqEjEYTm1uLPmcc5Z65UJ2qDBfwoVgoxz4Md8vM62kr2rGGJuWLGNi3QjqKHfyp+0vaef miJ0FQjkAXBySDTov+45nxxHrzySRRjqnn4MeaGdBsTS+DFEHsgVUKfYuC0Gx6FL7Ohf4JHXIyCA 6XS5UK//5F/pGiEOr0XHkrzfapRzL8ZZ7E3hKV9WPRkB58a/BPrKYzkg3enV8k9qewKZUwSFHCgy s6n1r6GrVLDG9XlaoceZt+Thw6YAU1XrfRHC2ZnVGu63CEu+K4LIYMJQJLfnSekFXUJAppP/wgCZ 6pMaDVo9VTuEFFDKf8RnaI8/VM4ehZdf+2VeODx7adyPUAV5Lq3kX0/y78nq+N9u7MDNrD50jIyK T4M/XwbPFL1tqxDG0CbbHuX1fH0jbyezlRljHiOVK/YhWUQ//Xn4YQoxxA2uQAzjrHfQgMDlsP+9 x6JKg43Ftj/RVx426KB9OXdGsDuXRGNpJ3d1BuZHl6TG1EqRHTeTkZnkfbspKw6SULiXS6G4NDMT iH4EYfERy/VbT9v4WMVq5maki1sumwtZ3BuVLmSd/aE2uFb+HbKPI1yfqIVUx/q+dy07vByZWx07 HHHwzRhO7wsy9w7d/D/GQSkLogCgCT57fo8tMTGUalBlSzyB+PmtUNkuerA81Y1z5hXMl0T+jEuT 0QETnVxVkBvgv5AO5P4ziKGxzFipmfdLMc/bL+z3xHRMCWE54z3qumC62nJ1mdC1AsCEShKZfJA5 m/BzNNfo3nvq9j+eZd/YVYFwpP0Sg4kW0ZJJjR/PVj7aQti4zgM0nudJC0xT7Z+JhF3ptNx1Ay8J 3jKA5wGTXLe0n20XEeAWd2nClR2AERGxdL5NaCNDMcEISifi9k1q++6/Uo6BIn0DS8pr/kV0dbK2 lR00AVPRDpypo63fsv+CiMA5NSGFxUrfOxilYRbYrNfsIE1WifWJTfJSwfreQ0jCNSEJefM9/eNc MZk5ZxemcJLEj1LHfUnEgjA+y76sbFnRJ7IbNuVCA+ykc8grx00Q20e0EzwZ0e6di2VS4pRhAA/y S55D53VEcYG3/zCIwLM2k03HVeyL1uDByXEVohfrxp3OSB7AAUshdkXVr606cVTmn89Q/3YC03sT xJ4IQuJIplUvvtAeMF+k5icOmfzmGTICQsQDaP9sCMGfG/8SjPKDqe2c9ZL6o/9Ylx0DP3dqRB9X qwqfh68Z1ipuLtdEZLF0DUn/DWxFSD8VVqTOag/GTqkY+F0SUGURWZrRgSCUnsEdl2uEKsJA1cOb //nJimG+HljMUJncixL5zHkZSLZhbWAddDkUVWgzpvNv8nFl6F5hPEr57UGN5ZuOvskp+PnUipaD xXKikIxlBnBkOOsL8z2nZ9fwu8sI+8FabIJvCWjQVe3/8V8kDKA8U8+5CginhwiDKrxi1eomjsS7 YWo75+8bpDlMbV6Aq+1Ic0trEjHs6pm7LDubLnHSEHQJBeCdKt/Aot5O9wlrOdJ+n2433YV5bFjA LPZp5/FJax/py2mzPclTRepFAbAqO0Yhngl9xxO0IgxOgnKbF9OatgmTBoWB/t/REMJMbOARpPC0 lJwaA95RgUQWPjyfVLGNIueNbLFNORetby4eJOCAPjPqAyoRObyvCUrDV1ex3pYY45WQyhPshSIY UV64ch1gZEGRXH/J2BDKDdmg5TThxGWR+9Ca+VWMbY9bTXqDyFPtJtUGhcpFgesK4oON3Ukn1cOt n8b8Cdovh8cLzSl2fSs3FpJiyik+tBIENhireIF1c4hPY8EodXiFWKUuTyCEr9TioXg4DuHe9x2K NGXhqA0HsA9silbX9hn2Sj85FhoOhgz7phbHQRgIGI3W9xwvqs0evOsvZ1JEmsIbhUQY+FJ+Km/U rb34YVa8//brCRCXzgqy4N+fEX6PzKQ5gGwPjn1BmH6J7kdlybVuzwL6++52z302QW2RNDsswEDn VM2YEhUQwdnQmj3NovfY5343b2Uy/va66tQT+eyy0cr3mZDhfC+f4YldBl06wofU4CtCicI5PO5A p/7LCV/XwoJ1Je/QfOWOu9/wJZw3PZZl0cbJYW44HJP65oGJ48fzuGYqQC0ox5jn3OeITziNx6LY RnlnLSXRt7+Gxrbhzt5mLg2qyYmt+3gH6xKLqwJC+6E+r9tQsXNNJYMC8dJvWz/89LCh7taMsIJN B00org8mWp+jE6sZBiuDDRCV5RJcD6U2Z6fr+Is+fXC9ElKNqnYL0cuJY3dddRIESVX3YI2zZYcJ xgREJuc/6G4HwTlTuoeD0J48WUoH5k7EJreFzkIX9MrYhoVAnw0Rk0iDCaABZBL0ZPCVrpZldPBt I6u0KlT/5Us/CahEBk4FIkRxxxpx+UHTcF4Toh8sgCLY4W6E8/ZK1B4uTp5+yj3mfPvbd00fmIcv ElUum/9kPJmZCNDTSW5msb1QHHK7vzIKgRXXTcne2Lvvv6ZoHS440IVCLV6Pq0KKhiMsYLdT0edq NjCieayphmIvNVqEqAbcAlHJKSKfHviNNjcULTEWQcl0v4wCzizq+UeaXHw4i7VlNHWqH/MG+5v1 2j3YzHOyVrlHjnQnMRh6apiR4HxN4/nZIRhMvUs/o3ne0Uel24NMCV6EfiTJW2eTsexRSYR5Dvkp w0cmH4TtSK+nqaL77yaSXIfkKZAb0E/z5V6PUtqIkbEAoTqxpU1h3pfb2/pNgGr+bHfhzlfg2ANm 8wbdOsSRukibE0UASoJCwdi+2LOMoQOam2E/CO9Euq5DCyfik8tZ17w4EjEjOxAx5bGDp/ha39G5 vvbH1OwOpCtdP6lqC8SM5lkmHsu7qXzfAjeqpIXRHvBpJnboDIHj6Jgr995oQusuDHdK0K+/+BMH GGDYpMmzfYKgHeU5MXU/Z/TTM2/W1au/t2AztAxV/nv1vceac8NmxXnydSPgqRQ5fLV+Y0guCBNo 04H5AdM9wKHCFLxGSqxOFyljg7PegUtqKHdFOFXTlGW8g0K6C2R7xhkOddIDeEgWu5zGLsIyCr07 WIuJ6+coNYBsByE0fhFLtFzzodPpIQp45rW9q0i/LyJGJg3ew6GFdoCJwVO9LacnefVGyUL0ijhx rE4gRHGTkmoYcc11h8wTMp7x2dKdWUBmsddihKJOucWc9x307fvyiq9ViTdO+gTlzRoby4TimXPU iUOQ2AOeasS1SO+HRHKxNx6djz6a4ifci+oAzQLNtghMawOeDZzhFvvZfodlKlMRCrL5/p4vfkHC UJmHIqu+J5E/UoEyxUAgGm/x614lluwnzUxJdD/i4JufOlHfTF8FMXlAt7T+/LIQDeXpPpT0idE6 bQdiObXcBi4JUVOMLTqNyBRCHyLiSohXM90IfFVJ6ShXp/3TopS+CdwH3JApq73iG4SWK+wU4q1h NS8uLxhDHObMfYx0pynAONY7wBRlw8veZ0bv9Y8eIz1XApfDczTMhcuXT+2w+o9mEQMBPA3lMNKD 45CbQqMrlHuDKFbA18JVaCCXwqHQzWBsk5pL5TAS+lw2UVsFxGmKMkjIJMr557+5tJWr40Uu6Idc wc9VuAtsqiLtTWRlaB4rjwsw6HhWHN9pJmlxcrVZdHH1COncf8gvNRaCIV0hy4A4XpolDebgHrBL jUIRa9MeF4HsbkGrFOqyvVdGZ5V4vhGz0/FbLRgrX0Zf/2zAzTEEFZczz2gEzJcEvFT0BX2IfVRn FFy5gm6Vj1WRRfnqKbBbWrfB7dIVS5uHLPN1UIQRPeR2DTv49imDSd/3rRa3A10D0mKLBl8/fPlq xsl8yEDXy0/+LktuUquJlL+46cfsTNIcSw4RUfZv9Rp+y//gUAyjZ8ZxngvxSCaphlWLunpL+8Cl rJlHruq5tg8z2NNu8pF4gmQ0W8aHJ3kiD4FTTe7HugcTrMCnoMUKW14cDN3rv2Vtvgxg1+Mj48T+ 4BNN4FxrqyPzXsExj7cVQoJoZRC47UMllNqEBsbpcKDLy1aMby+JqU1mE6q/V+VE4DdRxD5wzqLU UunvaQjR5Bx8wJJmSABunOMUkpdKvmvIe2cfX2HEKutBFVVUaEV2FeTfesc6ySYwgNchuh7Cz2MF dqRr3M5RoKfxPbFrz1s1kcuC9KEwxiDzN9/o9Q4dDGYZgCCE+rzzHJ963p+53TgA+VHf7VA8j0/G 1dPaZJA/X75kBACgl9pdhVRyCmUP6vGavucKiuKV9sUjuIy6/UpkdF1muWIGIcq34XMFJA5KVIoA rDaF3cD0OVYk5EvnTi1sWJDGdNzPtj8s6u6Tmrc7TPecVP5Sah4rQq2wY3Eb+LXhQJxqCELmhMRF F7poh7imL4ajB1TL9JZD4oSYGoLO2CNsPCX5DajeDb4MyJLklIujBUndqGAOF/cE779ZMLr4PC/w qC0/RqFkp3o6HHPbfkP92AbhECUSE0PFKXj3CYsvCZcNHlE9dLbP4Q5BXDYBWfMTwGj89KUUtd51 A2XJx9NhPlsmTrWzO7nvvUj8tDQ+vPQYuT5Bq7lIoKZ7b3BkNu2CvEBwBZcn3cT5olYWi9/9awPi /8x5e+c2ZxlnLoFI8HPgHCcp/4JnKpigo3gAj/Rbc3uJ/O8RUdHKTUDXuDBkfPzdJ0zmQoDk+Qyj +tFFsOGubNKx/ITnVh/1xBnZIQYaOcnB6OwbgXN6Lvqd2/PXDH0UuS1Aq16VaiqTFN/drzQwRzQd YrOudZnAYt+xhlgfRK0Av5pIpxwokWX2m/h/87K6+c5uJV2QbDdCzL+qQtMPd8vONVV4FVx+NfqO XsTvKYCtUNN0T4hPijptAKaGuIjfx2MupfBsH4BGuPjzhjrLGB3/Xv26uzPOASzt+r7obKtU+6j6 hy9LRK3qLdkBxF2F+KT8a2bIkmcIAD4c9cQ4ZJLqiVEZrpIdTMzsYX3VPtndmh3rWdQPPsJRzlNs PhTdueTMyKFGxTzhssiDYYeS5XjlmHYF2m4jZIUOjGYdfR1DJBUDKnAJX6VLFK8UntSj+gK96ceV H0MY+83QfjUWJuBRYKCbWh1ELmH0A2PY6GG4xQZfy8p+23THYPYHmFEbYGIsxe0bIlYa6dkPT7FU YHH8rKTreEC6/Fhxzyppsrv9Yb15dkj4rsa0vu+p5ExsG+Vt/lQv1Z2+gZFqZsPD4+jZYhyd6edY GhkYkfH3tc//J4l/xSfI6uafVTlqbEQDbqNlrzYNU0N2QoO/h4gLnqrVITd7KOYsCMC8JLs94aSY ycx0Qp9d4kMBDMnPBad433ElP0MUd2q0uo6pC1wMSpvkY6eliiuwkbchs3qV+dDKS3gbUf+6PkUF pnLBU/ZjAeu8vV8G1mRQTiuSq0Iv8um+KVF2eWAwBPJaG3nbd42EnfQ/bLpk0j9REIzIpXWEJK+K FYpG4GOV+baDoU2Hmb2yTRWTTFImz57VyUnrxQc/27dboQoXa8gTzYUPw6v3q3cbvHuQHBm+gM0z fDvaBYVSWG4sz/r7XXEUw/lCS7/awUSFz00ZzUhujt5Xwhaa7NlEZFuyQPadYy+q+tQpdp/ve5fH 8jMDarnX3ehJDofH+MdePTm8t4QB7fdJNrAOh0UWWdA2va9HGCRa1Bdb+a/3BPV7ggm+DXIdeZ+F 1VY5YWJd3dbiUmcux0pUhBHTRiBZaEt51WHVpoi0HgZvjaFqBm6OqsLL3cu9iULufRG/qfmbG8Jx fyn2jex2uY2Dj8apYKdIt3SnVNHyY/UrZqQq1VYR6+Mt5I+E6LOuU4Q/4o67MDHHE2X5rVtNBHFF BQLk9bS6j+mQjWscAE/dWHvM2RuJPvUhfPww7qptpCmZCPGTIPz4WRtfIzAQKkGeolHbMOcNA48i 54rVLWfri8CkhpEcKUzcJ4LEw3LJdp24w4jsDBRBCNk9csO8IlgzIafa/VJyVZ5uZenq8zKAVXUs pMbCX4hDjb1MZsmKxUxkSju6GCe3l4DCAuYZkiy1FkcUALS4NYroiZqR7jvMiR9gPVV4rqWJQrFu 039HxCp823lHwFGaQvwfO9E1egzLph3TvO4sZBR8wkgC1iQma7R25uzTnfb264s4CcArYzbAqsi6 ZQmzmzLonxQ9BKLvO5c/f//Qb6w/ktra3u2LRLrTXrlMjZslqG2Jjhc5DBnh5HPO5HA7XmdgG1H8 i6VeRJAGwt6/TWykF9rogX5KJ4aDCLNj7z5tbWxmtSMqTyeOIM0HqLYOrHK3Pe4l2dd+rkBOKUx8 0+eDDN7WBeHd1ZgifXz3kxO6W4IuUyqllnZQGm2upIOr9l/EtRQqHvEoVyvgAXpPsAd5UYsFWXh1 X3f0xQRsBKoxZJYxAmxKmoFZOKQjZp/rTFBFukrpz2upYAzDIrgx6LPXKPucGOOognesN50nfMqQ fuaPxzMjKFsRmw3osZfWHWkDEQeOMvqhGvRsiyl7pxe8FpleJLuBnqU3/GfZJ2Oma8er9CMhupDW Nz7j+BGqyR2Q/oZKMR3EoCbWwUfgJBN+AFB4HptB0KpTfYJufUKhxeJ8YAlPeAv+EWoiltxpmrXM +26WbTpxtGWtqIpAtE7Ntt23g7kxwpRHpy6mX/g7++TVZ60TR8RH8w1BppcO9M4vpn1cczkEHg02 J/8lOxpa90iOZ23pXov6UvaqjIloSiXlJ+JTpQubXFY661sfx+NWHT6yvGk99dlMuECL97TNDaw9 wzFSr0vnWzhtZHyER4eDwzP2fow1NtlnHQASDvEXY0MNfNjvgLuujofOM3wf+cmD40Zw8jy7BGvU a05nzjpvjWIvZE+v7i0ICqIbmlJ9o9bpOofgkaA4RzP6/XWBWH57wlpL6gJdGKODE48wIIItcyKc EO757/+Qer6NpyVh+tvkeZYSNJDOG9F7gvrTp64HYo69BdFV15Dje/z8mM0RMFqNGZNB9El4HFrP zCY2cSSCXgMVMvasrXY4YrH67DWJ+GZWXRmPJX3MsdM7lbxawZ7YBNx5vKVWE86c79lFqxi/9U4m enFx9w+AaCyZ5cyoe8s/h+7ZQw85E+nrrZNMPTG5U67+uca7sSPy3zJLa3E9Dq5TQO7/xVJHz4TK nKyPvSQow+d9sQENyvYGECTVewY0NKDUl4JFm9/j5z3s+7QFR9ox7k/X4kgkvrcwNGEkyCC5YssC HgEHxcmgzHjwAZgMCZy3600tTQxdsELmbnSNThmYcoPzuJbeWsSt/QcNOldkC4RnhCa+PTiLLe9h diettFR/we172HOtvj6WqqYRFNf7NoxPjQerwjPccC6eRJreW394fSq4s92n9fBp/WJQb2eGiakd +5pvy4dugN16EJGAnyXbl1PvOGiKLwp9pPG0ICIUNueHcesOwP+KJZ15mNcoOgeZ5f6v6cqIkjZ6 XIzP+XR1U/KW8vXgWRVoCbEj2pRL+Xnpp1aUURseSr6+mA4O+u7jLBIzKobLaS1FtaN51S4BasTi vnYYTEf+7bx08D+AS3tLm6nZCrJDRZTMdE/eiVQCRtzxvxrE8n58jgdJ8Q2YHt9JlJeAIez2WVLt VYs3rimopitY8qabOG3oA0rqi/3uEw7lJiK8dnVKegJrlY5aLbjjQRWKuxiKr2r2RvdS3TbKBAFj VvztTD4md+WeOz6sUSF37adfKKHygLVR68Wt8bVwA+8mWWZBzhbjeYS0NKl7jTF9caMPRtClJ6sm WG2TXidQLocqWKTdb+MDbMgu08Uu7qKb1ulh2ZsLdMgLxl5TBMVvO54Mz31wv6h9UGy64MTSu3LG ZLM9aknAjk198Un2kTK8R1lzkkk2fgS6uXZbgXbr3Uu94WwE0cVM7I5P6r1QzILy1kjus6QtgPvP 8ykjadPssk6nezWW0mZkcHG2hHe9QnvTc1tBzw9mzGOIY1hXszb9CA783PnQ8L6NhAKLJF+DSsBu 5AEPuv2mntaCgxeDmQjV9sRWeLPw4kyPawyLq71F6cQyE72vhJ8qvtwWUfTqV/miJIM2hfzUmGx6 zMWQXkUxSdF/DXdjqw+cHQKY3LkzK/cqmS0SAukgbs7LpERbIY/KFhkNxK7ThsvPgf3usbSUEcYC CmrCCupJ21ptGREGBCaEgDCvwqzGaLnkvtYwMIjV+jqGYjM3Ohs3DuSOKNVcK8rvxOpj+KMSoe0r LPjTCQH9KaZdIdCYOgZXO4dMLKDhJbg3+qfIbdSTasgKVKDnJxQQufkgJDxTFMcI1PIFYvfc2NSp +gv+oL+WUC0u8NPuYQ6/Fg8GJuzpTA1OM5Qv1dwHuMoVRKBFyt59/GQd8I2FwzlisFmmsS3aPT+4 t7GP5KabY4Bw19SgAimSCiJj9UJeucInffyjPJqIUcpvX8AASztTrsJbjI7/05ILtIA5BqHxcxRz QIj4YrMvzve6odTWM9V1np42V9HURA1vyKd+2XqBUBvVwJtvzC993sXxy51mgPOw9OAf6uUYBR+5 gtUlaSMDBWAYTkGNfjddwPILE29wcsWjUzFExlUf/LdcsimwR8rBYEbFlv5Az3YDNkP8Vu8bPZgx ky8IPd6xtND+91fTIFTzUQ9JN3o9OC2P/su3bbmKR8M/6hrtyX1cvRqb+7MX0wvYYzgAKQTVaUIG YHH1vw9KBhJNuErd7Us/A+d6d0C3SfOP8PcvxKxjQ3kkiNgKpqz2s+TToMGwxekLTtmfjlVDXDQF 399SnLCWm+I4KK/tPklDUr8fYIM6lDd4V3Mbap0VJZKaxavsjVmegLvi+nc2bcYVrwiVge+TWDs8 5y6StsFGVi+kYSwaXdb66vNuEJsRg08Fq/DtgeMC+cYvOa/ZX0iXrMoD5okVJYdjDmjI+IQFWCVE qDnc6mUBqSNzNEq3L4BoP+tNwyRMsjE/dC+jUnb0etVneQtYd5qIF4GOvExLiklZQwwfDjOX/frf W5FabLgGoIEatHrPTzrVOkS244IMFB5O7eQi6gKjleyHwSpk2p5eyEv0tG21occ9fVyT8mpi5TyH 1gLOv1ofhGA2Vyzqms5ShQMXkq0hWBHXeqW6Ksleww0h9uW5tuFKbu6JC9649T1/unVcDK8/upfP oQ6apszNqIIzQU5AK/q+3BzWfHOl9Q75NO7S62xtIT5NaXDFUczPGE73biSc6MGK3H0aatsCvgJ8 EcJCDtG5NtzvmC1TJpJEgN3Xzs9nJyMoj4EY0cm19fGsbxMkKnniNbxDzq/23pgds28em/M3zG61 yzluUnXJGkOsopgZrKEaOUfV5X8WPG2LmF2LTZADl3sInNvNkNmOh9u4mubNqIlKugt2IlXVmMpu X8tkDPkyhjtsSOAdrTOswKBqlVnPBAEg8BmjiFsxtFC+lwSboeuKiJ0kVd0nOxGZh2D/k0zhxRAE SYi1W6P+C1w0SKFjIENF6jtqjBvgfVjlMgm+1OhfTO8ZvEk94d57vfymCnYQfZiGxyRg/AAOXVWy 8Q1aUq2VaHycQ+UhNQo1AS2m49uWrbbJrxUcdNn8bGlelNCjFe1yiQxVXCUTbWzHYX19IIfnQ/4/ m8tEwz0k7LCj6RJWosGOHaDaeaZjm1QLachktjCHMbPc3uloYJc8766L4EoWBGfTUFtqtjw0V0kW sh2dgRxt6Ewoi1xafLdyiAcPvzadeXGSjuYOQS9OeSWNBdMvaxwVB8ZiC3RLqMGT9fwwoYOCYsV8 uneVU1m+O5YWaM9px/gP4kc4UYppJo/NZ09fcdmNgycgUZf/59iyyB03guwAA3tmsPkm/0t/8XnQ Y87I7iws2fF1stkVaGrMb53RaplsAFsws5d/sWkrYjkTmY1mZ2cAwXB4SbzuKrw87uCwpDQUH9g7 1iPSJlGx2IBdjP5lK7oZ8jFgNy149LsoenoehgxSunqBuCYy6xzYjtBqeviqHdKJrZgdTwn37Hvw kt+YrQfcZ7bdvn8dl9LIy6Vde7ZIf58LLqh8JqrEMy24xZZ2zwSQYRQldy4KDCwcLMk6fteUELf6 NMoXe/Ycw8nAE7S/aWLo2f7ZgC+v02lwAXrEQNrKU1AmEntW4u2FMDP6CEIrzoHwZ2iDIM2UICjq 3JibJW/e2nwLP4EFJqRx+UffxQFyEfpv2u3bZZXwAFjmcVF+8KarK07zvZ31YS3CDoxNQEsa3bDB GIBjs3zu+GqB2krY7MdqHLnpaRm17lZzyPbQcB9x7IOsas0ndO99LXvgdBQYMCoK2Yv0ktvNGtlf 4ODKjNWypOBKxwj91Fj+TMC7PLdz3YJQLXvKkpJaXq1s3UIZKquaiwOBAX09UYvNUW6ZcLPU/rQm gk/yjYrYRQ8vxGBf33PHAiFphLOIQ9raKL2vTTyH0VsmRCUGCrI1JbY1r5oi8wZ0nSXpyQFeSRqL Io42yy9uUcB0xxke8BG5WtIjNhAmKwcg900ebgc3gQPQFmpqZraTAFQv9dPZW96+8/+vUU32jIMK RswN9sDHMk1ZOjmnoxqXKzFx462hURjmO5w9abJsVdQwejfYriELqRjz7MzFwZyaOHNWYhGz8p8I W2v2o5M47J0wsEiIxnW66rs7xz905MSsarEMgiYZzaiiTEMdOae2TCKBf/rB3TcbcIJzy5DAQ4Eo o5B2Q0hzKvnyfPzWQ9+1dVD5pz69IO7bCO25CUZCMFcGuuyJehlxtjvvezzYZp686xKoCxEvcaIQ ekNqJM9un9c2UtvrhZCJ1aqd1Ny2TwQ8BCZ1Kvovr8pCE/LZIwx4233/RNv9H4tQ7WCO0XSYOtrV nDx/oOl2qfkdCJ0S2VWlomtBgB15PwyEAhEYpUDf8Y2d1MaJHb/Sr9clhPHjhB3ZR7kTFbuzxg/U ayF7BTz3J12R4cu/n3noUrR1Uys6oz02owW+EEsLhpTgkwkdc8JLBLNILezj9G+9FQPW++suGI1V GFiLhtTf4KCo4AmvyoFFRb1ly+QSadqjrRhch1IDlpFtNMHA/F7FhIJWXA4eL0vyVHkMTmYvLDK4 imL2EGVnsiirRWdlhPGsvcg8c7+4GCwGfqKtS8b+jCJzqSrcz5cibOcOJINrexR0pBXfhK9McUMc Uc2hmNcmoMReT9trSPrs/XBjhblCkw4zu8SAPFi0BPpnI4GhxXR/0RVSVZysXBCjeArBxrFbbLba ZQpvVY5oaxF4tAg22Np7TquphbKS+fsG6C+Ye48kxI6Hr6tgAeCtqwvZOoHQcHClg+hpFRXBrcB5 kVTxw6MAvW4A7Lv4zNu18JrEOWxPi+4Y4hgZFHf7Xr6F2wD2h6E6RxyGH83PyJ2sByTr1cq4pjDU DHy4oQzIjRWMhtTblZD1l5vj30OyFoNxke3WXeH5oKN7E8SbVXR9sbsQjdRzAU+duef6Q3OrbCHp Loap0EFhbHvvOePksVmw2XE1WnJAJMMrkY7rcIE60JBnKKVZUSycnIjPbGyLxvYbhNtT1y2Pv+4N acoZnJ+tqhUeEX09achk1+7miyNkjOgjGshySCIuZ8TIf6VShDktpUKRCimHhcYkvTey6u3GkL0i LlmJqqeUPCH4GgHjaKAnYIbmaJye/3Yk4oHikok9xdNPUoY8fbhToyJj6SizbHzMSSg7TSlPj9Al vTvO8Er+QF04+OZ6dMVuuq12hrJWhuajXllngJr0gDc2/HJgari1DvXauPbkorsFxhNxbIlXCVZG IxaUbz0f//VV5Cb9kil7cX8tWtg16GHJ/V36QDvdjBZ4f0IYOHNdzIBpDjCvT/D7WuyRCbZnxLfW ItzNjl1wWEkaAcgsziZD/ubCfIIXmy4nyNP+omhOLNpJMXQEtENqjd3TtontLU2W+VpBmjZmNluE nE5Ml47ww3e4JVZiHKW7nfiKhsBjoG2KypDwokkT1TEaalonVXdA3yRfbJtTMNoiz1joPJewSi3D eQUEIwnjsS7kIkSnR4vz/52H9OwVuIP0uIjfXHvw6LvRHvBIay4KVrBAhkZilsJ2UiBjO+CayEus 8nBkaMrim2Uzqw7jZGcwl5oJTwAr72EnGR6YZM+v4JcGA1R3bZ8z/0dNATPq8DNLA00CCM0jPT+Y o6KgqDU66N4EMAnxb4hZuVDm+udyemHKqGJZQLGN2NjVE50wtYzTMangxoHqgr3Y2XyLv871A7It Am5iti7HdJYVZtYb671F3kixZS2DUpQ6DFhd1ZyVXQQej40KYsVbhgMZ1/YMU47Cdve+E27G3knH Ai3ttQqnI9CpRwo3BhVTxeZ+9MbC7SFyBrkwPKzN7Z10wSqYKqopDmZ3vUHzp6uEp6CLjRrTmjVz qGjO6jqpPzaRQzRacrN/1EynFdxuYqVussJyPFnkfvZH5dUJJ4UW28GMTOUBf2BlSNn4jNlPPg1I RUhOKRZlybmgZ6fzCtRep/xBTwvR+SCLCXKftTFKltNZ5K5TKQbxSqCuLd04D5U7S9yLH/yRHBmu xaPHOdWE76zoNNGWMvgPEOPjUQbJSSd3EDOqIxoWKS510hBi/si78vKvpBfuee1WAJYIYuJ58Ue2 kNy/YPki0rUFaTB0CNF4QvDDjE01owT3gxUTzU4MCykWuLxVW1b0IMffvHxORC4w6z/x1K0iwj5p 7CC2u8kcm8h6K46yyU6GSWcDs1DfQ6wUHjDt1AMXYKwge+dXb/hGMUErj9VnkHgi4X0RfRPnRgMd S8rHllJ26AWn/u1OVCgxw5hD0N6b5BFykZE/1ZwzaewR0T8xrWxNJHue5AOjkA3ZMk4DKVHcsYR/ 0aimRecakSf+CFQlp3pRf10lSifSnVruQ6r7Gyf6TKnAxutsrPqX/1LJsIg9KF4m/rhdXkVn+pBr ZvFhXeTZCdShlkjfI2trd1pFchoHUG9TGbf3FjUQYfcdRSOhObN3szKSgd3AnyFCs0KP085Pumq1 7Bcc088Z5pRSu8Jv3v/+KC5f4EujGmO7XZy8qO8EB9YJskL99+qrBBrad5QGw7lSPDkSUOGTAUjs NDNSW9Pm2LeSs3zW9NwWLlVQIZEiw7OWFt5VC2pX0rfieeblqNcxDcoPyppri4BY1WOvSCjy/hzR TmXujkawrIE+kbn0CcyrmlKRCixzFH4f/6cF8l+zOigpOMcMf6sTnDxRkKlBGUufdrEUO++typvf idAYeb6jRhG0VRqxqC02hdoAOq8/XBZxEw5eipCQTx0vmjZzkKWXfwQbkNQu6YnRI3XN2xWZFoAZ /YGQhGfOEKI4JPbnmPKrhIEVaafmz9NeVwqaa4fLf901AH5jm6tN7hh5hLgdKK7WKcWuqpyGKgq2 M649Rx51/dubc6jFUxXh4FLByZ66wc/WLQrF2LoMc55Kbevt+QkDP+oNo3KJRyhR5BOE43fk9cZb IwkGcVIdco8IvvrjxcHWQS3INy23jrk0PmIru2yENPThNKlwavwngTtIWnhg2qYk81bdYRNDhY1X R4IoaVdVg/dOlw8bSsB8PMwCvP116JGhZsTATirMcJxw1bALxdgMCHblGbooeB5Xx1nEyIKT1O1g 8nq/zEDoQf1YqO3C5l0GUdSVZ/coeaDqeEnVA9lwj/bvSRXEk1qIhrByZzQL2tSIABPNy42E5Tra 586/CPnijpM8eJQeEC6L3PJmth6epko26pLaxdUZsWUwfm/MaFk2vJLaPs4RNFW6HD9Vukp5bYJR sjR5dtsyoUPPsYA/fgEb+8iwueJSuyGYn3tS/AxK5WXauJ6Nd4sag78PC+LCizei9kUkiKDRMUhD EMHq/xs+T1jtXJ/8qhGSfM1JfVXDdtHAqkVFS00Exc+HArjvolZuFIfTCLl7azZs4BvT4+2x7mM/ iuzhJKWkjabwgb6BY1Qz1E2T4JqetrbdqISwZpDvQqXoXpwFxNlpr7VNo5nf9vvRol34nqREU9GJ cxy6erMkfVKPDx9luL2zrJIZNfZmPz7hJiW0NI7JKuVceq0muNal4mtRwoqW8Q0AXFrmpNyn/HU/ w+MzOeuwqMHviGUUUxIKiLxmFytAOCFaCOgyZC6BSjime4rHJ7AFa6EfNcvbMdHZd/xRdD6ybc0y pjqQdGoNznpr530rsRcewJQyMioDWD5J+Eza7aMzupuWbcohUMAw5xPXCNg38RdTzYnAi09aIvcs 3FZ0bgyvMb3GswlyKykYcIZhNUsaYr9hRXa0a6SQj3POguOJ6TzwJrGTQuLi+GGiPfvhOJBoWCl/ zlGvA6mj79CKXcfzHVZ54IrsjcdsYly1US0qlSRqQZGVTavuHAtu/T6secnk2XJQiZwhbM4wx2yj ZW8ZfD6340irjvscJ9N2awnEFvDFUXb7YDaDH+imXMtuKraNVKO/SrDUuL1K+e8pmaL7nRsHG/gf splUuwxuVP+AmnuIzrkhdYm86bDFEEmL6AXNfze1u8SZ/+TQ0v/PUzhbpyns6EZGZNtnkAfqwwFy Cs0ay2NZPorW7aZ0YVsS9ZC7t1edoL2kX4Y5aK+AgIp7CvUWJ2QMModdyjl7kp4GS1aPpzUCM++J a2/ILa+Gsl0/lU0mMr8bW+35NtP2wRSRSi2Es3D8CaVncQ+W2YLdvK3OkUuaqoqosdSDG9PVAcME d0nDVzmNzt2/MFBqRm+x97u3SsbbpnMzjZdaWs3XUT58wlH62n56pq7EmQqS84wwPmb2YNzKGADV bzjr7l1O7y9wwwDgs+oFjt2s9qh7lp/de3w9UPoPihfIhJh0hjWA0bf1K+pj6QspGIFaEe8mOuH0 GKH4g3GlB/wrehDfzkFHrHPJQUZdBFKbqoDdtBzDQwLB5vcBZoJK8iwOrWmdVjQoPikKrUhAMTh4 xh2+DdfPgbe0fYsq6z7vHse5uRB7JOPf0C0ZLTg7jHsawG8xPAnoVyy7DUCKUA3wzUTylEXMiFcf Q1SHta7ZkQDb07TD2sMssyBIZaoyOSlZ9yFWD4rYgmnuDa5H9d9F3TDgODASfHQr0MRh50fZm4+a PM26bUik15tXG+ytW5mHPvTJp90YRRyylU+OR2hxxN9LWNXAlUmrwJROZgArUvH2Mrzih0wUXidx BJg5SH5WHuXI936nGRA16UXyaIezN9ZGabTbBuVK76bDPE9DiGywvN+dS/YXl9d6m0otej8lGJJw Teex/Fz4cZoW4Q1U42jgGaiqI+V79Pho/HzN/ajekGcKJNy2jkfpmm9AonbvuXuagFP1oIpKvjR8 XcpqLN5PLe7Peh61AIGuh71nV9XRJLLkOniFwqyNS5FXxKcu1twogzKO0xusPqnzXNkj/b7CgiJ2 NZY6Xt/33aiIcFeXdJgxnSdZcyj7Zpr0cmAXiX+dqKk1d3w9ao897XBfQsWAU9ZYM5jBy6j21q+M iIj2gyWDCAlz1mnBGHxyFnUnMvNWSrnra7mOEbZLGAV7Jt9n/bHQIj18mUJUKms6T3JQT5GyWzgR bNCDwf1e7QQR/SCkBso4fRNox8APGzHSJxpzqoG918MvBYVB3yV6cm9rS85D2UXqXd0PEAIq3Ks5 qbbYMNwzkJdAZ/hdJnbqPjc9vdXMNSD0geQf7NvPsNDZw90+BO/WxanZXir1NNqJP+rClNXgsue8 DH7T7HXVeHGRCT5t63FU1VdrCVniBy9WYMgeWvzHGHmoSAtxe7WGIQjErWoITWN2nKL+ICA+VOSR PTti4UPy0VSUsY3k1oVGgq+N5+WJ0UbRZC3ZA/0MhpDS5IIv2p72BZ4woPGH210fc5uQuQMEln91 tRMBcMKyAC32h4Cnkt83QMWQRF56JBivB4HqNIBMa4Rdla4iscQjIwBWHwXpI29KOOgoCI1JqDW+ gQPSyjOvML6H7xSpBzUMFZNwZVa5LLbbu5p6iYHGjUO+n8a7lJa852vYZYYKmhVdtAzAUyt5WGaT ctnAn7r7gMQJp43ah3J4C7Hg4DPRt7VSXQlL9ctDt6QPCLClJrvc5oxfwxSbM4XxCv330beo83Y8 SMoVkoDU8NBCjIAvNz4BD2HlFRkQ0BAnBM+MnRMzra99PypLgO7bRtQ7n/9NfKouP/rrTLELiCMB GrCFAsyZ7UXEKxPGT+Zz0hJA2V2sOLae5JiyDVTcnjwajSA4UKSaeDnVlRJGbfqD91zIvIGSeSo3 KzrAugnP4o+YEHBVdRhjy8YuzgpRYcnEX7SeGBTElzXSAogG3G+Gw2E+hIEO71TchFYiIT+mWLRY 9+jug5W6cpW80lmNs4shD7F7Z7kNf5OE0LKlvqFcIvGIPY2pTakR65xK89dYuyULQzbOxrVtYQ0Z Q5YQLlx4eYYYcs2NENIktiHp2oDS+cl3jfmRalbzZFhX7cackI+gh5zoT1OF29EsEYzUljaKvgKM mwWJq4buKvKOl1Na/E8aKPI9f7/B+DOLi1OpqRjbfWyU/jrV4MN2Z8M1uDQfMksXqtP0wJOkNv/5 O6WOPPwrpkWYnFee3aHMEcL1o8lnVBsxDG9Qz/uE8Tm/8/DAXYJUeaVv8VDFM/xgeKLbpdFH1g/g ChkfP/UCgckWju9a1bJ2fMiMGWa25SxXitH0Grn1Wh0za6S4Yi5h3vpGI5qVmLsQcEuU2ikGm625 2PywvUtfpEkJFObPdV6guFqpu3ZVHRBYkoRiyIhmH5iaqqwjUucCDx5o5km6Xxyv/iKnxiyh6YQy 1fD0w4Q3TUEcK8qyoiRk4w0uhnCW2dlE4x94tQOy7EZ5IwSyrG+ortdSvqUbJZkQnSFuGEMzBQs4 Yjqzdb3CAQkt5ixILRC92vaLOcKiP5f7I/1GjuM+HFUdwel2Uuf04O/ne3cl0LN1OZYMHYCcYSP3 FB2QGau/gTUG/9aeWBe19nHPfu28e4pycN3BrKtpmBpOGoHiBZAiMWF/p6fS9nBSpMcsgWuzFgMt ED26/ZMVJRsHQG8e5ef244qHxLLVJSXG/koOHsT0TpGt/CwXERPAynb8G66RxvMiocxZ9x0rLcQU WSrtBqquIhuH79i1L3vOZRnTT2B2lflODxtRGdbXzgTNxk4qqUkBLntkZ+TSZbkOSNjm9Pvh0i6d BLkAL3OdHxllZ60CcvrcebRyplwQHTMhJHR6OnMkL4XaI4UO+aTS11eeorjCu9sCyHVn4qcZCCJy 4VjMDbkbJzdySv6qdTf04bu1ipKSyBTtlq+4rUwfAplDBJSukos3becbpcZXzPRUeRFoTGv8+9Gn iMO+95sIQZ9tLDwNdjiMfaAi4JsI0Xw0CbJ/2jmHdQr19Yf9JodZU517ROis4uMCxfaJzhHDFRU2 wOVM38h3HHwCPsLi8hH6u3/OVCUi/HB8kNGllDLwUNifrpdudXtSoJt0jRhZlLLKwS5LwXM0Srd7 +TB2PbjwQkKEE5ZYB5ly2AiObzgEyXIChPJIdENGlRs1uOYT1WMhXzKUPiQyz6AF9tWTGBwMMJxh cXb/EDTZU5Xuc+xdB9lVtsJOlj/xPMxeS62NczGz6hACg6F0xYBwgAlKrI0Fck7r5hBp1m85k17h uS5zYcZCQgOGFfDqApLlyCf1DKxBD3iZCPuzQNX4nk2nBniVaHOAtyuy7z4pIhxF8u4OyEnPIX9L ciWG6RPezaLsfgRZRUTssELwj5EcRBU44eJnEV4oKFNzt/K1kX0dOHKcLuuHP8C66w7EEC0yKVHT JxjM3UIwH3Nm9+lfPVcE5MmFkrvTmJdbSjVVMCi9FhyAdww/W06VmS+fPGmQlQ0Ma9qP6o2RRaJA btcxwBgQqn3xMbwQcY8UYHDgswuELw3l7VVCdHRS5MqWKC9IJP6CILsVMTv/13m0Eo5fc246W6Cz 39mZM6WrKbGfn6p587OmhUuu03m4j6IzZ7DEW+8rCMtDxqWxV9/XhP2xkW496BC2Do5dV62v0HYJ zW0BHmyjsfATcXOewHFfgxBr1lcCUhPOFdzVT8pq1dwOHq+yMgHaO57dbat71U7HPz3Ygn24IpRE f0o0DGCYS5QN7NvOZua5n9eNtS09k9qLi34wTwOqC0RMHjEdGJeXz6d0fq01ZVAIBmhOCmiMDwLK HLFDFGkECrjA+EGFpWPv03AnZq9bs0LiyUD6KyRkg851zsUVoVnv8uXW+9EuFgyIbOp0g5V7dTX1 khQEddJZ/h/rlGwiPclZhzzbXceXqUj9CzEsRlB8EwDyfW0Ad7ng3GtT3nkTLhpB8oLtustxAqt2 j/Ssml25CNnDFJL1xW6mOEumNf63kWIV6YBrfK7FKfQ2CxomIA4wx3NYMxlE8uwDRI/+JYDG9Kpb aCnRmRCRGdZtz898pNjKQWUARaLGp5pCt8rqR1iRwKaOn7/k97V18t3B7IEvqLdxhWLWnAqfdYTA vJUL4x7waLLNMn8BezObh5mSGIt3g9N0kjwBProgpPmfXlN32qNKSpzoaiH3/NRSiaUHTW0WyVvZ JBMSTx1dbrT1bB8HwepDnLEnE9/EJ1QYLS1mr1uty1IpSW3K2ZW+l+y2kx4DjGwrqAiQBKp3YrT/ eifSuI8GFA5bhfFxA7v1EyI2/EovzfY9hr99UWsAH8aXOrSXMCgCglahiJZWlkZ2HP9kLBOwne1B GFNlrULbYh/zTznoJrQBKG1z/7IWDQA4oTCSJHfllJKAd1dbCnRoG7AooIHnaQ8ycwESAicD+p9y aziQwHB3aMN3LAKAgkUBdbm5zBVwmeTgEESWtBg9SsyFOg0jo8lz4CsiGiXauGTGZ1UQLSBm9gyg 8hmkYb7SyP9BKpG5VDfjj09isxfZinaAycyc8iza4RhV01Mtpjg2FcfeA8d7pzLLaZIHPrzodUDo uY847Rx+ZwV943bCWeVLDXZyJqrZJy0FpLBZURZTeqGIDU0SIR37bUNIijsTNRpSMUxlFgfXA3Es KmRuaBdVSa+F1HOI4SA/Xgi5SSPdcYH3H04pRmtNBmirqx0X5zP760fAlKy/K1xA82ipwGA3uWDM OIJtNH5uqeog3wRnKOaxhuTYnTVV7JRm6KWb74gREugqeR9JN9dsbKup5MZLXAG62rUJBb4+V78d 0tYUzg8yKiLR5vr6EvnpX0k4gKVTLEdN/M8o/SYCSgz9hwW9EClzvW6Y454LIS7Ou3AhWDxiU00F HRIb8e38ywvK+3dvUKUV4iU2st608dhR+9fQ3/+0N8dcUjoAjt82YPLjIDXKB0bO2oGIqLNlVTw4 1RCuYhQ7S1jZLHotn7FXMeiueuxBQPGP3N0JIC9RM7KsIo2PyLoX//997omStwA7J5cIF1ONeHS8 SeVx7/CVI3Zqw/3sodz8pgplMHBV0xk0pGoor4O/r5iuhXNfP8qjyS0euJlWgkxiIX/kTk8V2JdE 9+Kxcs8l10f+BjxSYqOFKZicXBSUMX/95D2fQs7H/hXaGenbSa1fZcYEdqV6ZEIa+r3FYEURkZkZ jOrsrgb8xVIxE5tsMpdPU1Buv+t49boAgreYLwRgxwJBI+XGpm49E/LMxRJZAuFKzKBAInwUrZ4k PRoz2XUkg3pvwNmYLVW7PlvMKdPqpMVUbI9CMqP/txB2usW4IDoD99PC7jbpyvnFfJl4PYjsbM7F G+IPnkcmPAwMqKtRAlX65je7E+dAtCus+C7W9HZlI1WLnXujqb5lalkPagy7o5DIGHIDqcqepEMd z8jdGai9m3y8V9fkL2JITCy3ndjYk0ROKlkcynH1MbHqkbqhB+dLpltdlo57Mrw0Gyjqknh4KiJY j2nd+6cY3CG/87PnfAWswWmwtdcevOHR6i12hj9SrHJyyimCC5L7gbsulwD/f6q+X6EEnYdFIDpT 4w60XL1HnQ67mua+Xq4QQXo4a2tES1PWTu6Hn0SoFRnxeIA6mMV6pUcD5SFDVHybf76G2fVPTRod jaoYcRYYH3UfhtcYX19AgWEBUGf2OYheUYeW3hdak3ORRk1j1GPHEI7WdkE/yEeuNQH6DcsmbY+N r4r7418lNCuZHatju6WLDViQJpDBYvHf2riUAvMu7k9Ud4zhWEClIHITO1vN3zPknW+ba5on07f6 fDXejFPyuU2d45j6drl4To8VJ3BEegpvasFekbOxNPPZHEj4gAwaDdMa7JnyqJKK9sVUeszZaLKW Aw3B/39HracGwozrhzejRRahkDo1QWhmxDeJU4zPLQzwCCV5v1gdMt4mID7ZmXe4C7m3ihyAI5Z8 z+H4JoqHhsHf5dvMPzmmVcrEzLtMRZHB+YovR+AvmaBvG8/AErDyBsh1O2teLTpx3GmhR6+CBGCX cMqF5dJeVYzm02xbakWc0zvCHXXW+rpJjadtx/64o6nRXa/ZfST14AL8Hz0+VMJwqDl9eUBOe5Wa XvY2bKKgTeKGJv0EIsbs91B1w3En+jehKnvMLyYreuCStu2iER0ejvuug8RzjzojrCXy+CcLPadB j11rSdyFZt2QcpFGlwSrmvCtGrlhGYkfyCR93Rzv2PiFhQsamB49wn+0FJ/1o9mqX3m58VuJDno1 lZ7R2cmfnj8KUkwU7/FZ9ed8zyA4ZIX53lzKa5vQS48FQh9A54IOm8E23PeqnZoIsZ2EXMQjofe9 GIUlwWIzhKARW+rc2Ig2Ks8oEtMQOEklegjTUgCnncUZHcptPt311yccwVZ2tu2gMEBi/JLjak2q kjdzDFT9P2Y1wXRRXiyM9QrSLJ+YlTkjYk4t+hHcKMpe+4zYJJIYJuVPObM8J//lU4XEqF3K+no2 gUmuwF2izkGpVvDfRqdVeycv+TdTnqgMi+YwaBXWkHuI9TqHIRN/L7ODOxfPM8mvc7mcCP2OEqW3 pH6J0Ok5DWkGovqXShK/VFbkuSwxF8kc+Q0wsHnxuochmxUYj4YvNyBVMrJH5L93ls/9wF03cdF0 8ekPaLPVMRztu+z5+0kC78JrIjkUqC7FENOhnrS3wpU9NUs+GY01BFdBQro05RGOiGwqSYyhnpxq tMiFajjDTyKxRI4UNnsFXRPVUfY8YavGjHQNSD4NNFdzlLJ5TTH5NAe/gkmkwebTx4lwusix9epm l0QjChvJI0UZPK0cC7C9/P+7bTN9jMx6xvAMjplCXyYRI3HyYFxCHS0efrJMLt1VDtUVNA5wJwA4 d/ncebBMKYKANEWGQcAIylHQTZajTcgg6ZIiPM9nHLFetQO8Xn+SGthH6V2ahr/+IfjYKWgx60xJ E2AfTgNvkzJNXsTYK7qFLZ4jXQasdU4Lym1JwzDaYSV7A1C+TGSPEbLfyabHE3mWLZDQjOEtHrfK NgM1tQ8wERHfDcbKT46vJ2bEnSaj34mbxxcbYQGUakX4UTyucVYlYxAkAfgpuXJPieVzUvirvI8C S8hOyVvR4qZitzA25TCW2NQWSG++OqI4nBadpNyKFnlgCOdL/zQsuGq7u8byDF2qKUo1af++XYfq Rm3xVPNUBCYkG0v5KlKKD+jWlnzWvnKETFX4ykkxHX2tF+Wmy1B0rsEk9jcPOJQzYz59kLsUAfhf pup71/qzK0V7OKZqohG6OLUUTSpzRiYhBfcxAwmVNkmdjwt0tnBETgMKahcHjOYoKzxfS4t0KoY+ ZgE9kD691Wib87AAl960SNh2H/+EIIJvraXawUr44ehC+NdqtO0AoCdYB6WWluu0fNwVMFTxoMUh kFJIespkIxLuUj/0BkASAMIkd0ojwtPW3gMh4H8/ZUIFKCx8HPNZVwL9IDh1urUXalW8IAr/9rXo eOLJSS+60CFr/KXqPFeh7kOJcda50ngNW67s4yciiknpOxP1ogJkXIMzo9hoz+qf3skYHeetAjJ2 ifrxhlwjtrDAknurPVIkdTezmY6y8sOQmFcMooySOthDB2oq3Zuc5PsoYj4L0jJ/MTF8PgEmJxqD a5+4ABNWNzPYcE/tSM0Q+F4JGX+Bt01rzANvsMPTqmtc0x8vK414hAHEI6EwlIFruaOr7LVA0dAC smHOYnHKvyLbB5Ztn0HCSDijl0uanXb/VQz8XUo3/bOyay1RMuHhiO5dXVfLOEVwgjrWI1NCi2FU Iemtk/Lv9UZWdVkZh0FYjQ1KRc2kiFjPiC+rXxY1TvaTH0640IPsBgKkFyZmNGQZWW/2WZD7Bzxx Y21cDNff4KV0XmCC38qPP1/mk6+dono/sdbzcwWxKrrZpGsuIIimO4x+SPxaT6frBvkyvy2v+mfI dg1cXB4AFOsCNGhN/4yPbWw5aZR7s/Hy8FEZ5YArdVi8v/VJp+cHfVdYdEfTV7xPQVXsc3WnI9FN rqINAzavXIfnMLpbRcxi0X+rkxpIWRbObHv53SVaHC+c8sDL8yIhbaSN4OaJsRoXk84qmK1THCbv ixxuHVxkoom52TLh58lR3hxTIyGcXdUQeXNNINNGJkK1VcE5wGFo6Pso7V0c+PjLdq3LYABumdks 14sCRF5Jv6XBe7O5vTnXCtncbtz8OWcVcTvAZHhgB9uBhb6wOhW+iygGAnQOFtCU9iPz3R1h73Ao V9QRhsNRJDJTm71/SYoMewq9wFPq19hz6V7PQZjWIbyaXXV0NSK/I9pvL77bGiKnlHXEuS1G22fW 9mDQbAjBHgY+3v5/fMCy0laxtTmcqZX268mhoqSpU2TC0ORjfPF6qBFF4VmSYeknt2i7/GrGAUQp mRL8WgUPZbBcfqfWWfmyhr+FCuRViMTlqqwATzYTan+SirLFFhSGUyWvKgiGOyLGoIgBIC8NGNWN 7w/p+RBV7U4U/0htqhjkBYaEcUO1m+UnEN9g47PQeKx9vwedglDGKtMlvRZfR+z1BTCu+M+8Vujg KVyQZjoYQtoBIdZYxPz3kj/C9VmQM1KTFn6FQbps5VwajsMqT1iBmf07b/iCha9UXIYu9/S7yE7U 7iQALAKSFdVz/cqJ+rMyLXV3HojB9nkmGdXkh1BqwwbN/ZNDo8qbxbrdyMI6+8dgN2Jz/JTHN2Op 9AFbxj8rwTne83MMPcHTTySd6A5TBhX9Mbd+dxyZExg9hlBT5p9uNKYQFuuxTdbkaMZ2WeiDUrzS XS8PtrV2xejfBT3V3Co9/KC6dWxmOKjDsmU+1oHJw7ZUTQevwqRPtBsTJqKz66JAUTD0kgCsdgu3 uxIss6DbztD9AHcJ4Xrz9AMFpc28jaave8EdsJz3Je1Mbp9VrFZgM4DfBJOQJlLhLBaj+iTyqab4 44A/eFuzNBXCx4M9FPgH+QrmqDGssFP6iZOmE9gcRPykWRMEloNGdhGTF8Ad0jvb9NndtQ/ke3zW 9moZP5kIDs7mPDp2dgNEF76I8WjjCSWTgGWUZT9rXSmK0Dr1MlE5yO6pb5RG4O35kOOSmu+IDnGw u/fBigTRQvKZO1YZ/TOCq4AZAe0XcMlNWZIMRkSrbNF0xdg3SjoMyCdn885R1Esscg4p0xA5G9Gi ofDJlvkN681/tI6kg9GDy1rJvKaN+DYl21/HQkIChOoBNLY4kpbqdvRfe7181i376gBhTK6iltE6 2WeofqDdPMl54ULVQmHS8HPjUp9ZCsW7kgyHb1purS6eg6Pmn18hCsnzrlVjyE47cSCrRigfuV78 j1slH9PYNAn7Oi3VDJHiMKLCfF7lpQrQXkt7N1PL9Ku79oTcT3FrCwZ5rv5DqKPB0reZkI4GWScC CfRUzpoqaXDWkCNrxSZo6kslgMMiSa4K5Bke0joCEyIXg9/+taVYHCCbDSMcIHyNred3nkcq/scq W7fJImG5yPVXvs9PjMbLNFK6mg0vahpGHhD9WodGQTChQNDYNB5G8uwwyk0TZZaOvG0qnVsFnqbc L0QwRKgp4y5bckvb0Uey1tAyTkrqIzfiM2PLi8udtsqSN2xzxCCkZ0fDSqUfU1zB2HqO9Y8xw1vY ULkQd1SsCEbfDl6O1lR/Rk5CgZTDEVXAim1JUARhLfUmJWw8eRylBQfaKonmUO41gkWvFfVp74z7 h6E4tJ7NHYDD89lCtGbEvCYUQ270t/jCjeoAUXmpHHg91bbF06PH0uB5wUgpOW/qvjO2nblhDP5Y iMAN+663Vcs7jX87sIfbrXYsTpjlakCpB0MEV2iq2ITYTPuWbR3DN/szyOwLK81Q2797mpK4v9h+ g3bsPe9PqX7R/A4M5b2eZyBB7Ua1ydjAPN2s6ZQKyX89SqKIiTdoD8SwIT/xfdxgjZVJHKBHC4Be /+gFNUlqxVmZQ2S4nPYO9Ae5N8bV+a+9yCpueoMzQuXairoCyv7+6wqVGY/aIF08Ibe4bY7Vgksr O5jmpB+7uvgoFCPv4WLHSD+ZbZgZz+1RwTZq03AjvYyGW9OSF3/5Gqs4V8wtN4QoZS3/n5Osd7JS 6vj+Cf+fzBGfIXq06pyupOEIILFjQx6QoCL93VGl2y1jgxijQTMRcK9cKzBfztnulYzJN0gMtW8h L0ogDLqHGDJ4SH9YEdx25r2vxYgn+zmCTzz2YK0II8ekn1Omn8N+qhM80zd483p/zdf8fbCzTCkS pfG9cRuA0tkClgodOTosjtTcCK4q+TCXH6T4hBK2nf8Zq2Q2momiXvyfdKm7PDf/tU8JlTkLOGtd XsnNMasonpKsuI/KkkyI00Ai/FL2aiDOQc0nm25KN3wpoHMZjLsqsDGRI/IGPAA8Sp4szYHUjM+b fd36XOenPPqLIIsOSX/mZZie2glapGS6mWJXSjcFvD5odEu/l0M11tXI4huYXUDEjY+ntTnigNAl i4GOKcfdedqtGBlPdt7ZhRhIT6wb++IR6O01AmfxAQF0lCmWk5nm6MLJ08i2/4x72ZVz/nHh/f0J zzwTNv38CiTQraShFH/qNe1uz4b0i1xcHpBNLHWWU0s5LS8xux0q6wyKBKL5SNXLUuXRfN5KbM1i S0FSKbcABHxEAWeVdq84HmYgLWbIgPbnjfADyV/zKP1hJDVZnFVdeyejc9Q6K4y8aA7RlowlmuRg pu6n4Kbo0OVPjdgtcxv5D3AHBku7sX91Sb0uOnVhRBYVde59lwrm6MBmZAGBHnqb6/6Tb70YHjVH 87a94/pHQPu9zE4U2+OrfKfRydgJFi16+aK/InqFHSSwBY7cEuFOFLXdCFQksXDN09fdGUE/N0h3 wARQ7BXF57gTPaxy1XXZ0WUsFFpoLIHlMVgaV8vCVrztoIsBoosSPiqqPqtPNh7dXVL7BZtIYHrn RiWgFWEZvOWJuh4nU3Ramp+WBmKd2gjVvpL8fNXPw8xgPIF7Qv+P2OGXorlO4ICUYpScHOfcykiv MaRb06TTAiZIWuyczeqaCULLA9vrGnwnn2M2JpoOr55D0fVJ4aNqEW2/gcz6p/BjClmW6ma45X4F y72bkx3Oprvekc9hCqJ/7d/QZRdFa5bQReDZu5ZW47x89XTbQPOXF0JonTGx2TLgt4og1UyJTRkp TANQQAu8ZwhW59R3wKddvRyjtA7+gyAsVNvL9PrZcCG9FIL+pHMOBgb5R9hNKb+ywCtE5DwHRg9j 4z48xiv05drd4wIPlymdvlOxT76OnjWlj/DgE/FgpGIPW24lauks9GvjsITTuoaPU+eeJSqOdsid mjlk+PXgRQFbabEQEA73fR50ly/t5i9+aNNvCuugqayJ/C0azNE291k/yR6fxPHwXN4zOnuHc8wu IraUV5g8vsPJdvKK0tYvkt1NzoOBp+v89BkawoOH88ttDNEKMl6kahoK1akOEnex9z3PYpDsn1h4 ZAICOdzzs1e7KI4vFJlqNpwEPIa7/j0cr7YCdqCEFr0HeWcYLCX58mX7lLBkLWt4ALls9zDwsQt7 eL8A7HkFmRKy/RW1PTUaTtuy+3YTTTnQCLD1V8mB+kV8Boo7cY2QxJKsQSgSYc4AN5ojRQHza7Br IbknodTIkofACXlUlkyFIiW8aSZsJBHwte1R3SKz5CMVe0itSzvGy6PDAEzlxsnv9+0OI5Z0gOTw BUwPoVTt4gD24y8vXTkYilLjQHXaPswFFrOaZ7jXvHpPgHKlXXSd98Tq94DrNumC9AUX01J3WAv6 ljEv9VKquTVz2xYD16t+dEQxhQ8CqUTTcia0u+VMTpiF3G7FxSFmSmiZMtzimD1GyO3jS/cDZlc1 nvvg9a5JN2cvbaCYAewB9el00hIL++11rTCxEfnAmYyELNiKgOyJqnftHWNDPEXaw9VT2ep3pgyz O/z1vQYXpbEMPdr0mCxfmTmaFGtG4Lun4EvzKgxdbM9S28BNpu8VzcLLvhWrxegbf6pE7UCSY4i3 f5UEp41Rd4mblmT+GzEK1Me1Z2guzqlGdsdIrI/iCjF5YSpKNza1HSyLmII37PznKCh5TC1gCUxA +gCpDIy8sX0csF97vwz3D8qnEStum6nf04fBdvH/30pF6CZ5YZkHN1rWb3/nhQqtgS0jIwiHgqOe lh/XfeA/Za0OE8iixVECowIaxhesm5lwfr7WQ1Bu4HJLvFZFSGG+y/djfH5sVgOf1Qb5NeQYxUcU msgDGplfkNeKQ/n4yT+o1dRoi9mQce4vnBNYG0oLHKumrpEsMr7UddRl+q0XtsY6Dt18FN+uFvwC WBbKfv0mZoOSskwjKmUsztT4xocPQMlZg1Dir4S5eQIIdmo7BJb5FZiIvXM3zXsGoqr2iyhFbPyF khY/SkaH1erE/YKVBPsD0++Ohk4ZQ3vOTIjN5QAIjv+2R0a6wYqiVkznQ0eoZNHGR7LIbYsAhucL KDXpJqv0gl+CA12H03mkDaTf6siTu11dOPGinPCiJFTn/smHfxHT3+RBhfhQvl3I5heBaRTuM8Ol lYCNIHyCNV7d+UWkJfRoOttug+Lxqat+c/hULP7dbTo9b0o/vlliVpO0b2BV+ajNzVgB1IHHRPvd /8XBMWzbN6JKm1YUXRskoTpm6JBeCDKEJcj8oMxBXOj+V6jZmnEx9+5seZjSEqw7N47hMCuF+cjS hjFPLS6d9Wni8iOGLMb/tXfoU6WeQOgJcLPnwQXRY+KM3SoEbewn4iXoT4I/vV5RD3IaMZTJ2MCI SSAvU0eOLoF8iUpxbSC8dDBiZ04PNHmzsMymL5h8MdpxoEF+znZ9ZFOM7tPWacfucEwJz7DfgLCq XGY+KpNYzUyxmq89BeLYvFDtE4ygEEqX5VW4F5sbOI6NgQ79zQWI7lJb8/1ccKTJ56CjGKxzagK/ MtnXUpuKa336/detCkNZ8buWuq6hpJwQQQp0Qx6uY3C0EUv6hDFejBvu/CUk/oH7AkY2lRkmR9vF uRgtFz/C3I5fDQISJSBnsSseaDPTAQ7xPaDE9Fz2y9ZxAFNCI4nTnxwS4XNoiohQsS8Z9Dy2o3WD oMtEebmgMvRRjzxN2jaPi1bSsOHp/RDKnmx1yyDMGMEWYk4pevtxf+g97OKIWxtWc1xxrURl2ioT 5QFY5/fzlewiNjqOD7T2EhssGdzI5hW0F+YPN/ygBcG9digiizWffs17e9M/LKzZ3PJVp4t6bNLE zjlYikmvdKiR4Y5lSLRT0AufUPEEX2aC1QvPdIqjJwrtlc6hF/iyNyzv4LToCilDbxcIgl6I2f88 rVlSfPD/bwWagBTYE+PaAdMUX9zx/s6V1cu4bXDBv7ibeUGRwdj6etclj6CfgkwT3GEqu9p2LlLY lMgcp0nYV4NfbpWsj/SMIFFBiDOdUtyyZlojaBafjcv4bjpIbExYUsJcI9yRqLZpOcWMw1e3I4A6 oGdsewfnORDD/LUSbUNJSkL/P2rVtZbohuwED0Eg0rX3Pr4RpK0TnwI+aNl62SkTC2tSOG66mF7Q +ynfmDv7PQMIK4gYo20dUi3ry4Ibu/F8CPxzgBGe4/Qi6jTnZzv3ME5E1yktDKN+uCQoZGx2k7rM fKHW7cv8igBfDvlrb7Vo7LGUG56lqW8WpIPhR5cDmAoPFpt8a1v7K2WiW2JBraKZfXqSHmEm6yHN nfozyVm6x1e2ecDS6Vip9N4Cob3MnIwTN7leeJSmqeO/dBvb4aSRrDlwi2iSnxCJ384UOxJ12Gcn jpMTpMZEzUNUXvHSGZKFg4VuMKRs9TfwrM3Bhsocrxh//cpX0NTDHdeGCS+SjGCMlgriDT09Czyd PrOTJeF+duv/W2a03ZNBWcji9Od59exguCQJpWa8eSc9zSiHNScLXi3MBKAlNj7HAFJhN1fg8qmS nssotwi2WhmOZue2+PRHEsPoKamiXTWnPAiUsuAwJi2jgGSBMKLxiuykDhdihumvcIAUQefezxb3 3beHbGbA0eV66xCOdNMcPPbkgosFiEVMRFJXL7CK21p7P6bxogbgOLgd0lQUzxIB3RWcDfao5oVO hr3jaKbgIw1OhWmcEYsvr8OYUJsFApZhTul/33ZZSAHGItkFsOjkidkOuu+tFONCWVOuEphFSwZr j47hqGW4Lc2l9R5WDciwt6bVLJSA1LBVgFVv/vGdYj4w60R6KElFlugM18AEa1kzbNMsaXnTiIHK NdwVDu3Sov1xQf4yGTIzDQR1dZZirwNut7aN38/t1ja8sHaq7exzL6qnYOsVsv6mpiWuib66ivVx XXuvWGVkhHkA4+Q85/lSDkldiSYsv8oYGaFmthhYUdmnfFSXGM+zdwLvofDlNlAom2derloKieBp 0+25DpXbFLvh3PMfM3XVXQbr9SNS+97pD7Yv9SpcS4mwV0oB1OXkpVOiMRQlBD1TPbudB6buoP4k 4S31g+pij/zVjsO21KvI9hJ4+Vx2ll5wSZA3wc8pDqUxqsFHlL2l7tCgf1uaOyHry3bI5KlbWkgm iv6zt35MeosUSR6c25o1x4xxw/X9pBZPBV/10L4wnE4r76VaC1FLUNFBraySVawql154Gh/R/WDb mG4xywyZ+3hnU6mc2VgogJFo5t9MDiWOBBAxuMr4XyvLF2eKfkiTy8H7WibrwzR2Q/uqFcnlIZho ik8Z9dvckfg3IMQZ0KBuH6WZzuaYZXSnFGyXXSzIrHsl2X0Wyi5tv6z0FgZJlMwYvBynZtJCGSrD C0Hv7SQSPsbaxojaKSdPZSUR0q65yDdXc/ZEVtbYdiAbV8kSbo7oEbUs0SAtOe/C32hZsWRhNtOe AjXKmuctbNp5tXz7guEjV4Dcmr4JY9BtxybX+1D83LAIqzs0+6y6Bqw3KmzaUlOdyIU9dOMFjLrK xpZ/vUqYr7bTlby0eT6HDXkcCpx3017mb+uRLzJBnKMVmC5mr2ythwU0XEPo02wm5vsGkRSX2CPk SNFRM49kPB0G0dJjcuoCh1jnTIlDLY7U+0WdC+J6EWvcwwgO/Kq7hYcU1HIwSztTuoiTjvLdnykp LbwpuG/1oIuP5PO9vGKvcmtUii9PrO9y815VEvNB4n6rYRKgRCCkeo37y5K5v1w7r/Aca1IC0CPA QeYm56Lggo3vWSAvMbi7CaY/rdb7mllImWnpIeZ5ZYIG3wHVXrJTGvlaj6ns//JcQ9neUHQAVpjB pN2skNScA1QbwyicMW4WPhLwzgWnmhgFaUFI3rZqNfUZYuZ381zTyM6LhO9wev2lzY+3skdBjE39 aoo2+trLdWGF+bBjpWpQVejIX4TIRjYDV5sD7qLMcPt4EQvTTBtjPSpmmF52gvdzoPgoPyvKF3P4 /XTnFE6r2tHAvV352Z5rbgtTIrVfhCouEYHY53T3yKkKLlCal+LMFxQw9OAwrj5gu4Wjsl/dtP13 nwKzaK4L7Rv76WJIj4dgFtFZ0DNRqM6mHwVX+SNVYr/AxCNt03AI4gL6a8WSdxnJVsa8r8V7+lIJ v7mh85gFUH/kjaW0iqchHWfYpWwktv0YpEgW7DsIxFDFXYdrGoWF0Yfb5bnIx8nP6/15hxJwPrho BNjbg95W4qY7YvF4dZVG9Kna2Pk+JEd7Es2pm87znKr89Cva2r5krtYFV2/KtpnMMJNZ8dnAw4qH 81AONf/1i1PIPC3IAnd6J3xEVGEOg2TFe8MOY8fi4z0ggtrCtLmAmzS28uAZc7+ujjfu4PPvPsJH d+8B0fK2ur0n2Smi8sX+ga024vGuGhPYWJcKZFPpDeLYx2OspNw9F1ZUjDvrC5V0s0zCi08AwRxL fcaLVSs0QkzuJ2/EnIjR3S29RQ6E2ieDJ3TBYmh7vHW6kIg+6kmFR5PxPfTPSyRwDlJBJl17d4nO lMmTf9AQokraLYhP97w/O/GnnLM9YlYCTNYwtw2R57JdPV3JMGXfwJF6Ujxuek1XmElVy0+BEt6X kLQXCoUFMLksLL/10X4/8lUSyhy1aBhrA/DMkJYZ77A3mZnA5K0jI6gmEHZgjcgXZvgG0oJzZZqw oBdTUV0TAOtQTpEO4EgqwcGJd5PmOetEbWGzgKP4N6hNXVN131XR+oqQENGYb5QRNBRFy1c4mQJg 88c2zFYyE3WJ5hoFaNndlr3qjVrTlQvoejCtgt6ZYpipESOYQ4R8eC88ms889zSAO7FBDhX5RjZd O3md/woRoEOkkiA9FeFXtwOfOAKToS8ZvYZj8pDaTV3uwSXctM+SWyUBIc0WiUXb7GFn3BtN3qYP /tLGMlen8QrHJ9hBK1nKkEYA6n2ZT4lgHfYpImM8PEYX3/a4xm7STOqYvUQl7wt4GJ83mpoVu7iB wJSSutuZuaZ/YBVLlFa3RcNXMb1fhL6wPYPVAh1tbcbzBNlc+kjvJeH6zUdpvOAN3rdiN6LNi9WH TNgq7PlHjReGuwfkKIoBP8Larb23KEpIqE7JhZ7zOmve6wRBVFLPyaJpi15DZq05p/D5eYdbZW5Z RvKce73kmZqrIH41B/KJsS4PRyd1vU9qukiaeIFISH95aF81dFFOQJqpwJJ6j0BZSZySbs3Cye2U imP640b8kLMEYzImZ989U3u4YSTnmKB0ClExf3O71ehrCQKq34p1CDVBRVhKJVQadOvXj1SgCVXG rCHBz7cViDllodfQQZXWbx+7uWVbZ1EwygSjXMkiH+7NZQnr07Bos0qBW+HHEaQSrBNO1C0aqKlS uGEQvUTgAzXXRq1bkMSeAugmFFXGxjtPbcyEpyFrD8m7Okc0vGF8NN7HMtOb9bykVo86QJKXKYWa fEY4u/moAJoUjScsAbqpAqfvrPsWNiSFzaRP2VW6kwzkQV+vMvAt4UshJoZUuOdUrDkSK3gs6+w3 9hGAWwMR5+5UtPpKVvzpu9MH2rvIpFAyvMG4+jMyvkZXpDbEFtAlkOOhEGJd9PgYWZmkoojzbBME isCsUAtL73KAMCOPOP2qVKxbf7yEWWQ+q5Zgv3Q4v4U3U2IcdrTRpr896HTVPKfCsvVX3ICQjOZ8 GefTXG4sk5cTtlSuk7UmE7P1xM0jdb4Nw39cG5AzyatL1oN22hrCo0xtBzLpIdJ6kmSiKYKzcFHH zZQFEUP1Y7xxIbHmRDVpG4EUnZSOHsGLl+jUOaGH22QxPBQK1HUg09NnjqtzSEaL8iivJPHwa4yh o+30u9EiZqkBl8Qb7iQbSb0ZKk/F5oEa8YSIQHeEO2otXk5vJHvIV+iMbu9NyW5eYz7pexKm/st+ 8+XMbXcu7DAHn9zUTLG8soV+d1EfB5bpIJkA/OWHMjXM8A34SSXfSbpAqedYlYRkslut0x0hFR1K sYUStaARudGh19AFHmMG4kIxd5r/x1IT1ePyZ0oPEI08N279PX6kVoNSGCJCho2Z8h4q9amF3saN yjWWNoxfp5QQ3yKPdAJ20kxq2P50/BsgnRcHNqC6HrNXEULuPClwlQXaHa7XxQqaUKGwsejURGLP ns9fWsnH6+kT02XQ0G1L4RFMItY5v7IjycXiEHrOFRDXhp0zKos/gA5Lj4/B/BFOgET52ToVf9wO 7ztOtgL0RvWoosUUNHHS7yZAgr6DmJsVFjYR8AR7DtlTKqetiY9mfTM1zISggdP9fhuxwBGZ2ADi JoCVq1ntVrWKsklLpmuaUUqdhkOUVv4qk0fMyF1falk/bnqU5rV/20UChivPXr2zH9cmBvjC7arO 8q3a3vi/VVDwz0bi3yMovqcMNlW5KdUi7WZKvXO0r4I3UUbDbWZuy8oVskSiZb3T//Pfst3wc/hX Oo4TeAKXt8OS+ludKmQ3XCqWXsXFJaPTqA65VJmE3F9H713g18csKI4uOs1Q32VrSL0FQ8p3DFcI HgytD76tUX/CogAMI1C7jaddEoRAUKBXLeyi8XJOuArROlzK7fHF6+KxrxHOKOmbzUYONFhvryjR 3vnluErc/jbA5Issvmi2qzpMCZFsXxhj/oLokeMZI6EE2kXp3fF0MwFvprPnuENsRixe5NgGnMUi fwoyDoKFOeg37Dr9I54aNoxRl/HMtOLLOOvWaMtMDwEzRLhSJSyisHoPLCY8/Y246Q+Qh40Rcx7t qlFWcwZQb2gNZ1eAdELVmnZPrRMeSF6prWNtZ/dEvI5TvtuhLhIiTjejMprb4Urzko44B25obArD hJJpr7fNw+aVNlDpDa0UB5j/uHcajB3E9EiJoA2gNgFZpOEErNW4wvW7YT7MeE0MwFX1IeXFDcWn o8eO82t49I0fGR/LONED42K+RzrRhBytCNYjiEf4GrSIo7roFcJJMEgBUZwdrZ9DEU40QxC7s0QI HhtEyoUtm4YE3JSK8KcCNT8dub/daSvro2EZM4nisA+z53Na9yE1YI5rP6guSLQ/CevSvRQ2dS8i 0+fxgT5vnB6MuNgwZkiL1U1BHhzqlr8MM9C6hcU1p6kSartMgPNkTyv93InmpZjJFwuWC/PaYepm FP9VAM6l2Bf8317SiPf6xg3oYYIBf3VcowDC9towt4PT2sKHFVYqG1CLrGouc0u0ewRN1Mg5gvju Ezw7wQvQXxpLOa8k5UfVvRzHxni2KATFg9gcdYD82q5csb76WO/oCYvayGQVY2AAAoBOg2z7vQYF OvopUsV4EGJyA0pOPZdXs859TIwbbMkooy50HSUflDSVRvpblIRouagTqeYNLwpW7oF9fscQBcMr p5WkEKanbnfm6ywdztnsJ2LqxncBf1yc7IXzZcAVUMcf2K1pba7SHYugQg6jVDWdDDNfu7pIZ5nI yxjDIDSnSI4OqG8NV4y8ybv1fzH1D7PKIFRngKHOlLkn4arS2nqdbQjlUlezegO7BvlobkeTxlt+ nfSIgWvUfW0oiOKPGJdrbasVWZvI9ohOakoWDn5asID65+rwPaAtEdy/iqwkSL8WN1BwHsdrZQMF 1OTz5Lk1rmSnh++VMjfyQ89ts8tjs1eevBHUIrlkbErP/M24fcTBFS9b3v3rsOKNLwteLWegpCiy zOYyvcNcvNvxsG1nY6b8pJFuhw0kgI9yE6/N/yOB1Bl+zy04mfb19Fefzem4AgqG/4NrcDEI0nkK H6wop/VPel0PyyT4StoWQRnwpPYpui/CpNZZI5dQ+NNkyrG2ekI2mb1tgCLO2cfKs3lbdqU9CNbt +6QUxk1EjA0PaVoF8cIspGcVNzXh9aB4btMzMAZCszLAG786L74QdzL2Ph2wA5J9Ts065S7KGncA t9Dd8lzr3Ns2Cxoi3GGejwKNU0iSLzg3RRkYqEAg1sC4AwcZEQtS0y/432i8MJKWGWXvCCWxZXDR PTLZMD3Ksm3i0UiKj2HAwQ2iwclhlw6ZGaNNfircfmcnlUT3B2ha2ybcTZe0ea1bRxdNtykd/15/ x2K61sr3EFbGXCco9AWpzJOIkVIR0MxjNB0A7SsyM7YIWydmpSXTJkfYBB6R4D9tUSwMezG7c2qD RMHW2ezgH4DmqufpZte+1WZzwiYEsvfUHip+pM6Fb0Bs1aY0DmSIASlsnxM1+qUH01c49aD78ey4 LRjYq/mP4KEa9YYAuJOmMMFgdctqTRgTjJME/sZmT5x6LjkvkA8vRqBkMt1ehnS2aVfXdB1K7/h0 XRIRR/gDHtC2iHlQHsyEq7BqL4VMBij6LqEu/sTOB+MCU5b4hpVL5DKLkbBgVcMPUKJh8UHn9/QV fpZNMXJygWZa2GXOsGBm4tAEPm+867YpMd8QPzdaP4OoHowhGY3A68W3D9IO/NNBVXNGzJG5/h10 dbfCKW4FM8WHCXcgfFYop4/oZAt9Z4mTzL/B+nCBa9ZSbeOobYX+d4p7gKscgEdmFChNMDfKT4uf 6acgyfPjjiTOvi++RfznxQNwa/5VubzMEracAvbAohbiVRADMelTmllViq0vdsQPGPZz3nqujLTK hqe1GQHuP+opMsDenJaz8x0dr6R0IHIwDZ2oUmK6o59EyM+9gvizN7lOmBhvxEiQUVcZlLe4pU5o CCsWIoka+lfTxYFI2jqP88qfvXv9pWfXjrOSLRHojLRAwO9ZUGCkf5qDf4566QjrKStz/jYiFc2V yF6gmUalk8ZY/e9wXN+MC7ke7lWV29OaVWdcOx5VUbe9YzGTGwJwneOqcov7BjF+5x/kHKMbVTLj 6v593m4JYjoAPYZU0gAANDel0iKvu8QsovgnC7TzDu+KT/IIiVSyoaYas2OICYEpxIc695Z7zJDm B1R5ogTW2riOOqSnPAzvkYv0FeqUM5RD//eWO+eUNanWPZX8iyWDTSRsoFDSSiWT2V5muRZdhmUl WsZoByXKbChtyG9c0lZuDj3tcaK0PA4OgdeqBm0YZgHL1j/inuIPKEFvwIxNiUKGXxA3FW1/AGLR eIAUdNI/Ngd8iQ1xBM4y3jVwYz4EFWB1oWH4jJBJczjKFjueyPnp+nGHS2ADx80Ccj47bVHalOdc bIFN54iLqdPxSN3Kw+ito1dR1s6B8UCGR4fvwuEPlqLeWHsle2yZc3qUePUGx0O0ui6uBy3dZZaP 72jQ+wT/ZaNb0zyn2bnn4pz0cEE2NpvFKNt+FGrFjehiXC8gyypv9OPNc9smWs1TcO8nfxdpEWap ou854jcISFxTetsrnzCKCp9cyA0bG1hoSwZ52dnUt5VhnXCiTNJsfecr0NHp9pCd42sebKNCmzQs hjo7Otjj5KqgMmwExoslw1flc6bH1wLFeCX0m9R102SxWyW+F+UHeSbiaG5dQtsk7D/LVxCL0vuR L5ylbNQ9DTWoRErH7YbmQV8ImNc9kL83g9SWBmra2SiB2r4hrxOsfi1rowswF9E+VwWz6hpf8jql uviWqf03hvT4aciPillCXI5d9oPlKgd6Hh4YNGAXhI9QV76xzmnoibxAHUHqSl661HFZA4mo6jV/ MmXmc2F5O7Fe+ezUkonbLuIb5TjpcftDW4CvJ/lb/sKbh+AiE9bB+V9X7brU9uLb+1vKj2DITQqU M/6DP9+5Q24ECLGddfTpUMPrsK4uRMxr95KaRFioEr9s0XmgYxulGVBPFP7l0aL3YrKas707d0sV GetJj1hdidsA5k0YQg1vg9IR59U8AizyawR+zRHm84LfXOu1t/mPra/PUKLVNKtQ7n5QCWm39XMK S8MlM7pTevr90ti6Lph9xQVHBnlfc/W/5wJNa43ou5RgNITEmHJiz9XnI0KUxJpGcRYcfeuZWwqu FN77zniQ3MJedEDrDvASeImIxBvRtYxsVwQbjoH1w+NZmNn2/xvljwhxIkWgaMhFIXKRZzuo4NLA uhJmt2gdkuunm1acQi0VVuQSzFvKKWYUWnjRV7sRywfTYFv9wmG9zwYTNF6fUMCdIXQuFRxwFAUL kIPFCf+P7M/vGgcOQTWTWfALN/2VUIOLxRuTkVcjCnj6f1ncNWcwHN/vA7nP+1X1U94yP3lTqgDb iwzHp3CMQLciMaBcwWU3gJbFFTVHGzcTCgD30hANQFnIr/ElZotVNPJ6xjr51h4/i+Az1CbS/+e1 t8Uys/oQ9U6UncBMThfblxOLk/2kkdwfCwl62ognRKqO7eekvgsGU08R5iYPyU1DDE9O1MR4FAlc 5/oRvQO4CMQPntSTN6B2oI90gNg8/5WegAEPmoTUu6yK6CknGOy+psYHQjjk/84Y0WXkLgBfAfJk H1Q4lCLORIH4cqnQ4HNu/xQNgBXXtMk8iJmqZkDRnca88n52fiyqEUmSZ1QzqXe5+s9WRW3L2WWD avmGc9FRRBOt+5zGBB/dTp7vNevmwPGTldBF5XjnyOsxxo7xKPj5AQy3661F9/sqiqkYJqxlYGTV 0YeddRphaN01joxXsISf2DKWgl+nyVgUFjrdva/IDQmVokf/xV6SN6Wz7SLovUzE24M2CqvSP5QD 1NWubW6RBzXC0KeBwHmYezX82BZwOG1uEOxTZprAUDPRUZs5LkEBZcRgzxnGKfqh2nvYucAlNJ9N e5IMLq8AeoWzOG52uV1i7oW3F4FY9z6oPuMCW9TqobGGplTLBakyJSZZG891ReNF1S+6uKq87YB6 Ms3qH6jSB5AL5Sbc/4KXZdKT3J+nG/PfyKiXROmjxS1gr7HFWqamtj4p26FXFA1cjD+BkLNJmYRu d2Bv3ld8VLVEiSQu5oB4Vev6djrwIaCrqmMiBGPrAm1aIxbwyYrZdX33Ga/PkV0DNuFHXI4iGOAD bane3feOaStoeDRTSMcJ9vRBFkg6Uf7YHCBOl/CMAkYjqSYXk/Qlpe1FM9Zq5+jk+OMnVe/7Fu/F tCMVDJmQSq/2eM8+FQlOENtPb3LDuDkPF0qE8E4rNNwGeTVVKPvGU0RkGPjIMgdfxucpuJT7K9LD fy8vErdNproxxd2ZnJmZWjiyDytz9/bEWGO2NL53PIicaECxobpYTr3X9c9fi/ShzbWixeQLPgtS 6aOKyuE6+1L81G09FOdPdYzf4mWp3tEew8GDMc2fopwpN2puQyV+cT8CQrxjPGxOWU7ZxvWvUx2D EbXiSuVRv7j2R+uKEOcopDDFieGP6NFtN3vX8zyxp9NzzQ7nkkF+9kPFBvUDM1z0Suw2d9hkK+zG P5laV89fdDxhQFN6wsW0OzYYTO/dB4CLSjb4heKmEgDzSHpfHZGz36fBBQ6tcGS0cZlbyUhMobCH JrVLosQ6fjSgQyswgylxV2Lf1Mr589Gd74jKTyPLVHvrU1BCEXS5cX/TX+fGgjRc/BNbXI8KEpdN alZYonqJ+UCAwqAJ37AaJnm/ttjh79BUMf/gxnhG2UhYmR10bpIgnB7uKYeb3UoG5WXOp6WZRLr9 zQahb/UyuzCr33+XBe9WuZZRoCD6lsGc9Rc2sHOLn6p3PEhcSNTLvNMGrICjAc1YKCYcgD0mU+wT gbC00G0MN1a6cGsSclYECEieb4TBnlbBkcfrPff1QEeNCqJC8BiJ2Sv6jXttSkylK9SQzhP+Q390 4yG70omKK4P3B+EaswSMvawXj8h6V6dPymoECeZDQXI572nMvfwqFYugTqCMsqQh4zzVLn4EdebA FGidY3CAI5hQ2yLbaGW57ywwXntRMIjrhIGilORoKoiKtezHBIDWvpH/UnaYjzqKEB0WQWjMh5Af jeBbYZS2uXsUShhXKi5uBIfEB+dwj02SvXIVtyCy46pCNuOYMbLwBKk2susL5B54uyeyFKauu5Uq 1a4+PAlkmbezRADr61/0trtc0md3ZC0KsBJxqZTJvILtkDYoyHjEhv6ReX8Zoq+yAsQ7yTgZJNMd TbwegKfbXGUBwvuSRpNQ9/kgkfi/Ws/1dYFXuiZS3BO+/LOyRZmjIRPHHpxGnHn30E6LxZOZ6ROf PCvILftR2nk/JXI1n+xeTI+RQ6njF9BNppzEBLN/gNwMJWIlDL/Q5euYNSd7dfvZHs2iz8x35tdX hbmj5F9a1tEqyAkxJHTIXQ9dj9/hcxKL5lDUH1BPKvEzhw/IvOu0N4728gbL0bKziylhZHmj7cEr w9xHfgPcIqxpmUWwHQ0U2WPGRyJOE7kVHoFaqVv8J5NqJBSuxA4FDDNHta+r0GQQ4lsXY1dyKqBc 8Q9x7a4lNN9ZbEDOYNQ0oOrWXjOdL30ojOyXeRc4CcbTJfv8hwmFRqDT0H/75/BlmJgVu25RO4P0 c+vpfCCIbfhe6x3r2Bp7LQzQHR7w3FXztU4YTurp6qZZW2no6wcseTHg9ANgtHg/O/hEqA58iuJW VxQlh9ZYXu+AmfVVdo08vCAxqSwKPQv/momwexWfSPNYbtPP+JKd7pHF9JTO8Yl7EUeLle3xUjAW fx08jDkmI5brie/Lyyvb9DKvBtlAxq/QAxekPkKsPqkla8Lq5GtOlNFkhmej/lWMS61rKtiQPco6 0z8UTDGl4E/1mNRCvlH81gCxHlzwjcNHOyXdGLu3O+7RXKtAj3Ea/LIP7OhR/RaWXqHuXKfgI+Cb 4qRRlB2TieslJW3bbG4jT3wjPXZzMNpB5RmS48u2Bib26Bmd9Wl9AG9ILyNRcG9scOOuuk2BI9AB OURAu+zgWx3pzFBoO0A90Ec9k0r6xgqmw2A2GjxRJ+iHidWBFg6jdw3bDygbPMnkmCU+yHXUCAEp CWzrdB7aXGaTBgwV0QuRFJvjvcNtYSSTr99oynDtgG0hb4wgjCZdj4R7vzC/8pap3Xy/5HVzcXEO sfRM3G17hTMNdYJtNsMMErKwW1yohLnEhExa2n+LD297o1j92sh8V4tLhG338P0fbbAkIR2zfG4p UMISxqU7OqWaFQDx41lbtic8/QXqVr8MYHif2O5QvoPlWXkYU4JutYz4Po9Gg1NrRrcD1M9CajLe xMB84mNP5uQMBZ4AkBgVA5oOi9i94vvZxGsRU/UnNtisq9D0a5NjfebRaBJ7gZXCMqA/rIYF7zuW bXdaJeKrBDbbvMX0vG578xIvODn3QWaWddzw1pPkoiMPwGxXfKmknUkNXkVRm2vhMVDEHgnV8Aik Kebork4TUUcczPLqrbNB+nCqx0fyAAbCUcylSoP0uQZVMVbqNm/fgOKB6hjYR01sv2Z7AEisN9g+ c3YegWSIebIXcuo+vlF4GgAb7O2NOigURZnAvIUrEo8v5pZuXKNTE16CF9ZxKVWTwyoy9FfBx7/s oJ5HA4INz1blhfcOQKY3RZ61GTQk3Ft0WYrJK0vgoP3QEjeyIPzzScYDdd1pZjuxX5dFljDMsR+X b3CL/ULhGqpyywt6Z2/B88o4Zw5zIaAlTRQUXCwzenmBdnZKGuBzrfSmgkuI2H7R+j1FMhWWmykw 9ihLefRGCe1kR//QZM4HXhLoK8rhkLX+LF33WdnvwRUU8C15XHqQvLk22uS/nfIPd0SdWHZkAbJ6 QWLCKd+4OMh/zrTHmdoJ18h4hfbRTwfb6ZLCISTspeaNTEznIKHXJW60sg9avf/IEADqBMoB4eDZ oGCqbp1Fgr2CwxZNsB42t43CCTb8vfijH63RupfJu85Z9lymcYqajJ1AoRTlZOZlRie32CVAsHmT pjw6A1CXgO2uX7+8ooA3qDoVjTtcytrcpyfMjACQQJd/n54xdWa8rF9cCf03r/kIZpqb7UGL8Y58 HAiRqebuSoMjMxgQ8nTFbQl2OET8zgwo4A3ivd34LL226nNp9SO5593ZM6/OllSapOMXkVFwQNqI b8ND6Ib47RpDoQaXmVXZ3FdI6tY1JKdm+NKBlwZJVtTHE9HmW0zNrGepCxkUA5Et3K9zAMimguG4 WWfE1WJzMBAphWmouWNzWervTrWJuXTqdhutj1Fmrcwn0gzHJiqkOxqpzBzU2lmZCCHU/VQw0hKg T15hK66faDuKuTUOfW0Mxzrp7xn2CWOjWaMbWD1bwxBVYrLNL1T+ILGHe7ToNFc8D/WoJGM4+XE9 Kp+nDN1uA+tYhWPkqNoJNNm9Fh4iFbC7PHUa4ewpFEOr/4qB1+sco4pCu7jakFEa21tZeKcMl1XP Znv6ji6UhQ/Indk5GLq84Cr2kMt4ACcgHkRkzrZnzIs4lgpNYIkpbiU/yqLqEUHFOJ7FiVEkUHrn Vg5JTph6TlwF5tmYqP61UPeltk0VPWw2zfK/jzkwfBXYVEjQbWG9X2piEH00R6YIyrHEc+j83mQe ZyZG9atoENQ/34D5q7y5omUNxBD47BMnsXxK+1Z0LPfYsexWgTOLwN0/3X/uWDR/Z03JKMGoaZA8 oTmersuZTciwa18dMztO/HigKBxJTea+kmuzjQQ/SFk3ZwEtXzG6qIZEAMg2p8uhs0vuHyUbvStt SK1dtXnp+BYhMAhzwCrDifl7QTtSR6E/b0iVp4dwTSceSA3s089y3aFROUgFnKlM82j6tvtAx4kE huMhB9MoIhVnQb/RqQVjel+5g7Qoh0fbmTttHT9Rystqpzcz/oBQzOs98XQjO5FTXB1+imgPJK1k 2hfLLkH9SliRCoLRj3J/Lp87GmwF191nmrWtTqpVr8VohBcj9bqfuj2INAdHSQ+ssXI+Gy772TLK g8EgRLPvvOToFgG0Of0/IaB5Eg70OTDOsLz7uCg6Z7qEx4CmsScDiRrFzsNBXNby75MbGZ0rjo6S XgOMcSmoCqsVqxZP5WoWHktefKDepP/LYVWNvfmVuHiOn5GarlEj4HrNervH9rTFfs29ymrPYzU5 dXObsnvLSiKWfInQo8YV8GV5Dx5m8Bknp9BZ7LY9pJsI+geXu+UWWRgGnwNFb7iPUEQZ3iHWSxUA FVP+V/s+MX90CZmDFiV4yklRHAYudCwu3bWgzwygGRYvxFw5ZwxG2DVTwiiyCBlTJIiZ13AKLHwP cN8OtdArN/SWxX68LRDHJR0F/PN2C/7sMybt6kUudzouUdUULZw7rnCP60sLybdC5kwjeVKuyrK/ 2D6YBsAHvWfz/k6NZsVkcUD/dZIj3/igjUDk6r/ETTwWNA2dr6WRZV9KXhjHs7bq9i5hgnAkZuCO jUKStHuX2ttL/ZIYtaWM+5nqszIkVevRvk14Yqf2sAjPZpbv3yPfDxlq453rHIeeyaDGpnvhx9AW 6mF53afbYj92YKorzGxJe33ln0Jbm7sXGplOXOWLHR6YsVfhGCZpESCziEzqCGvHmPESr74JRgmU AxkZGgLBtqMBrMS3e4YvHlW/O91xZLyIrBsW2/uGJzVaK3L411ajlVNnAkZSN9or6495lDThlzo7 MYvhHqkbWruEYjm2H8SDWcaccJlVEUblYL6YhLZFAbTQ6ViQCy+zSk7R9K0/AnQH09qWIauA4zWh pv4P3d7PmvdRKmqpTDMiMje7PHJV0pHfJ+ltRUPYR/mEUHgiob839u4P3wxEBf9Ybqy0dpX9Dj7R dCl2ZireYWdLNDc8db9gS5tKh85RVwXGXrZHpk+VzgKeb9/CepQ2KNdeXF056E9hC/xlE7pvTbwm XqFUar3L134oTYvnWZDxb3UCoMNa2lFbYSbZbdzb+QNBm2fruQ9y4vL7WjYlIJW6dLzA2a4/S/cw ZUbIHr0SrfbIuglsJXOoE4Hsp+RTqQuNFBhzkct1mkwariul0TDBjf8KC/XSIR+LsNVhH1coFii3 vci22k3Y9AcCmYw2jEW9ez6wF5Sog+7p1QBZFCiT96HximdL8OUl3F51x1PxczW8FTm0j+rqOMON HY7pExibxDKLLmBVVp34ksnmGXCBBjiaBhcJDZkuLzks00N0s2rlaJgSrD9KQVpnjdDWPSxiyvaH fvkQWmcFSf2xhpMwVPwx2VvdgLomv1+XheQw7mDoAOUzL3DPlVmVUuEHXTlqpSMpmIQ1EdrxSRMa yTW9zg+bayqjJqGrHyLVnFWhaQPBmg8+c7XoZM3R794zTr3afUdh8u7WvcCC2fgrsKXak8zfkJCN 7qy1vgUM1ap9oSYFbSNPRjzajduNyjp3+5E3ViERRqSiOGq0thXub4XAzlDPkW+6SwLCLZULBGlD CL8uAF5K3alTgd71rzxgkOhqiweCnu1jpfPwldiPthgbrsnzpmJlTu63penC8YN7P5t+s8MRb8YF ed9o2vqOJMI840GxsEcaLFMUeWH5/hTnxcifz4u4fOuaYrstJIaOa6+IC+V9oUf52//XE6S7auok vT0aq2SA4C+EqBQ0k/e6/e9NZFrxFRrj07O+FGf+kbVHzDh9w6atQrrViQzaCN0E4chNmZrKMSjV Z9AbqGGER6IaH6mY0NX+ITXELHNP/OIPZ82955CeBMvhSiZ2FJ1XqDR5oErJSIoDn0lTH8N1Mvdn 8Vhp2PSAPJwOdplsn7rHqLJ4L+09Kb2NxRwaA/Ze19/TMuZwItkl4U5XqHz5H8WRnUajrsxIPaxP XttbXfeL4Rxk8c5yYK+x241gUJ4dnEqhUi2nyNL9R16rwXXS2Y/GVi+bXEp5KXVvWgqBHnuZXRUZ 5NqHOjRE55IdCmHhwiwzM15LdEgTRZdV2hkZXoBUEdm3Wqmze0myP784Zfo6oXfkI+CZBNMySESo JLenbWonu/QQNKeI+KdO5Fljn+LNKYO7Ol8DcZqacTpmU4KBf6pCapsvNUhhLcmlqQzk9dsTohe2 MbhtKcGY/hTdBRkswo9EaKyr/I2HardBnvRkDRPVWeMBRoXNh9YBRCh+5et+ZfrXYIdrIvlSxJcG wtm3NTXVz+qEmbB4xMdQShXfnRfoy6AsQPCJmMXrTMNxZzmES1VphMuOurogC1Quz4ELUvRhapXV ZrobfcYZc9CweFUp62M1ahIuRgIhBixPH1WB1rc9KUkWl8u2ysWawofOC3IleoxDbZeNUGOP3GJr Umbs1nB862+XOyYBO6Vkel7JUuGyL44Z/XB40t4ZpOg7GsLjBTM95+/vs1yhZY6x9w8oWki1vCAJ imanzKHu/zd/xdpbB2Zfoz6ci7h3dBOy8bARMy3LQXPr3hq61qFpkg2B0MLuh+tiUu4EqJeWweY2 WPkidKAoUF/tk+awaBCzUqgem6EHR2yJ/n7HnKifKCcDHwFILNjd3qcBnsJLb8lQegePaueCUfqc LBHfEOuv9vOzagHlArX7cCJAqKYJ7Iv/xDEUW6dKvtgG+0S5XGylR4liuZM6QHU3C2GIpo1KyVzO N9ikApvNhGuBBLlsd1QU8S2fEn+boiaUZPP/2/Ykxo0MrPEbAEw5GER9PHpZoGvWoJJiyepTZoyT qR5rdE8K8ioD5DqT9JKm6Qn24KzBo13/Svu6Mn5LUeU+LTBxIaFc89wqqjKCQ9BO9vU8OQbNHEQt JY1InRST2mVwJxBxoZZBqk4RyR7+aX1K8n7mPmutM/YZBG8TwXGk9bIUxS1IEUCMk60Mo1wgXO0d Y/Dh5Tq4lv7xlRTmhlcX9W10bZdEzx8MCdEgePvcZV6VWtAvT/sKW9viGovOOwxoh8utDyAQNKD5 5U+NPnGijR4DslKJA8+sdVlxkFShD9zoj0bntbyyZweT19O7Lz1QNv+oFP56Ouou5sEWMmAhktRO +nTiHqyQJDpzNHp/jj4BMj+cNiROHSTsUycTa4B9HX0SpKwmWk1zWhQA2oSP+M0CPa54veAPYZ8H ELzSiufMjk1XiS4UWzEw7BQ1e8Jxuy2OlTgBKv/HCQPshxRSE8fqNHVoc5LZNaDtW/cHgP/PYvm3 VWnWAIjHzmXR/yx6Tbe9v2hUCbSUS3fmb6DVB76GOU7wWa4P+J2qWRGgGAf15+i3E4u5ODVmI+63 vM08hwiim55xYkNIgQFhosW3/a4iUGQRoSDrnYo19zhbKZdkUAiswFOHftlsRTDU1txyRM1nHCs/ teyNHrWzwZ0MLhj8frk3YepVEF4ZNHwXpAbLxmfHED0iPA/WN7XA0CxNGu3hD4BoAwqvdYHXTMdS 5JWN6Bj9a1AEFVs27oO3nsxEP1YOYRIqDIYJuQGw9qpRdBUNaBTgWd7+EZwB0LOpLcDrEbBRCaGU SeCMjKebCb///GUVASOU5iRjg7m3EL+zkebwZvqszfG+12EMCk5IgwvS4G4vlqaTuCBGaA6yrJbC fn76qQnFfcY3QuMJfoP7iU+IizDc9Xgkbb6NpQ5YsKT1Ssocp8aZLKT5uyJKs+Ck5z9YvYxKKYu3 1EW8SuORCLmHv8Y9PNU3VI6grfiy4/HP/puyhD43K13D1buztr8jb+x3KK6syWqQU/UwyqjKRvG9 T0nlhK1I4uiOedEafRnMgfC+6261d+6JIf06S+gaCrlLlZg+61HPLGjzIFy5tXeAvcXXAgvWU51T 3OZqkIlPTO+PR5sePDZz/vANlt3jdiN1DUd7NL9XUz7xTpMXa/M4CZzLT/011G8ZY9qfsyakRATx zzhAHhfhd4nRT9Dk00DWHeZyks6IOXhbzfnioY6AsdXJVPnrscEFDfB7XG4xdE1keN8ouHTl0ypD oyFPtsjXx5W41dKIFpg1SCiaozLccXCFCoWjHT7rKvlUM6tZyKDLIbRseLxptIlkvRLkcQbSascl EBe5ageo/JRfcLAltRQ8h7JrMwmXpngPU7IKFHBWQsCQjzMGwR/H1t8mkXxgrxtV4AL3waIAESsJ wYmzjBPs/qEgUQodQM9GefVy1IwJvMafWXGwSVOg5rceLOmCQuGSbuAXnKs1gVKV+fLtH240OWor FoKDhNcHlr4u8J4XxHYYYzMR463Z/es9A3BLpUZNnbRwRqcA6bJCMUfuAO7rd+wwt2dy87ySCkcK 399yVeyI1YzZUp287OKbfcTO2hseiKp2kqNxgZN7bkXgcYw3s0YFkBfiVdta6+oAQrShy3jfETeZ eaddtU4DYq0ZMpbjeY1sITutzlT6pNb4GCAsllt4lZWCO5Gnu+X/2951DUg2udhBcVUFfxnQ1L6C zHiKq26/3qSTwZffpi4A/Q1GJMFjdGuTwqyCtRkybIytMsfAWEeAXmmnrYp8A0iAlwNlP+vox9BZ fnMe6/xgiM9Vv547DMAam3TGz5XImfjqAulgzd/b3SJTAmwbgBq7kqfrTAzxUY3SGl3HxdWC8hlt UAHL2iNlRg33cPN2sUDt7aX0lk0VMwqoZ7cQPMNjuergAQ4HMIRCfKkBtq6bN5QjIdmuM2i9VNZi XGuTvMR3qHhRDmRnR3cz04vpGdB68yP/7j/8eAveQg1xQG2cX38EixzJJA3QVMDgtz+m4H2LPVCd HT/xVzaFIo4jvCH3+rYEhHtemhOe41l+LxSnbzs6vf/uVaWEusPbsurVkviagdW71RTr1ELZzyQe LA18qZ+qtb+ebMgJJg0FMVvKz24enNRpiGy7E04Ih9ivphiXzBMZjOkb2xIqqTuRfglwnkz2fmmC xfBj12Q7ouIV7iHqPZcnHW0v2eeMzSJDvTaKHO9jOknEALvxiu1xeBXyVZZuYkYDkkocB9a+Px3L cuCkgYg0Sdjq68DhuisUXCJJ5YQGV6j/3Yy+Jhzi4SxB1h45MaDKhejXHmPw2UPMFHjuHpfhfgBp icYQ8eJyGIP2c3t4bxWdQLbYezn1HvzKbai8BrQkTQuVZFpH4TcjKF60xE7/cTFK2OIFd/GJhxFm wmwAf80l9b9LTS2FsE0nteRAL0iBwphbORrhd7i5QCIZc+Sr4xpgBK/lVfiBwO3gWhdISSBv2EjI eEUT2E/PbzqnCxfkN/N3jCHjs5BvIEeFXExdpUgJofxJfTGeVgn3AZEztv4qAIS1gYJ71YBYJqcq Moga2TnZhEU27dm1nk8eZ6SJbQSCuMECvnY46D5Gx1g8DHo6fneuyVDYSbTGQ0wG5oQYsTrPPd8X m6EgNQVcZ+1qrr8lW9KujAoATiBe+g5ujfF2WWUxF4fRDEn1ffC7nk4+OZwfhJQAt7HXohFLY5dz V8lz0WeEkqTiQuwRDRz28ODg5BJCnaWWVsAbcN/Mt73caI8xBnx8Amwpp5PQZT3+QX2kwOZd16BR ERw5iB8XI4n9ERmPHDvCddxE2r0mW0EMofKi9n0w8Dv51wvq/UylURXvi7bDHONYmMMLUrTyDCyI bXrnBZ3XLFQn4gXQddDDGJnABK35XzEpq+I9WtqxvFu4Wn58vz/GJsi/xH65OUs8XGmJTS6oVd8m gp7my7UU1I6FG1uwzEWj7dbNJBxQW0UX50GJgd87GPdWxUV/bi0ixrBOmZEe618+JpZD33HZ58Mq p2k4uF9GSGDW5jeccLjxyR3xa2jYlIIesYJp3MXDSeqmH7cCSw1p/WadKAZbInxXyrj/1sxsxPR4 Xl44P+6BfZEjmuK07tZc+hH5o/C0qVVh3F7Wi5qJKCrKFAX9WiHcCgC7y9u1QJdyQM5qIrRyR7M9 KLbyxyIOS3DchOU/ofJ9U00h91WVjv9hgKOlZqa41QtuEydaz6aAyYReUH+UoxVJU7A7Pbm0tJGi a0G/+UEM9rUlJQRlrrmtxDUIbIErBMYapJt4cjHngArvudM0x9/4WFz2mBGJnGHnjH1NQkDPZ1so mHNUbbcFvmM9O4cQB6W/UVDmTU0S7jS/pJHabkaVaRJg9+ZuyLYMvjf1Px2Li/imcLrG24CLGq26 N28BgD4egoVIq8dQnkDgwnZxcccOEYhPYMsjF7GHTBDK4gdSB0R/10iBPJmwf7YtmtvdkLDq3qsf avFdT0F3JK35vzkMSgRkLWcXuwVMEv49Hz7fWa5y/T+WKJ6QGBSnxXah2TavdpBwve+LZYGgWAeE pXGwTz/EZ70RzyXGtt/+Qz8i7xOP3lpEM/c6pTlTW8rNKN5fdthUKZzvU5UFbgcuEf52I1r8i1sH K5/AzeJRMsetpBK//ZsyYiQ//8iVRSDa2ka90n/AmS1LwtnQ0Z3qVHZzRKH1+kHIakvu1HjbE080 jvs6yEucEvPVn/oUMuY2za5Fi3bjnQCU9Jm2uJDHJWKyEDl3uK60pOoFO415YAacEAJHDUwakvZY Vewzp3OYOkYAYCAVF77OqZWNcROlfs77ne7jsuuM2dVdAIJ5kgTdKrCvxbOgTrFgFfyL1TUFFjIp OMrTxqwELP7hHsSCQgrD83DkMmuRcsXcWXNSSHotvd87yhqfr1+GSJaAfyyyX+oJlz+uKiAzQgNe s+mYuz5WQOxHf15AjNzQRT2duTOPjEZ5lpyRAAiNP1qyiaY8mshyNB5Eh+050PUbdRnVKR3yUgID O81nbdmVG1lOeKgXy6W6YGeL8u65xttGr3k5rIEjW0jdtQ8zkERA0notERO7rD7aDLilkMb8tAsa O7T417JY9yG3oyWj0kMJV9Uwn2zGiovh7WEDJT3qEKbcIav/eseLIjQ/ArIpAa/TxJ2EHM315NWV kjUEKGZSu2uuJEFIekLGCOc86RiAg0UjD5ZHk5p52e0iZonG9onHniSXyOyHNbkv9It7T5iSNVXN dwsVYjrKzQ2gcd9cqgkvagh+4mUR1zUkIsRuBzl/RCSmboj6pvagB8xA/Fa+vfXO+XwB2JZvxkuC ptkTLlLnrZqXVvCwVpn1pvOXCnyBKh1KgCVIrLlktB8hGQ3CmlpTuHTtXUR09PmzazLRYDKRzvFo ccbtWDMdooiaakwGiNcodykQJgTFMpfs5+0V4H6LS7Xx5yHHpZnRsNuBbj22eIIY2FcMVvdVEKC7 NBWpHvF55NcotvuPKdI12azyMnaBk7GUFDFk1hClL/uSgzMx9WYblYPXRT0IXWAn+4Zn0mkeSyRS aAoPqrxh2xo6lS2V/tBKeGQtI2Zy3qi+GOch8AR+JGnbsxafMkiqHTrPF/oY6IHdJYundMz8OrOA rIn/aUGD+BDXkHW+UjZSpI00+45i4GTeC+qMAhSkdEz2oDfaMCiQbGvwEpp+C4x14zoSH+sc7mgn q7451gFPqaKMKD1n5sgnpm0MwTL+uryh2WjYU2u9tUUszs12j3rZ0282IwFVLt68ak5AvWuz7lE9 shZn0Y7HGkStKrw1kq+g6sxiv0nmTrZ8iKbfjtMZ/Jeahjs7z43bpX/WojvuptUscASniiqfiYyY elRgEl2z/sNYL3jcCxGB71rN77z3NZ3Jaq1ujdc8HoauRx1GJ8R8RwHywe0wm0YnSmQGXFMJX130 SLoYK4wQo2Y7DA1OeqxYnWWymYB+NSnKkH6uq2lN/P5G3TnH8obhR1vgXXtRzr+OEwvypnyra3wI 3TOjL7JxIt4oIlP4QjSTdCendNRgOBNHwtwmfe99Fxl4+AtK4bdXfuNOpugBVwkhWagqn85qhgH9 RdqMEP/yVT3dv9JcSf8FwNn+OYKEdg9Yw+ujHaBl+UY736Qkt73XknJVsSbiKpYmWbee7oIv9UxE f4SVQxQ5l34FqkDnZplIy++1H0jnrjITWL/SMi+ytO+D1mrBTCSi8nAxpGHNSgkNKiaEbSSpKa4i 9DonSZHXA87cmPf/klr6R2ll20/zCwd00X/t0pN6bKkLvUjIf/9gVGuxJ9KxUvS016RuuF/VEGhj md4TNjqqucvnMhBn2xBhUdltinWN7h0LCBhd55AKdu4Ez6v9aTdaTDyEtrEiX3V1+aNH4YAVJxSd rBpfm4TgJr2Vj4PPdPqJ1boi7GQEvknhPLNYMiRDe3ZTLUJBncKUtdcMP0Ql3NrG4lOZ/dqRJQYa pPSaY+v59XtQhvawqNzr/ZAuOXfrm1tG1hKdYe3oyY/dWKFsvFV0mQlloZKrQwNhFiXqhGyBRmwU emQln/z9wp598KvRo9wYFXiUw25FG0sIPM7FgQirKDuLc4z9p8gu5ruLtNMLlWUU+V7LlTvOtWjf gwXaOfhQ7pPynSVyO/W5mFdk3JSgPCaCJpkXrfLBI2BPgoPNsq3tO+rFVTiQhqDz57Hh1c6Tsabs mYQ0ukqf0knG24eautxpZjGN3/D2ydsdZPmXKoBpnu/hMvrYuqxWFSVpvmSE8KF8p+r5OYKvrpwT GiVM6R8CBYXzIaUpjCW7GrAg8jClndNrut0KiIxEI85Bu4LYGkhIIrqqJCBn1MmDQfhRDd71v9Zu TxV+Wl7DDGTcKybUoS4bJshxNrFd0muFICbIDTAOwy8ZJ6k+U8VRXwgTt8Jd+gMUNhR+LOGlV0Np Zerp3P3br4VmNtG8Zm5Ce47QcWUUDdQPfV67RlVF3FMTf6XluUPs8BS5KiAUKehoEDM1EdErcADA n52M/ChYWixDar4VDxASi8kO6fva8kG7hQKDTk6xrBY7V/5OeakVJh+cBdzQ4UrFRBPGGcwVF8Ui H8eqK4ishy9bGnX03rKx1nBNmRrVc4auySEaGi5yj5aTuJzxn1pIcQ0fzYnLXz/DZSKVcMSeTLgD IfFnoyboxhM//RI10MeyhZlmGtjef7awmnCL4qecjkf1SgBzKq3bpup+OCQpcflmx+1uHX39gnWr oB/5CwreXGgbx97KLcrlFofExDKAZGPgkLnDQUnjrcF+kjPhvDgWlz5EaFwmG9pABFWNY7W2PHm+ pqSNByCIfYj+QbCMMqtdwyIVwxeWz+LTingqavGyfXzXS9Ue26chcb4bvhR7XujIuSTo/Wn2c5vV 7VVqe2h84XOsj7vy0Fi9WjMhdPl9KVGRckz44mxWt98gE63FHRpbinyhHiiqVcSrtxrbgydH2bmd KJzyED8QLv6wM5NydEvNTpAXigmi2LJk0pKesos26VXck3EHT8kPUWGiftxLQNt4eHVcQZYDwprv w/plqajnFaqMd59mKMbADlfcuavE/WinGXr3Y2O5Hptm6qDamws8QWtKys3tVQxb13JA7nwYJOVM FIEg/fPT09L9s3RtRuKEbThQOsLU0zB67KMZUux0qT+mDMmtit+u/MZ548EO1Kne1yvGoFgtcqOb d1qfvMqYrFVXFVI5yFnMcRWmbXhNN6GX99FQb3eJD/Dwv6Aqfn4546zFOCzu6aNUSJzxMntQa3en RcChWTMubXVbKVS/OCsjpa78M0JWoeo8KggCy8R0xwwF31NkdewP1HbfvP07Q4Z4AzAedEfLIIBc +2DU+O1wN8WUxRFT32XJrj4NLNH+l8gbZ5mLUwMtTjCMuKVrk1aXy04QVxBdXs30qEw9GcuX7uls nKIkd9bBatBLG2J8Im2l1y+Up5x+5k9YlUHXDs8WpLHrUAQ7K7DYUzjczJ+LhomuIprMQTTZ0zV3 vlsg/t4GGIG1y5qTSf4zTwnsh1Z/mOh9oC/HEA/642gQo3ZOLwFyAbk3F+thOh7oHupLzwYiUJK8 krIXF9+aGyzohmjcEbXDuntrKyx85qHtsud9zp/POWRDeIAl6qJCDbAHLuLWOk7pA33kgHOmjKNy B2zLX/rLD6Gl7dmd9OX4wYGRJitySMdc8hhWKER/pQ4sFh1TJeWkjMk8re5Xl2ATm+xenJyEEA8o 5bGD1+JAg3GDmz6j/ANdFxZp1D/0NIbs2PfNpzs7G9hnfx7VWy836MrR6C+nRzH1xB8B8Ypkg5ti lPIViPwlTEIblhrIXpnfhGbT+sF3uoPlqP1Z0Axv4XAL8Spw/b0BNTV01NnirBN5VJhTjEiKrvpd 32jcYMUc1TT+QJZq3bikbb3ar2+bgNnl+AV3XBXdPwZzjuWEqYxJ1U03pzXbA1INQBffC9UFFjx/ bHNHXqM7nrpw4ZOOsdYtshzl89UB6fC4kkJcVJFuxu9Vp7bEVe/Xpl/+eBHFZ7PfhxZE0paaX/DZ ZJ8t/l86XHZTK+Pupd0cP1rvBW0JSrA4QRovgd2aARPGDcLJ1sR4uF3ncZaC8VFzY1vatVbM0jJX ZzS/BOFWusAiIe5ejb/UD5+ncwGwR8r02NTWfEiVIzx95ZUe+iuPEdN3pIaOS0tVwjLp/+ZwJg6G bdi4ylAA7I4rnZQAt95Seby+QJ4otO8ZCFk0d9ZXRHLUplcsFkRRXyiEeBLVSacfiHjhEKvV5Z7Y IVQOzpQL5cJv+bl4Z+RNhGkDANdWFzD72h2BPhH6Lk/fkHjVdbU3FOD2s8Y3K32yBB/rce3sLYFb 3Qr6gieejAuUC8MB/3EIKoo4YG1qHn6Rv+n6Wm8yCaUUKpBbCaDnKzoLsw9yvZMiMLrO3aXfhpCj ggPDKwwPL7r8bD1goiEG+fVyVxRWgXrk2K2vxV7pO5pCwwe9szyT0xI8BDD2JKEeQZqw8zwtXINv mWw2KHBh0m4LNeUxDIFT3Hzas37fzrf/vqxOij9Y0bfoOVMrhgUjVlj52cMmYsehYIgto19CkKOq IV/ZAuWB33NGM007Umh+nRsHnCSlTUsDxueoMcyfV/axETtcgJQZdJYmRLe06WZxFJs3w1R+QvRN ATksWConj6vkBdzvf4AlZqhL04Cf3Pd6QoDcVIeaDMmhyNpjqrTI88vnLNpbr/JZBXsjIldaD8jH yZla/dG3lMPGGzy2lwupxUuWhcno8/U/i4kvEjod7+I++p9vhZPW72+WsLEZWBxParaj3OECtgfN +AfteB83OZQCcTUB6EjWJhvCbmSJJFYC0ZaR/83i36slXcaAmcK2iHJYrFUybGEB1IJ/xSHq4fa6 HsGQGX+RP2buqKZsCRGLP/lMWEPVF9aSulxtdq47K/6uulqyfSjevp6Pn+u4jppXcSdoaicGJtHx jSMgEdoBjsjhLbLLn1GlXBORWlsjX0dtY+DOZOLmVUHSmqVRb9PgXJ43SaNFn2mzy/bltHALEidt TT/nJwZ0Fo+xNz+APxQ17Ni1jAhudIadAIaEWesG5r4EXUcbCSefjE2L+wH6j7nkJoihRBjMjw/f oSx1mPcSeC5fg0uWuDPvp5qMOL3KH72+ZWXeOxOBxyEltGRuj0vwLB2q8jaohlBgwimSHYvZzITv r4p7zFYFsVcggsbhzfe5mjFcjE1ilCwlxVkgTTxEili022l2ChVIFRQznApoNMM7hdVZANfHwvp+ OREx7kOyyvft2VlKcAyb4TZZha7OPEYcUPZz01QJHF2kTFH165L3T2GTyFNpU9DpTQdWK8P+7jg9 /vEcllLaG/zhvYeBbhpxEXyJBNrXQdNLZPS9gYB9sLdmMawBwFftCvSJZY0n/LfQTzu7hrdmug1s wMrSnnZCYUWmHnLZVLx1LdfdcvCKXBxjKB0Hjag4oOvz4SEf43MKM3tjKyolBg6l9c+sXVo8zoua bTJzJ95uBA7rEmy4c0fTugEjRGnznJ8m6nz+evDTDP1/YdSh674BU6xCJDOpp29X7Ahy6RTOxvr1 /loiEdEAvkVdvyYYOHOLyoHnvCEp6iu8oOkqEbZ2U40V0bjJQ4rVxwQjXvmo8fFsuHQit9puV492 JcTfnl/hPrHF/rbtz5KUjAwmw+rBB5R15I4I/cHDBp/RmP2wLuyzLlrD3XaQgMAkMafmwGhNeyQ4 vRPrggeJS4Vjbf9Zh4yuoHqC9gfi2uj4WyyoRwW6IPeeJLTvGP3JYAaPtg4PI78tbNx1bkcC7k4g y7fGG6cG7b6kJ8frhElDEHxglm12rryDrBH3l79wz/6144uNyJfH2reYFAf4ke3dfgN7rfAvUxCS 6mz+3JayAv4NRkgEg77LTP4rUMjLq28c14ob7xiBhMpVNyGkEuvg8wnJW/qVRJ4w0vo/su2lsffw nkhntclpN3jmnS6/GsTWFuq9cbgGcCmVDzfv4HJVxD7OgGSWkO94PmjU6LVEAMtXXnbg4FD0e2Lt FuF8QDhAvri/Pa3XsACIrv9ZiA+oaygpoZUPoZYi6HPrj3G3Q/T/K3rjHFR75d9zBTb5zL4wd9SH Oau2j19FkDEItTBy/w75CSF3w5qwl7HdXvfA4+MOCxJtQpjEk5nni4rpVnz4WtnwmeY3nnaAJvVO ACroQEgJfZ7q5Qv1Bl+4GFOkEY8g04h4AVy0z+CQbmiCYC3tPZ0KMrFwImtaa7AUz3lUA6qnfx7J sJt6bQAcjwXJeh3rg4tlWpFW9IC1xWiyjzhMRYVzBckpBOWxAF+rnoDocQU7as+CF2IM9JOAy/4X dsh5U2eJlnjHxKK7FG0AnPWpojhZ2uIUAAoHpg38zgvbJmeihVMjhPmQTQwjSlJ/AgTCg/eHqlJx aU3Ch9D/3J84Ydvm9pTQhHKjIO0bheCCw//WlnQAhYPWoxCtiwh5eUzqJ9Pdk+zmUf0jer2PipkP FZzmN4S4OcRLLu6xxyFrXQP6EEQoaY0eeG7UfIDJ1aT+G+g3PWkbtROx+LszfuRQwpEHnHuxfVrE m24SEjknmukYcVAOu+ZPwMpWmgSa5eosKV2vYddxcLr57M2P8/CW3B5Ia0PdpxlegC0wwAIBfuwi s7sgesUoWrceLMju+wHMXV+8fnULztF33LCZORqoFSMJs7h6N7BAQ+BHHy/QWj7KagKjF+y9MotD n5YUOkiGpQQU8TM3oDT8OeQjZ1gJo+O9CMQjRNPeGF4C4MMFkUsIltE72wmvwc+vsas8hyb5poWY FnJqhCvYCILQJZtyg/iA1fERiAi5ASZtUjyBl2vyc1rl1x9JMBUYP+VVXuEXOferpPmjWXN0vFka Ej6lObOoQs6TAiFTUYxtpfxlR+ss7KzSLaE92VxcSEWDpGiIwxED38pxcx+xwD+C4udS6qY6h+wW wH8t0ewQcucPnyFAWnl9ivwuyqT+8w0yEgBX57iDt/mXqyQOgLVH7UYRfWRAKVq4rDS+8kgYS2tv 7AVj7VLdcc2nXJyiT4FjYkNRaZxrzGNtKCiCqFYV401G4XhDwcTO7il+JW+UV0t6dUV9VlApbARG YzlfW1iDjhXYf17fd3TehcKDCXpMG+xEMZvs9H2TCmdA7ahruBvFC1o4hcBByZTFYPudNWinpmsA 8qo2xTd2Tw7LULevgWtdFagpwWfONOF2bWHW44vKyft7z84lPPkKZ0KgBABoAUht+PFuMDIHjrHC RsnuY3xPDU2W5UztUiaFsQHSDFnDw8i4sqlkXQjYw6l5iwiG0cdVr5NASgqUsNXRczZPma+7P22w lILmHAF0bux2dYUxdKaaGtT635fmEJQ/umfRpPjNiXbXL9ORDhwtUnBPfI1IjoUK3DTsfHhMhtvw dZkTkT503y4dZ3KWWNhTnq1mK2xK6sC6mA+Y3vygy8CxQNQ5jH6TbRDGfTMKReL0LpfAH07bMier bskRNzOzv6uZr6bbVx0YMlZr7soY6eS73TIbkhlsvshWg/JUj4+Qu1zcr2jNR0+tzHIMPLZ+sJX7 nLKNGJ7lhFL49YZEO7Jczk0WskcvQSGcnOcDKKiaQUVZgv2LuI9nMAGIbbYHfQma2xidS4e3x6r5 qPzyguzGYj20PxSG4GwLsONMVO8XGv02/eA7g1aJz0o5FImsky29zVO+qdqUm1phyvS5VpHj+SrX AO3vkp2Vw4QNfWICIZbdaekgQASBPAFJLxPWXTwoJ1QiV/sCluLHeW5WoeCCfI9nCCca9wwQLgp1 gQtudvsPDKa3hxjL1fo5z8poSSqnkyktN1UpUoOVDBYZAyp62VcvBPICMrYaX6WjbOA8HatnKVtq 0zRY1ocLd+BqWjBxMtP9plybc6qTNgdvd9LKApO1ZI6P39KcOy1xVqEsvILQygAa0/kZ/QQzDeTl L23f37+CH+G0YemUAKCbOc4dUyWMcSrEMREHnX7wg2v5Lnj6zfcfG/W+D1tiIP+XuZFoK3+6dFaw SxuOi1XwgzKP5bNA41AsK9ESb9UEDqeaVl7Ddt1hiCPxiIjW0e/TxFBk99i8JT6ylGOeOBZMHSZD 56Ggcly6AwKGt7zBRog29FRy+yk2jNvg/VaHwLl/G/Zc8c7BM96W6lZmchZdgD58iqVtCl+oZw8u h+RrWATdkohufFru5m3n2Gn8L8iMLnGjSmseQriahhbb+e0/Ya69rDpPi4zzZLXJ3v58cF41QUh7 7QwMyyWIdDQ2fY+Tlm+aLEVwlZvQDz3i6T2QYZeJAEngfuIp9rIwRVSFft6SuVs1o5DPisITe0+N KXeFwV1b1o4ZazPOP8gCl/YZiYrrg+tQcAunsEzOyxkFrOD6XV/800k/k4a9MNw7cmBEpRxeW71D Ypl6IbxgSEL1uBdzNQjGxO9cyDo1snXEMkx2HFjdN9Hje0RKBfWvk+daM4998/mhg4rceCUYrGwg 2XBBRCpQA3hegW6uWj8YMwvNtjCblwCeI/T8ydN8McHB8h56PFp1iyDeYDPVHcuzL0EDxCLEa2ID R+cEOrbzBfmgU1nMTT8yR21G0zMGgkONUIdcJ/B+vbZs+jK+mUUDxGs0WERc5/hqzYRO3WmgHZno KkbukAzzG+w5S6mF19+fFCA8nkKgztSOUOA0j4iZGSqXzxijaRS8SSmeHsPxqQJe1Zx2447+pw2h E0yiS5Qw0Fx6D2WWoQb9X6ORyiRVxeWeG0C2NBItGZ3zK0G7TJMeBKwqCadjQbAHKMUU9VUsyAj5 kzK+w9V6kd3iCSm2EfDCZAmHlDCmmfFyiNxJeJ0KY7iZvheCmw7DqgkOlvaG6DSeQRQGItt3THcc 1EZeugwQTQqCMQT/jU9IZu2/CfmRbYYar2f8VyX2c89XEgaoV4p0ox4nkCB+7mxy1wzjiNbOQZ9P 7uvoNbBAiloXc9p+zXeHwyZiQQJerTrIs4+ueGy74QdmN9fYpghhdTR3gzIhZG/RsG8BbnLKVI1v wqmkzMNWl7RnZXzAR2+fd+PPM1TMOjeHLxfoTW/73fh4zVTawScMpUoBxy3gqlb41qZAUBo0AJ7W VlGhCPgJ6MhYkuYrWvSUXelXdoFSsHdL83hGAsHY8/Zc04WBWJwILrnSRxaJj8IjGbhhqZz7wWL3 ggOfqOrznPxGaBwOpJJXbEjP07CGDX/P0R7yqG3m/bKiEi1FQXcdrljjo3g6hSWQvp0UBi1cqIbW 1bBEQjYuqGaA65qxhIpwzx+ngg+GaXLA+GOKLGRW24/a/DtxahBZSCJ6EUSkENzFop9Cy//vaVRp Uz/lIR4hXg+boVvQDwPsa8HJlY7M6AnFom2cbq/dq0RoZ/rRRsR9plYh6Zl+mAlHH/+CWqbJxCan mGk/ZXjgrADzoF+/XoikRy/CO9leqSrMHRpqJmA7C7CCzbyZQ2JEzsRixj7N8E1EI2jCasiJe9Jg 14aPE2Q2LB64uGSDfL13WxYB+YtqczfuGbOZMlgKMvI7JVfCNbnUqVMGAYufPsvLWIcUs6qxH7y5 g7F+q26R9m4N8kFZMqa83XX31lkHXpmWDJeIBXV9yT2LEsuvYKPzU1a38cPuxIZk7+jnwrdbXcJH uRBPyOoX6HGeKH/972ep3GQaSpXehjuSsPy6ONJSF7RmzhxD/OSwr33LU4yYQk991o1aKTbn8ihE FMni5pRzbMnsWC5cZGU0T5uLvn4n08L2yC2+YfLfnfiYo60rK2n8TdO+CQMRfmMKchL3tB4c95SA vQDGyj0R/G0XJKDrgbfhwznNK5q+f2DvjdTW/NkrcX4gJjEulaeaMbbAiMxVnnsV9+nkt+TXI844 N3c1L+i5/hhyxrwPxP8YPKOo5qU+t2OclGX26y+b0HaYUl6bw5l6jJY8JoLx9XytnpnUGaViCy9d QrOkaK6E/IZ+VU+HmvzAuKpCm6vWgBYCOEMuX03C75/ZuanBdZZKsvMUjA4T5WjOk052H+KM6J60 A2ox+wMqdzAQgTTaNgwSVjVkEl8pI8RtE5o4jYF8LqtBrh7rGzwFYaQsCHZBANC2dQw3gVFeW/BP Q9cMbIVR6y5F4cMu00z6IoeQ2nuyKGlcIdMRrd/CX5ip0+qbZnT6x+drAeQuD87qc+DU7ynZ0mUs PUeZlZ3QMrNPxhS13VN1p82Jdu/5uLezQFyRUYv6nLgjGf4L40HjPEvTuaRBBGdDCtru6f3hvUaq BKAMtasQOFRvJz76GrW+GZml7wDJcPLLmj/3TTJu7h8gMuC+rNk6SHUln0ls+BgWkaTCfP6nUXlQ T+vgx08CPe7yH74tB9RbBVGRisnsN1CRuvaZHRCg5pZXudamM/Yul9p6eyFbUEBgJq0zQVY1yv0a YZ+MOfjed5GIjQSIikuKvBbtLUevcSLEyu2YjDKjXqymi03MQ5WMwsVSb15bFGNX/NIHl4hL0gKi HqKYkchBlx46u8pTKhdvbJbccnXrp0+X4amgjoTm4KXAWxr45dM83SWoozJ7IjD5LyuCO31MZYED 9iJ7dKj9/tHBgKyqulJmNQBOMoNH23R3Qf3HRzytl/t3lqdtynluTJMdPNk5tWMCRbXGhrTkeRoa Dy+I3FbuK24XkUIw7ftDpci0IsEJ3KZzd4HBoQ2p4WeaypBYGdNg7ADjur5JhUteqKbrv9nKh7tl A9BdP2U3m4mNRCAkh1muHIOZU7XJcHL247VLlRtQHE7mlNe8Ysp1mbXmT0BUZHBKYIxrS/kwypL4 mQ5PutxSdZ5NtNzauHKzXnyYIlr/Gvc+vtJiVk+1ad0cMDCze1TuKv23SsulvjX01KhP0QCb1H+g h37uzirpnyhaGilT5Tag5QPTidpCQhWpHEDGTmIysDvqoMC/jHfFeF7tNtucRKmgKdgNG5PhVftF kqXZtqrQ88Nve3kGMNm/ttlaw/ZG7sNwbNSW1bl12RdhP5dnu00n8Bm79ccXqJdklSzTFxDJwCY6 FyWDK7mV30p0iYt88MA1cWJ/B7OOtJTEDCnMhGMgkpTd5iCN6dPQvuM8R5C3qS2WaTk6tIRjNrfz W+PVUIR56zatsAbxELXOv4Ah9GFKmjFvXZxYLKKUuy9n/Zs3Rp8u6uvkj6C/sjZHIRwBPyU5CKKT EldgAZAixDWS8bCDDEoNChiyZUlEnx57ecQpcpnyWKGjgxl2iiSixBLzMnvXF2Y5d93aFwzYEfbS 0K6zo02A8mlqIT44bPANSr8p1Zmz86RlOGrt7L2cSToNjQGeC4OtijxTuIpbmlMSc4FLj0YG08u8 e/sJl0Zhq9RxBEVZ0idcfHDYh1RWLt0KVlWK0aMclOt8HFGwGatumdFDs16WQxxhTt0+PYNo0ase tIvJT1JbpzMZ9CvM0WyUlh/HAG0WaXjXFCtUdhIf7+O8qAJBFVaYvujSVG/nLirSbf+OonKzVEn7 GHyGDo4ztkLV/2xKUwjhV877FFIrkuk71+GMOEwhZoX4iyhfuulnJ8C7JnRk6A734DIkeTjLo8UQ lcN78xIJkw64zUSLzvq8ALejncerdjWEkNoYmLuvDTDkMDl0tddpXf9Z6hwtmKEDaXpTPB2KAbZP iyVQrwn+2r7sJdGK1yH7JaCljO5/5oKiWrGyE5WmH7eBcBQ2TmrlKC8aIyXOrYe+8PGKhAHUi3WV Zo7m1TqQ+uInUVFIMFPTyds3gKdnkLH+45/nB3n32qsWFc9KEbHFBvpVkBgQX0qqooMgq+uRVdPR CH9+q00qC03s0Rgkg+9BTnOqWCmgFNzmJ8WEdF3brn5gAfG3q2UEjK2Px5Ljf+TGQQSsBE2M1krb fYqS1fNay6sQ6YxN+3JMLh11ibD8n6aZUwHx1iJLUIabPDDWrbE2LbBYmge0mhGj5ZVM6ViOfpP7 46unPoKTG4cyfOfbc6NpZXECVKat26W+hiBF1E+3TaFInajIex4sj/qLHfJYjeIKZpUsIY81gks3 uCQXTU43FRs41OnCCGNGt2Lb3yyW298pEk5nlvnhL3XttyrZlaft0X94rp8wCFQ+mbxF/e9RC/WF rm+yi54OTQ4YfTnFYsb8hM8uNwxb8CUssBWTn+fS1QiPBDnmb0lO+LQ8YkI+tVUQowvtA700Q+es M+obXGIjX/Jbjyj4eh28p8Sa07yNqWFRnYQDIbNK+wFQ5lx6/tVPc+Olq8LDVC3bWjKk2265aHOg b4avYJUGDWAy4Iv0V2hNFFh91OtX75/JITFlNFDCTXPiQqkX0R3P3eD9YTT59s+fE5JSFPrQTVgj WzqteJTlzC+tM/c1U6eK8qAtJw4cgpZ8LjUy8w5cdiCBvdU6fhD+nzH6S6hMFHbf9bDAVNuVkqa7 M55gx6jvlIPG1p+NYToK+jX6hRnsNj1LHOno2mDk8qEtvCvaj5QJGF8S0iTx5U5WMuME8SQEpWzg +OmR5DoQBb4NVEBYcMJ1vNdyxpskbAQDcXhtoOC1DlTWLRcftASJrIN8Oeo6XhxkUI44W/SNeGXy 3iqDnFz1KioNrMsBXFK7VetcThzW/VIk1qHSRvQv41SUplpu6dwBmKVBi6lObP/ZwBphZ1CzJwui h1RQnom23N2MEUU8mbqp63K/YKS/u5aUB2P6WdbieLBcRndSGibRFzO17Yp7iGBsiWNEIvozz+zR 9+vByW9KeCnJ2dkeLZzAJEpW7lDsiHcRikLbjjPB7uYYaKsWX3Vi4rAyz41l7QH4llcUJ6Qf56mW sKYnIRzvSr2mLT10iaLut6Ep8VrXENr9wtjBxRotXXl5Nevuw0llbGcFat6VHg5nRGGnko16oNgn MNOUy8Dr4ZQVs6gqlcBYX7tmF9q/9EPvB0rM593mcP/XYT2ZNlNCR1qF/ZSMD4wtoS9H6nxs8Xwo DLN4UeiNs3FKT1ktkxXIwXIRZ66yS5DWltTApQoUfuxeQQu//pyGpU20uqz4pONM+xG84RPdWMXT Fk0OAPauTzF7q8RrGALxd4qxZ2j/2G1QYjZXzNVy9bKpqyIBBgNnWaNnL3kw3a7Q1osPRyo7bEBI WJbCBjLb5Mll5eqyPY1prFuI4XvRJo7GlJwlAxMOgzlzcbTai0wIE1/79hP4rsU7ofZHBrzAYfk8 waDG22xNo4ST961A7nsswY+fAKe/QeZ000vAVB9MbO6m801baUUVpeqGFTPIWuOsoY/VBwmK7Jsk OcExo9JZUraQR9yJGnd2F911Exbkfr7QrbfPXTZm2rXfpZKi59VJz6aG5LfsY/HlvvSzhVs38OVp biexpw6vWspX861YrBB0JbxgBPOjwwvGKj1M6Hd0FRT7tIW64N49qGQTHZrLr8OPDSsKKXuTMSsy zhI8gI/ri6njoNiKWxNjtH4IzZmCLiO/8BEeNKrvI0sbtPjMx/4rfdH1TzzBZyUETGnhLbhS19qw DkW89rwfRDpH1D1U0MvZewfCenoUOe9QJT9CF10gS8PomnF5J5kU2o9Xia9kao3NBC94N2jp3RGf 4mVp3kf3iHe8fv5jGXBiLkSBHPziNBmUKk4mY33UVjO53kYg7dmv/5HtEduKRRjt1PhhZd8V9/ys fsRlj3fKlo5MFH2hpeVbKkl/LKCFK3Cc3vz4FX6/Muxt7cVsa02lqBJ7NO3WmPkJuEOMGES1lpDJ tysPjP4uY+0ScNnJzv8VizKE7kmUY4wbsDV+zUBapEfFr/bHbUWFw8vekpa0AUSgI60sGSjMgNzU ODjTcJ4dOH7T2nY9HZHarILdwkpA5QpoVewg5Ry2lW3QDs9+GBJWfsJF//BqRi7IV70BzvXClCqL bAY9ngAD6kEHU4XqzTpREKehChbZJ7qlmAqCxXWlzS/ZaCIJbH6L1+nu9Z6p1PuF37H6kaJjQUmW ujVnNrIVrSxTo+PkXHIHSxIPFxhYW982RiSjcejrK1t5+HnI7cd8VmPXXp0WRnXLyApKJPvNaXXd VY1OLFyuKO7yuVdZdXNjO4qSEEEPKxIaDjrlrcT/ziKLU/tfEMVyDoOzZA44TSsQe35Q6thsR7ZR EVRkBZUpp3PkLztiiGjGxqwwQqRiBLfqu4HdVkHj1Its72WdUT0yA88aHw94OqTwEJ1Ty0YV2nqG 8P03tHlIHmFGrlh+IvxDiHVZgrNfZOdZ9PWiC7CpborGLjsqoS7yYqHnTsThVSd1bFe3wOgZ6VcR vdCRGjGBcpEbclFmWs0xCyg+ppmerRxD+nYuF2lOyGuvvVq0RPC7HcSps0iNznHNgdJQTNsg6Vga YmMyJa0iCxjnQKJBQFo/DjyCRfswfWVW+k8kViN5cTLfqIXgvN0h7yMelWcUrgKPMXWpNZN4bEZY TI0OGAXKp4Qv+3DCzFVr5Bws2BQZL4XhJ7p39MiupL4EP3yoirSc+D7bUfwbW33c0jcvuEL/Fky3 dImJKLyoTyxwWALSq77P9dL7lqcJ2HmlDK0etCvykw680zSC2TVWc98YCbS5YyG7n1dZHHshNq0o w6GTNtXdgeKtiCHpBzqi0uS2+fmE7GJqOaWkqH+s4Xk1tSuSAc9sWnzwFvhc91jnbeIW3B8EmSNK Y5Uq2GxfQraVqZaio/FZVBlFHZU7CzPmx6E/jTW2nkM1LCgMKY4BSnjBeMH2EbhADHncLhV24Mc2 j5/qXXNZrw9eJBXUzVEhfs5/vJ0OxhuEj3iYCKL65M5u6ciNiQS84V1g/En6lcG7lwMZb+xjgXTG 5UkARupc9yeAEfli6lyYfjs9sFGROQRZ4PdapQc9zHc2hJ0Z8A9ff8A524+KdSBi65VQgDW9POnF 78lgH8kfbZdaco+kXwbYUrny1VAg5YasXU9J+XYSCfJdqj2EGUlYaBzEFuXdKXi4C0WmgqjVdKJj 01a8SChuVSgKWK2I+ZdCAccD/+XyT/VCGoQv8xLer0IdKvthyyDeQYkZ3oaL/xubNjhe3r7blysK lGvhTfGjYlSBkEg+x55qf3zHbF200dspRlPB0W5l0t2yjETQcSrHRFXMtAd+7aHAQ1amtPzkj65E 3ZVb3war/Hz0eNXgVetpeXIIhyO1fduqSNdrto0anISOj5IeJuao9uhfmp1KhvifnisO8Y2drwbX WxASUwB5OM5yFPNQY2Y2mFRWgLR3/W6tlUWminX8UvK5AMJTpYQqMP+SsU78PGRCCJFOhwjq7dDW NAeCb+Cse5Sb0etZx2okv5wS1Vd9e2qRzmJHEqtNHGHBCQa9M/vZAZnIjtE8dnfQasUeo3ajd0+B q0325Oj8z2+SSQfxQLEsyzLeryxfpK968OyQj69AwfMqaHJn6eulH+gfEmt9YwEU5p42hkm8Zrvt 4zcuO/F3adXQWoOE9A7MfqcpDJkCaDxzBEGEeI539Guf7QiruVj31Yd7mjvZ8slGE4GCa8cYZFfG eEuqywXr+qcvNP5PnAdhi1hHIe0AORn2EAsltw1ek/4G1Od1Qp1K36ZB4Ub7naX7v00XUZm4sI++ 71zB06Ig2bF68eoLBRZxenJcQOtHpJ2Vs7hyNRRbCzKFA0RnRDd10MtJUzb+tOEuIhhCSHQHxJJ6 1b1MoGJsnZ1vXywjyRDVsRzq/+YOoMS0G1+OSUfxHYV2CFLzgO/GMoJop89oqC29cOregLiYrOOW OXf3fw+cqos+6kOGGal59WpLZBDhMcRvFTuXLpdzqlkR/k1OL2629VM6zs1xjUI7qkCR6VxE0Pr9 BmO/1n63wjHpm6Qxmlrb5OrwaGbsh3rrLnlVkYLhhJ1PpX4IBhQY5kcChpGo7WOw079m0yc3TM6Y E7KGQQgX4l28nYKo6MXVVmRSH42YLivfOXoBaOD6MlLJS7Ftd6e9Ul8xQp9chbHYePgTgoIVpFKs dOiaoMwBjRbfcvhwtBpF58Hhx3qNbn6WbuvlIj9gKD2t2l8JMZo2tfpO0R12GuaDfKJlOGZlOLO7 UXx61WHk2PaoHZjlsCB0WvAOtnMQs2sV/xEkPuN6s2J8G1EH9rv9TCMSSlXQvK0eL2YpybqUTAnQ C2gPcNOVRWCalJ9QlJ2XTV9luDMrryfmU6MHv4rBh/m6pe5MVlrMqldx7Zov+bRjtifELP9SKViX WsnFPWt4qIp59A5V01Omw7zu20r+TcNgAIIngeFgk21XNYbqR6DTz7r8ChQGBtARn61q8M1+N9lq 2ekcE4qt0yexfIOG1sBTZT9mpCk8ruabfTen54qfhpy5o0wOl8Ruk1XsIeHYyK7hwVh5uD37/bQP 5/IQjDcSwELfoxw61iOAPn32GT/ibB18SCjoGcsGawP+CNVAgag8OO/GgjFAM10OgKe7+hIKQHQ/ gKmnViId7WOeoy+XI25QICwRzMMjreqcACi2TbKcFEDtwil23JWMvp2mCEfTtiYHcT+lijttP34J apqZVZSXATPP6tIKtSOvdqsobbpX+wwchAXeS6YuoiBNjethMBKgmX1mpiVhTCpnlwWO79V7NcsF DNZFGNba1yuK/fjC2zqv9rU6dm2ioOe0RmVaFOC8+RmRIRyzi8lCHhbtivUyuBFX0ZGoOWAcGBQn m/YSw3sWTrs2vVfZp/GOIZHNTN5QM/Elsp1sjSSG1HG/PhtSppt/FhZdrDIebznMTLnllY1j/cqP t2G8TT5rSzBTcCeA0ZU36VWzYUl9gBHaSoTz26ESs5u3vMsup8JhtnZo9KI15ob1EdgKST3e5s65 83jTPH/iaJTAyGtYs0e/RpoSGgnG7I+J911ozJQW7CJ5Yh7jw1UBqAflTegOdMRl7KJ59Fn3EvcU 6vDjO7G/hF8jRhDSiZRr0Oys+HNnOTTMFuH+vJmIhtZq2/4nUPrnvvckIc8rFEfiDC4iVXjNJYgW 58xOlLZ/IwyybPh0nCwmsgsMlnzW7gh1iuf7G3CfhWiXtgKksZrNE/m0KHUu7DEfeeeBk5BDrEt/ fcEXqbz4PDkalU3WRh/+b79dwZmzI2vrCvkr3o7CgJtt11+QCuha965hnS9bm02J/BVQULBsdm3/ Fid99VUon5hCfcOu3YYPhyG5E1uYFMJD8J+ANp8N1h/W9p5IuvqJthmfHWAXn1YvGYkcIlvB6GB+ o58uNm/qSq2gJsqGqBqIcypRax4X0u8pSbNATM++jTxO42yha3ovvjwg/WxvH1WbsSf1VmhxoULC D61RtNKQOOnulPbkyvxgA33dgdXfZ3WCjtD6rkrXM6CBfwIZ8f+WXuIFPPhzFJduT6lwkXPdIT1e EUHNvVHUv1dRvivm8D2n6LeNTAQJtm3+Ctjoa7MLUi63ZSw0RyiLVOTTBNUocCSctxtNRWB2ec9X SfB5vzbKYr84Z8ERDvaYEGHGam5VCKZd+4Fkh1EeLJHOEyn1dZ5jbB213fUH6grGqH1tJnC4mcFl 79u+PiEiMxD/nwi4Jfih0n9YsPCnCMJHjYKIfWBjnyyW9W4g1pUF1znvCqa/Ng97tbVJzf7Q0kR7 4aWig/2Y0wDbt84v5poVbnmLDJqNHifT5XENfkQZe7B1QC4h+B1h+Y67P8WI+mkneJvir3Nyh9tF giUaab1Iw+5Kx5FmUBgOEeKSOiMIib42Zaj9ctgUiXkVIS7Aiu0UMQ3/VkxwasH/wIyEgR0YlbbN b7yJXZDtKtv0YLRLWUNl0styqllaYgBrNUd/6K4KijGX5gyuCgk2RYERldP/IcpeAVgEzSak9IPj Ow2kjytlcjFUbODEqN1ZKEXoYXsyqhFKF+OuvOOJG8N0hXj2hP5bsGSwB/j401Q3cLbk0m02+WHp yWwJQGg4Kp6j2b0l0MuXc2icgTL+dOeLITG1HMZE2jrAe7OgYWHVDi6JMgSIVKPLrGWujjJWaLwB vPy9a+wNMRRo5XDpQQGJLoU3YvvwZYPZtx5wOO33MYzdi+/8GyfsMeAoXJ4X8nok+OhmJcBZQyuI YEQ3w9vpN1Jl6DCeJdNYOFVoRw5ou1pSXGQylzADMdzW8kKdITMcwlJP8YAshwWVL7T7IKK4KL5E 3PtnimDzmIu/r01VcSNENH/ikPRxts96Ubl3RVALs4Rq2CTXWGpBkHvq4J/9uYcUf1KeLukzGYsA oeXdtjVHMchvQkd5RaB+O5qZgfiR/hkR6L/vLir2l7UXAAZhHbq15UPFmktlaFEaZoRx/I9NaBfo 8GrCSqNerIhGuNKDaneQPIV/g/zSaXVFip0MMyYvqKC7jmMVvs2myPIMOUInkmEVf5QpOf2YLHv9 ohqp4VHDb6aWfKB6pwzP1z3puZ15swn+mhRyAYgyaGzmGomdfSpbIWR2Eepu9KwOsFefQ+KFW0Wb /tzN1xTwX19zVrNx+8hVqeKTrQ94i5h7qlgpvmyx9nW3Kwwyz7ANB30fkoTBJCjVnbkrGaQP7ek4 3btXNNd3U5VL9zA1WBeD4sDfCkTebWHCKigfONCdbZvJxGxrsPhb3z57FmbUFZseqjKE56D52D5T U0dm6NBHrn/7JBG4L+/vyJRIZK96fhiRbXl7oqBV0px0hPAp8AnSsvAL/s3ibIbwzOpqB6+YrQQF b1DnLInxSZtGQ33P8+BeybaF3FoQlDj8UOyaUqeRtZpqN5qcB+EMMNHBNNygijLZSXCXna9MtS+C taY7pKJeYFqvlyBJVmjEJf9QCzeuvzf6sutqPjTTyQkLgsPCp4ErMFvnwT1iU1Z2m4df6udwTuug oHRrtYhhH3OCoPjsJixttAMSWJV1fJvH9++A8I+nyC0d+zikr4TBDP/GL246RE2RztIW3pQ/Op7W 6h9FfaAelQZxE2vWOkhTGavI3KByZx2AWOlIy9U7hPu0q63VYza4brvp3HKzy8PNNtc8YI5rKFeF SN0b0XSo8nlripBrdQ9vA4EfrElTwuaplJpTSIW+LQpnd5mm2/eDAGZ7BZLXk5PKjJp9e5ZPPe+Z 4KDWREu+maqVFVax4v/j9kCya4iEoDJMORbW/PWxQvvSOsCiQ4EvLvm1z1noFOGWkEbeZsT0qSYQ Bit59E5X3baV0C69UlxjsRpQfU6bdE77sqVJZjz7sXVxTNSvdJjmeSp+iWdBHS1oSClWPsZlHiKa 5ND0aSgkD5KMnDcbMpBjA7zpgrY0J/E1/IcMLdGZZXeoUK8nJQOVfs/0UzzkXjTeu3Ba0YUNegVK uNEzF//vGJxW1CsLfhNRsqIahIsyp1uANVl0VtJONmnvNm+bUU9XQqSr7NRsecWgio0c34ap/nSO aaZxai+Vaa/ENRNLD8qVHT69hRNjcg5DVsQneiRD/hyjj2wgl5hgXo630f+hTBFXzljHlW7qBc8Y egt+fUx7gDI9eUeCMmHz9ePD3IIShv9KGbzmmmd05vsycDu2K8B1VFlJhdaXq2/H+TgEoWtT9sFB 0tSwMGZXtXQjYXSwsPziA5SoLekn+HY25N6o7sD0w9dlQ6o9FDsz4101idbAzKToMIhpoAt797wO XJURZytjUOfwVIBnWe9wO6BdYMbYr4go0uZXxkAgSzoMciuO2Nryn3wyUDGpRBgco4vTlbAbHJUS 4DEAqAz9OsPBhozDdNrkg2adbrV3XHhXQ+YuQdmHAZp5gEmRrrMunlZUzmxYZi8OyLuLwEpSJCVd WlotcGvIL4Xiepen79Czb7mDK2y12zpZHwQ2jeyx3L2YiuT4KaFDwgtNMNEi7mO7hCbBPdXitMCI wfy0/A6512KyNrUZlIpGsgOFqF5brb+PQHEpNmQgnWqw0gwN3kb42rMvQHk0soh9W4rHmcSU1R1g QRU5GLPUY3SZ01gc+TL/is9nwFnvKpTXVPkGCgJPy/aNZOQ61262Al8OL6UZhZYGC9kgAtoNkUga UQKInEJm8uvPiDDtOcMRtOK6DFrjpKhO1Wm1JjFRDgAQB0kRMqV69ep2sCa84ZvfDmhGm9I6ra0a 8HyEgs7UXm8RPKzK+Z9EufjF/YDYmdb0jLKl5fH3EUXwBvYAKSZdRlFQvfaUXsxrZyR+Z/NSau3R tpdmngH2FWxruyklvBhOPo6sgP18z1pIhirMoGYrs0dlCkOdOO08T6jbg0SXFLl02h+Z4dQ0yszy x3L2ZHhHoZXlFZBofe511CspakOAiCL2KgcDXtoLQipGAAbf2feWJfeIPR5iF34/yZmuVbO1AlCr 7Zcs+Pb396RLeRWdGhPYktG7IVFBESSw1//QimStpxI4UufSwH7YZ/XvfudgefsRAIkFbfw58ID5 habBwbQFINuT8x95XCVMZxpZSj0uurbCJR3u4gmkbLetWyF+q8TyvrcIPgByNsEzb0R97Ltee3OD nYzu+w44Vngw3DLpRmBpG1IvZzxPZ2JmudIlJvxNDvgtjC8F08064i5+mHITsrxjdbVuYNGImTiO Q1hVtfAsKxIKUsCDOeLvZQHFk/h/Fu/akY2YNBFBosknQgcXNbeaLQjTuGx4CsAC9e3LvKGeKXEv mCeUpaMPzQ2GOWp2fv3/wwkvOAp/3XGlvyL0I5cxaB/C/j4YFAk7jNfnwG0rEZ7u/3cN7ps1OMTa PWpqvZkDYfTPaLMMpJfn6uvdl45RWvwRU5SPJpuC7c7dYIXSBkDO9tuwDxDPl/1AOSGa4JOdWZv0 7eqvWfyuEkKjTvMrTRr3OWGOALEsqTbJXLHtb9HLK8V/CbYW2dvsDvCq/evUG1u/v8DsWFhmllVL v0xoqjjtIpNtNmh9wc2xx2ypPeOFcKt+qFXaSpFIyPJV8nw/efruj+filQuHtDfg+gItoKFFSeu6 BK0Lq6IdjVhuGp9gQrWwp1v7v7AOzH8D+VEtoV1aOU9c1Lo8CP2UHpCatqM8VCCeBBr62WriWmX6 zQHVBC7ynohkr1j9kR7DTApuiI0B5L1DOVhebeSGroFOwMnfDiuvWjE/zTmllgM35ik0a1abEmtD NftRvrzA1zzUfQTlnvHgveHITldEhMuJOwHFRLLg1IfFyLFfxdvspo/D29z9e7edMk/rqmp+JFvO VUZMqSbZNeHERAndwcqEggUHogHhtaV2+LHz2QKE/mqPqhquF95A7pf2ZqpYXEHe+w+OBHdKO4Vc k6udu+I1ksC+E7+OAuOTyxPJXGDfboKh9zvpukayPxkwESQKXJffO7SvJXK42BnzLB2TiORQRzun UOlVrkpD3hd0qoJb6IsWKBOtwKlEb7cUOa66j9LERt1ulEOqqtilBW1GrRCA+CYjhsgf1Z0yowCU l0oN9H8QPnAYHfCtTDmxM9oCpCPnZ7MelAW54JaSBhlsLSVNszTnQP0VugbEd2l7EVZTvoA8Vdd+ nETe8a313RSvVfRXbseL8cWnvqIJNodFBc1DVeC2u/Og7/AgpXigMJnOTUOCrj5gIdKeRKB7w4WA fPtyBNiFZ7w5NtTehWq65W/wqOUURVmIjWDL3viXmnaQWlTlvJnf4AY2qi8VtPa4h4CDnQX05Zel HiMHarefENoQ8acB2qKLJNmQgQ1aBzTCXcxioCR13Z5BGgQ9Nl2pjpF1RpbJAX7k+r2nCMkZkzzf aQBUzmUSXyJ4hrhEiWBxdzmyxunya3rJQc8hs8guFMiL7m7V2Byrv+SrNtiCyKLsX5xP1n2DzrtR RqJ5Q48yavetH3orovZNrTPPl/KTGuKHm1Sz6jzfvVc0qlUMEq2jLO/awirqQSRtGMBbFQ7B4wPk SHnbvKY19yjpSRGIfVvA7/raLmc9OWYtOuSqTZlwsNZiFU9EfqanWjoETuwWPJJMy47WMwY6bMMV M5qgdt8fPrQEGTpADeA1al3F9x5lEczPta6B8XngThletwr4Vq+hRzYj+evC8UNS7kPbfFDA+BJT 2AdDKa7jl1/+aZ27o324SMmz3g7q9lL3D40e6lYXMXq4GG25sFyff7Od6YF7pRChjonZCIap+oW5 KEcZGJ2qOKS0G9rzBv3x2aVX7qgzrO93CWwihLC5nB0o6mXKKodXtRpkhOi2ZshBuWYYS6lcr+Nf qcrONA7SpnVY0Lg8YT+xrAgZPGHgxVgl7jm+mFFaWd4RH3TDS12hEpbjI1aasv3C/6+9+hkbwa4V k3oZn4+YgelkriUEOCR1WvaN28Jt2bGSXCBulrl/cC1VI/Mfoj6cUlCjYE3BIfuFAvoW5WgNmTp2 Cyn/QcrPkZvA2HOZr+US2NJ15+wMc65eRynfa5zhmgQp5sLn9vNas1Yu75qZ26AOSbUIHie4ChUQ ew2XlW/+owi0Jk9QWjDjSNgwNl5YZU6WXuvO8liA8QCiatowFWAfdNoQALNaL4bO6/0fMch5mNck NqKKR5Gg9AR4Kr5eLD5kDTRGMEiwGRL3U6OrtS1dqMwyt+RUqIe+A/GyGFsdTo9szVFGfqBbHn+3 OmSsw3/OG7O8BFC18wd1NvIOvT4WwrBOKf0zdIvWfVW4c4foa8WPW5RWGLKPplgaprzp87AW/Q6D i5TOhMX9YwzkI+f9BcWlZ4bdvLfl41Cxp5FIVvIHp01O+6S8T/TlCHfiibU7GX8hiUvJ6C2MvU4M l4Xbo0euhKN9YjFNXnjyvOII3Ws3wz31ReLlb0vFdnM7QH/pkbn+zm6nq4LAvazi4kjYihU7Pg7h 8/PNybuyPkjY+JKA4tItE18VMgKVIo39+f177MUaT3Q18PDGNPoFK9hyrFdPXrU9W5Cl2anHeU2/ edkH+xQgs5VGEGxQL1HExyXVsxYYOLX+YYTcrTHBFLp+YuP/yHH0onZqgi7GS9sJNlnrUmJbZUQL RB1Rs81wvpKOWuoq2mjGxYarvsuMUdguSniB2RuBUIfJzwjLOZmb126GWQQ97mL/RWCqR4RcwMj0 Ieo+ba6zcqkiiiMyfGvWuvi6LFEPiuXbuGoGBdaqsljLCTn9lOoBCLDuINtxHW1RX4D8QK1kycZy Ca5wbtnRyHvuBEElaWoP0E3gzByWHRDu3LLf5PoQ8c3aSuofMT3BliIeSLct8ojfceVEAUUq1uw1 MTmymUJYiWPOVdpUiZc1zTjZX7RgZgXv831O+hURq/kAzVP2S4HIDMh015ZPxO7YKcqWZnQK2YUZ K4AWyU6uUUf0jad5+4FZ/JwzC7dhfq/wZnrh+kH1DxDZOeYHjSikV7Tup9cyYzXakFPBtghEq/Tj SVXhlG1tgMZnAmeRUOVvQI/06phN9eoJWZv0BwmuDTWCR+ocmjSPEJkxWpUOQxpcSh30qLdV6juO pSiZ8xvxVqiA8ph2SshtMll1zYdLMqRS7c28HB2IcqeYsLDBfw8UzvULBnjsv3uQT9RU3oIWYkVL L0ukRLxSvVG9fs2tpdMlKfsl35gyrXoWeHAQd5pCrgERJqM1FIy7hx1lSOd2qGFRhVf3nXFoF9tE RrzfWYYWK6BboPvij4YixK2g9pBZTiBDmNUTOkbx3qLMSiQWE0Lgjjrn616BqhFDKhtOgxVxthXz y6CQhc0+i/e62JR2Oyiu1YYvGweovkyn7T5FWxFLdjWIzAgQJzmS6LNNkLCS4tTTl/4+kXnAU+8X mqnjL96UeQB1D0DR02ngHxstsxg/CAeYRILgPC6bEbgBBDQKnbzqH6u7XqkX8nutloeo2ADlHeI9 uzTXPYzGjTR4g+EjteOh4E+Om8VaU/OBbhXQ+re3xsNvJUzA860Bhx2goKYYkmdM/MwSsjkTRMIN 96bih4hAayAqLva11UxFegQ+7xhKqvV3HB2eFf3qozuZfuIRtgTBzhqN6PsplI09syCIs+/4VYXQ foGowB44ciyWTTU5hbGNazqGCxYWzYqkR22mIEy34NnStqJx5/Lfk644kSOM4M32/KklCUlb0TNN 3//9vmxxHXLj4OXn6bCfGFfhezZhRTvxwrJrTAhhs/09DkhNjo5F/oSjJlivsLS+yU7b1LZWTrqL v2cjkKNX1pR3PLKJH7eL0byn1D+LHer52CUAqSjjBdMN+9B4RRmZplw6oAk52dqSx0kCgPhSGZrz XSICdJ7KtCmONrmI/7EGmQrgk14A6qAaVLacMibaJIO+9q+Xgr+FFIJZeMTe8cpzDHz89eN0Msch UDnBq6+frGY9KbbgjtVKycBQiOPANv5IiMCh2VG/UhXcd4Fz4lLoNX1m2m+raPEnkBGBED129ppz Ud/v3I9cv7krJO+9Qw+4uscevxbYFpDawcJPCDtHwKKxTZ5yCc3MxXaBILYQTDFO3QbKo56lrx/t vQ9xLRuX61J3kGEYihL4tpUvdNFRVDilik5lChDSxvHZxthjad9utzJ4MZcG7+6uscNf4ajqMNKg 7McgIRyRELVylfRQhGdrD6tKx+I7oHHWa0GxwfmQ6qZK0zVAdHg2Q7ANpaE/mEcYzQf22tcbC7sj X4gtnqEc7GyvB5d+5855hFs7VfMwG8A5zRUSDEgmKj7tABi+Rzms6JknlHZy4c8b05DpCOurUJ2X yTuBC+aKHLU8kygny+YoDxxqILu/BHr4XwCU7dEPDVydoJMIWPxlfcC526pli2GWAFiDlngHohWg to1GrFD63em1/W9Zf7Ml0ri9w+zWwfFcf/298lidPwekQBr4xJoBP1vOmHVypt5/pbfmDlpDsISB ozbWx8t6k6IeqECoScmHNP3OV3RSpUlyyeCmyap4ZCAygEjiUxEjFHGqbaGYFEo40pz/BdSzicth Zoucb5Tu41UNExOxGs+HLf4nk6/Cs/v1Q02MIn+zgGtkUjSRDbtgNyElaG159G8LXpZSKa1lEGvG L5rRoxXN8C2PVJUKBFJg0+Z4T1AANJq7SttN9UxXAOvXrnJ0M+/LPh/0ILf+Py0QnK6jRMIqsdf0 qWKuMQLWOgjLOfq+plh+NU5AAnvq7qbfaEFY+fNaZMmTcrw1Rlb/T8qlDFBibQxMSjP4DDXNwgBC qWjXc+7yD7h3ZIBsvyUgyDnfLyd4U1MmTF5TSW7cWvd09s4cb46QymCb6jyrXxJmvl7mZYB8TDzU 6F7bg38di+H/UUY4F7e/uQGKAjCg9/ljhCQphqXLNhjZSnZyhPabgGOCcWi1V/AdVoPFZd9Jx66N d1S/W+PyxgeiJkSwOiC5XONSrVYlVZ48frZQLxDqmf2zD3uaHoncVL1ns7Ma3xGaEmZrZL34O3NB Ur4rcT7BwlpHaqN2NdujmIfr1RAnNZDfvsVdcUeXze4EeGZdvQDqRDrnhCg0LK7pWSzhPaF5FGDG kcgmRWOTSEHt+cUIWmSCo8tCR6mWwrzfbUCFwkth2CWrGARcOQbqtnRST8NScPZ2ZH+1pmiPb2U2 vFlp1/byCoQhEMgXvsnPQNSURiJpTc4Tri4G5DymopWKmlbcTgeeWA3aHBmA32lkUlVMcQm3F7jD yJeAK0FTY90aiQU5HpamAOTaSijs8GT3cWrTihRgcSXlBaQMaGDUOaa3YjnJgUE5uyRm4oKbiDdR Lwsh+h2h52azp/aEw7h2h4darMG5AoynVCdUahhNUVB+mMc5z2nFKO3Ah00ciF51ZN3BBJ0sgagK tYiO3ZJNW3KQ/lsJv4eh7ab9OwYlHST//8paCqW19xUUPya/KI0Q9UDpT1fb0F0kO0rnFMi3S6G4 a/5JoIzP9ZBYLKkTiGPzxGZZPvADZrwKGZUNztfqUBRCdAPxHZLGlCMt9/bEStk4VrkapfBLyjH/ GEjLaGcBnrhc2K7QzCcXcCSkEcs92PLlvEjPkAHONKQzJU5QBO26eGLaMWjjwjBbOgGCqswtXJg9 lgvJNAYg7m58ZIGTiSNBFeIt9wBc0eErNNTfLm2uC2sLovNZzBCSj1rCn0ti6b5fLed68Csu6LRB BUfR8/eZGaP92m3kVSoVPFhFbOXPxUHujZ/LRT8ZMVnZ1nKdNNqnebM0bwfzU0Zh8FT8D8K6Hgox 32RDKtQtgA6imanS09mvAvJK5f2Ud7zmaOwZ0giP/AlhYB/DnjZpG1Ad44AZNg0OfcV8k2IaiUDq AFY1OuLHULeucSBtSo3j36qgFe65N440+qlRykEzHbpSoujYCPVz30n/j8Oyz7cePAcFzZhblVTJ LxYzYBVKt+6FEtjFpUqzqqSOvClDN1phcIUaFdJ/jHl+3U/VlnakTRvdHWLUGlJjy/vJvviC6+wp iXZvvaoKKkK/ywbkjWqOgHrAEvYq6DDcqXgHQIIyyjQZbGTVhVKW/g30QoRYd70xOSNNunJxUYSS l1REw4eakPocKxUUw9IoJQ6rHzmo399cEkPOmuN2TKubvDCNcnLisxWNtHMvSp75pPqs5jFrXiTW kMpRlHH+RGJpzKXSt8UHMtekKrJlwx36iWWoRRC+Nrdrp1NxYGBfAaiCds80vdiRBPoZG8xLx1OY yCV7wC9A+ocLfJpGQOiZ9OoxrM4+LlbGa8bOiucNpCVzzX7ulrwtbqXgCsn2jQmIxnSyx0FFWkZb D8WL2f/sa5eHUr3IoipImOqr1xfFcaQ41pdy63toak79UtWZC5lJpYhNdR6rADfi1S5tjNDXZZ8h 877p8nWzJ0uWh0PuObdbtX9meBGdZVCpy0jEt2tPt58kGap9kFKOgEsmAr9KfAP0jh0pjqg4Gi3k M5IT0DqNQXgbpn+mK5huDDgBIU+OlCAPwewqnCuaBd+3XbdL8u6tRX7wy0hGJ/9MgG54matUiAlo 0Pb1fFTHrSosJ34KcgisIaCkpi1ZNl2KLYyvUgs3jSfoUGbYfVFl5KoGlWu2MlgM5G3+He+gFMjT 1iBJYBA6t8gP+lpSf9SRA+uIlcYI6MoKtc4UmsSdZ0uhHLxfWhwPg6TTipgHjDKsaT7TpGt0hSPN lT3ZA5hBpXLSFZwAK7gF6ohKtWQj2eMbb8U11sRe3DFAVeP7zb2/FbCwtM5YlDH50XN1N1Hr5/Jo tBu2iG1m3GGIhs4rCSEVoLMb3Z7WqNQh50fnn0r+4VpFhv/tWGA9Gq+WhQ0QA5vj4mpxLfib5bjS A0+WzATEh3snzqoaunjqFNneBaMJmMq5R+qZIK0D7dWbgDzm02GA6Q/MozLyAW2TVWHz0DFa7GmO Ullt3ngkNlfHpDYVc6NG5GrYOul/uf7+u8KUboB7m9paStueiu/xhBeIdhjh1bu8wufQqnD8Bqiu YXQXux5QSdrzBOSZ9O9BsPucMACnu+eT3/h5pKW5ThhIkaIRoJ26xsKzcuXH8zguuDQqxlwayOcV kFyqmPIFd2jGtJS9wT4mNM99dBecSrFBHPCdFqQ5OmQri8q+2TRkUI+ayb/9/SRWpWpw+r0zY8si kO97S3cJMtNYoePjF2ietA3p7CnrFMd05B9YuwfS5f5Hb9id4TfJGmFTUNm1Q+y++poc7BuorNnx SJaiPD8bND9CUHxJJniK4djSYHy6N0Zt/cP5woI9tyUTb1mDh5XSrHiJqtSWqvizVnSoc4NEDjaR cJx2cTKVc3GoYYI4J5UN8qK64DB9AzkARbX3YrvgAwJxrHTwAAQCRxJcjlYV5IgBAccjVgmVCLqf YiHbiVJZWH0tt+n4Su72S40vgjXNPL4ryw2sAWaShL3gEf9o6Hcl2jYJ6hqdCRs9Cl5SN+Dw8ShH pdUwDNSvo9MD+jDuEgntEeHaYM976SNh3hNLY9IuX9IeYVSYVmMkvqxjMcZex6KB0csouP2m+Zrr S9VRe49rQpNbjXmTl2XkYpz8Zncz74/DHq/WyNwQiO0wuHeBfZ5A/pHZHO+H74IigEt0bui2Q6Nc 0DsGSd1d3FHVjSsNcM842J7/9cD34w6cb17wny3ceflwr39mGXOgc7qvjduXq+0uNUS/aaOwZb2L 88RmUYyqBWPfiG6LuQ/5+OdzHPiGnfQGHsrYBP2OsOomFoJPyxpdUgxizkvT2vM2L8cRXnC3awzs xAmocUOJnpTupgzvruzyLMumPPy41h3G9s49AqXS8ZyD5v5pGwIl9baR4E6nifgbsA0KFXZUwSMl NZcy6+ufWMZUkgoo0GPqv6DAQ9MHHr+X3/K3+gAZadQzVcr67zklFDzp3UpIBT7mMbAjCtquDULl q68ZiNm3VB5o8Shiq7zZ6rCTlUthxO9/IPOSTRP/0vMuPKJxl0znJjE21bDZ99cRV/e4cFa18/U8 qz9lKPJZ88Q31/1e6fUSRkkWoGqDoVnUdFrpREb/+OGVA1KS79nV/tWSs8sG62SGEvSZ46F1MSxC 2/77WaJTI6TuK5B0BHswZwEU8C/Rg+V4rhOmBQc6FhcTuV3eZsnZvssk9zrzYpXPG2biZi4foPje psWqDdaEEIBgpMq7ppjsg1Nk10xSCCu8JiFqAyNn297/khzAnP280AUrMGvh7GcNmmFPXqGBy6/g XMzrbweFOga3Blri2RuJeXwoEqo/3j/ENG3I3/OlTDi9bxIrWo4qHwPvTNmmLsSN4WqwgCD5jnn/ W6ME+RpAfctlK+ux8LcN9VxjlLBJAAP1jTIObmdvOYaLLRt/I/wlBAEr0pFHSXLj94cO2fGNAo0z 3OjlvCk8CIv+pQjMNjOkT2YZOfljIt6SOmwTehgPxRjrMYMwj/OLbQGWS7T0Dq9Firzhi0yIOGwI VRGYVPR29ZMWbC5f07jb42pnZZbaNV7VL88TrGyYpGLF2wVw65wcv/Zu4Yq9O/eYykTxa23k9Ofv SWuyZaoNeF11ypy0V2uLvp+U3Wn8hSupoGvpWb7QdfD96pOdl3NpBPrLL++b+gDlIGMu4kUZwttU SzakAnd95BD00ljZMZw8vKsrANW8SRTU2NRGDvn+8Hp/ma7Zb6sJndmiIWclZshKOBsbX+3ErXeJ heVwc8+wlS4AG8sPSa8mL+mBaifXy+Km4WQvrm1/yCcz7IJzR4H7quTUi6HVpVwowPUghXH0NBUQ 6fxCPrEaEbM0+w2JxfSNnqxBW+rjE/tw//LsJpAtJs2UqkmUIJg6SFVTHzCaarikRljNOIwKt8hk u7kMEH/WG+/D4i5GqRIPv6mA6LlyyDR8YBfRRuAHhB4fP2qgQvOqDTM3nv7amqTcGBMVrqGEi1HJ 1X6K/kms7CqOVCB3JPtf+RkVoQWySRp4z4KyIum43T6izNZf6MGdLY6SJttruNgd6UeMJCsrgeAg v/dwuhQdBd53np6eIWoyCcxcI2uTg2OC2+/28MhJJYansAkOC+p4LduceCVTyi3QGDd0ICLxyoyw 96AJ4i8z2tJGAx8ZjaElsQ9WPwvbLaq74/tOblvOFD7ku5C9QCgc7SYMJzx1ZVkh04JNfwEXi4th wplBEHppdhw29uwMshFIOD/K+WFeQRfwgBSZku+mdH4sfNQkI4mYu9UiC0+205RFieyTQZ3p/sUY EI9awh+BLJ0ZcKG1j5grIkuS2moVfSGNNney5+R0w6aCvTF+dkp7aZIm1hJ/ZD+yoddStwROJFG3 lXQ6Q0KcuVFgIQawo1QOztUAm4MYLEX88HMRd9/hguys6EfEobHbJ0hK9Ad3VdmSVxLuyQ/2Molv qb8K59VvP1ixIhNo9eTBHJZqA+BeBMgwIk9aYrUnP14aTfxb7frszrLP/pTVIIDg33rNQVMbHdwP rWo1o5v0BEou9G3s/pk2k5KiryhoMzIyXzD1BXIynjwO316ODcKlObul5bPxiUw81qqwjQ+CEmSw EAVnFCGJq3gCHYIh2lnowRk2sECK/yakkUi7wpZYtzuarn3WxHrRy+EnzMlmOoHTukd0RovKlenm 1gck8vURYjt3dQFV4++zQkAcS0WEavzZq8eiwIRA2kNzUAChoCedxeXY386eWsJL1OMt9EK2CuHt 9+vo96q4QSF1Qtg0rqG0h9/7X+1LjRIq8Ti5vBjx+nSuVqbAPGZFdKg3YpymcUyoX/polZ9DkWyL KtyxFwoViaC/RtSXy3ooSGtfXPjPiZ44GwZUXyQXRXEVvTtjggPQN2IqLQ1Tuw4222ccZJBy5VRe yHf6c/uQU8P6DWQcfHO5y1mORhKyVPk1f/iG5Cxb/z6C4LBaqF3N1dcS923mYpXfaAX3l5cmyTEI K4CCbt4oPFAMnic1jf1ShPBloCmNPEePCnW+gr6ZywymDu9zJt02WKC1XFC+IX1ArKWEfcWn6vNJ JEb6jEOTwSEBW31dchmtVmnMttOjU5kR2PjOEaX//ckYWeJHLOs5RsMrqBcZTWG3CRNYyon9PaPj S2PMzoRNFIHgyxDZ86Xo1mvWNvkGMcGNk8x55dwbkVPi3nbdkQSw4CTzzDQ6EYz0fFABCxPprtmv Ix9bcbqLwBXYGI+dadN46UVR/E3xCMs/H0zY68LMy97+OtV1RiL4kmShy2zgnDTwJF7ISYte4eXX ZJXG/NKZUTNM667rq7tA5urqnHfZwNjtQo2DEKYlBfmtIhrTJowVQ40nMA0uiwOiCT/AjWBzVw5R L92JB3NEmc5e75lYt3CU69i8xyZbbuzSw/R06uj5EJcBtWWjCLxNSiR7DPWE5ihBh6Ba5cQ/oWnf 6TCp99MQuVArxv42nJnvyQXi6mnj0Ll2WoGBOv5nybgFBrIdMoRSy0hiQ6Z+Vxkxtc+BNZLNqpgo sWMm3wq9l65o49hPXaxWad0qnVvZXneI4B1ebic20P6zkXmn8PitvjkuFuwmIKX2E2GUpa6fUdU3 AOrg3ZI8Wc12NY2VHQ1pNl2yAlz3jYSlsweO4SzPPA1o/UzI+Jn8XBnmIr7j0UXr8QW+6rBsmqaN YOPArVKJ3K6fLowFdF8gXH2BD+58AVFbqjFFDQbVQzoH0KoNuQXL09GZ1zr4NIUhyKapH60ow6m8 uTlQoUe4/BgenVVS7rHDCN2h7rdKSREtIuUsjeJXesWVrDV9gLOkYYuAnhSacVbg6jUZJ3KqPHn7 6EY+dLgzy/3HisxHYPb/bAQ44pzoQgB4X1HJAFpZvSYh+WU30UcWEv+cMuaEl00pOlJKY/oeaC9w /+7EgVkD57u97q0yVihE5AtWB3X9w8C+IgyOsR+BCug5uzz85lzXwtbXvQoRE/tnvV6/KqCOSwCj W21PluOIKO/mLAsZ2pfv9LXjeT79MLFAJ4H7BfABmlioM4cDx9/diF3njAbB6Fus4ZdgbIlAUlmv Dzh2eTYPUhaJrzA7DwFbDlYRAwlgHcnbJniiiMclpgIYxzAsJaSIavj+rtgSmF9JixW5QUnjmnMz ysuEn72EbKw8oH7H+UXG5w+wXIr677UnaZJ0XxX5R67nzu8TMRmU29escJovnatbOR29LkFLyJw9 0tL5oS1JxyQKkE55KsAMnwG/mlws9M0zdA1vMPYoLCvjZuQ5N3oeJYEbVesSaeDDRAQMVVnk3CEe 8jRyd2JRDarJkoVlJsB4nIii5nblnTSJrLS6Qq39d2stqwXEpwlrPP3TYe/IHwocZPFJ+PxNird7 32Rv7lE/m7A+7kjC1oRawv2ct9DJjPikOa8KAiy3UZa7we+pieCzIIi+vfa65uGn6fW6DG6obcz2 gnqf1Pt09oH6/bHTXljxblP6DElpEhkDqwgITWhEBov/Kr9eJ39yrq54LNwz/+Ls6pm0S0lAzz4f wXOKwXEBGoydY3v9kdLr8tH7e904IMO1vbUIBQpytTILE9GkQQ/tQ/ryy85GQqWc8eeZp0ktFcHO cJ7ulbP1sfy4XkXgXnRIaYFUOzc5oMZkKQ4p3TKrNQHfY/no8KtiNeZYRJVlIk04QIR9Hs2QLXtI JnCoSFbsycsIsWe8oBt4GpUMHq7x/9WLSqkdihSQO63AjXZ7JD33VpSNIbe93Tko4ejawykPW5m4 yTIN53+dXqH7sBZB8JKSkPpZqVfU8vsoFrQ339z2zKN/p6rDTHVIPT89HeayeIb1lOrjFjLNOR6h W7eXCYOPTg64ug1bTTW5eKEi/XEHbYtJATYHLOJj+fEgwKV/6DbYI2T5VwW8TMfVVygdV/z7GzEw Vj3B66oCFSH88Z6RzuuPqCifQL8WVfGmOCjf7hj9tezoEy6LE0qx4CUjIw/aOMPh4T2s/mbuj+pM ZiIhtS51eZMsdDj9lyHehrf08VcuEA6qFwW1sw5BwlRjUeM3oMmdH3aWoC0yorMioR8qXEl1Q3aK ATcfyybxYfMutoLQF7MK+KV1c7dyHZNRC2LKs7et15h1q+aUTBNQeLrgDpJuyqsPT/kvz8ZSUg5u qAsKX3ae99uadZxASrD3mg/Pd7+Xm+lgffAUsDJCxSYZVvHGnNPXEjCwv5MA2WzKfxnnhk0Spb+B cCRjECzcp1Yofr3g0BhZZYFjwfyGEfl9iUv3cHXogV59FuqxgFzXgreHO3kpbbtAEyVvUMDRpTd6 gGfRO2ytt3tdENvJYHSGereGmf7V1teQfZanwcuKQ7pxyfEm7dqxLbfMjxA9AhVhlxyHg06bZFB7 kHdfjUcyeKF+veqxNO+MuI5wZ716IobDbSKWE1PWwcuJ+ftWBGZS/Xgvtq+fqI5XYpl2W7i0y2V9 E25Jnj+WctkmPenddidQt7QusAyMbAiQu7uukUuHEMVDkTVTth2NSqI2RmJO92EIJAB1hqZ9iwhq QvErvY9Zb8WdUMLHp0O99ixfBTXMg34/2gvFLwjSxoTfjJHnBMXRCWWmv1p6jyVJqcJHRY4akLyb 5/fmyBpVc5I/FA5W85cMU2u6ZBunvgvgOiAoIPC6g1yb5jbdbyXiI/oHkLqPj73X8RgvoP0rSCnv z9k0q6batY0tlpcfko8BJSIXKntpTOZoIzt8x4/FInVS0tuig5/imfmd/UXQM6Bcz4OVhhxAwVTr KuNAdmuoYU5HEq1pmXT5bpv4WFuZGDQ33t9Gh8osZMiaXtGHCSR7Kc/Qje96uvr5UoUXhQj7h+Iu 6AIdGWiMiRNcpOPC4ltw5zgVlR/FWhM8jpvwbdX83AdDkgdQMjVIZu09sxVVSFhhb2Z6eyazrTwy OTctX/AggbVjIg+z8YNHDQV2bsx+rtyNsXZVQeEsEoY1C0CaSmaUctATTOl3717S1dTLvHao1NE+ g3hR6Kaib7AbjgAKZqqFKqLMVQHglriYIbrNQYuFBWhI6E0gUjbd6jqLkuzaLUdzM+6s/JQdgukA nSl0uAJk/LG6yoOyBTpjxvs7bBM4+6IhV9XZmwo1Tdbs5k3amE7ktE2bUSWujT2h9TAus4gi5Vlo vhLhsi0ELPIEDOQUmQxpO6e3ibzhcoyCIV+KypTPga5KwUxrcjaUu2fQFUM752fmxz6h5liyrkFT 4U3MDR7BF6mnOFNrK7CZfWdsYiUpqEbV4/Rw6LSnSyXFo7td0/1J++Qkupw/aaWV99I3XpyqMu/0 EiJ4P9KYrPOkVgJPH69M5tpB1zfjU6MscJ7YGRVNLgzS+zuzmr/XnN2omKsLXtZypDmBPv8IAgH0 YXlv/J2v/CfCrRkDF6dbfZ/heRd5e74RvUPLSBafNs6yZKjbjuqyOTbUDO7St7OtAGavxReiBeqW WMcx5lGRriP1kIq7Sjhe/mCU2LI08cUZvpHMZYZB3MIAoL3eYva0GyrKFsPZ1C5Jd5Ng+Dgl+oQX a7vzxZYdDs0PElHAfqas6luVYxmWJlg1WowHlY2gSa6TtDeTK7XOHGbpn7OuGizQshBYX2ZBsRgE ud9ChmoI06jfIf89cK2O9QkgOYR61QNutr7J4x5B0a3qBLwMSrKG6Yra4TLTwEjPRDMZbKtYedQy +0wAFQzaGwFOPD0iYI210WKS86nv3qdlKh0y7RXB1NUgmwvgOcAouLrLnuglD8eSFaEUWqAWL5XI sqRn2FiCFl94xrdb7GbX2LRWr3bXpZxyvjkKCFrYsf7dB5cFsgpAD6xBACYkVtQ7j8n7UV2SCqoz 7Tv0cTTL0J1zxjhJlpbraqPBokkEpY5CNuCkfY6TMa+fkNHMXFEkU+mYEl8GCuoSMvtIp5bspqpF 26hSkBxp/yGgqoC2gFHRsJsXCYLi/qDgDUiC3pji25LaJRYHsMr2R7vJ6BtP985sASO6ek0tb8Wo 6qWbIKCLQ9rpddllnkqfBgAcTUaqKOElnUJFmW7gT5SIYKoXLKKTZjmmDxl2iWwvVKWgEp8nmHGN j7tAdKLNIUq8l+3RIbohEm/BBLRoTVuY/0e0GI0eQTijiui3oVrksAgPpFr2tBQ3ekSgkCmDUq8W +5srQbB/+/WsXV13kI03Tg8zNxAKgzRM6GzXlwTTNLOP+fPAiAOMDA9h358WKHLN6UQznhEyRPE0 HHFJRqsH07DDBiZOZQoZ3xwC5gq40dy3wuNeEoY6Dg6KNVAd+Zx+5bz5rWlYWy3xkaXC/Yfo1DTH oKfemfy3cwv4tIl8s5LOkS0BvSSM/5MbJCcKGkWT/Zp/7R76asBBm2hcVmhMeiOxUM+1fQ0XVYod uClaFlYBDc/B+byS89NjKiW6vzoZ655MCbQlv1pu8tHbZmmiCNt6mBjRpOooD1ALnvCoe7OVP1ES yiSaEAzzlWhH8hq2VEV3RAdkN8j+TWlSVr9jO2HYqQ/rOK9kIQKEyS6MPGgSTyfu4x0CBIfWrawy 6+2scaL7a2T5Ac5QS3ibY13P278KpdOuPS3VRXtdqdCustsZRbTUNAnImz/AIjJgvmBD4oUwzWl2 xRYed+KZG18oJ4yiW1E9PNNznPcYRwIgRL8Dgq27/P3hpHovoKNUSAaLSlzB5gyoWUMOxiBag0pn ZjiWGFBo8GQ9Qgm+YjYSD5lFP5c5xa/hZ4fgmejQcv/62My4C1akzI9kM1ix0loDYLsxMl5c+ewu xtG45q8zgx1yWsEwbZ25o45rZ5H+YSLgA68rCvfEGpthk+hS8kvuU4aolTTIY/8uItxcXvbm3lwz M4hMSkOLVFmlkF2TUniE3f1iXWeSAcRFV/9W8QjFXDob7Rm/IcLEJmTi0GPTKCVjWWmAxUbeByTY X0yoSiqh0IznGxi2IxGUzkeYkLGJl7Noa5ehpOyQpZj38PEKxX5Ifa2hj9aB/m3FVkFc7phrUWIZ NrSnqEB0cfPCkxmOY5ZYrzMyVmqzGHpeJ70k6ZsElFALeitIdlaQ0BcbOlEALXH7W/6li+dwYCeW uDeGOnBKNXlw+/qGrDYFvy4c/lOVdOv5Du/zMzZHdESqPUbBAhje0tyIvtFHv1P9eFvs/UWwHbzW IsmRBmczufME5yLfLJJt26FPRkQBjJJlheuroD/QcCRCvWYJSs80m8NbqnOe/NNlZv+Hr6RjCxS9 BLUkoZ5ah8uzjaj2qAI3rfyMm/5wPsAw/kjA24u1HWeRspQAAEhsBUuHJh8cSqyzvo23Pp8LkHYx rgGoTwlwEQ3SxJz9Ryjv80m0CjaWe0EFwGYj+vDnD8d2iEL/0PBkIOVeq/R5yK8RPBaBQXBw7fUH ND93gb3k1IchTUQ3x4PIrzYur3AW7KF3E82aDR49A0ofoNvDYTNnt/hGP5QffXH9FcVt5xAEuNks Iva00Htj6PufGCHiB5Vszkyym5NWCV7T8W9vgZnt0sVJ+EfcJUNOcgP5v3YRV+H2tQ4N+PsruuNy 4dmmzfzoqficHsCEaTyZ8/704Wal6pkmqMRBCbtGNZfS3ts8IxVHmSTPRMcoQOMdfbpg2NxA8mOv gvrNALZFfjAK/GhtfX0/EZWyfiXI0cCRtqmhNUx4yT3hJXhnsjm7J6oY7aN8xpjgA2CxtdUg2Ec8 Hs0ZDfiIMHnvHo3b/Q2mOB5cp00V8fZ/Uo9l/GwE2mkvLP6UetowuHyUj0rorx6G4whNl+ELdGco Si1OW5NEvnk2NWDttbQuSt6YojSEmFqLLcDyEVG9neFEkPaWzfy3FOfp/Ka5eFjpElSAOZGHVbau dHNjh7s+F5A73sBzwLDnuZMpHp3fw0Zk2Qpeio3dtAySlw/3V+KBqVCgHh43YjweKj6CSXk1H1d4 13zpQHQusKXHsejov/bH3v9hDRK0ZRPKESdVuOg+UrrGZ6mxnVrHxcaLTQEns1jyFrrLl8nP8nDX TqS5DktgLLlTqoW9lD+ThA5P/R+J18V47ERW3Dc0F5quPLyUCkJMfG9NGMomPbvuFfjea5jZf+wW WTIElMrt4mHvK39mhz+8x63gbeZIbLn7zzK6oTi9vEl4C3uIX6zBBHnFXeGJ0BtfClYME3tIaaGc EKHyTzs6m91dCDCRNLIzzXe/VX1XWoHBvwjS1JR/PCpSXu29a2x1dxDYT2desw4aur2MSS7xyf1f 62f6MQ0qJ9j81zlI/atbYTGYIjs8E4g9A2miMH9fDkWrWkEodwka2i66tpTOMZDAZ+K1Hi/DeUKw MxqpOU1he6Ls/CZPemRRHSYCL419Ka4P3iZ73cm1EBRmPyPpZ1f+2vGTvTrjJzdb1h+bhWQPu8BZ i6MxDAVrEo1V2hDFlX8rz4YEm7veV/sY3Ic1z7mqt9+r7R1v8watnUGpSMrgeObWokLcAwi813PE ov3Ej+dwyBQJMQVR4QEXaHTgRkX1p/bM6a0AO9A16d8yzvTb5AmWevmtWiu/0RmzlG5qRLaxl+rw oJDRkMF4Y0e9T8C+ZriyfE8yFHAYSFX8pAFmh081kQpyNvr3boWh5c64WnqgZ4z51stjRrDLAfme NRdb68+x6UK8fSVCgJOe93InW/bTkKY5zM+RdfLxfuC4qfOtwRv9qeLSfL4x2cbx9wQH06fV4tXg Z4JI1PtQTbWHA8643HxQlfqj/7P5/1/sJNR7qoHZUDNekgTUNUHerzAHMIZD74SWy6REhcgzclqC perNmDJPHcu660EXlLYyZQgG3qz5ysBH9bvezeyb/aDPlhM38FRnLBUFOOGU2DWrwfz+IBpWmC9y EbplmjZJpfeIgiZsi2hKp1clm2Xc6OS73UD/iBxeQ8Wm0siQMM+C7mOjnAd3ncmioZA4qVKQbCdT OG9yFP8mt+QZsOFaRbTNBwZz4cPGi78nQAkS1h4YmTeA4IRxzDryLUd2kY4dzczhLZa4mDmzMf1I mizSZVf75MRY97G4nTHdEgxeeHS2Cvg3E5YYHVAAkp+6AuhYgnpM5zy4CETDKGOxQBneXqtjHEaP o7YFwZsw/YmnCN+1NgDsn8GXrNCRYtjMfyNjfD7IlxLLaBzUVeUHdEpRwST73iOz4GFX3LwD1pFL 3D+48w8SbkeY3jaDjm742PsecaGUEp3V8A1pcGfLk95VkxEYjer8a8QLD1cbe6KYq0GtGPNZVtzf lR1qzjMUJte85eEbh+/+vsIbWOQd64CiS0UH9+rQkHdteK2jknAXBkQE+zh5ATMWf3t+ljZGeoU5 HvsEZmTilEjVchVlfEynpT4ZjfBY7qwjiqBe1dvTBNx+CZCQr1ZJofTAefmWeoh6jXSEM8XXpPwM F5rSkaLWbCaLYZQBgj9kWWhuDnT01QE8bWdnMf1Z9m162rcu3hyD2lka2WydBXohT1DcfuHu+joB osrBmFbUSmLxVovDfJ/qjNOBn34OwJsoyXPttMU2URhuxHc0zq+spAbS7dcpei5/Bc/4FKEF5mPd frk93aqTQsq5PYoAZFqEcCVVJJU2NYrnVlAV9EDMuKKryxHJQE5Z89n38vlaiex77xScNouhrwQg rINvzpB8+Ofuj4HIF29MxAs6pqSDQP/sQSlk2WzPGkgPRcOlwLMcDeOVnjQheM/iV+7dPVWLuX5X sEJfIsUrSrksZSQUHHrNQmdgtiqWwlQdGlkbLKwE1I6I+4DEo4IpNf9iUh2321oV7vHJ6h06tQrc MPSJe1Xwc9qsIoXdPio3TRL3qmADf83ij2hbw+QqeGsw4OpUwEm04D/1tBJzY14nxZgVOq6pIEQx lzCW5XF93cB1wTcptTyo330tNaQCAWdkdrDb0ANoBJWVEfQ4nOJv/aVF1iBwklL/A+lSVa514xYb ZCKBLGBIk/fGwRjvLbQ/0mOJ5sPXQI5FwKXIqewsS7iB7X/LF9eWE0eNruG6GTv8VG0FKuDuzFid mcIATvOfCKFJDcqoRWTHsgY4fifYOC1nKGKjS/C/WB2awnwGNpt4qlhLKS5BFhz2hTfv4hE5npow xyzcik/uH9qVQ0z0n/b7/VoBxaI+fejDCISOqPHrZYe/A1GVGj1ZtrEGdtSy16/1Minw8JtZ8Law S9cbcSV2HHxPwLmZrmR6uZddhAyAYU8RLMur/gFF/1jnxNLXFxbvXxN9A0weOOok/5I9hQloG46c uFZr30o2sHweCl4WW13NY4jC/X7/AU/716ekA09TcffTXaGJ4BqoF4SzTTnMLPTp4u4I5PvqC02O I5bvwh7BD9OWpBrP1yB4vLjok3dGUSZ04ohx5AOkYGmMUlzceg4T90rPF8AkiPveQgPQ53aHeSOO 2M/tH9ZfPTBdM/RzaEef8YUf+js+VAbju9kTtBE1AbYhAruvilyHwOgqpLWm8ez5S1BNlaJ+9pt+ IzwTLck3o/d3pukC05szgMqMETDD8tacdwLEH5nNGA5ZQ3MdZkdfN75WMchZ6lpMLMTeOLwIvPP4 XGtE1FTMRTBLbwB2yDaj3J2dXkT7yi0cw9FZiwwQgB8j5zPi4CjneO16RlXwCX8FVJLvYHgpbXBZ 0RVci7nKwddMxyOBOvCD+OfEoU+MWNZVAQZ9SXkjZgNDclQpbZq0VZU0Af/GqS6ksRqTOn898IpF ryKoiXd3QBtUhMSGAm/B8mp/9tzNJ2CFnpaPVWXCFEjhT2GaWJdXFQy5j8OpKlOxszXhNEnLzJ1C U50egm5GivrqJ8XfvIq7++QeJHnYZ5wvoaPEgU3puSN/pVn9dXFqQhATAtzWAYPx/aWj3L4jG49H sm0SouEmXjc/SPnzeuqNke+8UkFqcJ+X0lLmhJ2cTX+iXE8pqaPiRztHtwAxgkmNOU2eSfm++uac xfUpqTdEpgtsqEDOsZW02pmJigc2Zx8FIcetNfIPpmKHc/N/DNC3Pm2beYeiKT/zrST9ppxZsIKt Box0SURoGsh4Ojkr+FByhZr0keH+16sxM0mjqabAcFdOz34Qxo9fQ2Haxy84dfB0pP60jPRYVSim JwyMGZPxuMPR2mSibQ+7gdmcJGsD4QfSEvjc+GBa7BcwjM1KtH2lH0qi6JaqQQo2k8ClsrWvbbPV RNW2Cd9tWXpjQYNJ3EJHSjOyTT3gaR1+LyJ94CsS96/B2EooAmp1agR8UojohfGOyOslcAqOVgmc 9PotjmmdOF9h701Z00SDsU9JU1lSoPU22BkmdUHg8raRU0oZJWaqbHbzFZn1i2bxYu9nLloaA39U f41CBS/ViJ9K61MDeuRpPK03nq1Zbi1rgljEa8++EyZcRtpdBo1Zo8Zj5tNxGYgEeipMg6s+s6UF ZViy3de6xhFayc2hTmPP/jSWK6s8jMqFfqtnLM6i8y4hzZ8O+syeCP50gasE7U98sdn7zJ9zX+7t fhh4NSxIezN9qazRlz6phma45PXz62shbtD8BFXY6mRu3Or5wAKgOB9ITBwPNA9nYaUCIPgsvVnf pm9IwfBN6sLtsbB6EXX0l4Os7WeLjUkKfkNH6fAhdnUleGGsHWH/ql6hrpQvv9meQzVwW7p8GPhr AI5kZTWHMGwN8HH5NEc7e5AmQ0/ofi7wRiB9uZMTlbyLwvwKu8OG8vIwDiMBlYUxohUGZp5rdzUQ RZWjsPMn6uJ4BzOI/ncVz5tgXdFDX9KBLouAEaFrp2e9949f4zgLR3xbreo3fl08xHfP1rtLKFtO YxuOXB7kGoI1+iIxsiMhgy05Wk7igg+TfdK4zbkDbRlEEdjwQlPFfyFBNyxKpGDUpiqF3nIsij8t 5WYU5PPWXfG69eHIBYAORZZJz+UR0m7o0sRonQRyAuxgQnRflQN0FK0GfQwn8jFvuWL1IF3+lVfv //wbkHG47CYN/SQKGFiSWNksJGfGcWe76svTtEL3JzNHFrZIqgogmwzd7O458hQ4PJPQY663HOkr gk0W7zf6ntWNeO+Dt95bsADVSo9wOsvuv0cIN0YIRTvmpryabCiqvWZUeTg9xQDXGhwRA9BvlWuE jFw1EwybTM/B2EobBSTdKwIpXwkgv+iN/z8pBqrS1hgSLcSkCX90vo31Nl93JGupcZn2rO5S3+J1 /ojO9Px2P4iOzOepUlcatnalPUXbTltVkUbcfO1LoEUIaKVO84Ln6CLsRZa2CtlKYW1ervurWwI9 XObZxIKAmpdTA0iXqwWSW+h4ejBBQsvkE33d4RJGSgI7q5rHsmOuVKEGkM92ZPpH7uV53d1W/tME yTGfmqyhY/x/u4SEBa5QbgjikOb5rzwzVsT0Mk4VtXvQxQ7TR2aOIyLAAGyQRlwR6WgVS2XeeZCM 4Hyi8qKSC92Fi/ulWpoNBh22b5SSOU5L2YVUO5/IwpB4v810G6XfuyTXH3z4ocU6M31nmJkixMAe hxtyXFKQbTvaOD2nAFXOdI9oz4W63W1qjyWey+Sr3jMwEUlHBfrQ0mxc7/Me2mAt5BD+WwacBnNj pNLxyGqGq5gCxz5hFqjeL6b3U/irIkaNiO9sCwD+oogZFFhd1v69siqID+j4CEHkZquJNwOr61A+ 8pV56eTYwaz1Q0R7OLTOX3sw6Aa9z/M+5WBFzJt1kAerktciAfcKZg62H5IaBzyFjwa8+b+fE1/z o5SKz5roRgn9zxiPZSXaMOs3YdphpKJo7FYJd8n/KKd58BHUZArvwTzEzRVurHFenXOBU7QL//in 4SaoZ3EDUV4t1wE28PbgG96DYH9FoPjUh/xFNgj3P4YZd/rZEAaQDtPr5h5d0Tb4xzFGCf92Yym7 72OCJsPZqZtqWZFAF04Br15tBaWX5n0XYTDDe90Ry1JchO7ZhvBlS2qq0NWf0iSLMTE/nipF4Lmm LG8xKZ5TWdNnl+vmWDH/Mw3PTxyKimoExBwONiUNo9uxVVniiULmc7Qt8pWnvFZZYzuMXHygxtJs 2Rx/jlb3RdpdfKya9DFOa7jO2uGSQlTrw0GVilo9krFfNQAnERXZKNKX7JFzRN340XjA4bqK+rkl DL4Gg0qQX2doisOT742+aVXiqITzn/EHGKinphzWxSq9d0mdcZ+kyOb1YnoTwQrgk6n8mavdK5gh EBSn60T9rCFihV5llaHc5LXBRNTW7XDobeTp8mePgEkCx7MVlr7YzWsyTY7KJ8iWlvzJSNs7M51y xZrPd7Q9egScRPL0EqnpXjbTBZwkAyKgVtV98cqNTR9xiNeOqIABjV5E/rVnLhKgsuOTAnzoK5ou /ZAewhHtgo6Zg4ApbUivjfWOK3S77+ZBBHBYhbncPOsU06xcWMRNiYJbmKnrciCO+uU7ezVgmxOY 8Ly1yFVAsnydhw7YitAZj/cW64AL+V497Qluk/ZHWLkPWowbCI7kkMh6PcC2ECN0XHhXi0KJpEY2 uMqJE4Y7zNvw3TxN77PrmcjgKEy3fjOjUEMze9OlwAdpOrxlxQtzm1keP150UZOvI8GkWnWIHQJ4 2DvMIE1l0oNt1g3GpmoYg27y587WePLSaLMRcs7r9jma0CeSQ0HTxM3d4UsEbVAb59eItY/Z7EDN CsLfDO4TSeg4vvVMHi0xIbNcyFqPu4XWW6cK7V6zcNDHhMVx9l9q4geqhzjG4Irh4KDhHJLKJEFI 1qWVUDHDTL+m+xdK11IijjoKhAMkWp9G2c1Mx+5uTfBtqKqAkFz8HGzPxukluElOzf4ucAmTSwdC vLiQVZtz8/drE7dQXXsQs5UQnqSippS4+OMutvmjiBheXumKyz7MA4uPgxcMBi2LNyv6fDp/fQa2 hwm2pHZMq9QUjd+1rpooqcqLn77Kd4SnJBvbFhLWwngNxzCBtU8G1+oTCXeTwx+93lYOUMyNd+V8 opvRAYkHOeq/E6kvSWmRHMoIjwFZXvn3gnkfxDjoyKbuF1yKTO8f8Dn5iDGuI6i+cETXjCznEBHE p/Z6NstcNhnigqSjk93UMW+3ExXcG3JV1z2BRzCqU4ziaFS60dyPEJyVLvM6djhKIgYCmMOTAS68 dWrGYt8Hd+1r7z0bUVVczMhUcl0mDJ98u8OWav6A38rOG6JbmgOa2J6m+S/A9+B78EgZlIx8YjYr JzeuYjN3QyrT33ISbFLm8Pv24ai1De2GTGTpCwMnKqrD9jNIEWCkrI8eNjHKe3OWoLVYEMaH5wxv /FkoRz9Rg+5XlHJ87W0zYIjm3VPXbdJdGiKX4JQPlgZVajU+24/+KPM4qrkwM8LFCVbf2ZYxbcDD JiQ/lP6rKbkc3OCBrY2Z/kHR9UfiDDsDRgByDYBftxkDg+kVP+Y0fFFCSfwxJEeobZMNUWe+mg4h A+0Q5pEdD1or6lURMuF8esxNdsxrUzhf606cqJdHWIr/PIoopqDOSKoxs+aLOU82WHXVbfC8ACCO Xq9S1uMVc3d0rrxHSaVr5F2wt0nNO5OHLl4dHX79EF2hisbtXoIjqlzFVnb7DKnAZWJQlpIclfAk kDMXjh6b+Y8owMf6ImtT0A5yAMkdaRgp2d7woFH8RhuigXcSVCb2/Y27+/cm4RLBPpvb81Xlp5CR xZKC3ipJWTA6ekaGtiWkH3rvFIsELLTiv2x1uWgoQDtMSKyoMizO9MZsEjCNqIB7RDh0B4f1xIpP YOeSHTBI2RuwU1E6LKBkjeX45bfV2P0l54uVj2pwjscTf7yGpqRIO2VNl6TSyX+mqywC5bJkZUy8 lksurj/yPv+wjzgOqxs3yFUPHtMnlcCd+dQpynz1Fb9SCP2+e0fY+6K7iKue6u3CRKusTM/0CC7i fHKHCK486AtVONuuAjniu7GeV0QSNz57+k69XSTljbZ2zy6RiRJnTGoIoRT55rPsc069piG+DymZ DytZRPluok9ZBPeCaJBvf3KeP+z5zkqTjaK1IutOylOclSznaXbY5xV32qth2kdY82iidvIDcHox /JCUbFRciu3JNPv9HANkPpdalNMi40tfwshUn8C0f6hps7nIIQNkAvyOyWgg+D593rdQqAgX/Q/c 852GeR4IsnUkO3GWDjxqMJvl2bgkSJf1T/QM8SurIshJAxOMuXQuIs5GCJBtJgNyWSFepnTfvrPX UeIg/UvSti9ZlgfTyMbEsXzTkrT9AWP4fLjpzRGxdzNwW/LEq0WGbG6GhHNbiMPYn1eh88JMeoJv MS81WVcL6G3vxj93ULb76Ma0HUNilVpbrepEwyDCXB44U6JhmYC2AA42ecgo9Yh4E01zMBuuQHIW MeLki1ZwSLp8ylIfd4YUJ8XS0inb+pDN9YEpUe2+blyl43nUeabrJBolHHtOR9ZN4NplSntFonnk LU31+SrvvlEa4Cy9jCafYFrmXk1/Sf0kDTIFWDSc9t//qy1Q+pd78RLb0ZTV2VYZRs1qH/emHT97 /+U0dQOY9FH1QGPccJRCMhsfZRkMLProOSq06oOr2+dFZNAlmHbqUv4TMVlNMYvXSAhFUrori24G Ivx0l1Tm2RRnajpdSYiq2V87VyMqv+fZVQuFsl9J9lbihfzzOOIbDQ5eChFc6rmLOedd2sO7T+Dg 2M2PmByDT/KluM2JDFAvDSx0GXx0uPcF+iui2Tf+z72QCVBOd4+TkaQmb570UW3362lcM/hyi4Rk 4/jVskYDxU/E0KEZI3Y/MHrcfLtLY7Yg/t9wmKy2Lk5NP7RV+WQ/R873PQL7HnN7mrkZ0Nl2rzwt T4l+eaKRtwEGt6tJ7BvdenTIOFiGpHZrfKJfCrbRtlja4hoJa0MH52rgb20Cw9x7rCJA/1NdMmKV Hi7d9GFhGj+AVTRuqVn1AViiYc/eEZo2LLTkJdi+ZEZOzdOatNCL0UUpAeVeRWMNW2/uJoPyvlNQ Cf33LC49+UO2ARQAsBChcLVs/Tn/75yTcfGt2mOQ6tcJpP3hfJptbwWCnDDuRJEfMDkon1LBgKuE hC3i8MyRLQuqa/zdU8i+TPVtphtRe9KphPZLMbxgd66dj3sxb8Lq03NjnRVnwCIP07bCb4J+cKsH /q5RNTAPJb7w/X7/ti+gsarCxjxHZDneDpc1V6fMWYsl82rMSDD9fNNz0JtHlRgS+FAZ4wYrSUWe C8E1Jv2Ry40Hzb2WzdeJgScr6BxJOHOLcackYgLW5Zj1ZvZUASWvpXofB6tXBUkBI/rGo4nDoG4h 9mUd2nLGVhxVFL/BheXRrdoRqm4V+qp8Nf962GzSDfLsRUfINul8/YqxBP69xYljaDUqeQEoRx3s BocKkFLp06GopttVmcwDU2az+MTGTVnoViqyh8DElPQsyza/XMVyTq/M/fwUtvhu5ojYjk2WN4Xd 6ra7WarZxfFMxykIkT85o3iiPZVmtKez1pTwAxOSe1iBmwyax3P7RVh1z3rD4q3FClAkIY95Qale YLJLUGM30JEhlg4OPOFkKKXvPPfYM4p6hrc1wB5L+xATtbiDVOaP5DMsyMV6Pvo2I9pt5dDq8SH2 UrLhB8jlhXghBrxka7cn1SJWB7yh5Yq/CoXFWh0bkAQU/HJO1BljE783mP2SNvwJ1fH+I0JK2PWu ibzhjlTfvyY+THUQZPtEpz+kSx7/5auBL9kHwxvn69S9UyOmZCnwe1g55+JjuBJvHPKOMwRi9RcD +xjdjJVppDQ1jzQIEiFHay8JP5kJJpjcwn+0/JgBe/liF7o3xz89Fp5C8cf7VlGWIBqfVLJC3LGo YRMgV97FvuEMHrWWTbKtJc488+AdyNB8rbFZZCW/9seNgWXJFYXdWhq9jtNCdGaF29O/qb+adhR8 r7l55aIqYiWZUZA0IargY44iyzjmr5t7muEppPYG/hqgXLw2OB0L3hJ9IgnJg3a6WjLjOjK+AcLp Y2QWB2QM0qBV7x48Z8+q44a4BPQ6BYUgL578dm91mjgPecR4cSeha8g/picj3yqX2M6HDIv+dUKs rd1Pv7xS7OKhDzMDnI65RniDf6VxZNyjesM/BQJLHM6IYCWlqLXj8krLc7wutfwc0ERl/CYZoqha oXY7/z8vqV5RbcW2zrfGhUsfF11EC1LdDJHYGIBQx9pK/Z4zmxDH3/syFezb8km95XkYrwnVKr1L cVJnjAdBftCy6qVJWLtTTu5ZVM+X4FteIu3lAoESbiow+8oOxl3HLIq9ibbjQRxPPqa+3L7eNATE RyqM4+DbQD2kWDL5HO9VgqDQ4B7OZQbsSHI83mUyGfdjk4dfcZnlErGRKNWId6fnrQTHFFMdG263 5e6ZJtNW0k+gCCIKlXhz0qna+vLATGh7F51abXhTbUrjeHGahQhNZu6v2ar64H0Pb1Fy2tEI0lTQ iiTX92tqaXlGVVPYpxcwvAo51Gaz29K2O1nJayggEpUalStXA6jEnUFMNbHasLJRkgkDKpNnTD8J GSpKKkTx+Y3h08MRW0VmRD6Mswi7PWhOi+/8bGUUxPJa19RNQGzqiJe0bszdsN/SUcODK4HQ192H lUDqGdxqJWDJKrauY0N9LzLmW4qISGIIiRoDLrZwIf7/uHlX6G0LQvK5iT5epu2J4pX9fqzF6B6H QJ4borZSoCkeT1iMhZaUFV/1HNFExgSaL5HT5OAQCKwmZb7ugdPm8JCVi1f4DQmrfB+pJD+pjfxC XubvGDJmb4Bl3D4wsp1r3FfHbkiGgj9IrWLdrXwji6MW7QrTDbs9cV3EPDYGTQBSACg5tpeeCCnj vVOdzEy2qpHnicWNXexnUKzppJihHqbOmGm3Z2WHLN7gKAaP/ihmjAayQqZqVCPkvZoYAvBVFpBj /oZWEVns6B18lHYuP/JvHaMl3vhRzO0E4X1SBoCELVhrImiSUCES5NHj8AFvUriDXecd28wIUS17 t8njZmw6+zkvPJ+oQ8CPA2AGkB2divLBpL2GvFyUkUoOO5V3AFXgs8wB+mVVszIPaVGQDc0bcxgF 5cvcA6bFyPPWIbWEz4FuSLFTudGDYOSimDkhwxNqnNA0vCt9CG4C82v7/8o4oK6vnNycPuQOohyw Q+spdG/z/BJcDhbuJdHtk30Bezi+DJq+u1Ss+UL0FIKop1zNiQrtUS+kkzmUDPyTLAEWLAXRufA4 vJszpPtFmHhk+iQju6VqpezXOFJcaVp2YqXOQDZ0C6qdqEhBG7wekcVRip0OuBG1zEyjJscuKeS4 Eoid5jJo4Jp4UNC3ZlldNpVPAKFPY2k6GyiEbpKgC5QF0nkXe5SBbzImQxC6uNcREY/bQo40J/ru IqcCciAFST4eHtEvsZP0rr0ZbXxHe8hfXPO937ZLC89LgNWkgmxJQ+WojReQ+mEKSE/ct7NblC/e FjmjGbxWVFxCZv4daqoT9rSL9hznHHicaSZy3SgsERgFNfAFqmLNSdY+7g+QSqiE4BQ1bPQ9P2eP V+Hu/dHPJcoVoNA/EMW84seNhyg2qwKhsAoAWNW6Se0pnmETBt6Bfn6E1xPdG4JPxK0MhbniQyz2 sbs780Abh15HsHIHC4O6vxTxnWRkXwI7j97XA8hcCRZBG9SfZkCzmEIgEhoZ0/L5FNcQ5ztrm14C 2s9GBuOj4iqI7/4GcfpuPlYLRyPr5vKlte5bYVRIbhLPMujLKgAiH3sNqMqIqw9uddxxMbgmR3qu RXnCAbILLnvBmnawuW9AaUPaxbydXfT2ZXUvPRbMwv04VoeWfs7el9m9j3AKcKP90Oh755PgyzkV W0JKhxswbR6rq3UbYrDjv9HGruontO0atz4hVSt0wnfbBb/E7tdko7BmpqaWOYHKcY4tt66sV/ur 4BZgTZiRfpQ8woEXmg/Mel8d90UlBucbDDNEwBVdtrTOyRpcyKLNmuUIZjHK4APnwqG0Kxouc5nN Txq5wNmYgOVPMdYEm+AMl8tlsa5aQhhZTNN5ZwjiCYnSzTSN/6VeyAHUD37/IRyYSS4cY+gVGVwx 9dgKrTmQVJM5B6se7RGVzTtUGKlEBsMbUraIYp6bxBDwwiCmLub0p/42TfPqkY3sQWRAW8IoiV3X cOy9R7/EMQKxwB+PR6PL0vy6xCqx5prwX4ji/1xCAYwZ9/27rGy2/rSBwTmMlga4Ch36j8bpPI+1 LZpDUpjdnfHNPMM+NiM1wooYxvcqb5SR9iHZxBwFd1OUKrfu+0wwp8Y17ENbLmeVV0WAH5jP+bTi dvINw7NOrdvSR5BqSELwTOWBJnvmOKe9znwPtKF6zwmoW2AnZmPeZz6EAcX00KY7gsSXK+rsLrGQ m03SaceKBgq+54nJcuzheoysZddPTXkMBnZDiAYPLq3xCbZNhZo6BQnkr0l40yx1gFdbGvv6AnfL t017Aj2wl0VWTvEVrQntxDaLFoZ0YSrwejBWlTpr6EyTUqhmq72kPeIOGTWkmAzJKREh+YI69cOO eFd1yl2Apq50iWLK9SmgvcD9W9T075l/QZGILWn0soT0bROKQZae6KlNtAEZvcjEblMW9x3Si0PZ ep2y9WU6zRfUUPH31op+Y06Ld/bFMVTSLakiEJWPUErF/rUUXAXTv3kf+oEKYyu4DVnvYTQAP7hS H/XDFyCnOJ/F6yIUN1wd92PQmJigH82vHTxPIN/BPXV/KJAowgm2CXYwm3rF6QlK9Qob5LkYqq8b xNEYw4mRx17ae6udK2t6qM2kVR7JcL/MWUyYV5WSMDpdI2b0X6Wb88QTfRXi1lyVTAN0E5yIJyY9 xzfwnJMQbYosPfBskQr2HNiZeOx693RBB0IYLmtIIk8TJFq/ytwaTGlvB4305Rn/TAier97+by1z 0RzYt8mLemFfyYgZYvVSn059sqJ7MN8CdWd/xQtbuEJ9ZB5R0wPlknE6nO+xzvgXevhRViZEHu/i Qufglw1UqJDXJImXS4Wy1Q2gmBYxVygiK5cc6RBV9//5Rl40UtAK86gR/+hi+mdLtHf6J8t2N56m NXqYsNgwdJthlDnnTJcyeZWv8NXIiGmXnFr1erWbJmfJ6uM0r2x9daGApsdtbzp0kl7EV+chuOXI lafud3OAeN9CgftF9iOVjSnC0/kDLHYMFxSYO1BAidEy2TKoazktxm238qWXNIu6fJU9K7tZiWZG OCWHYu/trW79Z1W7a7J8mkKlum52Z9KiF2T4BtyrspeUYXj4HmJPSGuElBEXMdyXfx6odX6m3/ae 49PA50ENwSTfC9ieHeWTu+kKOk+4lDjTJJOC3mXoUshCToZ6pDp/vYPMAOdSrXZqKqdE2XoN9S93 KRlC3ZOkV64CFw9Ee5SB5CabbixS6oscsuiWiNnogPQqACacNhoI438kTwMO8j9ow/BuPrpRh/yA S7TnQs6VYZm+qzkflwomgqG7Nfcpgs0wNC6kTZ4gdcccUKpmWS07OwohPTM9mqho+rnRd14IJO5L 22gXFIrBQQgXRg41Grqs2LSHY2D45bp1vkEgQz2HHt2wyVy0+YZ0c8jnBvWTtXe2iYVdwOSqB6nO 2O52ok2n281M3FvFvtv9W6ndptffbJNSxuvHTrXoYCPp23fCCaYYIhYZ8b78EtFrYdtfsegIaKWh 8MDnLlRjRDqSvw2OXPZ2GGx8IdSteCudM64NJKcOee/aJ8/OYbtC/K55GObG4QE1g52hm08oU97o C0p0DApRrxPPG6v+ZY0th5tOn1bPX0pf36zlYILxQhvHZBt44/tIPzcs/qplzLdEKuaQTl10dYxM udooCvb/RXkbi6mwMRDH69BQqMA+To1mHst+3VLVOEwIeeHeO2lIxU5a07g/qbDjPNTvybcB2kBW OLEP+fLQgW2dboJWtFaJxYPlAPTjn5X2spiPn1KxN1ZApX7ZsPPAjV7R+eACgD/BtlFQu2CU3XGG fLY+7Nba7/PHRJCQ1WTYgxOVIfamjUhwxjMs6JAVNeQoQrgh7Gw9QKR3wuSJB10Dz664FtoVViqj 6SmKLwXWPxqaWPhhJY0ejIpAg+w0hStioWHWeOP3L4Rxx6pktvmWKtRwAmptGQnrnvso4/vnmFqY yHQb/JyaH4EGkyg0hl9mwg++v1W3CV302sRWMHMzUV/8WkB5hRw2tEZjNG0UyShVLRwSk0kSi7+g tINWAJBj7ATIioIBAWztBmO45zpy9el4oyPKxPZbMeV2P0onkuCR0x/GZcFaI/QfE6IEGh9FIICB xFDyKBBjKtnSHlSUo7D16uMpcICy9JA3kHBjRyX0Fyn2LdeMhOCyCh3ebIINHYGnXoKtSdd8rLUa 8B0Oc7hDDhqmPC1UtFsOwwL5G+J8aZz92iWaDTei4rgtwXxl6EF5r0bIuMNNI4LEh6S0J0Md+7ov lGHvi4wHZa32cnvBMiGsbdsZvQAIBfQ5uhBtC9/9Fwm3r20IPXbCMNIN14nQsarO7Ky3DjTittXA 2tWUNUHMxHRZcMFzpd0V3EgDM82AD2M6MwlExEzWiQQrAHUdYXDTdUqIJEpEQ6WK9i79pg1SDDxz +5SfU4tb5WQuDiLPEJiCf+FRjtH+DJKp7koYYIpXXlMxocDkT1RxOgvTl3jIUPWpx1azReNVfcGQ JvjerNK7l6dvNFvYon7MLz3/5xFm/sNdCJY4v129awxaBdAO9AWeOlfbA6wT7BvQpZqwZPl+IRxI wkT8BUdzlanrUEMNN3WMcq+5yjL4V0bD5KxaZeh5ZTa6wEb4rPcYuUABqClIHnMGwl7NPmZ9k+Lf ZLoJo2ToFumP2lkgjGcT7FIqk+lq8FqgZ8JrS+T3zYFfvNHc/lWn8hZ2VHa5jM4j6RXA3cp/okVW ZPqaLMtZowReKD3kgsJLSW2F0gw6jXJnSkFnvgUhHZEH1lQJGooTdxBoU/oXVJORA89FX/z52ELv 0fTeqmCCQVG3lz8P4rKJ4NwmgBd0KEwd/aE8nUKmPhFvYWoQZk2PFBkfWAOsrAnE0U1UzBBPz5+o 9ByEWy4tvB4X7gDaUW9gv21SQK+uuwHx+TiQFcpiZdcO4vA5vl3yqFPyL9ebPhWQ8bmeBfuVEQOH xlMfUHtPX8uo5ZVbaAq52yAbB0wEsuF640A0P29Qj2iu/ylDqXXDd/kYubZou+DCsBbRqay1z6Zt 5KnB+Tp9B5TQUk7gn+JJbc2KvxJaMXTCQFtxkWZlCtSSzlWaU6SR5TPb/4DdLhU8YzeNG4a860bF VGUqF/TcBtz4CEGq/ATW0WIhlQlVvYX1kpUAGhP3mPz6F6BKGnO+RcyqYXHet4HoPGtNPNgy8hJE tmga0yY/7LAimEK5DgYXW3m0uHGEaoEzex5sTSVIsjjvV1wJjrzx9JxBhftP2njvB+BtF4+l1w1n XzX+btHEbOrFa7L0r2pEL4p76pYUOLB6LMQek2gcMldTOKBIO2OEUGJVBVPD5vEj7/HRm7XVtajT o2GaofvTnSge7zTBGjy3p89XaCW4f5psY2CUOn7JIvKTsAQVu6fAAEtJUApFr+nrUbXEnkbGoWvc w5pbbA3b71jAhLhg5hbYCRZzxp9bSn4MJKyvjsTRB0qnmK8zFgBUggpUebYsgCMMc5nlAemcoJJv sZXuibf5nTHUWMn2YGDD7x3/JRMhxqm353GE3KSvksKCc+SdMP4VDE0fsj8B6cn4rmPxMF2TvLcy WhvdPuoD9xH46zIb2JeqHAdf8Slm6V7zE3yAFgStwG5tMPu+M9pOjMH3T4qfDN0ey8YaUFyzw+uO RkhLOYJvGrezwSodzRQNvJOu6TP0xdlsTCmSrteZDMznaQIW/xQQSyNcEPjk3Y911vol/wnWiDb2 gGpbZ4nMLfINPkoBu78ORI9xtQMcfxYeYlESr3ZxK65aiWOWgPXkfjFRokiOFoNqwzSg3w6gjizH lEELHcWkumt3zIN4U1Ycj3kVlRo8MfBY0M8xvAaDYJDEmugi0a+gT1NPlrzvEg8APT/BVGYqiPCk di5twkp20hNE4aG+dHI+KY+itLLxn+CH+ZbhZFfFpuSiU8C4S7zvJORaYkZUw7YhGYrJp7OOgCf6 xXqfgVXTAA2KyAVv9lHf/ZOMg4z8SIcc4R4nPSebPzKqheQco+BlObXx8LQ2SYlxAshpINvf5YMy MRFmJPPNh2r4Gi9Vw7JZu9vpomm0bGt23Y2Llz9wizo7O3EdggxHTUwQWQS8ZUb0ISvA9jHaF5xQ 8wLN5fA6k13Jhkcx0uMf4En8MQZx24MabHg5vDBlIxiDtxlF2A0IsquB6L6GMmnvhntRtNKf2eID RnGQR6YEg27kjV11PVwXZkwLw5qA6JcwQvB8nUhFdvrYRFtDLXGn/sYMqs2KkB6YrsFlhiPOltPG 9tKKPy3235X4oEohfyzqO66KXfijboTxdmey29y37TFQuAjTOhJkPuRl9Ye0SKmn2CnF0uWRgAdy iqq5gD1H+2LmIatihMA2KgnTcWX+BR53BiQ9A/N9fxJOrk5qoIhgcOF1nnWDPIeJuGnNxlgRQKLw tbrmo0aHKhFBIuhGvODpRQY54kJDp6s2vOe3C/bKQpdkWTGtRSsN8pydt0D2TWjLOAQdwK/OD+ZJ gguskGzrlFxck9jan0JNvgiVQjsk5ZIg3qjS2E4FiwtxqVgJutYIjcTsmlB/+OyBLyZa9/olgl8h T/j5jaO5m6QIeOpK8cftVxr7S1d/C/QoFMVFkXbVE8y56024Ei/NpaJwrC6TDvXppQr90SwoCJhp 6pMdDEyreqzd9sRYs/MiZBymLkfUkEeYaHkehgsYIuNoOjTlG+Q5wTxfxomWETbvYcGtDaFvoEJT os6ugTKptqrGdG1uokRwgCfd8B1RuBDwD36E9K4tpb3UtC7CymJMVO8/aGvj94FWx6rAumkYxpUV HnVEjao2AKhvbE2kOkVZcE0XNu7PPxshEeho8ibP1CBuSrL6UAIoFkjWUINK5V/y6T6P+F7sZn/X Q0yXRV6DUM5WObyNhOfN7I43okOVzh8LQj3OHepi6VYQS7JTL3chDgu5XauaKs01aV/vsWslTRMa usl6frvRpxqN1UBGrU8lqLYw/02ttqhgjwmwuk7OeqZP/zEgU4d0HDDefEmJDAB/0X2WP/ZQxP2r c1OOCnT3ApAG9DM8X61KTY1DyFISP47jVOEsYkG8/nBk/bbDJPCAjE9Q7K5egx57pvqmIYakZuif uZLghSC17b+Eegt+HXIG7gTkXVcF1eeYYIf+veCRUkZQKdo4A60v8AmiOuE2GLd7brdZV7fr7Kku 1YoyWHy+p9Z/E9rFDaXlyWJZELUwtq+s14pG/a6hEn46WenRuJGqBZeNXub4r+HvGDknhWTOFj0V OEtWKbJuO07uxdBOZJjtVWwZfHgHKTupxQ20jEXnhOOyOqSI18YYuYgNOKZzvJB5S+fS31joGkmM LlPuF+Weo8ZY2Vx4bsc25+dN0YZfOI2iRyh2fWA/Z9qGBJNcqkBigAcp56J7lQQxpY3uptoQNmFP M0P7Ltk5N3Dp2HFVZ+w4FyRy4JNbh1krGNloNS+yrIX/CGukbar0F+ZYnzL5Mm7LthFDvbuUv6jn Z/IQNjo7uXv/agyoyi286cZHQox/t3Of+KRIobT5bPqGRHUBhTApzQMTwy50CG2z+PXl53+JAIZQ sjZOGEzD5fZP9hi1Eadkcx41xkYFQ/um/RJGDWJlHqZ98rtrBeFqXs/aWO2JR5ioOos9d3p54emP eJVwvKss1Op+F2VOxP767Lj+I/Hn28e58UFyQQ8FJOeiekFP6oFy8tpf5ukFDrNp3uvsZt69A2kV YjQ242TPZZi+sQLVfNMxyl8AT2GZtQDOrRMoxZxOnpqNP7pnQTIgfLWIhl9vss2gfjvIjh/faZS7 DY7hjYdWc7KEPrK7+fxgpaCSOZAq0jGwO6oyT3mTAIkO6/IjEI4z4yvgI2rMZ7rWDYjjJNz9XDtb MMkauKIoDFpSUQJ4SU+V9FazA9nMspm7SvTjgsiHcgZil9mSPtcZRR1TYzL1oT8mks2H62czK6mg sg2yg4bFPbtSMI6G1wjUJ6t70yOa0zfhFlGEkWBXv5ZCZhrKsMVQKA7V/pb3PISo2u7PH+6LJ+J8 lnkoVYQfYOn5V8OUnr35h6Di16ivYJWMKq/mVEWIcp/hUxH4I2mB/dlxj4YgM8c9DVzvJaN8K/jh IvmSmRaBXtgXWTzsKqy082l3kxNLuQtTrNAU01w7hoJdZz2qAjCpw/58Kf08eiChhthh9zqjOgpp Z4YdUKm4QnzlkuG034tQAITYNIDoWfqeWT6DH/x5kqWOBQR5LVqp85UStudF4XWa4thsdpmiDps6 BmxPxQxzgZOpr7kgraoLq4oFWcuxg/7FZOSPZiWNPgmXA5Mf/U8+ZqpDJqZkLcL3HDMzrWDngPAK P/uhZLnb3W8LssYvv86H8QdWBsqkHPjbB8vf0lqgo/WfzXJ12fmifpdDK0yEVEPJfTC7eCRLT8qk MwRsmRgKgKfwQWbPnSrmpb5RqqOYZ67KahSVnkYTT+3XyGS63DKOvFdEwOHA7sfFa652b9QMQsj1 rsBOE0KYjh+KAjknwCnnqgOgtR942cti5kjtiNoOowR49anOHraNzIhxr+4SnQKAIGZyD61dEro2 7vQtzXE7ULlHdGP2szklq4OEYFVHoeO3KffwLYjcojuLabaTq9Km2Zkw9xizWuLo7GS7QzBe/GXE TfhJgvu0OtkS54fLByzP0csA3hVUWuqdToGbvws9utupdIWYMO/zkln3cBHf6JArPSSzzTOvFYT7 wYawpxhHuhLBd+6LVI9Wp0WJ2IVC4qaGAhoKT+UvmvHaVjU40xA1eOKYp6o864iDpBsmOLK1gVjy eji2cCXH/blT5M+w1Sxuc2O74fFSI4AxYayH77gEmRPoJow/ENbxQMrcK+BNMmGA35ErWJUojB1p CptPqdbnaJCJj8626MJyOzt0o+7oDajNf0dFnQ4hGoXm/s7KxqwTgpIVztWWDTl1R8pdUR5gFxQ8 7/oTXUmiPBVwIVXCjtHRhX0p9G8/ytJo2efi1ddi9KeiIrF/tGxLFxgxksOh3tqyLteGRd+7nSbc KINVKsHEv0fuEY77qEMaXW+RULKPN/EsfcgBYyRgXyV6QYOL+GUoBY5TifdRssh9EwgUS/1hgBMj fCvvRTmC266GzWJ/fVejpd0bkvMwrvanXDWVqPEea+VT8/OcNK4P1NYeiBrkKw3rrkwzBCBNtoWF 1jShlvHdWSI1VSt1EOaRT80Bp5pHXpB4dQHjGJi0C+jh7nNUr+U4dx0Ts5bnxpb12hWDljik77am Bb92mBaS5ckPKfDbQsfHX1cwXBpmtbBuig+yIIIM6ySnnj0OwYv0ip3KNYkzKrwN3NkasqGxVaJR OwxK7pCLqoGvoKDQlRqXZTB7Tick3bL4IKifgiw+jvu3mUZZG+LQ3u6XukUU9mZLUO5wplJUok7i pp1uG5bM176MLpDX/wD6spPxe4JtVWjivmqG1bcIprrRy/Nz3uMs4h80udx6Y7U5eVvL1jAgwvXt S7Bf6Z1o3Wv1jjNavsfSLkzKI7p647FrPt8fVKG+go0Z+9AR5pKmht+crRUKfSw7qvGVOkvikN7E 7yOvlX6oLBQm/jz7gN6TCMKz+6fV8kN4oKxmt9U2Xt8l1uxvsoJIC4YCdNjvqsYbK5x6aL3PLXxV ExQoBQ5Xe1sUSDcchukhG2BHljf4UU/KROWhcSgGWNn8kTrjGVPF6ZQ6Q6XVg8Tw8muZUKoBXH25 tzQhA3IziiZaCnv1CkBHg52cGFsC9vNOFMEvjQm04hb+yBmO4XFefbpqt0RvOmpXFcnqm3A3exzT TebW07oUozT584i4jJsnRBnUSz+Co3If397ctRg+4GsUmislV75QLRKEgaYwqmggiBmXff6vs5pr ELIAPJiRhIGEVRFUjiQnZw8XKPpTN6ArcPvF/IRHknKAxmsAWz613rc8f9+gcNmybSUtHsD8lcAP qyfKEqcn3QzyLsTqmioLkHRZCaswzchwI/cgaix6m26N0nXx4PoUzSaUlTOdKo0zZ28BG2UOHnSZ OGDlMMdh31lnn8phs1EWr/bXF7YuaSVVk2tx1wziv1fLlyx+spmZFZYs/3Q94KgQOMbLv++ZOfNS A4Uxxq8lRups0dEgIlVDgWHxt7Rh9ts1U55Zg7xukK1V3H8iTv9iNUoqY70s1UswryOwseo58BxL e7OmxJN0JeBikx2C0YoVHyEzeNyK6qMc+YLsTtVsl6YdQszU5pHfLsAJkuXsTYnsZ/e7kjjokbKU 2kCltyf4/nW+p/X6sUcqolP6iIBZL1JXSkZHuf8ZL1thL+tZwkkQLfzQk13MK0zp3yEUG1CvVZsz FYYITAlZ7EeXgy9kPppn/aFaWq0FS74qI3WuYv8raRhEJWWY4wzz6q+pSBNDfwgS8h+MBHCYyOci Ag82Uy+otzsFYMFtebjbgO1c8OxK16gU5KERgIgg6t682XezrOc9/agVJE+UH1Jdo+MCzLVN/p8G XQapHfUp85cWFhLz5OgxHFGaoMLFkWTUsxM5Tefl8Lge5K6XaYPr4yreMnDUZ+bRGVsBN3rYvLg3 Nw+vNfW7bWaXprlLpH3CsSSV7eGEfBeSRq4DTQtVn+x9LmIQPEmOEUBuAuiHI4TixwwqXggopfz4 0mNAiR63uObD65BGG6jQRTkDIQMPdyDQzprs5IYC0hhX2oODTDYtk/2n0kJ0uQvBuQR3ClrfcDxE TOjR49rPgJwle6T+HrAZDHvvBdvTTje6HPuOZ6viCBiudLj4o26nDxyzE61YdJeZStuO/X+s0sXS fe+8SFmbWs4sqY5POd2pQzfY9XIK7Pr34eMagDCF72nrUZGxO6uh2D//OfhZzvoiDquD1hRZodGf ZqLjspMLCrj4WR1CxvNrg8kRTAMnidTQ/89IN934oEGM2BBkr3zjvoNkkbYDTrmx0gyDdZrCnAYr BKlhM9cZlxCJhC2ZssTTWc5HO1iK1SWKst1Eo00TqJaPvYPrKcK5yfpl4E9/Un25AKnPuRFPQkLu cYLAQG7F1cGZ15GGUl8y21+89PDCcDKvHl2er6TPMnV1AFABFvWZT2YlZaHyZkcJD2QlayCwW3F3 DFgiNEQvN0yU9tjBVtYTM5HVchXS2rJpoaAwClOLe2NGvzkuISI1BJD5dlwP29YH5YJrvZDAzMpA buAOr1PE8MzO+pAH6s9qyuxnoIvxYvGhVexr8s1KwU8wsTmj2aWQusfuEwlU+PTh5eGzz+ooVcgj fiN6e5OY0uZyMsqp/vqQdU5bmTvktnYK2QUe3zcSopwK2rGSDF1nebZB50uQHD49JXQ7U8QldS3i NSOMhQrU+7lIp2N8LMzX2nJMylV4+s7I/m2DqV3+V0FXx6rmbyia74pB+t9kWRaC6UHyH26GNq0c rsQdsrxPrNpKlAsdJOYNtdpi4+tccB2jhuV4XBf2uUdgj/wBuyAU+rdZ8dx64zzc9H7jP+IkGJNy kAs1asrA2K7DxeRPZPS+Vn+FlkZZn/YJtfbnoCD+4pv0ThEmTgrJjrKWNpF09UQCxMEXfp+bdYlH SjaAiuH1gm03HiI+I11sYd+nK+jlPP4M42sY4BVH+oavfUitrv0yuhYctoV346ETGufoI71qvnjq CSz7B8PVopy6misVTLD85IBD8jR8LEKMNek6/PS2KbknzAiFd//DIh/S6A8sALgY+IJlurxv0Yqh nft85jgMq1TAkje4uBt2/60zJy8xodO3gUPe0oiQ4N2a0OY1iN35uL1A7T/ZE5qS2v/hqj2DPHoR AObwDQ+1Yspn0K4WiRgQziVVsnKneSKotXgVnjejcCEulBcLOeig155uVUTUpD8nUsRYqRym3K77 jPBRMcFOocEtuus51qWDflFn84V2457cqc5dvjyq/xnyvB49sHFX//PkBqoXASwvbkH1hofebaac yJy8WQ6+hFqmSf/cF0h5ph3MEf9pz9UgqZrYXuLDZiyinn/DPhTXz0L35h0mXQ/Kq5SMQKYOtLrU oelili57cte2f1LzlIhFfmW7ciXjq+pUxFjvr4m1Ezx/y/hKmSOlgIlClnH6JMlr798HqKBDY0W7 S73B6BzAwzmnQgGIo2Q8biXawabSxO73mtRC8Qxy8y2MrWUTpGf3ywv35C/Vmf+s4ctUXxjMPqCT 7wKX/76XKqeuNlZbmW80h1Xop6FKYWKXcnctPUvjpauK0PDyQUHRr3q1zIQ1UeExYv394fb2oBz8 ZdWPYCyp2F1nE7tVL8Ul07jZhzyPA2lEZwVQsLqO09BEQpGjMb5Y1osnhD5R2rrqthLP+Irpnw9C mE+XNIuPDl4HN5H3hOEUndfC/427/IlO88kWItvtaWfyvMsOI+LjU/cSUw9Z5/0GPsQVozR4Kyp2 nPPKnV6nUexyMpQ+E2s7LECv5ICM6rC0CBRBl4mYaat/DomzhQCcpgm93/G/WkEjjtnWkMvfab3N pZTQV1O6G/8iRN72qYj6HoDCoiIjmprAbjE14W9XxCm/GhopMLhDIBAoYjjvTZX385RK9VNL2k1K KJr3S3jgWFWBhrviea3mL83E4G6qAykSbqOUN0JPeOLc4mH252axPfMy5LRNwPrKiDTHx0uP5KG5 yU1SxGF9PjnCnjFzF0Z4WAZD6xOYr12QJEyirQaa7up8lY+bROLyg+JALlej0mw7M18+N0AQuR2L kQtt8Wv2pT1VEjoLd8Ish+OsgRkiQ1HntFSufevUGbweg9VSnA+uHPrEcw+LPzo2cpPJvcgOeFW7 dyQ5NSwOToKojXq9PygLKFjHguvs4smX9c6L2fntfu/zLaay+qD3G2TlkxktqY7PRt4f9bWSGM/D RFb4DoighdxRHihTCzcBxYPXK0nlDI/rRpH+IY8Vk4rOyOE6PE8jOtuKfg3PHML6xtXigWcleteI dlFtpYCSBYMphN/jvwXrIB25H/+TsPF/Oss+/EHaz3JzFqehEchZ6UmT8z18pkjGx0Z9V2SvkAAW lwZaum6xCOkz0ED3vydvGW9uhyANaDtXZBEqEuo6+qoFxiNNJyoqIpVSTwCmCwaD0Z5mk9ZQopHN yHon8Vh6uhE4aGIpw8zz2UQPubBARcCwnN8sEC6ZW32YczhpWd+UJWe4SMq28GAp1gYtJw/RMtag byInL+xeRbu35bG+AF4jGE8tr7MBkStnY/2wiJT3ypK008fSu2fZakQYkFDxnfmtwArh7dEO8lTf iRqr0NrILpNctKwuBxXsahVVmEBdv9HDRz2Y8kL2kte+UZpdLFOV6be6Km6D1CWG1vxOk4ExD3y+ 9occu+Yp5o93VKNfDNNOznd+EienapGKAO0m7y3jDWFD+fVz0pBvrOomK07WLQTtI/eTly3f7+E2 Y56vRN8AOgkrYRznvsep5Ymr8hnnx+1uUb8xAS1+T2yfjELgSMEooQlEB5EqpGrOyv3Ns4q+3Sbm Sg22KbpFfOz7CNG68hgG/4qIic3tSsLM+Jm5lRpE0r05WSdqw3O/w+F007a2BlfcNNDxI4NFVsdm KudhwdaGehNHaUkyg6Jv8/CrQnWjDviNoEEzx6RphaF5mwAWdnM2keTdIDTmVSXAdxtKaRKZw2jj SVCMMocNjmk2ShOVLJSSBefDQUitoOWEM8n0Doi2K2XW7Nxgp4Vv9/RE1mv3Y4WULUh7x69eGa6a nPtTzsVM2Jm5w/JoSdDQEvO70jAcVcx2sUIaTB+5H+3ktmid7qHuMRjcDPYOst4ymjHAUiGgG6W6 GzwPh7eMk/Slh77Y8TxFT8L5Z0wOK8ivDYcXAZEQQM4mF9ZSxNS/Aezu7QbdNXtKoY5B/V4szOPH chwn2MbHPuMyJtRvcTJkQy5ETUKRNndHCzTTFJOOvHkoxMLqDuKkanGLxFQdzSpFzglNHvIcRSPv ha4Bur4BssV0pdonJgwt/iSVJ0pqxJxLUzQpSeOL8RkG4xGPz2m/qVRYawZBD4Igne6+NNOmx1Nb YJuxaG0+AeCxFtTrTahNpo74iPspCtTV855gXcUEGblnRwL3JMuKBxkeHAhlOBIACXaIUyccnv2g 63M2nI+lrXHeg4SB1R4KReJxq5rGueohL10gHx6sqcbxisunNqXLMZj/hJ2lm9kJ+HmsXATRN9hC TkVKuNv7Z7VrcdvDsSaQv7dZBVffpG3e/4vE72itJRUZZU4h42gAqPg2crsA/MWVkMGkgXQli0bj Lm+0FAQkMWx3HmGmk1RB7cshYs8HLs+4lAe8gZ+TWOz2M0PX/ELghLngeDKOrvF2FsByosOr9Yke xCdhJrqPmVMQLH1+bJtGgvzgfpLTrjDv6EHuZGa3Q1Rl53V/5V1y7cf2SxJiFJD7BcY7HxTG6L5J p7SoAcf1edIAMPwRAhBFx8OHnHm9+ICF20ySGcjIzq+HeLK6S1XMY/SLOs3TzFmkdUH31hn0qO3c 4GujZZmFAK3zBIORWsAQ205GMqs80LTM+J/e+vplYCxCc/dYrmQCFY/yPkMXhllREurutxkQxLIn 4q8p3/z/TJqKTEEBF7NgrK2JVMpyF0YXvMmDA91Qkx58VvgLUI98VDbuSUikHHfD4KeHKIHEe3TI Wh/mn2UYbZBZ4XFkQTNvFzSAx0cod3127BH5yoqdXR9ZZAuNFSbQhLhe2iErNw/0u/NbtHrNSg6U 2/RSsH6mgPfnzrQRpVAQZhwwOYt/ghP6mRVCybRuCaGJjVyS8tvUBi7b26XESPsysfSflN7k+dfH 4sE2T0Xwudh/t0iewhZgyb7NcQNIUXWeOL15flJiD+STpGRNqCyc4lN7sincYwC/qAhMwZvC8Dh6 cNgiI7hmvxAqrmICNPYbDoQ5clbWtTrCH1s2rX/f8KKoCam4RaBVEeehipgSHmjWt/0pbz+YsS7d ugqS2THBN0QfpaGHRbZWohp53OHDyBkuHsOTcj0T6WtjnRXAXARtelw5cGGsUNXqqXm08PUs9DeO 2gxqeSIsB3aqUfuAMupZBOFnDe2CB43YvSBms/mIYgx+r5tdSl+vu5E0R1XayHnkb9PI3nIyQGFg HDtjzEZFw3Tgj44spFckA4POUaMFv+3X6K6JvEqrwDtImG1cINo0xWmUj2ndCzU8GkRHQCM23s7a sRStv7Gp9EIG6nEm8MBVJmTkjHRf4CIZIJ8fs4Pc9QDXLtJlTfPc5RyNP8gy6Bn7ZRYeX53ILuSp DpYkhIoFz9VWaw8g6VjUJVAz/QDZgvn1fFaM1GNL4gZCz+sQcK7qTLkFJP0q3U1IgDmya5bqPWK6 lwA5nJG4LhMn3Vvycqj12lO5t8asW8gq3G1qsX/EOvIqS4ot0OwL53kA/o1aPxjX4cDUKjVjWIkA mi0ajXV8V1aEIGXhuQ8t1Vre8cV9A73Nc0ULY0BbLU0w+BCnj/st5yipb55lK9YW7WUPFMVQDdHA eJQBFWzDr+wUEVIrgu2hLqGWqQ+jva3ZJL3s3zL4OcA5iVyl8ZSBi6gzicVYtHD8Az8kF67FBqh6 duXX3Z60Pc2YMNhd/aHpCvaceBIZmDrTuvCeeSmrG0FVOBljYIMsCzWOhRDJF7bbz9sfFqD/ZQ4P Wmt0suT1UWS2B9YH64cLo1diQbELgWDb0tDW9oF4xBdCkEmFAKVkebfMINjtqxuCTFi852mwCA3M F64FdQwEDPu2ymP4xngCl01XYOlsbVWs9KxnNuhvmAw8mMPiTGSXscXCVz0A585PQaLMX1B3KkUJ yrbfHagRoptiWg0xfnutB4DDCiEOiBbkpKAKK84pbhAtHYPp3Kw3Vzzdyi+IrnXnAymdsybmKVpQ ckaEfh6LAKkP3z6W8W9nL9mfuV8MQ5rmGIe+W2o1x/9Z7tBETLF9XR8j5PgV+Zz5abDhbr+w7y5C 8CT8qzocSs3od1dZf1hWIpD4J69ENTOO/vLg77r5ADmKw+ZmmqYei70aSkYuB6vJfABHY7DKAmsY I4i0Ila2P10n0hGfGjOBv1Lcq0c2qKd/P/gd/YgsBuWxyy5iocK7cAqIUfQMOgnkMQbgAhDLKQ5M pXQPKq/4D2SWBsuGVH7VwvzxMkpl00piMAeqZj7mdHPRrn5XR5MWumLmIJ6oeYfInlrD2UMDH7Ka 1LEPt74yPzgifM8Q6/vQoun+x/COp5okvKP7nqZbU6rzVwhYthPu8RxIwaneF2+Yfh42qmd5QdWc 0Trzhh7GvuQNzEMQzaKExzOVMuTuj+dfZ4zRSSU7Ua15iADy3QOqKZ35acPaa7ICLaz8LrPIWsuF 2+tpTcAEo8qK+rqdcGlh51/gY2R8CtK5+iSiwejtpiyngInKdC64Mc7l9NCGdoI13a05tBCp9f2L CvkEyzUkaHzD9I/ZJNmbO4wTC3Y1JsOmKZW6qUi62jjHnpKvFIceFpzkBxa2MqXOfxvfntYEmOs0 tPNIrYP9NQFO99tFjbKOXhy4mo7lpfFdOjKjpoNiWhEc6+lB+AkjLWagL1QzSiyJ0grgzM37IHx/ khR0NLe5Q1GBVZKltCNspTVuNiQApEsH4k0v6Bgw56nhL1I8GIJNNmPXDdR/HOoC+wBwpyEhhb/n IEghKw/UfdbIQqg02IxhTNLYZQxYQJzHVoiVZ1r/9wJrCnRUQQGtCPgEC2J9/b53zeJQEdlTP0Lv M0Y3yU5WORxsXRIFkey4cSuim8rtFOjxaDCnppvii9Ck+HD8a13tRIGGpPtckiYkRNuuakFIF3MS 4NaxNBYAXN/ek1ciGlNyEdJdonHLoyzvraZIxsDO/IJ1TqXZLWUnfvbT2k73P7q36WZHDH5B6Oje aPJ5tG90T8S3/JOYEc+ouHlq7nDhY30SLjW2Ob4Hy1OOzbQYJuPiknlM/p8n/E3m4h32hLv62llQ AwgFLeEngE4xZRX8sPMo8e9TfdcDHelhR0VrYMD64xLCiepyoFyATeLkqfSC4OvdmC7jHAOnbP3S lPElCHzTBtPZULaSwRJs39JR6ZVrpOOqa5QKTUMRMqj+joMTBNfgDHf6py89DG4X0EQtJ0vxvSAt OOi8ePNkDPt0HM4SkAbHK5FAEod+QY4syxeNr+Uv6QstaBNDFYibUm6GYKqB28UHK2u+cNX3xTPd NsJ23edigq/YbE9/tZZ3v7gg9Gq3NV4GX7P+jDl56BaRXfzVDwdM7YNWyoGDpeHHlCSCcHP15O+y h9LpAXf7OprGjAVJHfhlnXkocyJfO8uuuoBHYp6pbm/AJXzhYr8AENpQ4/0VKKB4pE6QARbZ7aav 0pvqaFb5luqkryf4kkz+/e0gyR9/0DyBL6MjSg5Z9dOKvwiC6mA5aCnakGLLcutTmzI0S6xpx4XL oARZfOHSD0FptmiaZV9MSPOnfpEyr7bwJ7I3HqY4fqWERfwcwd8wYU0J1xZyWZCaLyzGBQaD6ryP tR4LEzS0A57xfyMqi6NwToAhWiYFQp2s0H5fWYHlLGnY6+Y2sfkZIoBs67qlbCjPMTAisf+mqwkW 04wFIJKsxN6Cfvea4Wq0qGvxE0z9fV8Np5EQw6Pa6Zgm/7WRGO2PF1k+KPRa2TOzIZSV9e17vUY5 5ye9wmnLCQnxkmVlhP4og91JKDdU2KQFUdlkxxBotAIrnH/w8SYNfTt2or8ibmeUlk2TTfVPH+bB CJscHFr/eW/hjUMcX8mqXbXsIZ9IpUUAeLOhLa2YaLfLse6SLaJCniOaCAx47z6qV5GAOStV4CwF cxGCG9/o81gWJMTf0VnP1h0lX9+vmknv2ybTlsjq34Z3TQeOTfR/x/f2fZS4P+gqewnfg9fV5bUt UbAuHE9JXlT92mmiIgscCZ5rEneOyhKo8j0SkZlra+VzW7my81Tv8haNwyWogrZOW0gjrHJovku4 ov/vjP6UY84r1XxqXmvSbIXXa84k7lDXii3ADnrFZqM4GKyxXKxAg9fNNtNndA+GJ9AOPe4HyxLK EcavTGA2+W2HsVMb5VW85e+Bne1QFljSTeR/c/63jC6bRilD9StgHXxGh6nwMTo53E9i57mBz0RK 4KedeUvltyeKqRVT6Rkht2OarnOwOS2uaGrEGYFjilgLfQUEREMd7JF/3T3tc18qeID0DvN4LDjn Ui2t2BR8V+dM0roY80ZUBq/Lk3KbDVj86b1tg84D+K/BKQadNYmyy/bMZq0YuCnKn6XDcdqsiblC pEpuHBez4ADfePd7xKJD0uTFqphV7pJkXEpWyK0yyvOzeEugLlPrqDUfL1Qq6flOXUl1dkL1iF9G iBBr7eB8pq0tBUYPiHIbfRC3fJnhsHv6jvTIk6AFpOpa9+a7OaSLSd6Gut9Lw9I3zooacmBOKav+ PhLtDdLKoIHgTd0A/l/1hBrDhjwp6KPZipnnAGQc+3HcSP5xk9n9YG2BcQqKe9KoIKHiInGrEdhr 6osEV3+elVyobG9SGfgyEoXoSD/l6FLvgbivaohbiLpPXpWB9E11MBK1UpQxJGJW9CR4JdBWR51T oAdUul2ZCMlr6n1n5Fzqb1P0laD7cP+OpEZxF3g49OPgAvcMRix/Ju18QFsJhJE2szMPvZrp04Ka 9tmPZX/PK5c1lp6l7eGvp1XGiPNOMDzRIg5Ay/rCZCfcOoEZOGvbwR85hdEn9IBUAnzmj1V6yry0 uhBBu97nIYbo3g9kf+c1+kMp69UyKwZt5sKgMM3q5Ye4lhtUHAkoiKmmoANeb3VxF19bcmzavxzB ylipluo8IJ7HwDEsnIAXedPssbCB6650yUfyMvsCF/aXKJMcnCCPAAje6Bgf4Lccv4MA533v3o2A RmJYT7T5jidkdL5ETUwoce4To/IxZZMulwIFwxoJZUooyZnhcpwTv5cmCCEkBEAxD/BbsBLbegRh vZB/bnEaHr/iSY4/+FZhHQQSYA0+GJIZepV/xrY0x/jOnm83r5XwPBcKpPXpP2Vn4b+C/5S9dgl1 rec7sSmIsFD6XoByUyWYeAJvEcDYQ8Hk8dmGjAFmfAwFEM4lS1qNMLo4FOPaMuJed64+ELMKkwV1 1e7H6gRCpCBWwGWIEsxnLDvpaLGFojoeWbU5B+J2Oo3wjP+1VMXVpf5mfNxIAz/8UKWRdr84ieo6 DGIU+AlR0RrxwkrN5k8Zm5xwQOaiz9ioqXMzZbaH1nTB3OBYKPs3UfoQWuM/SctfYAjBH2ZAg16r GTysb9MW1Lisx/tohsiHTM8OsCntLEED00AJDjzaqFSjJ6jldl7mq+CDt4X1yMK5rW/uGqFp7XTd jk3PCm3k3NFHci4d0sqqIpv5MWfZtwOsAGJ+NLuKe/CdjQI2jhoye9pvU9zrYXKZVjtHTBxB7+NO iJGguSwNyaGa7PaIedsXglFwemUktrYYIJ6yoT+rK+bhf0viwxhuRqTu2YepCQ6c8eID0g5qyEsF P1KvBqh19FBZEYsZaBDGGLUHa68NQaY/YzqF+KeWt9MSLGfPAemDWkxHzWzT/JLZv1djaZLmFV4i 9XEjmLHY9eRPRUnK7yJa2UPDzRMh2FZnubS4hpemCItYl/GbH9ck4QDT9axJf/wNY2tAx3LSqMAM MdtRn+0P9SVTyDdtO7Q959qege5S5DE0wbM4x3saHcrOGOxZ12oSw6U1CedNlERAUBXkB1k+s90I 5V29kgWK78L0Sqt1ll1mngZc9BxYwhNG9dXcXNVrLtbLuivO08vo/ud+ec7kFYRccvvbgFhLth8C euSph7A57rJloHgfUKtZgule0AQz0Pd1vOsFOMZ1a7pII72lbThuF3tc5+0cqq+8q8ER4BTMwhqb 3mku9v6Tbjm1m24bhFTPc208Hyq3fID+cpemLmmNnXmYwVVEiHo/61weH+ArYK3BDpGvxzxT2Ejn 1YPd5B0E/C5Lqd6tcO2GLPnaNIvvTmzN2HbBb2CuHbRaCo+WI/Ify3eh3LobKO80wvIipxnf1CUJ 97u/SdeugjSiszQ7MmQ2ywseU+sV/3avvehrFLWL+/NSgd33pWRnguu1Hzu6fdNlEgubZqlOmZbe wExaAu3bMT5ck51DU20yCcby/i3WvDMXhpbDVJUIofjFNwaIvkaKqC9od5Z/R+NJKphd1FfFBWN4 /pPGZgFYIy+6FoXyl1rJavYDZNl3mFz/g3rR1XcGVJxgdqzkxuQ8XP+PdiTUkth+PJGDPAuw5hnS hqtXY8k+NIG/48oRpqNz2DCshnUQbng2AZI8r8WDwnycUZbsb5+RuoapGj4sNDgPppa6dIVhQFIS LUomywByjP0fk56DTNb1Mg7aAAmIXAdZDEgY2RTC0cqhyBifh4mLT6pcwdjEM/w4coDyMrB3KmtG 6uA8mC9tIbJ983mqvzHB80F8p24TF2/PYuNjz8DzVt1/MBhitv9UtfbnnWPLabePznAXr3k4A09f KgB/OD9jc7iw6wwR3tKa53if9sdWOjSDIP+GAWVrOWVOafVuGTmV+ZWCLQp5luC2bk4Hf1HqOEcg v9jhuYLvdqbhkUe8WhhGUCpWIwg/YnQA4uiP3SO55K5prVYqO6XicRoLKEXiHfmr4hUx4GvIqcRg bbgrdAJxM1S/fgRs7vf2c9JOXPZBw535xnNTmzRqiz/3bTKnKNvux4QoKy1wsR48Ji0U2JdX8eun Csl775SXtBHzDq28koGc5/288pDqxNfhoTzc3M+yyLNbWbjRTBTndiy/GnLZxhgzIhOrbIi+R3Kt 3qlXhfqGB3mGpsIrZeCIWLUQNyMu17gPu+5sDdI8m4RKh4zFMu+N5imqksswYCrQfeUYMJzNVv0Y 5PCClukV2DMgT5epdBFJQysAGAkFPkag4zAV36CtYa2peDrQXFO7O42RmY/5of+BLHNVKBl9ut46 Q1TRSWnWc8Mm1tf9dYfzferPOYT4niemil8Jn9B04D+49q+IHMuYSxn9KwvUVP3C3kNtqcOsnHC5 Bel8RuPddj6bpMUx5+GJYGrAx45pdpADoVjQ6Hdtt86qj76TYqByMrZvpZyrisvs1PxXFnSUv6+2 WExLtOEcYimAAgDONALguVddcrvVQnKIyHW5gJiRVpIHSQxH2mDL4cr5muItkxOWMfP+sFf00WaB 3EHuBt4y8uihtW5W34mTXfjapWEUdUf3feoSBBL+bPBKShm/SMEAj0EtOj4W3Ztur62vYaU26eWv bfGTLAegrsIbF2FOPQowa6Y4DEC4dcDTkJ+RjPS8DlcAxoOwLdOLey/yfHp2A1yzB4GoSzi5zkoK XgAUiHYwavw3p+1riGy1WTmHbOxBc938zVVvoTzFqOaeyNFGLp5P5ZDoF5aGualMvSXr6GYsPaQj lC6y7caySNu1NdLbjTIVqsGTbBseTvA2Kj+7sPARqVbuDOx2hRos0AqCUKotdWrl3g/ZxTcd11T0 TMdDGkGAPjeVx9+9ugrQywc//6468tVwpGj3QVKCLV+1UUvtamT7mZwSdyngyQ76Yj9lOCXvIQL3 kjasNks0OLaU9/3Zc4n7xR8FNmlggGy1K33SQ4AANnOzaUwuzan21hA0AtF8D0GaSc+/ABFB4jpm Lp8esGPYfl9W4xsXMF1+6778wwm2Ugw6FScjPVoEiVdJSlBiQV1qMI0CXqei0WP/wH4HrGg+ALDy 9uqQfzHg+zoqPbmYdCoBppZBTTZLv46jB1SVZUSei52sMnndN/Q8MpLQ5Yyw4lE5zTUNt1yX5WtV lEsDQNK2Gg0PA8/jDlfGPeGk5/ooerjSZykm0J+ljfEVgSh9S/XR/yIRDBs/43YZSw6oADFbWHq6 6xBZJP5Er1Scqt/5Iv35x0YLRDCgtpCLOLZAAtuko5HjpNRqFYSH7eN3GIgKcmph/I1yvJWg5RWh 1yVKYi67q3Gd8Ur5U7JsR3EhNfN6hyqPhNGiGWB6giM+BgfNQjdu2fev1ASpeUcOH2+T30JgH7lf us8J8eUs5abpavgUqt0NBZH0PaPCKAQEk+9nf3HrlSM3ZEC9AJnCRYK4n6wkOCTMHEjNOoCVoYb2 FOsDAnlXtuibYyG1gOk+CwzUyjsI90T1eYLEJFzJBH2y86QqlB2pWGJIQ+dGg6vUPmyGJO6Rr6M9 G9/v1FM8czuGUinM0LTV8S9qrZTwSqe+ETphymcyJS1I+jkLSLf3r0H5PqLCwd2oKxcZ70bGxDAJ GZrI6bDcULoCE0rgpyci6rdGRNtM0346iapYELz5i9AIqjnVYETLR45/CWzSFqIr2PHm8x+daxWv pszbLyvs9mFsxGIo0l3PVoc+xIlCbmMtKXmb4vHeRI1lc3Xcx2+K6XBuJsqTsasZSBm+5YXUhq2T YWQmkQIP6vMbjQfadjqgt4VLhM3vgzc5ZF2+9TFXQEPoPWPTLDgMARXy+xgsaXK7D5muUw4UtSnP iThd+ORKfkmxp8fh3dI8kKktE2/rrBatjeFBpNjxmpRpMkl99JaafUYd8j/o+BsNfa8YlX9k+mmC xhhJ1u+V9YFfMW3dE8dwODV58Aa3c6BFnUi48Mr9RE6Yq3N527Nci01MbRu7B7bVZx0PiXfBeNai tnTieM3IXTyf+s2Z417onp8Cd1vAUqnD33WtOlaWRDKOBQmmY3Ib8rWE0a7sjfDUGDiiWrPYyaHJ g84l9kz1hEkxXMwHdyJXgfmaUYpk7z6gcAFtJt4pPsfi1YBx3/OIT5SUd+ZG/QOuaWgLpI1WnHsw qffpUXOgbIFWCJPrnpD91jM4np0hOzkri8rKRCzIlaokky3B7ZjUg//M+DMAct2q23e8vfos+Zao H4Y5YWGxXz58ADbXjyAxSprxLBfA3gPy6FeTULWdGkZmfmsJqZFzyxXFP86ZBwHtk2pDXKECGLw4 76fkCLzvriwMQkn312VHMhieFVJNqYN8rbRe4s5gDNZq4I6MB6DjdYxiAffD8bd3iPL5UqzRiWad mxacpCGm802mfHUw95RpoY6daOqqac2j+V67lSsTbde6bWyaYobvNV4Lo3KBSI4U3MymkJ1Tik9l WLW15yob7qUdYO5t3SDU/urTPhh1nqjWsaOxgPS4OFR+gNbMG8B78P82pSAYJ7TW0MIKlNVOX2UC tOQtEtdIuNekuSq4OBRrfxPgg6723o0swWnJAtSgNILkoNSkHnIHAT30bF0RFObRQl9/fdVOqsWj bU9J8hM1YGnDL0IHDb8NM0BcBGomOq6GeURVQKUAFraD4w9YVdnDZdRXebuS2D/5AEHax092mJvz OdF4r3CQ68enjBlS5kHWpr382I97Fa+MiskvKbGyVufecpX6rt+qna2K7xmgTv+5Lb1wow1uuSMz AdB42/hdrbg+vWH3cxNnMAeGHh1fPrkOSe/DwCQRQhd5TxYZLUxji8vAtEv11z2n2XW3v8cM/KqG IricokHR/zqSutPb99oEfy9JA2i9xGSf839WeBITJk10Cl31OhY826XiwYO2vvPsKoDInWx0UE8m 6tsO2PgoLtcrhKJ2nK4BCJzSaVS6iAKLFeQ8ARM/g7OyC5HswRuMWXGUWL3nieNA8fFFIjjEjN1i T7rHJr6y+2/+s37pCKXebgMtu0tCcQAZCPt8YvPPPY3tOXeGpFWJNeyGsxXHnSG/sQXwaCH25o4H 7FFtEWYuP4C7cRqmPIRhLWDI48ymeFWqZEy7mGVUfhq/cnbWZRxBYJ1fWwcZpDi8coSuWIWXl9Or 6vrdtF/6Y2E9G+XDH/copXG1h6wV/J3qwprRYJDj6I82soqEhsR8YRbojqSp4uG/7wMvI2HsPKnS CQJrDzVQg0JCaH6xKmJO483nga8v0r6SNIa6hNhpuCWF5FQbuFrv8BFgOkHbgObPuWqyQwyAs2r7 q3XXMj2K2mq2BZYefOp75PeNKE4H57zJ5QBG3kIYOG6gLgRNxkiWqUz2cNzn4k/Q9jzpBoiyohVO ENYs8Mkb8XrNsKYniDz8F+RyZnQ5MnmSVHpMUdbb82jhBvzspqu6QHHktCOXGUeNpUbNFgxskxdc ID3QonweGGELiZ06uMmzNKTnIRqd6XAJ5IFnVqWHV3rS35lYpGDJS6nXUXcpibPvabOoZlnzJVt5 fH8EPnbH14OthM+MmwwSAhT0lkhGJA9EoTLWhX5KKsO0zxBJAErkvqrMYFaHwI8tfkXhaAL5Lzsd vsLLLNZfby/ivCWA2Y84bhZwjsCCqMoNl418BueharIy0mBQtvNoPsETh3/UcBFktffWwghG/bbA fsoIlK6TCmauCl3nz8YIxAfWHfmwr/24+rUKM3ge/Bqa6SswbJ1hO3mBJ8Nv6cnDDjQ+X5kHwpQ6 xz89dr8cuIlenhdv4edPDeB8dOlwV7WSpy+hF+ViS2/fwLj/vzP05BtoEpichvbgVByt4D4HZ65k xSskGmHvou6R7hSDXkdJ3jsJu7xEpLQUm7wfA/dQHZNfQ3lMP4391ueZwqDW6NoXt7cJuM1UBV2K tuTeDz4wqkXNcsZk7TLoWYvCDVYo0iTQXuHTdJ2dsCvFA1Lc+RM05Awlh3TYHlq88IG7leuXpJ+V +RlKZRj+x6iqICk9adA/op3Poafr9bGqw4Dp1xRwnPat76kX7uW+drD4UTwKzydpzAc+mRyixEUn 0Rlvk5zc2DlIPNSBjpLck+5EL7SmKoO7K6bY4AjbffMulEJfy6EXskH+vx+6bJTcRkli1GrTCOiq 2wCBoqI4thfbim1CK2QKr8b0UWKOoLBiZP+CrKbLy4qVbgPArV1APKTdA9oMceHp8o7UKg6izGH/ ZCMu3wyN9/M3/ABeYkfR23HBujM22+SL3TkrF4e+IWug9uADZqRUv91QC4CT/RVjpAxq+Orzu7Lq CoOLI163dqWS0YN/NvFaQPFxKGEZgTsw/e9AYFHjGNtqmN3EjnM5a9KUlvdsDGzsc75TLf9aULjl riGiMP7sRW6VOClS1Gpn+VkwBly6zszmY/PeMUhDqhxfaQH2udu+FFzZaw/oBZkRd9JUNzi+x67K RFEdDoTjdRYx4BkzBx/ZjyWcGU4gaNWtQ+nk3wczOEnkkFgIMkcCwbTHAyjG2moj53v0NGeYQzja x4RDKFxPvJ+u7GS6+K9V85hR8QDtwTiYSICLZetoC9FNus7aPLL1yw6E88JcLqfpLpL1Dbs0Pnxu NcihxfCDd97hT0moDZ6kPzwNPV+RDAXQmkNBieHb4C0kPe6VcrutlO+pbytR9xq8Ukzo14ixR7X8 Ab/0Kp3N4uHLGzlO9vf/2IjcktnnvrLrTM5KeAZ6m3yhYpJK9CY4enabUeiijYD5Hnk3Ee35a7Sy aTw0Ag+KJpWpnPq+4/Alfs25uc7BkOZ2JdJvEdjTXYcgjkCRIboDQOAiAvhUy7tqqxxW/ZLp5tYu bgjEdLFqAoWm8K3dHNSyJDz7IHUo1DvH6qN17g75BtKXoB+qx//vgxIWQaHx0WXRqk2k7NmIaygq Pej0xRMdzalLp7HJOAhZv+cV9s9000fXDavKpo1qr/M3iFibYDbf7xpusc3KEP0E/C3q8zeZELpz XbII422McxrDjn+IO5+4cPprfJWWVIOXdQnooYOyqr3Rmumi0meYpCYO3EDWc6YxwL8T6jwqAYsV j8v4LqgH1FqcdIpYchRSON9mOmlRs1vAW4T/GPNeGHnw9kaF+emR+8N62awF5YHCTFu0ZVGoaizo 2Xf4xRRRiNMRyiMfQp481a0Ve6QI3Ukrsns6pcHUwtU6EvxFBfwF9HOA0Bm8vNl04yfoKez5jdPf aIEukvPEBQaB9e0LNY7Ru4+KRvHjg7xvJ6AtIqw/woJYMtOelRQRPrRH/cmTqqv0xwvEU+8gqIoD LTN4j0eIPMKhgdK6WknsCxeShOuDoHlH70KMKAppnSpMawbhBViHYqVDi2CMMU7Tg3ma851zC/96 aSt1MF/MAEwW0kR36isRLi+4LmJhaWApp0xVOuvs7OYhf6WYnLxHToM5H+OAUG+vUyLnVsxkdcdD ik+iZ1l7WH23v9filJPQ3J5YHGihfGbafyilARwCcfmEJykCSjgH7CZ8QYYKNPIYXOP958bLM9fQ Bh5qhUV1hQpuokz6t84Z7d+ckV8bIJv5relsDLD7/XOfr2D90HSqRLD/LZXk/Tc5Dn5AkgnfTnje pj0pktQTExav2dvMDRv2d91OHyIEdQnSv4sci1ikjsL1Zu8FK9LoAkjsgKSzSmGt3dJzDjbzyBkx q3fQGsNvCP1eiQKGdjfaJBIpIGjxnS30faopt+7vStvx0vzUsCxe+kEbZZBq3+Or+kIJIViIuC6N mpq7Pkf/3AAD2EOEY/HOr03bGqUCdC0HwpR7CaRv1V+iZ0NsiL78tv2Qtqwx34wKlgGY5jhdXEN/ kv3lhzSWTqWs+43LVRK+6QbXQgO85Fdcv7xNtlb9yDWIqQosFArZX7wPGN/vYmRckjDyE8ZFYMT3 tbcA/ZgsHvCg2Q9s5KlSmCtdHnidnDp+31eNz/wh8z0+hsA4Ptq79g8XVvDS/QtKGqyXamAUezAx ww1ZswMxmLQ9benVxUVuho5Nb9uzC8C8f45AQEVkp25W/WQ4Yj5nNplPJP0VpCKpnemx7WlTEkts 1of8VKX7de5JvxUkPuQJULOy/d6HS66KU+h6px1BO9OqIXNrNiqUPuFdqCmWqe+50cG4fD2r7kXn HoN2WOXcO0FOpcoeafDhFPN9KUshqY7ljHkg8O54JRcCSppf2r+NCOHWWvSlmDkhwvnN4fYtIBFG WNEL4M1+poQMbVzfu2o3dMxy1zLpQwnscdAwBGP5N+thKV0YKmmy5s/pvkpv0Ui0VWFVdFVLwjqZ TBFJuSxByo4WS6imIXfOMkzCcFjTRiY/ou1cGBay4OksAbHa7hatZGFUeAyrtIXBN0J8ipvcniTj +17z0iuFYWZ9FiWeJrZ5gJErkJgCpv9lygQshZjgRr3vZS57TfdLwc2CzmXrDyyLGGW0rbhiiT9Q e0DrslFLYJIiOxTcxfK4l76tWs6WUYDQLkSrOAsBlBLrl9zYpbrlD34jGRmGMSyubUL2eYHfFcX6 +wJqcUtMG86vG0gRnHxiTLSM/73l+jO7YIV9sPWmt5LgwADLlVTjUl9IGg3ICJdl5v6+r5dZk+1q J5moCGBZ6VK4N0tz6Tqy3cRg3mnh83YNtCXa1Ru3ENXiD0GqiCevj7lruGH6ki4ZV6vs7SjHPucy 697JmZVNTCLA9jzNfjkrDv+XZWRm0v5/Xt80sq7/azSa7O1d/pqxwoO6QYSkW0athg6Afecq0Q1d jZgzU5A5+kcu4zvOmaTOsJ8swK/CAzejyN4haU9T27+DDAdP5OtosvwXKpzVpmf1UDKoJ+DJgL4d 5JJrKThDza1JnLXdeBmJes4oDuNqscUz1otFiT2dlsXpWkXij3Mmes4WNmpBBohNFH8n304ydMDE JhLELNIgNJgd8zwYg4bPvMPsWxxvoDFHKZbn2H3GIrSiO9W7HaYd7ZsP3ysZ0oRmXwcs/LoDhWqL U7VAPj0WE6IlVnEtkwwEsVj57680qexSM6nLI0iGuAD+uy6UuvLqmIhcHTTSLOBxVx6lRa71FtbS JNtQAzNu6iei6ifrROdSIm6qeoXcvo394SYiGOk1kxGa/z43Do7XO+YtQSgvY+05EXWUybbOoUCz 1tqLCwHqrk7ohWtMcBWgmWFbY4nNIiyhp0ukbpMC7/QXo53HjelGBYmcYHdEpgFnmdHXKMitqAd8 Meeto0qGVOGJDvhCEcspwj1xSpd9DbXDtdZcRrMt09q7XGK0rqSASW1ELvz96Ol4qnDzFnHSEO9p waYwytuMFm32VEFd5IdpqLT9YZ1KenTNIesM7CBi1Pa0m1pn9K4UjGQTjCR5qMhQfWTGjEOafp5s r0/mWTF9Vu8KErIZ8HEdeAyz07eKhh9oRTelgqmhLttZlQz0BnsSmd1nAMh0bNSmq5oJ3UIj/dpd SB5F91ojORKz2dkfxiOYEuUseNrHSxo3foTxs9N+xRK45zEJ/I1j22qUmGjV/pcnCrteMRnMZHbd FyEI6aysk9PMEUvEqsPmWHOSUd367VF6ozwWJImZd3zgZfGpMHnRtEapoV9QewK4j90dYKaxtogl IUjaw0iWfjv0fATTkeTQlL8ak6y9KIeVrFwgPQPY0rsAhvSZP9ZqsVMW1UmN+Akzt5v6mgiTqWl1 epthPYUXVLdNzQoWSF1//ykQsnifNKBXr4NdGEst0x+1ToE8Jv3p48wmBn9O2kwp5mYkRJSuNfP8 qAnHiVFEwJZDvuLd7zBCBiLAt1aFqHuXs5Jaaoun1xsEfkov7O7bW/mxIroKLw3kwNLjAtFdHxxB GMb2IVHztBTw9Ms8/kvGOSpsEe+7SS65rP20/npZUUjUduAj4EZBoVTiKpyy3i7NF7/FF/oK2oiK KxYVkqC4C3RvNmTSQfbbKsVkbcGbf909yv7l7hy8PENSXuQL+Y7+wUJaHHG/tfSqlq3DFPy8J3O1 2pyKAclF+wDf4OBfmZnlNV2GszYNYPWsDxjN01OPY/A6xrmUGxrO5yyu/JargU729u44AkYF1SbN MeiXkgqjs8EgDBp0XlGvy4FvyBo1NJjOHRJEs8yD2N9o3f4/MoOfZvG/wdLkRTpN8G7QqbscO/oe 89t4SQhOaIBYZrosAVI1zYRj1E8se8U39sAB9d2e1SkjLW7D/gdSEeszjsGbMpreev+xOQb9hiJa apJ0clHsX1OjdApxPVsD9IAdSFCx7aZKLZd4fFn/kDN5i0QV/8+VOWBGibtu8F6RHwuMsnPrW128 LMCxYmm/auAbpakNXAEbvNjcu/sSAxULqiZINGcIYi3v9L7wgY1BQJnN/Gu4xblP0+Cj/uJGqkUb brLCzcU1oinwporxqmcfURdv/cdjyOYnmQH1UpwekzzizjlxVCXvYtcPlA7USHBHvgvDhEJunwIy JxY9ERxQMdBGVJ/w0cnaL5GSTQh6OnItLGzPyweAFac/HV3d5aNb9ggqYthbPDdiUWPu8/dEIgJS OBCfyaNd2z976H1yyF0ux+jK0ScW2O19q1ALKldhfXkLS+MZVppbCdTJbRFLp8iJ0W+L5NhHC/Vq 25cNtCHi+UmdAGBIUKDnuAxip+1Ev6e09SM8QRHZYbxTp4TaHi7g1/rMVF7PCC4WRZ2uOTx4Q2fe 1bVZGdxbbXkkaC1Q8WWfXXuF33/vJ3W2lgXFVJJKO1Nh8hC4vSeOqLkGeqi+KGLvRry7g9vlEqew H3g0qX6XgBrTXWnlxpqTbhBevdshU0NV5gxBeLYTxy60sAQJlsraCOQgVTJtdgZY3fUbuCKgVxhC vb35SJ1KFGgA82CnxyB75mV9fjVGC1Lo0h3wdcz3w7sOgqbMrQEyrt0Pje7BPDFwlSrTeAukxM41 C/829G9gBbnDFeuAEGpemS4IYXZWuV025vPYvzYmjGyM33hoVE++xpG/aLl8ZTbUcc++EJQ4K8My z+7HMWylASbYAVe4Rkx5UJtlGxMHyh3qcx0GJ0n+sIJUBWrfPQKgcXabij8wuGPKUVoAaNRmNNWh VSS3SiX2kZfVcJCu2EsvRB6doxKtPjtoVNLGfhQ82NoO1gkGpxb9cmNJUVt91ooQLUwSopROFkZy GZmn+pp3g/UkySiWOLSsdQmhlXsGGVgAjQC7TCYg5dD3yCfBGzGYOaKh2sLNrJ8/xFew9Bu7dYMT 9Ntk2xPLj+sHO2FWqPWL3SklP5e5HHwICKHEFkhculLHr8ovm5MtCeqkOIPK74bgHChhSSiAtV4z 7HvVhdjyshtY++WCTBh8lHP1pum5I0oOnYsUYT4KxGBxLEhQALOaZF99h/iaokONzoK7Iehw9Doi dGLQgz/AenNEtyvQFC3OwlpL6oP8vsyeKgR+Iti+Rejgn8zmNfxmoLKINlrP6CdakoyZePPZyEsJ fbp2jWQUbtUCqP4awe1lXHXO5YaNI0pVSjZu0LDjyDUzeBUbRsq3mLB2l8HUzZv4jHelM+nCb3tf 3KwApnoUE611DcmDPR0Zn9Mzz9miW/QAzxBrXh+GN50ZhiUnG/Wipv9rEqzRrz8P/vhjLhn6YPLr Aa0IdYM+z2BwTBuH3khiz6Nr7JPFCoXOy4YWez/NTOjDahHnSdFoxZmLl7Cm053vMRih6NLVxg9C rheccQaTQ7H8IcDOiVvZ6klZXEvuB3fYvBbqpmUjSxcqAXCILLaqshG4+RDN2EVoc53TUycQkHoQ Rmqb+0R7UalvNXq04lfmSWBYnoQgBqp8ABaRLJywyJzmeGSxzq3a1XfFMoXP29Tq7JRoqERVYq64 KOLzUiF+gVDVg5Q+szRzAOq6ntp2fAaRx+472Z+urTrzdXfpPcclC4MJSPk0Ba7tHFImqDItySvn A+Ej7FCUIYDzNosEUgrt+vX8B9tDoAff1q0+BvPjzmhNv1usk9GOVUL9FyT63dLh/o2feX0JI3kZ W8lAr5xGRkXFYguwrQr9Qsoqqz4Bcj/4XV+xWDCZFqDfYKhFoia0RLVMmLlJLh+bMaWmxtJsh07o DN2rZIyDcKf/hZnxTd29rzBsFm4giuqSSqjujI7I5hwo8ieydKd7bk6gBp8/7zwSW05Ty61Lmseq n/CZmiLRFcfOmbnCQDNp3+FFKQUxpaqHU0wM8dQbJ6L+obEozxXsixesCiW1jyxZr/P/pODkfzVC Sy889Os6gniUYVOjoZohDyFJtU9AtbZr2fTyE7SehrSHpDpyHV3dlTIVlICmNk6i6XEa7uD3QNlc 0qu4ukTaAjpMSeAWNo+PGJecT575vHqlR4d6roqXlDoVGSxId0kttu760tlpIAtyDjEhw80/jR7D I9hvw8RVfZZCJJgw0S4nM4lbNkS3KzzpklXBr7jwsptYL0J/K8tOX/XlOBVaB1ZE2Ab3BYOcSRlN 8gUTNohwznPYaGCp3IXDYxySmnDcebRIdzeIRO2iXuktxtJhOOOQvdIWc2gVM8bIJABaYHmRPK/E /1vZ6JXR40u/lKmBOWNjCcSLZOMN3JZiAqZVt5CXoZLBBu/gzNTTjlscgryDMSjMr70sD/2WgB2l FX/zgzSvM4NRDXqZmirT8mIgxcWe7uqWiB4l0X5r5Afq0+PXkNEZHe7XmxZPiIKfx0S4EJpYPRc/ TULKlMSNFIGthkV9MIfZiVs5JEl8bux+zERg3/l9k6Y09H9AzCE+VsPh5XxX6jCp0vB8j2xa/qij YW+yaPNvVxLSh4+wg3vgOla4Jn329gNv+BybYV7XfOuwhdNi5fz5Rjp/aHYzuhdcbEUN0yAAuEph lREkWLKMLDYZn4fE2j1ZNNwYZjd2DE52cbtrspzdUaGt7oLiRk9Gmte4TCDH6/vJXJP1CXFWcqBb Upmrh6nD0XKpb+KZT1NDVrlRsiK9gqlTaIUjeydTJi9PrRItrCgOL21erMndpSdmpV30FiwAQC8U qEScOxto7VOlsHxW5Twq+C996dJMFCbfvxmwSPqDvBvMnaoBBmiwToQ6uiCI7BaMAiL8zX+gyfxQ GiSKA4yBkSaS8qkgJsIJufJQeVmp0D4O9T2Zu/98rxxJIlFDBzD7zBAb7TP0zl9GWn8qWhr00VlC OSQcnjkpVSW2QsSCyrI6Kfvc/+HdBBU1SsBdlzO31+3uf1q2atmNONMY4b/WlHOQESQur/vNBR08 DEDMPlv2xPx6H8RCAMKIjCh1O7Pe5OxJV9FtPz3blOGHSvFkw23k+JaCjyRIRWnoy/zbcKrtkOT5 5JxoavVu5X/8iAlETEE9Kfh7M/XndmYHG6dyVBX6P+FE7EcsI5lX3RLptAtiy+Wx2hbvGGc6Iphu P+0w192NVk9NjhG9tpQqhsQ79xSDavBqUjXPIV1KLgeDvH02B0SmxSTccj4tchHOilLQJuwPuH8O L1DF1243T8Bj0aTwrzIsp40/TGslg9ttygLJd1GJLRy8qSffVswkZ+dglAPi09FViQkAVI2GydbZ s/Um5DdTU4h3xqzIY4ymyO8nfE3xhdVJi05dVkayi/n1JV+tfUMtiHz6giD8LbxQMXhWivf7xHDQ 3ovgogIDz6Q4UBN39ljizE8Y0P+MO7K60R7ERtceLLvwmz/eV7YnYQCKoOT+/rf1roDltnnKbE+A STaRo9rH/CY8bpzUb+roB8siVDbuuQzL6huIbN4ePHa6mKQb8WqhI7LgN0b9VIUqFfaXIVnf4nzu sg8JSs5iYh+0IYLxJgkHYYLzv1AIBzuXpmll2C0Y3mN6gO9aMs/bPg5mlWoij9SIHkeYxOQ5POob 3M49Ad3ISNkfx+O1iptiX1W5ynQN9xjIKIa+odhuYjyy/WTmcMcQ/B2nNivMd6pJ2xNQQvI2cA1g 8xfHDSWCnyA6pfoGdQ0iDTjtfQ7fvNlNdwa9xfSmEHeo95QFxELm+2oCkr4RenLsPswtz1OanPdg 6Vce+7v0MKKrlJEzKyu0sVakZ5nMGGRdyiYedQCmhqye5fDjZupsuiosEbG5kYYeL7btpAkhNIg2 8/Ek3hfaEo3SR/Vk09X/sr5baUhyDd4pEu+BBmxgFgNZ/ZOsG9uCE6Q5o9iUJ+CuryMHraQmw1f3 /4+oEqkitIrCl1Ya95rxGfAhfyDOsKfQsj0TqnLfSC6UH6jvXa5d8S0s9zGEqkVqjR2uPwdbPjgy sIkBErnEg1xYpaY+p0qdEOJctuPwmfyl6lB2YWqKsRRduGoGarNmk7xxGLc0IyA0scGU1TGJNW/7 UrZXtDBCpkQTFIZTxh9VT65uODbXgR3WoyNzuMNibeuSwzADcuqp4xHczzkno1RwUWPHbHM3TYZ1 0Ymdfs7i9knmdTcoLQm9F1dY+IbMvLTu01X6Z9nRygnJdnNfoFcY4p8+eMTSUL4h4oCB1jlNxgti 7k7xndCB/H7a5AgDGiqqDoU8df2KCiHk1bs6IitdSCYuDXvX5b/3ILW3xhhK5Kh/WGHRfP622OlT 92kUn07rqb9KjwQOJbCiV8/7dgPDhsw6RRk4tzfFqF3mtEauGm/3A1ve9f8OaPqaJsBv5mNjpdxx /v8RK6BJizB07qkGFHdJiWF3CS1OUED3QQWV97yZI+5IRrTsqhTg4JVZDpHWhJH3zGRWzWU9sB+C bZxwCSLizWDMx9sZ6A/T7qjinMwnDPFIOSo/ZRjWVpCtX3lUvdH0SAAqQWEigo3Yxh5J7UpY7VSX qIf+iLqRQr2EkyQWiHrtMV+/VDNGdAqbgnkYZvPkbuxkKdDJoK3DuMoQJS0QFF2TcaQpO71kE4Y8 tyNoV4auBeElo1ER2QCjYJyRn6i1rnEcxUwK7OVJNROr5X9By24Lx+iPqP+Kg8y+cQYb6VIr6jef S+UkBA/7tWsM2yvAgQ50PJi3ATCMFCBVDywIuwjwrt/GhwB5xWlZIxhJl7DIiR919lOYxCjFdNc3 EqeIDgR17YrIAaRMCJ89MimjkXMt744UTdTe8mU7YV4SIOPcP5o8YL8UZ5I0DcrakzvU35fDbMcW 4tvsoUvbxOR7AXsfzYRs5Z1o6fnYblliZ2IeRRuQQjH9Oypo1jVCM4tK7wZYLNDYFbgckAJxjyKq 3YWpIF36YnZaXhUjTXWFa4FFp8abM/YhTRuHAd7YfIr2u7sWvTI6DcEJ0SQSxJYxIY2w1l61U6nX OdLY2zBG9HpMIOGsHtwKf5u1RRYLmOYTx052salaYDlNETznutZ3w+9/ImrDzvHh6Z/GNmCqV1RO 0sI6ZjcnWIJjmIs1TznGVftqhMS8iMcSCI6trOwKF8XHbYn83KKh3BOIKdVt+ZXgvZygLU8kyaDF BIyw2M9+VxhVfu14cvOu3+xWJjYIRorxGRC2HHTO/qGDytzm/ZQaFUsHm3v+WCqM2ekbN//nTD33 L4CvhdonKRcEjiceW0aGsnAtt1awvkx4a0RFLd6jFNEVBeVN3yGVbt9w9SkaMdeT6YbUPRiK3vK+ yoeiEVblvdKbwsAxz5P/elVLdOyxzumsuGutT2brD+QHbl/WANkmEuh6LJRl/Ta23fgnvv3HJtda i0kJnXEwqDnmVB5clOQkiR2yyExXNdcrqBaBjAn1oHRUuQ29nvTVtFwPrpg4ADLehZFYL3JOHrIk OiB6V9H8MxQ2vNjHEGquK/WNaBgYzRAcskAGgkrVToHLl4VfzJYRWZ8OtAM8S/NpXtyBLJoFcYgQ BAZ7NTRPGz1SKPo6GOuDWQnEOoCaS3TPHI9Ft41v4zEcY/2ZQ0c0TCgbkef6aCZCVEVqy7D8Ufqy 8n5SRYvnMIxAtP1qPidcVznkdr6lUuWUROWmQxAR4ts+4LYAk1YL4AWu5kcm9jpKw3lP7C9emQpV xHouoCV5kGN1L1/WJLjmFxqfyh18REUhaEza9SB3Qq5EVmfPYCr7gkZ+nxWUoX292CniPR/KoHii zkASC7lWOwBTP7OzEi6G9j1tua+d8KHBLoplRmW+o48QBGJOVskX89zpOQORsjTivjzk/Qbu5zdU 2UNR3xZkfv7dJMk67tXkE69xQ0Xg5geru9SPcx4MqFogQDKsgGNvF7UM3M+z8QN3OKHTnocFHXoD CLLmNtwBpSn7Tm0jfjbmh1GZdVOS2bZzjc5oRoSywvlaRN/Rab1Qc1WGrdWdO1FkXFV7zMdVJRSj N8DognF5QQ/4PcmGPksa011/biuTjq2bG+vgOHeYGXtIxQhTHP6xn0sZg4rIGiNjDxhM+02kd6lG tWsNExsNpihMiWyYUFfUriYnn07NtN3QNynI9lsijjarkTpBBPW83/U39I23nX14POVuJJW5xfVl x5nBSU1ub+zXmMAxLSihv+ni5/9N5ExYx30UfnLTruWQ8mJc9P6K9x2AFIkjjf6wndGmGCvOx9oQ Vs/socv0LaV52mrBKDh4ByZvh1Uzbx2byqGiRnv5R5qU4XfkR69pKbY1CrMkhLhRQmjWz80kMy6K OJD9Zi50rJGfnrDJ/Fy8DCAoRz7oPq0zW1rgK8GwrD4jfdNqTw26D46mU21IQE7d3OPkE0knFH0e rgX6ZZ57h/tFCSRAmzXXcBU99XKSTKxR8oECUb7JIKwK5d6mC4nFknYBIU3N0O1uHHFwwcwwRNv+ +Z7t2+AAwQob7X7haJpb0la2EdlG1lzyuvR7v4Gj/WkionRzazOc9aJti0p9HHr1JMkSfB1BGEJl sTQ+7LNz/goVLeAQIJ4qfxawQY4rTA5GguavSK55GKIRY94lV5YGC1gf/NmilRd0xuL2x5XcKK0y GXiDQb626iOS7xf+tFrdjVHhhJ+w2CVVTF3NzdDaMXYAtsqTorU8eHi4Wrbezkh8lBqWAYkAXKTX 1Wn+KLp3SWsBtk4ReBBfaLO6LkdKIfHH4QvimiT0nOxTQK7dv2aytIzprn6tNpDdNptG+1iZnALS SS0TN3kWRd5UtnQyN5adSQpzymXSI6dNEG5Ij3USlQt1j2SS24RuLPga9qgIRrl+xZ89M0IfuYD+ cH40MXkuLlEBfsdO6DCMK8DQYl3p52eED+uVOCDhR8TvWwMmBc04i4prQa2qgf0ugAK54ZGScxDB jOpaxBsT/vwDeAZkOCcEKzyGYti+gXeKam/EVrzew/CKavDuRlKZcS8BvELaOHFAflQ/tSu1Zmiq wY1sxY1VojWAQS8dFVamtx6k89bPDkGwcHsnTMy+JV4jjxd7XICwY3Iriss4GqWwqxs806QwDJ7M JutyGMH2jp/Cddf7hACp78edrxH7l6Kq7dFV96PXukHp542rGqgTKSMy6q3ZLAzWjdwMjBUn/oBL ozWBtKD11gMFQHNOSJUwjBzFptX87m2p57sKr3R8f/fkUyH1SByKwd7MYjYmBdmSdq16/9IVfwhM n1ODbVrOpoIHw1+hcuv4CIijOQ4Mo97otIebHTsgjzKXzFKXxs7NjYcl6F903Shb4CIpfhCmTxBK m0VL7tORSO1RzN4tOl8ajjMC5TsCJaybW/bDcaue7+BhGgwZC8zdfdHn/SB3FloPwXla1MUOHw+a 62njNwrFvSiCvUDwrBPBXhbQamiZWk61b4DjreCj9D8KVD+FCOIDgIN8A2cBs2hDwlfto5VGDnqn PasTzgU0jSoQZ4ZOZI//QhkeF88tTYOaA00VJsHBQH7ZIQej9prcvgq0yrHHc8IRuIbarAR3DdUS 981ibC6YUnLaDEXDBjlTGhCUczR5k5kVQeJ3Dnx1CXFie/s2MlXhwf+vxwCfISlxdJSIKeEPYvlc y0Bh4DyJAX8zrA/CNq9++xgXrhjkKE4QxhkGn9+SrGeTUDW1+CcB92agJ6Hae6OMlhgCdtJVqIg3 6TR6sHM0ax7o99Ei4TUTg2L0Mdhn+mMuuvtzwCAB4/9EzdPWicPr7RYweZYrWt4i2Bc83RcEd3Ry YrrLwZ9sFKiMaTnIcf00Er5SMuQq2DzqyaW/uvZ059qY2wovCuPOUKPyBzlE5hfEeiA6GXwaJURh k5c9zzIeAwyLRA0/XmX9bOd3goVfDzYfhw+ZIEfmlMnCWC56EwtcMbtuIyNna5FUtfZubs46yAKB eiXVdJa//ObBwa/3o8SPrRkCEq5pdGHcw8lR4/9ZuKOMQ0fKPejHg5d3/Ope1OPi7UgnVKBnp3nl ewJNMVrIZu9oRd7ZD4wix/51V1IyEIlRVntnCQJx1UJ259VpK4HQEMhn3x05KTaeHVvGMncVWOis mErelKC4c9WgB+QQRXe5dvnQX0skH6R1IZKSVlUVr4j/vG8AFrfXOfPitDfy/6j0CEmT1yufTpDv 5KBrhU5h5HAYKEMg12x8Kw7zQWLL0yEM673LCv22Qvnyws5rjdn6sK8ze9Q+mFnWlklbpvmRX7cT VqQOEYbbSgqW/9l/XWvxDzPa6JpteaMb2KrSjI7Bq4D81U7pYyVeHjmD8he/8Yiedb4jI7m8aTR0 O8FvRpbVnI4MzDu06ijYcohv1ewKvAj+XwJctSMXYDG4T6Qb2AnS9YkI3tm7nrx8NedJ+Azw82xW z58XryPtIh3mOlhudq3p7y5allxpdUJYVRpCxztUrfXCJNxOhNb9JTie+zioeB8isrOUkdHjfzo2 MgJKyA2Erx9Uc9UJS5NtJgXo+JDf1DITCRvBMzG75zQsJEggUrlFYfd2LdCRi8cGmzBhUf2M7jur JDMPznw1FfVTNmdQNrGB2GP1jA1HS024D91NVHF/xnxqp2nGSdpBCRuxaMFJqYyXS1OkvxSh7K5t OPlqv3YMcLEWGIN7o+xU272tK274npIKxKO2yZcRcAtXSD/n/BYQoBUELt+r5gbztdWbhpdnYhqV hqx4KLPVb+7RxY0YPrylXXcslQfoojJD+8P8Oup8YpDOqLgiK/rZkvnItBSMeoAMuoyHESmdzkPt 5+onuz5clxpZd3p9KfFbj2RgmHLyPevrm5oHO09aTGJ8kczevOG19b/cKhqFmxNmYnQrkJVFBfbx NDT9Em3+tT6FToSPabOKFv5QwWqwurpWFFwJLyYHcwmTrQBja1D1TSuDeHl/FKCwG0A9DMozo5du F/JUwpR2RgCVFnvT7g8wgTCB16OoYxjx+8NY/BANdfy0/xVj2jJxH/dZHG2XQWOoExsy43iSPWET hXhNRbRto6DAHUU5p33X2fwfdSMVJ8kKBKrnrsXw2CHLUfPLg4qOgCzFZBOX7cM5Q4BcXYWlIKuQ g+/4T69lBIyP5My/YjgBsjyyJNTSJ6NlLJYMDBrViH2ohzv+e4gOeH2XaAY5QjOSJzh4tJ0aYS6p c3iBOs2PcdkNIa2g6WjuQPO5NEOxB+VGZSR48wfJR4y3QlDO/B0gYltjqmDmQANMNH8Ua8xj9ojm AGuwAsbkof6+fTb3zoqy2I+nEk1KHu79Pqs97rCty8vM/QNWlIuuBIMCEPnQw3Z5pwe2ObPFFnT/ 5kmaQxy4qJGPUGdgsXmzIw7TJWmZYoNX5kO55efu/vKFswVQcQ4AY29c4XWw1dQLtaLUK8iX+GTA 5cQxs9Jr43fXxaZ84SO+8vjXIeFrTJ1a9eS5B9mUBc7K4Xgzd6Dt2oKgCzSRJ+KvvEOOoady5LQ3 jqp4SJnl9ENstQFV4sUm2oqbi/mOZQi5G029VWE3BVZJX8NLoCJBEIgQ2wVXAX0T2RZ0fiNkv9Sn ocEc7uUYxORU59nRrdig1DuE/CCzKEkIE/pP5cdK2R2qQRl0hSxOFMmEJn6rvFyf7ivBGwuNXL+3 dMg++InaNtYe7NKof6GyWBysWa/rfCqx7in654vh+KrvpLUiFobnf4nlTynFck7K+AvpvjaYjF7T PfR1bkh5BqIZnf8dBEWLI5keoJ7W9Vk4Ex/cBaw1rghKKbGVh2GjYClSUFudrsn5in1RXbv8UDsm MssQF06yyXvfl0Od3Z2hcGuR//USWhqavP1pPMcKPDJdv146duCvyfcVTsSuXBUJWOK8sCTlWZow XZE746yuyN/6SuQGYjcwh+e3EBmChQ1Cf2rosnqT94CPaV3BcN4m4lICJ0ie+AyZvEuboh4fE6gL a47SIDMqMpD9rb6Cx18QzvYkNDd2Mv4K9cMfKU2V+FjRXdv6dTCWFonlfWJ3reo2ZPYneEBLiN7y 8LOty3lP+urYRrqocXcv7jWTuUyxxPtAqG1ZCw6b0IJvDr1VwA2eHEznbgWz5N9f79bsa3PxB+dB ZEYHQgFczCRu699kgOpbbmKHcbUFX7lAMNL6cYYL9FFVo8eyx4XrzLoq/kUzCmDxgOaYMK2WwNXa x45kY6cZecfT7+xe99V4UpNsUFny1zzuWttoyZn07J76nqCtIgwAzrGKGu6toSm19XtRuowi8PsH Bqn7qeaD9H2DwCZIlYhD2uRP6uh+1RVfXfmmEDQ+OXHTmHUPjB6AGwEo7Juqi67VGJtIR+klSrFT oXWC5+gmnIy4zVXrAc+jcN5aElr5MX+qRRPZAywANQ/zfiBvwJa8SRv+YlUUoCS+3g6V0xjTxgAB Y2LOCldsMll2F2jpy6Cfb2J3hhudgTGXYmG8XRTwzfkPbpeOYXIqMXMpracJpeYmtsKkkI0bB8xO AHAOw3m6dgiqKWk8Aj3/ds+Rh2nCqfApaimLCreiWQTx30VNFabmNojrGkSmgP+GlqI8g4T8OZV0 m4r4mGoAEpm0Xv1CIhzZP/xKo5fBXjwO7RNdjNb8YzrVC661vQwSgh8rAL2WWvuWtA+NUYpNMZCN tquitwLVJxkcx7vEyKasGpjKRcLSgFJO6uShnkWQCpvN1+D8oxfSAJvjUMGccSu+fFGHG7/mR8bu Ulr+3a8BJ9pqqDk6tt25lCrvLTwp363FQIXXtdZDvXxFNXWVjLk3hCZhAd+X0NOw9P9LXMblqX41 kSb0NqmetU3q9Jk66jpU1q+s2gGNMwzISA6QOmElPOpnwT2D6PNZnZ8zwLezPTWOH5qFh8uhymSP /hJ9NVTlONyx/K4QHO51UfSVFv0JvcsfiOEXIaxCaIxarRBnkaCryFpAFqMJng5iz91M10A/Smh2 mUI1KEDI21Lt/AkfXcZCiwRfy4hBDZ+POyyFUXZ2a2ra109mNt8fEdfeFpoT71F0jy+6avo73FWY S6ITwSfmKG1Yits/yh2gUM8G2f4X/OryMMHnvWXXf7PQ/FUBsTFUuGb1Ycoon3DSaw/dsqvCmYKR 7e0iu7g61d+Tn8wxu2Bh3BeVV0K3aI72ZOILjD8FQije8rPc7EKLyVk05ahJpSbLNqKfwAMsW9NT lBrD+vIcdR7fnOJdB2o4OwiQS2VO13fJxvsQAr55PyP3TmvykJLUUYmSDLDo43yaWUYF6IldeMK5 9lMOKhIdp9bY6Vcwfr+XksARnugpRVIPQwpMXZevSaxGb972ZgCEwLtPd/RDT2RM5FRWklYix4td jUZSC7DwcC9mkaitToDj5DlO8900REwTEgpOAYW82JxDxBxiofKnP4NQVCaomawLlTXkoQsuhTS8 rODwHBwuipvsLQQv3vQZalMY3DDuiBKkKPXfXAtwQi6jnHWJUnBmlCSOmyGK+7hcxWKxhToU8147 Jyv1l3ah+UCKNwwkACgyzM3KWfpaL0yT6v9U9gMt7v/ZP8Vlx+JEU9d/RS2ngQDAhBxXtYyIDBEH bFjkSISldwl++F7gxBT4SpalnwncAjhu/THo4HY4D8U16CVfsJO9h0Lwh5pVomzb8/4DEslPc9z9 7IclVuyVFaZbkeYNTXCGLJ5nykeS/e0xmss7sMVQa5U2Z6woTXGjkYgnoQdKvvD5JtpH1h0tY8/Z 5jgBMLyr8u5roRZRRty2TtYkUymOLFxziuDbuNEKHbcFofEt2fDCTeBPi5YeuXUQDTKFdvEHpMXV a8QtAjFikqUtcj7MjWHuZeo1U4+yzJuAqboKDQ460duRHp8RoVsYWVXvFgrxckGKVohor0FhArWQ cw1rW9Ax1u5xsWeQHgOlVomvvyUjdiJiBs82Vm8PdLXa/LsABK2yFrPHeM1SG98ka3eHntWj4L3w TamkVJCZ8uoNEZe3apXzMsr3v6jwFye6TA1w2LQNJYdaDSEL3yQuRQzdwlqPjlJrqKaqJXf/W+gJ qLlDvFGp8Okp6P/UnnuQUcejn6LpZk0pLAFP6CZZiYq9nOIap74VfkvIYXHpGtyMM5fqIFnZL2Z8 EbQEEL4jr0T1ITcoBFMzTZODtb10Onf+dyOYkentrB0/OiRD4QS+98V4Y7s4TyGFrYpEhueILTrc GHY9L806qBCEXKqqHolpWsDDLwOvqBPshj5yHuSN5OYU200GSW0kiLEVG9x2GuAbOL5scQ+Ude2p X1J1pwzXb15YPIV7DPo909Mx3FlRWQ6ASTrMuZgaGtFAFolLORfhT7Akb3sRXD7yceONGDh8pUH1 oHiMfP6IayYWYRWRRIwLcSOV3faXcjwsyUklrGdLBfymAQrz/O63nId1kg85PySXzVrxpGr9+rwQ 4/QnKO346yQeT/jkdDPVaLevJa4dUFaa+dDFWdxL75amyhrLyon22feMUes3Vw1qKB2LRHgsbofl uzIVTTOoRx8ba2tyP+COmlAMGn9cBSS/bCDzM7X2pVFnxQTy+iGmrioXIWwNCyn3mK5vfulM4j+5 9/38s2gApENrmNckR1YSNGnp9tZDFBH27l/qS8o08e7TUu7eBuFmFGGBQAEiTGe0m6chh4dpzB0C eSx8nzUNrhW0WpVKKUrVx9MarD6mwAn5occltw22rKbLr56f0JP3TLmQgudWzfiMix4s7DkiTgmy mnrCTiaxBd5386jP/IyTX/sqDVAe0JVItbSt1e6tnoG+W7frkxrRWQNh7voGOsflNldVxqJzVgW2 qHzkrfFOY2aHSh263tlsR8EuBmwhrZCKC1tv0ZFuEBSvA6c0rPYP0HVpPmV51Mmka0qVpSuqI+Eo OkVXt40fnMakHD7DFPuM3tpQOs60eUTLHdWkVuGoUGUtsZXK/o/POeEtxTQE4NnfIIZXfhbkoudk a1gfC7Z/7gxj2lEiFieohdBxYtGg6Le0J00IBgneWJPRMtuOnhmgRHSSM5akQA/KX3JlK3QGlD/1 nDX6saUzXv3QYxnGokpmwvNMrAO8GjAjzPsWfbm6JX0gSWzVPkYWMv8ujzehDXDperzSyf7d3mRS te773jfCA4L4B1EvHKHdP2oxkw9EdXOQoZstY0/39xFrT3DsOZb5NVOeJGdQIEHo43iOEzfJGzCs qAaNuI5YChQA5HRmFosrba4iOekYlYISPj5t++EasVgrTuApgApGRzKAnpSyESTSJlDjf+ozf42P nLVu3Wfjgo3dklKMuC575dYr5t+oRcLiQ+HDI9yvEdrzOhpEptdHc0vPP14amrJPwnOZKGHdvKan e+Et3yUhQdW/Sc0R+yHOn8TTlHpqpoGAyjIBFkdjL1qqBGF990Ah44hOKHKU0q2bJAgC8RWV1i2y q15lZfGRoDU8oDVm+d8cKt+ipFpICV9J8hP1GnhUKgHFU0DQjrPOg7ZeYu+ZJuXxExeHG6IZq5Hq qhUkkfi/JXKmnJFLQeRAfb6ifcOFZLtatzHK7jlS0sethrYa7kg7QzKKn2Vp4pEy/co/28scFZmU f2BcWKpWHXFTIFNWUIlxlx6+5TLpY/hGpxrDho691a5pVsBHhcbeCc8rDtXSkbzNrkFZ/XDfAAb7 gix4VDd3qr1ZcIM6MSjaoV5bqau0uvNtwJGr07EE3wgvR1LHIIKzvwjJA2qnTu0X2S8V3DrOgeUx G2tVzHFiWw/7Rv8LVTpVNACiEOaHNNqr1EV6Zhspj4eBPJ8j3WtLtLjAhCLhuhrxVmQAg779OP/p f92AHLGgRRvZtkDgGmcH/shleaRMN8dxdJ0/Zjp+SxBiqMdmFrskBF1Oooi2bAWu4vSlAAO036Tf SUPQoF25q9PMZ9gJ4G4/bu3RhykcYD3pB5k+jwcr5lekv1BrybFnt+gNjtheNWLadekNY/TseuYV ae4Rrq53wzbbVlJFars+eM4emwKLDL8LySclBXvzGzGkSGS/9ox5p++K2pWBQmORYRA9SP3FDLYw tQUOf1qs9elFz08N3xo0Chp4dAjhaagkTrlQ5dooYv87B6vql3X4R36jvTY5K5LLcaYgH5iXYY36 j82XUoKH2SK1YlyuRLa/DFaT1T0gCQIyy8Fv5vRWwPx9M87rt9z5eNmd+9PbT2pC8CNA5dc5Qyw/ m/7LWKQUTLqo5L7IPOldtCyDSTfgS687NL9IDwlPVr8QjyrvNka8RngV+wuP2IKhSmjar3K/H9z3 JTWS/ZnuAKvdE1slgqUKh8jlZ44JfQUTUcRK4p5Bs1+XmbeJKBPHucK3qSdq+SfEgP27gfL+8TDs zaAcHDDJljAVyVTKS1LZWrYLu3uSeweU6B6kUmnxhgmnLcfOSbkD1k3OP1NYOudl9tqcZvrzqpt6 hyRn+Dg1LhY+qekDohIJjzOebMc3DCcnho2MJZ8BqxbtI5dSQfVrxSrtLjtaqgziCNjlFhuJwUc/ MFIlJIAAXtF+9Cr5p7QkB/QBjeDZv7k+VDSpq6ygPp8k6px8/3U+6KyTniGtIjrUJP+ppwz5is4k XPjCuVOazh/oJL4l/pmI08FYsk/KJC5AZVsMDzKmOx9ZzpJUEtdiLyu1oxwN/rIFcKIp7Hh7IKOd N4FdxdzL/S8u1I14r2kHnnOq5JEwmk3kqpNRzavyTfyFP86yAWJu4Tt/v7bA/jzjj8MpkJ+G9kNS pFaOXy+LO4S0E/QvlDUOIW2lFGinN60jGKac4N6hb9C2Ur/huBMc5UbPq8dDdTqWmIs2PIMh+Qpj 7akQ3uqOFm3m9deN2hpuJSo1Yl6upFhcM5ZTpVaix/mgO1U40de1ZFCVSNuKaIhpdNQTwd3CTnk6 DyAHU1myEcMenUtlSzvg5NIlj8fcOm2ItVL3KXVBdyFXtf/6kvQfsaEgMqghA8hXKNxbhMHy6UAH PsbQreK54r5nkC2y3GkZRDEWDRVB9ipZBXfAD4EkYdfgL8IKV60RqSz9I3/p39MbyTpJeyzcLmxC bbYLdTYUx6JkjYRHfaTzNLHXbv7Csf1VBdKhW6DBkU6QFeRitFdkiGbMttE2ayzksyXDMlCl6EJ7 NmxTnfN50vrObz6mL3j6E0Ee89mrjzbM5m4XjxZERHVwfh909ExIjVBSK1TwB9+glZaEsP9D29ba 7C8dbAnzgdvukRCvSnKwIsCH12wEz0t0wKKt5OkBUc+WmH9tC3oxQFn3KPKwjc8cCjLePLB5GDei vgu+19axERybJIPHobqoTZvho29wPyKtlnwQH6+760Eh8jcJ/Wys4lDNs3urXdRyVqZ5Ca2/KqfA jpMu+Yj3mH+PQDtRRZliRfgaS/xZYQEUdBuclAfRQddHCqHUUbzJVjIZmtoIJDDVnJJDz0gKh0eS xeX/wSeXMzPiU2UO3adRu4F+xAbuke/yAKH053hlT89sqazjf5XKOWRynkvm6tBXNymmgt200CIJ xShDMLOllcDSwg1up5lu/VXsSnH0rkd65EAlSJR1RzCPvxbSHX7MOEJICjsnGLIelGoqLRT/scIL TnnRGO8wNjfjktFczCJxgBX9t8nX9tSUjggf2ZowvIR1fhwKVX29C+5Zv02T+RuTy42WDYGsKP9w lugBJAV+5Ga0EwycLxkkJx4iSwL/IsuebK02LVdjSP6/waAkkDq+l5pQgP8mI7XFk6qOkQZlsl1S JVsvGpeTmXjOGRKFvwQ7FMw2na17hwynQ7CqVDVx7/6xWXw07YSNII7tE6HEEUEbtPIC0/KgRT5I Vx5wy5j5+ogkIs4Kx5Q2wQ5d+n5kp/+J3MfxEm/+hcVOciHJeDz4eLWzOFvBAmQ4UMYJpcoNh4z/ BsAFuRsnNIPKsp4uZNXcn1wx6aZhPBcg5N7b7raSZJ3eXbfx4bo/DbF6uv8Z9biqXp1pOm1LeptW sEHDWjJvd0XxeDD0b4JhHdYTAEZb3C2xaAWOX4fCMzWtLKkWYbmtTmTARuvaMU0O2JXCsrPFaQAJ hisAYgJimCEZuGWCbUg1FnVhVuESWNIjgKvJtXvJiFNwYZ4As5HTdO08qlXPQ5KoSgC3rHl995yS b/Z0y4Tt2Qrmsc+p1zVL10NMw/QT/RfJp7PMhLkue4KWax/s1BFaQfBZYEjWv3Gl8pghVaGgSj6G ELuuBv3VWBwVjqBF6Vitc/N50aWBoRcPu7DQ8Zt7YV4A3xX3SHl8OAMupvNY7kIxkuQ9iclEKoe9 v7b51HOqHyJsQYRZ1Is+EEEZ9q9ZvH+92Hj2CMZvvjr8nFoopKw4VaAMQHATfmbt3PRyWcSMZN8J joIMYJbDCo705Vl7i54RGt982+dynUbHX3Dq/zXtQTqP/t5y2HqUvBZMjOFJaPNTlLxNPzkAv9AI KiNjuUmllRH4JM/Tye/N31zV3b7YNLGmXui83xB9jOsYT1WJXxgxVCpky9hPxUPArNn+8qb2V335 3ch/fZ5HSn31noaIrk53o+99h4f5UqW6RlGwr0vY8j/brKsJ4cg206QiHoqqtydZjefhnbkVlgeV Edf1Iyr26N85u+F/VhYIXOx/NZ5JiGCambgKEPLgoEOOLSh8X2pFiSUL/6eYqhK50Q26uSDHbg4n +g1nBUEOwLy0BE/RBz+4+TaomkZROZD7Cu0dk+H+9aDYW2LY+znJMiOAzz6ceW+ahPVvuWyBbUeh wO77+ppmj8TyTl2tbJOmJQ++EHMGBnqNnkaKQ16eFd9J7jNXa9i3AU4WIOT2ZOvcBiIKxFKqN0uy FJygOEKayuYErW5r3v+Z+6i792f2M+ZWErdYVH6V4HZSSoKWhlOZCgL/QsVSvc6F9UNT5H2GW1td H2IMZpihT+IveH6VCMF2ryOVqoduJ1fbcWeInYCSGgAOLY+mbMMEATLmOe+e7iKtd4m7WYvjHE+d yDmwTucKrral04MsXfSpL0rOFSxptdZ8CjNLvH/gWgwuF4qq5ygpQ+ceoVQ+ebD2F63/1UYDYWxj Xt/IWaVKiyA8BWsN1e1RBx4+GxgCQ/6x0D6INZOekTUTU3T/dlr7SOS04CIRAn2mohlElhWiDCB/ e21xp2kIOpY2OaFsyqd8Ff80lEPlyKEu2Q9TM9SWqA2iodY5mYWa4GkMZWO0oSf6HS8sbx7sERYH RyVrWVVMs8y0eXuUPJkBI26OVdUJV/QYppxeOHgBpvFv3VsP0QJs5HTQv4LydZMs8ATZvw+JeXzv mX3ocdIC3CO5ENajRCumrQHn3ymlTKYTDPIn0Y5sGzFTZCP0A2XVUN2vFe3JU3z1ENLoH0/MjCoa IvkgdQxaWm4fB/tfENv8gt763vmGyjaVjapt8mN+DlnqsRPGSRDpfAaIpIQIDdGMh/WRzo2FdQe7 WPX362VCxqb6PLYRFka7FgQLXI/P30bxsywfDGBBufGtmuPa0oOh5N3APaGHOBDC4C2ZD5luFFAy KR7sYFKOM8Ckn6BfRoyFxjpUsZmtITs9krJMYktkIT70zbp7aRzNRgIR6YUK7+GfhlaImElkZKqf SJVygYswW/rhbBaIQmqWPcK/0DAr8oog5gAdgol65YvFBbwTF01Z2iLv0XJR1JC/Yju/LMrOSPkk F6P4n4c/Cx7hrTh+mU7hnOnBlX/BOfOZYlzrCVlOKvGZhlltCVaHJRXARhW6HTaQeKBbb5Z+1PWU eAwhRNJvkMmnaoZSE7AdCyR1IGHSWx1gkXAl7IYMUK+Mhz4+M0D+rAR9h3DnUBzKywg8rYdLX54o NSsg/oofNIZKtX9u5FhkgP2O9cMIDPKeOo6EgkNdnfdptYj3VLcwOMgtol/3PmFUYjq1AQQz+ruV 9ra+miPJW3WJ7W+QrCAY4eZPg/i84TO3K7GlsIX5v0ZlNsoEt8XE07p9G1RwncDTIjZPkV2rTJOB j7dimfChMv9Oi8d1FA/KimiO6DzpVH/aED84dT9tKX8lXtWCG6RWcNjSJAOlARLNqUDj694ax45X Dk4/uLREP6VpfGAgDerAEBy48QISORxipPG2Ky7RrGDc1Svm4z0jQBFRmTn2Xwaz5723Hyp+vg9L B9Q3tGZsJOsYQH+KgRPxTCyT9tp+Oi0o4W2S2qTQm5fXER8OP5FjNAM0ZkI4uNlgsjvi1yhlVori xriEVuR/uTow2GGIEGT4CTqh6BIKMaRO5w7P32VXZuk71zbYkU464tXCAKf/WISveKx0vIhJvP6y h2j18i8NkMkzBIdt9hMxGU33u+FBwVJrv2BibdlB7jOJTQCxj9RT0LYe93c9yPu237ixBWE9J0oC cjvZqMmYUmpe14gN8o6rnVR9NjIAu84jMF7k4LESd39Zzx06HX9izOqod6kvAPFmRwAbkC6x8nWb QglZ8yvM3l2PCsQjXw/klhpeB7YiBV9rSePImJ7LyFzWEUySsVFmvaUPDcCmLVtGdIoXwLRFT0jG IhO1PapPBEiZn0UGThtRoVADpgZD5CgzfYYn0Z/kTv6Ybc+seIZBfw3O2p/UjeywJGbYvlN5SZYX S083Nb2tMormNlWh50w0dH0vBg3G+ygQkJwjPARxllErRbbVRo85Ij8foZ+YrJFi4UoPovv2BaT/ bLRb2DrOir20WR5Zg7cQ4AESuvolWhuRT5hp8rOyhqkZtdK3t/Sh+2dIV4xdchMkUVlRYY8H8NTR rlYV5fCz/CV3wOfaJLUVf7fyblT7K3PtlkSr+osbILXTr+03ndTF0ZE0k4Np0wRl0MtTRCAxIgs6 WkCVrezblu69J9z+aC2Y/0nNwsyQ0wRFWj4a9q8QNPSbBp7UTotyFWekPztKqwyZtmZ5CSBD3Hbg qCuNaIS6/QADvSzJkPKv90E1bQkpUlf/F2ZNMV/Pyxt/NoboQ8eIJsh+bExrxN/eIUvO6K50aX4z fTELuMDn2docdlCBAxcdDxYUSLv3prjjYNmoGoZwQhuvVUPotwyYDpM9zXI/QLEBp/QuFXcfD9Ko XNoizmBLlVtqyz9rvNt3y0qNN8BA7fDer23nSCzSAtPC3HSw3ZPcBUSfQmL86ekC5sydhRTW6yX/ XjjWjHx3lUonXH2W6TDgijMnCUOo9Myu9fMAYiV53Y0Gm4CEeWDvHnixzWMGLNCn9XYb4zWVpikb Wwi0g8VW3Z9+dIj2paojRq78K25qz4UYdDw3vjO3qUCuv+Dcsal4ObVKUB2czCwcwhwOMLybGk/l MiBMkcK+cikxRcI/SbIT/KUNYb3Die1F8nV6k8Iwj9/ge6WitkQLMrtGEr/2wKDsrEEUnRB3/5Lu XbR+ZFmOCHd60bGQ97UEGHpNs06zqozR/4v9OcDYdyWhvrv08kqcWnWsB/+W0sr1cCPW8DOh2ikw 5DDNelNrsR3ouStOE9py/evZpQ4TiqcYYs0lMqDxPlSXjKV/MnWpEnLVbvZQUaSN/pHbjMY5CXm7 ITuCDUn3mKpF+ryWMkUh9KtkQbgH93GeF3g1HSHya1oHfs1p5NjSW1zvanaFF7WvDZnBbcC5tLAJ xanKj/ZNjxA+kFp35w16lEnPZnFq9rCtiXbbe/0O6wPCi+pZqTmU62AsAzMWxArkuBi0D6bQ0H/e bKUhLBXcP/Rs60bwrREyR5dn/OhWghbXvlZP+q+z9f/Od1i8YthA8G+kUef06qC1vBJa7A3yNpWf t+7fBdCZFnuQ/dUAzNUlwv5h1cavxyo0nTS5jtb6jkiSTmWQy0foeCkK9Obc5gOCgAU1OFfg0AzB EmDRCjvRa1Lo9b330pBwyoh82dw/LDlUNzOV7DHxBRQpK5jdJ6QL1d1RDJosApb0m5e3y/UwEkfV 8GBM1q+vzM9gIgPkFx/c2yLymh7KZfkckDxJ/R6795ypfWdr0OGGgr4WxQBLcOUXgei1h15SP79F 9hhh1G96zGKS0+19LP/emZRGslNqs5kn4h7YiKxY+y/ugouOxxleJJX2uhh2bVqmizBGC4niAGMT 6kx+ZtCYDBTwznmceBYGmGTuZUTPnBxwlRoXZG19FuFj8M43qM8fwuVY1UzBXeLDc02+tVrkckyi 6m+LjUnZnqDcHTtMoid52mfGD+DRwpr/3ExYHoxThXXHTRhK4ZQKgqtdeRc59uBkBMeAgU87Exto 4AKjafRSPcPdJbvRRep+YR278yNZrx+WXPFOcJnFD9zxGvGk+dlhqL3nK0RZcS3Bpa1dA4wTIU39 7AdtQ9s4pxfv4xUd9RVSjxZzNHOfDu25hZvj/RnmsheX0nVkP6cFoP0VD3c9hO68MqKW5d3p3sV2 pR9LmbFiPoWsUeFWHr9zmuOjrxWdJ5BwSqT7e0+NEVvbviMBTc5jtsldlOFum2b3kIR+WSGskOgv 7NjZ0iYFu099EDeWKiLxYYqO0majEwjZbs4gdPy1e92TmlLGe5kWscUsEuP3xmmnF6LZUXjbD5AQ B9ryjf1fAfjWIsMEaRJiBEQYCAYniETu9CNsCYB5Sy4MMKE4vgrVeKo9WWfujvycoLlTSgUbXz91 X2tFEb+lvFCMrCh3ws6r1MrJ09AxRfqsUh86kCv7qyaNlUgbjC9Q3kBUUR+sIp5BzXaGrXeKQRwM 47B8oJ96j1OlDAMyhh3+CFkTQOdyavFODwBrDYL6mubjebN71pDyqrCsZlEETtuv9zAHJ7X972yT A0YaFv/czVX/RCRfiELK+/K1fIBpWHQQ3/BIA+BnwcoiRYbV4BrEH3bD64CGMp2pqztewNjT73Nq 7K7A2LNfjWClLzQ1KLWn3me++XEVQ/2JJUGOGQ+7PLjU60G9TiobgaXzRWuFlxonummIgMXzCcTj QjeDtBoNf9L359KFbEITWvpO8+9ngUt5LXtwWJV2GP3nrRpObFEmiKBpRQ3Dfly4Pym0jRxz0qbY ClIlUX4aXY9L+TTz868mAblZDM4yrkj6JKFMwLppcVe/BtnKLqUZsUuXRTKHkyNDKHXvCtJhXrBM 16SyY6vBrrrBU3gAHnb/sIZvi2BCcTeurF0tsITbY9nGvUfKr0wjgVJBJAaIOGFt4hF+9f77TMXU t9NPaVDxgMVoMxFzEM7qE7SEz2crKnsQ7VrMdN4EII9tXfB/97i6LpUpV5XJJQSwvK78XuIpwGeJ XdKPmrWseMbjmna72+JXQn3T18baKNGu2UJLSFdf5Esz4s+fdbkMvw9Z6YEsEMvMqL/WJ7R/XGa1 CdjnrkTOVYyx9gWwlmoW11II4zPsemy68pEgL1QYjRcFT5w6OYq9EGC/B4dxg9eoKY/zkAVM4ufv 30CGsW9FxFydyEZkWTGy/vN7WkUcDDkIruYOPjph1DPEFCJYB9p7s4TTHgeU5K8dnYmEqZbvI/s+ Ydiv5hXkEb97MKqZLhcmKVWm+/XVPwEAWvE1lOSKZufSbZoVZ8XUZMoFkHiq8DnVOm6zeXS03EoH s6uBpq3PJUAn8h2ZiwCeq7tYSMwwO914KAaRJ7AHfYSq8MwJGeU7BaQSEUSWepq7KO5hqFTUaP8l yZONtAHLj2J0Q2XKuGqmwTprMVLamT5rq6noZcraW/HD/HgqZR0VqV/A/H+kCQH554cae5Jo884R IeVLfrVXOk7MX3o7NTOoURWUNCyvrO+YBCkkgcWJjPYgJwUt7mD3LSXqEaWtCktm0tkViqagavwO Ugbu5dcDtpB7k8cCviBDyHB6Cicw//h7tmmsrZWxypcacU1QC3yFXVZam2v9nMILgGvRGEsULbpX 8jMhVmpdDgTABJW8kIGkG9DCgPytOzNrB2HTOa6y9ogCO65QvKAo6Occjp9zofMop4iJ9LAiLE1n gvtAbIKLYa983pEzPJBzaQYrhFDm29K+EqcdI/ou3n4aljo0ArerM1J+chLlVvQIBCWRDWcxgu1v YXgkhEQAltMht0MTAKpy2cXdLxvvWxgH6xu0LGmFVbapLmiHc2WsHouURjPcvqrHhKEc9R9pjX/L vGkHbAWwb265sabKhGdR0mWRQqjJsnpcfQIln+LzZZOJOVxOwoS14ecPX89pKoye1kheiOGsphYI SP+0ovFKWCBm5sUk12stUS6LMJ9qaFoJWRnEWoMHRbLl4LncFZsbTcd8zX0fVS0LLazLN8Z9xRbX mRO2sXLTggwPl2UbgbVSibFVKJzoz2gVBgZfRTddLrLbeqJ6Xxn98rQmtS3m+5tXDxChj4HT5ef/ Y8P5RW/JWKwx+V6GRqFRl3h2V/UbvRsvdolLD+zhHuqDnZdoSXWy7Ww82o1nYZRFkF/aZ9mcvMC1 S3hbmLA7uz3iS2dVd7yiZbtuq0muq4lKOcvGn8RyCi7/czLxU0fcJ4ukaSk1aZICvSTbDAqQAQYe Iu62RLiUM7vll98/TDuEMm2i0HEOIiV3g8Fk41CfL7BzMLGWdfFTE9DSExjCX/Tih7iMb1gF6jYr JZz/Eza4/EEdUb95zzBFg3N94Mjj8zGl1v9XJGEv1W16VXx1kD2q4SsXidfgegckhecBA+L/9wSu wvCZXy8UGbfl1azX7S1zkIKn2bHw5OWjPXk1lFT6Nsr4X58eGfhYInrRgmr/XJuifAFm8KFiRWyO cqUMDCuqM6TXBIDoCj6ACcCSUWX8OBXZ4Nb+v5jCulsoxBnD/BSHRYItFR8jhGaiSyAHBq5ndxC9 WULD9XIpxTSbLTnonH+n02KWqvZCyG+YBaFMaxpT8pI4e8X6fAAO2izZMNy0fvLNN6avIBgHobQc AALLpOQSP9d+OG3JI18QuoWREuCb1ZOjtDC/KJYqH+zfbdbKNmOIl5xNDwr2w73ZnNGOr3j0w8+x BTQqV1mg9ukfxiLsYDHO6wmPlG4k6Shc+AH6QGZxgT/8B/tdj7C6al7l5sm57yy3GyKmVYJLl4sf DbdrDmNl4UhE1prrcJGkDNIe/WVs9Kme+wAv3QSfhtIijzSuCXWeGgt/Mg4qEcaEKGFwfimNqkBH pn49Q+D0fUr5CPuw65IQrsY0+z8pgqMy2Oi1DexFOM8AZ3q2EwFlnJHtweTXfpb68h7TDSsXVG6j grwfQKzxrZkF8ZaSyxi8WX+SLDBforMFLmx/+LrfPLmoTpKYjydFOfna637mqlCOr/YPOijWKVko 0ssE50FeTJmEUfx8YBwwFENxNcuksgqvPU8L99VqJmcICFZU0X+NGxCSTIGkm9nN+j0KtEtK1c3w b4Xi1ubHyJy4ZN2U2pK09FdWzpE63GN2wNNNlzJnfFQ4OhTcQSFAbPDfVszYHO8PrIbBbOINW/+7 oRxbGxgtp6JtJy2alZ4/TFpU1inwNhALEZCTmvXKjBDfFxWMRYnTJtAA/vQYFcMKdT1pn6bXdXAI QGv8s15FIJqwgifuq6hrK/Dnk72kzvnHaWlxapGrdk5R57ZiJUCJnY9lrn33E1wNPQUmUfeCiISD sEaCNSLEo3AedLOr+1pIZJ3nG/3FM+VDAWIP/TzLXO5DGr0wrJVSpZcfRiquSUKbGaKivhdm3++c b5k5GzVakMvZiQFFcKy6Cir3ZnwWWyOU4uNxo1LCZTZnF6pAdJjudGJyW266yOdMqminfLZU/mkQ OQvMCIlDgtO5re0vt5pZsREboWEX3IPBqcpERM4JlHRCRAlZbcUyy/vNiZZrOiWAtYml00pBU1c2 ZjMN5sWT2TyCli0wiYVLeylcFh9d6tVErh55b8iaj/zdiHklnoU9BFOTUbC+zR0XtEZ27Y5zigtC aHVmTL8kHx2TP+NXwbe5cRF3CRT00wDF4MatUQA4pjgP5N26iFJsnSNX8iaZgLmO4Df4DesDA6c8 U/oU/VLpsS6JoGfMCDhy1Fw+CIEHR1xpU0O+YLFyqenfrjfYke5k7H9VEcjrzyDG6qSKvBBTv5Oi P0e9u0rmYYh8ZWmEKUnEyxIyOQ4zWr6gAJDI4bAKRSJvLLJQvu0QBqjL1k/5ZaFpzYFuOK0ttouB fMRNni3M+OVPBLy/aNG93+pyduqxrou+Zdq4XjL5R4PjYAVQgdId3QBRh0un5jDcG4lS/Nj4nf3k vQ6iv9vGh1Ofq3b8m11LHq7cZS+ziJyPIv/5R9FLTMRbKNjJ3UNrlQnKGyQr779tuxX3JQ9cqweF sgbUFzf6xDtE5FXvNWO5DUA5GHBKcCVj7NHUfxQBGnNW1TxOOVwzyHewKqT8zo7kkhugaZbhJKUE TvqZoTUapUZxmGquXu0xetxcpXPY70VNQbkwSB0rh5fGg4L3nn1qu/mKpDD1NTJlvcno/tyLLxEu yf5Z9Ln7Xjdyg5trYRlHgTcQ2T6mqTb/XPO6YBkx8uHm2yJ5/Q6jcgvJMrrJNkxU1GPmyVuEu67y Wbg3sHGsSlC3NJBandx+IV+CrEx+DVXW8VQeN0N8sD1WjLkIcxGTpvMsQ/5afeFtmc51tldv6UKG xxfcBy9UcDFhk1+PEOY1VSs5r5hLwyNxZPwImnXmoqitZjpxGEBifAVe1RZ1irTYv/g0WV1VbiCt 5SGpT35F3154tyWtIgOy52ujcYv/g5tSc9NdCuQF6ubTCels7se/6jiqR/rHQvVzTHJompJfzG++ WluX1B+b+4FNqTLFhHOW6ZSZKaWHLN4y/1VrDZ3LXQhmbtyVfOqHRnlRsP6A1hsY9j2AH5+/WPH9 exYNii9E7eW3JSi+Rxa3ma4mNKMLIfkFingJsRyJGvtrMZdhRtJujw4YpAJ+g4hdqUjnCSL/danm NS97g5ufj3bf8oKod7L0HQ1JVBIvW/6ZpJbGAuFlh5fswzrPkcZB9Krpv6maI+rTPjPkSdwP42Fb mnw7x8PPVQYUAvZNoeO+z+bsOQcyqy4sqNdyzChz+pZqucQqWam/KXGrdgi+39c/ELcOwKs5iu5d 6CfpBwDlsoKUxCA522dMzPfyyceNMGU93tqcHOKfTGW9TIZFUMjr/S8zM+hJ8FTL3WnAXwYUDKxM /Ngr40aj1QCaRHBazJvoFv9Jgc4DOXbvDko5TpXUVA7GVtItyrw5y3XE2EA3VB8t7Zdli/DyCBBg zJA4wn40bzfVTgnkjqx/ciwGH2lsxkcq6J5u0drKOQLHTkRQX8UanvqRcRmRt6vQ2wu7nU3PPq6m QGZF2BFV9jcnLHqcfCOkqHVpl63wSmOUB+Ist7cK1sX60coI/CeEMTjrhKYNOPAvzy6AUZpI01Y8 eLC8PX4XeJsgA6vGf+UIz58XOibKl+hliWwnFCtZO99Trf5JHn1GraZXkXvQGzALuMlTW9jJq0Pw /45/7PI4X24JXFOJ/yDcy7C05LT57Y6Qz2dSbU3n4b7EEPJXZ4UOWk9gavJD+HPeLp28xS+vBS3+ qG30KM+rHxDQD5RV2bAxjVjvpa27BB7XnypNznYFXc/lfiuw6OCVNytgEUr1vVyTd4X0KwRan6ar 3yYPgfFeowbtHsaFBD87Y/wldPmFoZnbLdonaUvJ6UlGdJ7Tyv0+UULmZMAP9hLu3+p+I7Hzlalp MNE2DVrV/MgI82DFUyxYrpTPhR9TPyg89GfBJ/nRPLqOmqc2H9OdWts7KEHgtwBziiIDwvJe5/TX dO9H+PPEXq8WyM2fdSd/Irfgi0V88r8DI1GSnx9qxBWBJagdlM/lcH638zx3GcpACwr1LEzpfd4c 9w8q33wmh4HzhmaY4CpvJFSL+kcY0hG38qRBKVElfiNltUK01EBDWx7nIwc+I9SAvkyFQQgjgYTs eqi78aQW8ltSlX86CbIs7dhE5YWIk2bi/wyM9TYpqGUbbBDJwyzaRtUdWXFkXSOq4MBf7a2Nzyq0 EqLL1ADEZ8Yz+bo9dCgl5kbNrF0PYmUrYJJ5B/g/0uVVMlfJlMlHc36hLlwKEOYOUZKzP8WFwoKI apKM8qREs63N1x8BUjocQbSdlW0YWvnUBvDdZ0aGWo8NCcQwMSToED6xwgTYVz+1ZQsOTxvCuy4n 0USkk/JQMbQwO0sdebjixpR0+hlJ194D6E6CW2mD2wjJZNQJ6r6zq4xxyM7+jsAklio+UJN0HgQh iQ0p+iJEEWs/XaM6GcCabQUanV7DOf6mXs1XKgVITzE84VN/yDUIv5WAII/hrBlOYtpZC48o1jBr CVmA79+wQEmzpB1fmRe9EiTb38YlnX2dGBtbF1sQOQpCFhyxh1DBZ7qXlTx30W4bLnVaLymtgZ5c 6UHNlMgReiVZE3ch+13cBpIHFNYC5FafOH+bcogJJiVjcPSK6P0Azj2fjtUDJL3UdmURPHw73ezN dcHH6uLhBEXTPouDHclsSuEA0OCwqckXecdX9sdYB4eqtRirwH/jH/9yqL09MjwwOzxjyY+HPTeZ t2Yn6+ZIh1XxwohqHRw4d0FFETps1GABhR43u53TLETXDyDyhCPhmbBlnzE2MEY4YzBJ6W1DGAWo /ClBJNDW/YCnaxBMRpdneZpqYf5WQ1JeVLY785b5hebKeUYF33ect1RGn+QkHbJeFVFs+Kkblkbw ZUnVihtMKzW1MmXXmLrQ6g57+gFwZDF85wRytMX2NB3sWQFn1384fuur6xsCTf2hyy/Lg/xt5ohs jne8HjLwyygnTe5Oegc62hE0tDr9Z8kz2nUNp3WzQbcZ+gVj+rO4BpReJ/X5rcKT8FS9n9myHuXH TlwQQdHlxU3U7zAtiPtlGyHGu9pwiZbWGMtvAjmMR+hGzZXeLcPvszFzO6FEW0lRKoX4/Rb+nITE c30QBVszFmLJ+TKAM8fyEGI6g4sYSCVPOzutAoUIcgMlEp2ZIaqarpigxTVfN82NUzMUr5NRYX7W GHELPbThfNJRos8hg4bTFIvm8IVemxmdn528jCBw+PTax2Rc4TNp7Ds01F9br3gRMRqWVao2fLjV YCLTNDZsmeHiEqP9x4x3C5gJaiangbPZUPgJCKW2eoeb2/58zbUhVcu4Dy+CeU4vT0+7x48/XGhc IP3BtRYFLZLZjWg6PhIdLvxYwdc9xk85X/8jk5NeR7ey+MFDUHTYWiS/cIDnl3lS11ffAnZJVLa9 E2wjOAWcKEC+u+Qb5/nN5EX4hgQy7QtqOfjKm8Q7CFDyWJvHqgTNVIgbUIBH6nZ3/PmsrtbGcz1y xWUAA33CK6kLbOeU+OWxdtCHaXge8Vs9x7FV5RvcWO9tQ8zt87gRZ9OXFB4qFaAMMmA7o3bK7rm3 pAJe3qRkTZK2CgvfXR5C3VZsnk+0pu54U19t9bXpHIYz+i0WoBlr+lV2cdglrUvoVc+bPNLES8Bp NdVO5sLo0YxtfE54eDic5XPCL2QkqbbwqeqpwJmBnpor6S3JILEiwZoei9No/+V6IhuLWQxaIlyX IvBmEuI+4a3d5jDIBFq1azxPhWOoLYUxTQeaaaQE41IvnSY9/fZ1g/CmY21axQe7nULhywrzoG3M E3pJiTfGHmLl0BU9j08oOehksay84qhBCASdBJ/DUE4jP7+nWnxXNnC6O8nCmB+s56yQJKjrmCSy nNMXdVWZoqtoUTQP27RqT4dqvEMZT74teGWW0mEQsJwXNPxm3ppcQKekD3NRE5zJKoFz0vbFZzfs Tr3bXcM524+kOWWxVP2ccBXVzk2aHhPB/RJDqYuMJesoi/DTubN4V5mWmDIUbkjv5oqo0ATqEFbN woEMZCYLmm/BWW/QJXa50GLgjY/lygbsRFaBq212PGS/b29Ne+htFFuMrO892jAoXBfaIwop3Jbe u3CWLmwFLLwJFlaaeD7cyfTWHkUWKFk6R9Gr1tFUmyzg3ue2gWBOA04jhIy4WfD78KlmG/MMKO1d 6l22lrIl2S5iOUq//DNbqVc3DOB1MxppWjl2gFN9ui2ZiCipBFdVvotbq8vjh/jiw31QLPEOIfYp 8t3rd0P/NDuxK9xpI9t9UJGYBkwt4ukvi4dQbZ/OjnzYyVHu7KgWynP4snOfION52mDiaooFwXwW cv4c64iwUgFzR2wb1xAckA/hk40bBs2avQU98Jy0c66V1J5z3q+kqqNwnNdlQLCYwHfcbuIPAKTe s85IT1scJD+235PXmEdXwWPHHoPdZhEIakIqDezL6XJbrIigT6eoLn5Nz2JuHGp4+oyLF/j02LQH 7uwIT8Uv6f/JFJkdUeNeZbJfIKbB4yOszmgf2yStaAg3H2QZNrieaEPI98rFa0cCYFnJ2HD3L/EL vdnaVIvzQrGREx9Ify8qyjpSzpakUdBbQ/cl9ys1ZcYesFsLdCJkkqkSD6o3/H6JnmOjRYJLmUJJ QTJUBIn+IQJnSyFmbEJxxI1FhbcDc69w/ejTzMSyO3FYVlRaTl8MnNegh/n4urQ+ID6y1xgzZ01H jLMWI7jH9CLXmquiH6FrZfAcRReZIoAg9UDXHP6SKqPHhzK1vtF/1+YIirbNjfhOAE6OE+OgGqmM EXf2RzjKab51T9CNNpqguoHZLv8U0Sw4hLvbPj5fIcRsw/0T4O6t1jQtYkAb9+16t8YrPMLB6bit iykiQq+0kBnu39lrsbYlVbn/tETGwTibwR1j8hVhmTPo/RrGLeanFC17uBmbwpz6JFTvthQVn+dp HebbjbCM6AsWRnmbDenuf6UH0RWZkd/e2L+7iUR2EYrA2eJiHeed3J0krlzDdZz5kFOIRKadRPCN pqNb1cAOdcenJLbOO/yM8UkjN04lGDlsyxUnzyifz7MmeKC2RwfyVZ5yJYfsazaFYOV6po+WFaEm Org49YQKc8vqK0g7nnwiDsd9jg6cOR7OJVYAuT/1j+WrQuuJB1FedFzE3fq/fc3t/vZhahtCtmQd UQtWEYALv8VuSRHVRAOf4yCCuTNiEt+tBkcJijOa380xHp6u9doDVjUoVEenx4KZ0Xs3W3aIU6Yh P4EdoGKVG/bfQIM1uKJ7+8HKIuEsHjlD3lKFsLJ8tu4j2rOr13eeem3fqqit8DSdu1fzFNrq0XtD Om6b91941fGSZOBEnKSiFci7CXnHNhKSeT4ttrbUciSmQVCUjx9GnWeeLArNrWjDVtihEB7pH/Km UTuG4OJzoN4ItWIqRqU2ek6wwnGNdZ/RTme+UeQYWDRaOjKDICzyRVBG4Rc45UB93jbDGASluj07 VXojOWVzKbiNzK/tE6VhgOVVJ041ldzIo6F5f6k+/guC4Xowrtvj7wp8xacUiibk5Su+cF2xBDAH revFYevWwz3rR3E1edbtxU+ToqoJEXW9SAjEMU6sdZX1DzW1hfAnYgqbz/jBd+bMLCEpODKD2YTS 292JPYmwPubkDGr1CBdaCDj+anczXK3sWv2qENB2A1YKQP5g0HnfIP9CAbC0HrFRYIrb7UN5AQXP nWG3QEzqo+XPyboRWZW8SmBO6q86JMzeC23DYel0Q4gI7CNk2quzM9pVxqWFawSePriJtMPWzRoT aZuCbMfsTx9huTAupKcfGts0fmhKO1c3NpKTxUpwpUqpyc06CGq4a3G2xy7fqI8Mg6xKMpMDkFOX Ekpbxn2oRfQkyVNG1Fil271AfrnvaiqbBkK5ln7eWV0z3QaUZq4TBv4mt21C20qQwLB9rs4s3vZS XiA0Y/gfG8k7McAeqI51w68L6gH5uEO9dX/uKclmeo56wqZX/l4F+DbNzlGsGx15bG/7CX11zCHe qnuEqT3AjjaqwaTFRzayfQmT4Ry5bqQWiBEs/KZUkWeoehLWGmoxrN5lVtqSlNVkU1NO0BqSbqf+ Cg1hQZHFMQ2RtY+a+SUfkkvIFVmHQb7MOigJiDORAFZ/daXdBnGxpsPGM6FRRYhOf6qBMFBfIBuT i49n+bXh1reCdsOYiebtLvzfcjoLx2+6fkgBXHCAiYegdq7piyJfCNTPaHELhg0BVpaJAD+Jyv1k vlSzKRvMBLpEOiRBh7Mg0AM6neUm8ai7eOAk+GJU+bpIa1PsvQanuFN2ZtSf03bQ2KrwAUvwBHrk LpnJhs1Wl9TCQ0Pg/C150z+jhUIQ06ioXz5H8kzUVxyWeemcEBmYM+5tuK3KqJDCnjpJmOXwGygG UM59g3BzBLvGIN/plJp3bqRbboReDLaebqMvdLhXq3vpZrET3bJMj/Ijc3xet7oGPySOopHXM8G6 AreZt0VL+1RgY4aSqqYRQPSBK4TLliXV0zH1L0eerMGnjo16T9fZpr8widNSOyfWA99qRU6f7vEc XWNK5u1+G988qS1O8xzFOyH4b1glJ5bKGYp6JYLLeFyQoXjPUbqPehQ9dHNo2ot11pmGgRkRP2PA FND7YPOp2bjJxwBzh6jkdFXqMGqZ4RfMBzYKrOlI3ocVjG+2bfLCWu/FC9zzRAlA4tbdDBFV2pt3 QyDXweajJIgeBEYSg98x/Nv7REqTDhjaWN2g/BegZa8EstlqFS1GKOD7u70TxCcJynDgULDYJomU jgwnsO8VIvdtojgH5jESV9UTNJVD04UPmV+OyiyWKo+zGyIHw0tYRtWDyb1/mCBF8AVNjH3G7zQI qfW+/3dnsDo/TIWVfiwmCxqUIflywA6PEHXR+AHrhe96F3zEK4t7CqgUJRmghQYSTGEUZxEhR6He FcFkF/iKLAQxyNxUFxW/I3tMTEVNrlVM2LlE7/NdZsiop9qSmIWSaRhVrG57grS0CNDcyno7bk2T jtVYpKPuMrSHlnI6smySuUgs5PzUhPQDP/kUkml9jy09O6f7eYHjKm1Eye41mFFurNCXYJR5vK7E /8+xrHiqVXlWTbKP/dLgViRt62WP7fm6a1rqMT9xSklJ/wZNJaOxCm0sOBcQMzeihQoW2+CkhE+X j79XJyLGH/j7DE5vHp3JM0AogPbWtXBt8IOmS8q3wSS4RVXRr2RjGbTAj8RuxU5VlGo6wUEKaq6v zIhenzlljlLn/QxOIFgdmK/C+iqIFWIMVL+BLWR28oeXxt/ROzjEWRFcPVakG9IBIdOYkmG2+Qlp P+cv+0Ll6be+yx7vFusePD1P00PebNrcZMk5xI21aGllPVUk/ZPpNcsTjJ3PhUd7ZX6+5DjCq4YP BHOjg7o4Vas84Q4O4XOxbzNLK78S5r8Vd+ZTH0NCM6H0iAXOzZktS36HJvJbTBycOjfcLOBTlUTK r9gME+D2RBXJtzn0E4af2/mR8AkhoiIZwNi9vteq6rOC9vysiqNyPekyWODIVfTSauKFVmxpIBRl uPffOheswOlZnoxK/tVeX2yimYCMLkE7D5wsYvf0q9sdwkVLDKz4GUvowz4d40464+/W07AY7RAh R1B325S9HmydNUMIQfrqv71cSlFM5GJvKQ7F0XQHhszW12Gu8Ei7g50MW2eXJRbIYCsVEYe8VRgU 5uylt4CMPTldKoZXiHJZ+Vm48L2Jh03KFglmpb/s5P/h3SaLtp2BldV6ZVgPz05H662Y/LjprsNU /cuCXIOL1jSBcAXOFDRA6imuoxN3LTJTmEswCDloQBJrsBueI393kc/W9tudgXLXSfoJ81JH4zr1 s5gBiWuF+vn5SPzLh5f15L5KTycDCUo95/m80SWv12YNcicIkAsPLTMoOG0IVx+6kiBzmzvWVPH0 VvzeQ9ehsa08+Jexh/qbbxuPx8mF8YnBtvF2OZXAVc4QyJR3ORBuPoifkTn/WAfgb9ILjjpsFy9K Az7lPho8m+lNtca+SDp9/GhGD+wB55cUU3pFZKYXfD6O5j5meIflrHFZHlESvCBAHsxuk7Q82PxF NcqooaBdcaA7to5jTX8bgb/vUiUzU7mQx8vHPM3u5xrxmYwO/TDsEwgeDEfAkyEBFH8kDyJs3mM7 EmO649YUHZUXspvF9rYrwq2ILYLSKivTg5n/w/+kQE0H3357FbHalh3FnYi/GZe9YOW48Hk9X7Qy RnBDf/YrEhehgrgGA+GaMn/DdLBoVhL/QfNw4IxWOIgm5jvwAEHNmu0i+JNd75sMEoonsAdn7Zet pPVNEClCqX+rdkqSh0SFhgt7O/m3oWxq/8I2ZDvsks8nxDnuUf3695jnJv+MSDmYGMjBGJdrVZVc h6Iq0RfKMuQOWtY7bZlMuiioV97qg+YIWq0Lnrz5zWgk2WMTA/wjN30cbf/TGlIGCru0S1c42+/0 ddYdgOSg+P9lAO1Y0fnGlh7k6j4X/dccZ6DpERLwzNV7CtOLUCvVG9AxSSoLANJC2xvkeVKl/Qut y4lad9OMS2SvkziycwpmwZAK/ceVeONFYDuI5MxTl+Od9oblsYXZ0TfYMId23NqZBtvKvUktPn4x wD8LBndpCNjd790K3kLiff/t5QGuR2tSKLfO78Piy544TevFfYuorx9IXoMtjmaGhTimhdI8iT/m iRhczcd63EoPxvLWcaaaPGHYLHn5iMno7vj8p8567kwu/Mu73UMLcJBdEXUwSczvaQG9IFcLERHU HhiLdmnznpDuJFB6pP6tjdA3az54hAFm6MDthUB5mtY3GCSxDaKwFc1AvLkXjd/lQ+wkdEXw/F+q 1Y/w5XCqs2iIf3qEHE37dcJYENlrS5yiP67v37aSoTa/sZ5PX1gzfxMBKyKVmpoktKn41Q1lpKLq Vld/E6avHHjpjHXSvV9FOol9zhdoPNSMtCRI8pTbWiiTpm1bNpHh0NZs6VZ3DthR8ZABnbmtZyMF pedEY509K/uYtT1ciuTUkvn52wjHUOjSej8dI8QFbN1JsNt3o1+I+Ef3xjPT8i6Ny5ji7ZiXIxjR VGEsS2Ppjmbv5PU2Cki9AD5E02m9v7vYxcgjYg9duPFzufLYmdUwG3Si3ACRkBbz7Uzj08DSWTfS bkfQBT0doYZp5r3SPrDQpbtXgLRavzg/f83o9eDJajcwAQs+Y+VqG864KMwScnvsTIWa4UV+XfHf WIf7xTYPhnKN/UnL2LETEOXmDyFS4GH1IK4nqc0SzGkXhEabjh9HhQeqnL9TTYAW5R8ax0aBaMXe ja+9Wv6Z2r2bZCPHIvKfAsCqHH3vHhc3I8srB2eUnGi9H/qsCiO+V17BTZopEZPgDzQd2dZEEcRw 0PF/YTL1QNXjBb2/ZPMFSIoi9hhWDOqS8zIRlP/CRctWMK0D2OST0kIkd3qZ01eoCgYX0r7gkxbX 3VBmWQ8LsUcVxfqZsr88W1m7nrJK7/3Z9lFYZ20h35VAad062k2m+88gBxZvhKZ91AK4WFZFU27s qAy4qOHW0ieFdNR9KASt/is/NkFFRzFYAN491hLW4e2EQapZtvonfa1w+RbkGb184ThsHdZb1BKl r/0NNMfibS+z5g5CIXrKAY0EPfb2IoDIEJcNJm9OSAQenn51AzIZ0GxnC46erodGSxe1tnu9wbJN HQHFkpTGAbGuAPKfJOypLa7VzfTIZzKy/aHLQtdgSAbEuuW3rWU964cKTVzkX94u3Ya3TeoE7cAT h2ky+H86Rj0JmL8ZVzcYdZNbHK5xSYMhJbCHAHm5+yE4etEGHzGvSeIAt3CPX2LRjxKUEGUYaToO qRtH8PYXEIKn2z1C4oehVwrY0pL9Tx1PGZONJK/7kHH3gddFCL7QZYfvZU3PYn3iJep3MaZj+Cip eQ3QRLWOCBXS3YC3XrzkDb4rLjBLXT/DJfLnAhj9eSXueHmAa1ui8wqlqcuBPLa0i6MIg05Fy8z9 mcMx1t84AaC84KNRYkRYH7DhLxUE8KNEdQcO21/ryboNSPAzCRmeCV96P1bN/PHdRtabBNtjplWi 1RCqxAe+m6nXJW5P7EAKTrTnm4w3lnwQ79dtGlAt6PolSmvqC+zbiAl6hAy5z8hgCmJCzqqRE+yH PmoWqLFwXi2gqutAfF4Hx42lJjJIz2ptY1GrVor+K+LRDC2hmVYnd+mUjQYAmcop/a/Od4ZKiaJL Yn9aJPO+Kc+tcZoiASEKHoq15mY1WQEOv0a1p5cOkqKA0RHZCZ6H1hY8iQmzfnpFnS3wsIZYmSnk t36BLIIFZaOauFH06M24FOjZWtC9gF3gnMon++viK8iQCuVgD2UyQFfTHVPR9et7y4Nq+l4P4M+w hJoa39Q22rwJsC8M3vtr8xko61xkxDedwvWFMoEODrpmh3Ik5vnw0qGa+HZxf89cMBUuLWWSQbsg MI0QzlXfnev2X22doxJO989MntnchU9E5EmrQLBmGwypSdPMF2xV5NDHuPsCcZhwGbk3FEsO4VN5 mwqgspezQAeqvgW5eOj1P1/4PHNXCNcKY1pkEq52pSuvczTDfboOEVtrTaRJeZ9uC10yEQGUWe9S 9O1ytpBQz2k+O50db1Ydx/U3A4hArwchoQX6dLBsAEPtsTs6aQsj6na0n2geIzfQqYr/YC/ufbW2 pRnu+3K0a1+RRvhIOXJ5ldl2Q6YTOCTj9O8LUxP0un9JzsCFHFoYBVXEDJlCiYNw4OzFcvzH/87Y fKwfXoN7zbCSXyMBk3tpmtKOD3oHB1zuEFOOYKrsO8XmvyrmlVWyiXcONHahNzSVSB+9f4xZj0lD nJREqTRyb4TwgYW/fcsrDZCtBDiPnHeJ1nb7JQOcargWECy9vlzPuN4WhM3UAvjIeATy6Q9TQJWL Gtl+DC9hq2if5JCajYET3u+SXcbc9UHrQc+/p1lDQ+8yvqmk/ahasIRdZFsXxpDIwHh2ZuJm95ri 6m+xOg6VlZfhR3l7EHMdTRZZCXqf7jM1WBnYG/CC9L2LYqejUAsk6MZSvlTkOGVhqXvj1KVKbrPY QK8wHpqU/hn8vbyFQjZxRfIy5QaA/OPvNe2nst/rSgAeqMyJb+t7az+ACBqgfTYHSHIvLZiGTJbp oS7YYIMpKmTmN4TpWf+mAIzt5mgbbPzYdZev44WGAYZXZA5vkY2snBQxJllR+7+zmdTlPhdKkqvc LaqtjXca+ld9AzqwR7gpeNJg8N+dlByxWcii5uMXiEY7NLD0yjW7qapRrsQqs5rrxOV37jJX1sUd S5ORM432hf0XObJ4xjuT6x5ln/NNnD9dLMEKI9QVVs9GiVBUpCSG6zQ07IGcEpp1zrJONUjhwgqT e5zsLQuLLaFp7fpYFslRWwfGwCJ+WOG38+yQrIX/197BViV5hDAol9/s2e55l6P2Xi8mozP+TyBZ Iuc8OGAfwzylBYonVSCKKxjPHcMuc6tI/3tBmB3RqEN0xptOLFCH1xSEWn6fTg/p60rvsIOClSQ/ RJfQZzTZrW0YyrMLLaPw71DRyVxC0DPKlm3Ga2Shi0pWlkXp/FU7kvabBGFhuDAzY3/nFuaKDBKl 3xy0n6tuBy3YkW7S3EwVqnq0sLY/VYvUUkfjQdlx9Pz9u9X9M2nSrQT8Pn3zMSnj7BA2xfEEutsV s79VRlo1f/M/iSJjUOlHKiuHujwjbu4fWSxqHHJfkSiH55ebUu2eE1GNaBpOv1ooJoPrNb+3cUBA E62AtL6C9HWcaJ10xvySLxmVYCFReRd/DFAkVXYl4h3SxiHG4sdTSXaMjAytVeZWfy+Xiy6A7gbx UYfmfS/tdead8LkUF7ROa3Iid9/zdPD0CvEDmLtPuVegc1u2aHBjJq/EwQBCcP0pQKGrGBJ16/uy n5xbkSz4DtTmv2QGQBx/NQPvAqsrmO59ZsDz90ndvXIvMvi047Nx9rnnsSqhfFRKC36aQlsQGnRr 5RnE5I+Xs2xOUsN8KEg/XHv4Yg8Su153e6hxtXuHekq+DQxMsyyz3Ordo5BY7yQKcjfHs3hDLpbv bjZVSED4aWhQNvg7R4GZsQAlwWaopwfQCXF9oFFeo6BvAvfl1IdW4X+tUEMXpx3gW1DuRKUkqQir 3tRSW+VWjha3UuYSBh8EsJwoLEVLrzZVe3iCddpRpg++R8CT7jXlnqdH5iecF28D8DuRQ0KHBsOv DexwdIiK1Nz50+D+LGIM9n0giS4mq6V3c7VwboAcXGG9Y/Pik4Us2Y2d6xU4Y5QypbjniODMGWX0 DgQ7AkKXvnBXx7B7rKarpGW0OzpkbvHt9HSNOdRc0qdcy363URPBhSfWmtzycnH8HPOXpvEn9XUa FSJrGPSqo0gUzdrnvoyhpzEjvizVM5kmhcdMbVcOpGiDkDuEO4Tw2pS+56k2aY0O/05wMLtIkPE4 P4Q3dUG9HA+6mtdHc7GE82HkcyN/noplwJwmhgV5s+EptYuLjXwmbO+Hf6XZgITtnsilq0cp1Ss2 rtVrIuwDPMQIwpV79onfMDdm9R98qBDdbFWhXuAZnPSl/Y2uOHdKINqIgSgJJevzs5xpjeD+8xqy ne7CksBnQs+heJ7TN+8kpw+nBIu0a300zc/IAUzxnkVXXf+uvfGLJQbw6UIh5Vnaq7+HrMgeWVKx gQ53OJ0kECKJyZJjFOcnSHB5mXFdy1oDqXDz/oeBdx3x4N4S3d2FioYWGsF/egleOsYZjURuMXVu 9Z3y5Zcyi7PHNdNbzH2s1TPUQcY5lJpJlCINP+Dmoscb5P43wG0z45ZDo/+7JlPBGnltgoCrC8NN Mx2LOu+kg/g/XWEHQ9gcVWluamZWMLWiJzhPc7DnHzWcX2MA/gfvY87El0XRo64gSBwXeI1intU0 a+wTQxUF8PTyfg3drlNul2voacuy598BnzhjGqXRyX+JO6rSNsPLHyh8h6P+ePGN8+ANkzrCO6Ih OXbf5TRCYCU6qE/1/JU0jSVunshtIcp/thSU4tiD1KzCxmxwP36ImM+eI7RY7XA8og7pw4vTt3Pr zPsmaH5ASWxm6Qm0llbLPdmUAY7hknC3JrFq/6hLw4RALd4AlEvJrAgoDJ/bjVmfNKfVXdDJkJZW 2wdu0gU5w2FAlsLYBbdRxtcJTORr8qbah1/frbfv6U6dIoz0UnEmyYfa/5ixgXw7+qh+Y4dGPlER q70dAbyJoxoQyYdK3mAIO5sE9zvsVbAC6ckJ8SeKh0k4bwfAJX7QVzioERMsgl+Spxq0EECT/qET EyImoo51lnXDVKI2PJ4N6R4wIopMl+Oua9y3z1KiIpByKi4P0oCL0y+Qxgs+f2Bo4qC1o4P12m7v LpwhmzQ/rZ6Sbpunys1bJThixn/7w2h/ZxsTsmQLXd4wegoFgKlgHtp/yfzdB0WN4DdFhRGAlQec GTLDZKE+cP9sqQAeqSMRh5k/Z7RmnhqMchMYIMELR4fel+VWFke9Gy1Wt5m7l1st5FJAkLfmw7hJ dUpXfnGq8y/oQ5Pmt+VW6l3QaTs5SolPCP8NU6wHkZAOXRrS4xplxIOx7RMmFv9qUy7KIiu232TW AhXH+1jsj1w6ZU+eegnii3kx0PeY2BTIag5Euhz8czft+sIDv4iOnV0xoSI4yMVRNQOFU1UMkugD rErVBR/X3FiRjT6lBEnzCkcN4VjmSKMzk4OV8r/wb5+5+MBBD/if6IIChfkHukBwED1UpCbjMuod 6ZgrfpEUJhfI8NSj/61kJBWV+bMVmM/SKGvH4G4La6uNH0P/NsFdJgDCIajXcGdxl/dmhD16YRUa YTT5R/p7OoB7X8kbXU5ug0HlXbd6BP+mYY2zwvSo7UH/35kJ7csLXsgjNf9pYlYxCENdsHXefZ4R bh8BX3QA5Z2GugfKBFXfoDb7H4XcnNIXKtcDFw5i3mJcyOl82FuwOx3bsD6PBVNVNsF1HrA7ZJ2h KcBu/RL8u9I5EDgR07EY1JIYxuPBO8Z8C2oqgW/Wyu6y2qlMg3o6zHWj1expqx8g7R8fhOPgPYhm KaQLDEk7au4jkAN83Js+IncnWDUSaSLrSA6cS6eMXo+lmll5w65Xi3OArwDmEVX6cVODgnxa6Yd2 YC7aCN/C8QpsrN5akeKYnoWM0oJS8pD3/ETwqegTTqgCvkyjAXbK1rQoyfTnm+TfoGSJn3mPZYSg 9+3pKOIxfADrUA87N5ckls+ba46s7ipl/vQugNxAsWOLIkswSF5ArRWSoUq6bMvzQalGaV1jLOnc fbLNBQI7zM+wnM0XzGCbING1HLbjXBs1h3VY+YA5TGBNBsl1cYLpYh4wGXLvtqXIMimm04mVZTZM qaTa59O0yNSVetXHyI8nQXD5kWyDsA4kWeUo0EV214dkVPXOlABcbFcpaFgSnNOHtMRPZNFxH3qf Bw1IuysBg/OouPkJDFimOLYIT1SQbth26bp5NNvAJCKsDcOWx1bkPh+RXdYpgh8CC+j/W/VstJmg augcv+zllsZr/T5MHiOnkJP+qM/8UPPnXzqh1JhD0S22CBgbyUw1nMrfc0ayQF08kMgqVkd5AqAS r0RtUZFwyAJx9w9Md+mnbtofM0xSXG55T3Ay90Uj8AXNQl/ShIINlFirzTUzg6E0Gwolkr5s2iW1 Fq5pWO9t6MPgGT6bXoEalH6OBhbylCQ5BriCNF0rzFyKh1hnMjg+NHZVWcT2guaOj2dIBvZIuCtR Lb+GkidKQi6Y032PvgIN3qX/21a6bUqjym3jQkmV+FYy6XcL88iOSsXXYkmt8nQ+rGflQT0l2IbQ J8VMlt0FPKKuZyeHW2qLywExk8ikDIhxUm49SfJPbH1suuxXGK7+4vDu7t+yao3CI682KmekGndG V82ksiUgrByD2kbt/jqxKF1zCor11U3uu7Q7LZWj7n6WF4H57aaA4WT21qfHE5m50Bj4gJdobw21 YeMTqWWiWLp5z3mVKf7coqATX3hGq2lwmlbf7zLlcZe2gJu8NbabR3T9l1IGKFA18VMODbbKhUD8 hzdjxuRu8hGCAobY2L2Tk541+JjrM1hW7zL8JigbhAqJbu0F2e3enrapOhj4pqF9l0bzFS9jvjEg KDbtItMYIoPIV3DRCgpQoUhf+hC7YJYA0wiCmbwgW2XijGVncoFxsaHuK/hJ9W4xvNmhWZIIxZSB oC0cN5nlBnTPDmBKkGsLNMq2CfzGADfdKn6GlpkGuewWtYAZksf0ba4PYXJvZnrKNM3yenf1Y9Ph pQ3rRHgHJk/8ob+RdXG1qzodsVhovB75ezug40C9uS2rCy7lhStgXfnSLgybwliexV5FNmTsvamj uzVFod0Yx22jeEBVfRv6oRvoRDcQcLWl+kTQRgwxFrzVO5y1exlVyGuYtNVtH+VZ0Dh2uU+8KzRs Q+6YddD+Io8PqvKM2RfKuMO4zeD4TWq7zuISzMchjdNG4fM2gvlsX1Bhgps21t7Ol7QwlwD+vDsE MMtJpsYljEOsixk3JPoFIeycULMXPhDGUZ16WQ43YTYER4s0ZlFsjyGvii2+4O2mzswBvGIXj/Ig pCHkONWPsjhkVBLvjiVmiZwZvs/7IDVMbe+sMRr65tXALoPIu32ABEa5b9UfPPFIA+OVlEz9TG5k nkXD3IpRjfwVN2A4Ig4vHjUMKMZQ5rtG5NtHGb6fFlulE1l9YG5CGk9MCukKY7zpSqDQTXpsIzqS PgHPUuly3QNTYORXkk0xTe/6LxoTzERNMjPJggwwG2WVg1pu85zOaCuoVcd07ojFjrNGq7H9Qizg p3UeVzldwseAIRfmm/KZxRc/wUD/fPWzBpBK8bQ4anTzBaKlEHcVFNNijAO3UY5SnYQP3TZFw8ri aArh6Rtdb0Rx0CyluTjjacONdjA0PX7YHb3cIO+XqeLymJNIzqxmyb+mFFL8H7jTV7gVKloieu50 5gY+zM8W9yDwGnOZg7ks3nPLy9A5VwKLZ8gxPWV3mmvI+/WUNa5AveQSBEtwEtDKJZ6TzT5XnrYm W4STusJnaUmxttTsqOfaFx0x8E7HQrjfWTy2qY7WRG7I5obH3X0ycu04A06FyUefQtkoDJneJNuF r6bUIippO88bTjKFaoKL4zBsKXp5gQ3SIxzGJzquZhIiAHxb0BUGucXkGanJ3+CfL/d1fYvGSMxq 2jcOfkdpMAE09S4ABP7WiLIQ4TGMAlTxwVkZeH0jGscHlfkjve901RDFW1E7hM2XJfFek78ptsgi SEQ1wCa8s4IrXjFNxXcni2Zd9lZeWd437n3VAdP2GWevZjF/NSK4mq97z0P3yCKU/gR/GNPo1xYC +odZ/y1yzxPpPJc3g+EeiACtJW/EjuZu5v9jXS8RMm2VREFdCNFhqjJSq1WcsBSINppIvGEpuZE6 v6yBlA8pquVIMPe7ExU72yp7eNUk/othpUVN+L+2uvryXvGYl5Vje0ZgqRMtLDB13K0rjcgBHFut ZotoyygvMwrqW1IOY6y5l+HsnWkxnYx6xrKMKhEnejKb9Fplt1lq5GKz83wkmster9eroKQo86hy iVxWlLNY0++kPDybGATlAeyqrChncvzXFToDsU2lH5cKtexRunqPE05+kAZd/TH2JKTV66rVhUgh H/YsrIf4YzVNqdXxXdZZ2pFa5L3ic3JsuLyaHXN1pMOoMd5vw4JWlkFsSfAr4SPptPWvUcIqWfBc +ZeYd7nNsBQKaQKBoFHkGDEM5l8vMvxeAMRjSwuq40dX0aQinmabgDBUQ2NAicT2zCjtgzdEjNx0 Jr2EG5Eg3BVwB8Wmh9HMcRW/RcigImw7gll2QfTQIbU6nWFeM+57CcnyY56boTJleyeCK9bsmYFB JgNGYdpe9UsJ9ASHKWd4lbAJr0hoEcGhvauDDC/v3O8xhnFHWKIIiCLZ1GgXIqmkhNhpYzAjBbOD qQr43ORaGv0dYhmgCvpxPHTgTu0oxbvEK6UDLeTh78k6hlQwhAEBLvr7fDbd1yVWXvX2GN88Zeve Ph9+kuvNOMP+aashI3ioMGWk2pE+I71d7fLXDdwBr9//vuAMwUJpDo3ywu6OyNIohObu4KkY23H7 TEwVaA6an/mmoOzIxDA3a29J+lvWsIGS8mcFJGA+b02go+uxnHcn0b5SdpTehWZHtrvSptzYk4GI VB6sHNLLYIi3ApWyCWLpBEq7MJ4KwA/injR941To0tJ2eLk6vSFXzh2f1m58T5lDzIjiZGfOFxKB jvhl66HpGlo+BDwvGgaMN5PGcIhszPhYtEfoYiZ0vwSFBdAmGueXFE6WCvtDwDRqEoATHS36b5+x o2fLUVADMaRK8RL97ie/KksCNNvMt8Ph1j3uw+FQw9h1y65Zo9IBK7DD+MLtJwUOhP+mCuQhzMYc TN7SFtXlrPA0OCxqHTaVYfmuLFpdTSdvv7Oh7SWQGBWgcdcMyruqHRk2zt6heHTAEQxI4G/Aj+ER eVgkWMdK9i6thMPJ95dJOLq56hoK+FA6tCoZ+ILeNXtDn44bXcMr8rS8KyN7nCtUAtbHx0C1pzMB H+P03CkvjRsaF2tat2Kv6JBkzqBgRo3locwA2pR9MZdMsSAguOP09BxJ5M4tx5eMpxFwqIN9Dwgc 3fbXcIhxahEmxFEFI9KaQlP9PjBrhFQ5TyKI6FvwGMhCEIqnXy8J5AHumLJSA3FZBqWY2w0nErG9 Q5vSmTsEnj4cWSY/lnDrBsg8/PnBSULk8WZZPxBk9ex2eS+esvy93xFpKP4joaby0/EuOUFxSEeD Q5L8BEWCMaKJhZLh5VuvuSsNa5iQrS2n0ktRe7dZM/e1M8oHVS8VBCugLt1gu1Koj3W1dZhjJ4EW X+O82Gm/t2VQ46uz7BTDdKJy2Ccbur0MXPZHXDXxBFub+LsrT2utcNsilKO/4w1Ezc2IvT8lmTLj 3In9x8EvbafKiyz+5Yi5SpDzNLdl2C5/kADNGSaKjEzA5hhdINdcW7XTltiyAkOncN1UXmn5Xhbj DdQgTd0hjNr25+7Qw7f5cr1j899rJywE0MHw5tTgn3aJbTQLCamh//NiTMZKwe/bXWJyeEIM7ksy 5SkPYBvQD5hDz+QO47Wlc54Zvpp32VOoy3hCPil1P0/tBVhZ90de29DW5nwvTmi2vgdZjqJkOsc4 qInqGhSs32HcqxzHFUpqmkJIUz7iiX92OaAcb4cw5UPPTRSeuu9DIAjpVILpPOqjySa2EisMegKi Z3667wsEAu9SBLhiP/XfQSRb0Qqb6PkfbvKVW001jvRwC88ttvlrYVmBIf3kn0giVBktE9v+VkGS 4aR4aQoHqvgxXNcQjXZg3kVE3/zw3FmIZKAR24O4v8D8hd/2TzkGCWQbRE7ZUwzOvTyWRp9XQmne 9VF1oHqEe7dPZr5ixSXLc+zxICCMr6CtGkt69G8jxQE5ngxKcGvJOShiOBnnT9Lr1LvzOS7SORBr cB1Ayqj8SwyM9SEg9R//8L7ZDOUVo3Zq1uEJl34oTOO0+CXKiyWrv5YlarE3q3PNt2RZsZmx3eLx Od8xFbxhTPb0Q9PZGWasUEd3hk+crsXUNh0sPEEcFWgamLajQz1A+jMmRGm/vgFgEUwKI+kJojTL J3RBk0769kQTtx5hTEELxfI4ESG19nJjl0uxgdCxQycCqkrLjSDIZWel0PH4uOFklyo5V4yAVq9R bFOeD2G1LSkwZp+CmEfno+0XVs5gc8p44PmP4q3Pgw5mlurFzH5J9CCo225LLKnrcqZkjX7rmsCP CwXOWyEIuq9lyqufDGSYRidYpfmoWlrFsQYwT7j4duSk4p9lnpwNiRbCRmVeCNobVPLoYbzxuCHX F6AlxSk8u5y0V6ZZKHodYi+tG49scXSoDBIrY6GjrPzWU8cvfw5ZROwdlXjMgq4sAPeywiyLYZ2A FG7dcJ34tR7GrQLYbSfdRYbEYx9wAticH95LkfIbSEb4Cq/xdJeCH5fIEW/+WISH3NIgz766KlaC Ffg4RnwV/2yM3tY5P1N+xzWtCnlGxFcIbKH/O4E7Sy/+cwsRAbbmPfjEwgDhX6mkEtawm3m6Aaj3 hy+53qZX510kdfjz9wRThwayTit6jbnIKVLRHy9zJp+T9QifKWTwoT6P0d2jdVrF0DoHyTkLv041 MKocbpTPeKaYtaQnxY8u/QUYZWdw7kZngvF5PmKT8bJYku4y42DmCW91Yb7s1IUpmMyQA2RE4pFZ MsEwppjNBKBUPYwio3drZ+LlQ5EMY2o1W+1ofoG5m132XaXnytrJurRyc845kp4UEm3+Xxw/Jj7p Otea/hqJJBgHZMROh80PWeBMV6yGa3irPjGXrm+/5njsrJMif5/225E17HQAnO5hiK2SWhIXDw6M qsfWISbu3wJquFbj7iipc8D8DmBEqj2YLp6rOHbIx/KngoKgyIFTExljm6GcyqyMRht0VGHUTdkp ISnFOGLmCMBqpYlELRrwL2JEbV8Wbq2+dL9CxbMNH9OC5XHZMnB3Ivgpnmzb2wY2epjixc2Sw2Xo fiVzAWy7B41kMbDS4C0LJ6cYofvRBm7UhUGbyX/xN+kUCUWKvrpOx5qvMXXMcHjSubAk6nbrwEuK g5En3H6MDcYJPky7ME92lP8acGfkfKZB/TcBAVM5aFOa4zAJx0NLpZtPcQiYa83bgibyU7gcCmxj Prs63JgHvdIEu/h/y/Xu9Cv7I7SDfXV41DRKJwL+GwRnLMhIrw7c8QWTPMhN7+n8LbNVJFi1SFPP j/cpmu/fYU/cCNSmfcwYrjcupw3nIo/zzFAKOZ4BvzI7Gjc9hXVGE/PP+e82EjU0xTNJNaY2T852 iuOLtJYGNtLXNcCcaBOMUDveU/Arr3M38DKUBpsOovhOihLGpFSPHaDcsiFl8HjhvGeynBlY353p yqn25KGf/NO8SuWhNY5oih5kxDzI2fu07Y/DFGdZnQmr/ittjrLlzFv+gvJmPjZ+wqKSMfCKrTYl JXpP3RcBJwS0bpuDuW0F5I6DfbACZ//MLl6JME6SF9bSEMmGbnQu1F3ujllBbk1lVI0crgLFsU7d NptOWlA/Gt1bABE0nfYQ+uWuaiphPHbG5k9BWlVJG2B+yfXYBx2NbIbJDRjvw90tAQdIXZ+LeB8G 9S7el8oxJNp1mjASLyOvUoFxXFPeL8TkHnEAij+pHHBF5LKl5Xj6Udx91KfA7FdmmbXftRW6DXMD XPHJxS+YCglZtUIxSCyV9j+lmJ0XiBaa588mORTw0YtmorQ7ilYA0/+nsnnXOdwsOvW9heuZEr4J bxpBLwRrrnWwIPeASX6hqCCvv8teFe/ophvV5ZFcWETkW3/2yGROrwXyU1cD96/CpnCSN9KSG2nz SN5mGMZ4hHWdC1vfTfCjZ3lCrYTH5n5C5wyHZG6a4mjQnxqrmy3bV5f2z2N/T8cgDgaj/vPKbOY2 +Do7hclNmwoT9rma/+A3Mt7RD2gaEMEHgnVs0sL/Olpxmp0q8qV60WSEb65U1GmNPSDZ3RSBwBbz pqbQjYNXMViHQGuxeaN6xjX9bJv8fsuzpbjimwyoAs1lfAMjl/RlukM3AQOxRrv3cCSXeP23Hykc yn7bRvYSlK2qIYPqHpRtI5g1jIK9KWxapZfLNUTeDeO+FIT2mI/EcPPJG0YodeJ8KHxRzARsNcSl EG4KJIyvkQWbm2Wz3ick7kL6s/3pCYgnoz9tdmUHDrTi1m1ZKY/hcJlAunfYua7zksyrqE4BLY02 hH6MDvb0xaMjCy0Dia7D9+4BgpV283cok6jy9dHlaHKnwtjSpHSJ1NivyTc2sdd4PaXWzU5aGZ+j nvK/eNRk2JWt/2Ccqt73TI887Uw0I2/0IPm60xd7qDhqaXsGfjojCzqx9ALPPj+h8VKeujm0Wm3X W8kFsabDAxrzyBszMlyjzalbM0xDF01pUOsj1nMh0f0Bi23W9gMhG4pU57YavXtBMuJHTVhLmfR6 odEKOrYqNZWJChGboulVFDJtWxhPdXhF5qXkGnE1+ZkE9jFANU35KWLArMPk2n2/dgwoUFAZR7BR NQohuQ9Uy3LWagZpMY7VfsVHSrPxc7NXYD+p5NFX3wmzchvWxyy18EfoTtOxm+9QcuIIOcC1c0Ue /5W/VjuR/pPMlAwzYNxcvdDXZkaZQGggEMiM3eTjSC0Rhc51yZgg15R3S9fyCMmunp08L3fOjQQe do9UQMFz9oqswy7o46PBPJnkhOu+mm1YBOLaixY+jlPeDgzgP/mehRxQXAc7a+pcYTw9Xp6dUiyx zITuBeb3yrQKc8pykcfNRFZEDhKLLuGppzYlhzimAxYvIoEkA97k0RUEKbnQglKGu3oWEEiG52WY mRJNIjXCkf8hZpMWAUR1z84c+UVy2E+uchOk8OpR60BSbUiQnh89H3oI11zuq+JseelngrQGdX4e +8xGA/4TadlL/gurgpwVUjwxJvLlTNzAz6ebIDHchpc6dNYq+Ijb9PhjldouqpVohiIXLZW7DgtS NFmAqQ0DymwBex6ieiEfCp/Loqgqvn0vKyCblPi4oEpafo9lmRqqRozNO8eppSSsZiMgwOU/Boas GM/fG8DFDu+nCZoQMYFz4aHn8OmwWK24WFcwikxLrEggf7Z1emz6YjR5/LdmKW9Q93VtsSq0Ah4q mP1wBQpf2o7D3Aa/TSnXhpIA84O4ttLr+XUsGNCQ4wxDv+3NzDzvOooFGyxkX1b+dRdv0FeD4yUE NqKQhj9uZ/V5frlxWlj+uPK6d0Ulp/xI+QIyTH7wJtWjxAnE8uZhHLO5hqWtUqdMd3giwKfNMDBM maTYitjqQzIyf/sW6bvfxQu75Na6HXsYZtaoIRzRJjHrSj9bfuFySUXrDhOPT9xUU7zOYQWW7riF QTEK2pM1P58qoMAD5llTPOjqhXaoVEiPVFz5YXVwbxXfAeUyzrQRf8KNBjnAl3qe14BPUD0p6Hiq Dsox1jCTSr9kA7UUOa/cQ+M1DcTsfcLuSZr3VtZWVGu5Sl1uHOYn8sUvkUr1Yd5ZpdxNRwMr2uBT gw+2tHzcuifqmomzEb8jijEf6/eFjJjgyOZfdRZoXggdEMp4v+pql+pZgn0vvYapUFpdSQl2YXJJ abM020JtA36Pl/WIZY9OCj3sIxhD6f3xvZuKVhqbrqBjxq3ZlTvdgM3x/2AoheLEU3M49FDKkmTQ VYkTgcNd0RKDv7YyKw4HfQRPO0TCPJPquzONMpzJg+YqiM34dyNN0ow3OKFypBHwMxLMOZKbiXKu 94mpD0hik45FzoVs3D4+7xv2pS4CK6SYR4oi1BS1+VYDe59AkauKvalux45RiE8HuJpvq7SFFWhK higsvaSC4sZC2AmXX+GpG9924Tx/39J9ey7leEYtQSP6dGPqm0G7VxaYAZm9/AxhpPYjhJMn0Kmk 48vXyLPMivBlc5gwBc5+VUMFS1EAg0g4tKMwf4MObXRn1X6+oWXzehKhHEsN3mqfiMPzJGxTrvfR b7YeL2gtXQL98FhqBM2PsOuY8Y8/2VdT0CW5JSl/OmoYI5IVbGMYCo0tMEGrEe00sVeBDxLYzHn0 HjWsDUnug2kIIdecUTuEuoOf3lgPM/GrCWS3zdECnXLkPwTGZia0M0yBFPZf5LeOUy47lFJzs5t/ +3wq0NwigNRqSWnkZO5s+dpd0M1rYgLUIH1AqvIhVBfHCZvCD5mnQVKo4rloYP2k58lvVcE4+zmH 9RuOEU1WDiv/tbVm5IQ4bOVSyfaXml5Jb4VrauhmxXVZ5ZtXvMvwr34Sfr+17DR3toW8XbkPO8i4 wtkiCfikgieB4cWaaR2tU9iNQJN0UaXcQjBg7DT8M6w4b0seSzMq/L1kQbUi8nm25Y0VvczJlKeR RvlTKYdp4ENvnVQjcMp9jIZfu1qzjn6z+4+A9IDePSgLOJnLXueGHPnzdOKcE1fM6FdP14j4JL2G Hcn8bDckJjpdw4wM/mXHhTyHtLfvW9FJmZcutvczI/m0oQiRL5bsY7I11nRlcQPa/WMezQDVM5ox LiNfiYO4uxqWZkaLuEtGUWORD4EEYljm+ZYVzDM/ACDpk2YqN3v1DPZ+vD41X/1EUzTDRh22TGgL bl7TD8GBOrjtDpfDzgfXI/SgDeVU0rcoDXwmwgDn9MK9MQMcPwAfj6dRHpLajZbztPOEN/fxAsut HLR4UHsucC1Ned50Zbb+i/4Eiby9GinG3L+a2tfXfaodJrCQW1co+NR8DQqajkVfDsB040qK9mWn 6iAeyy+qOgecHsXZZ8zZi9Ts0tzFnI0Llzve9OBn5HDxTXL53AOOAFhOYsL3kMFV2nDjW8c0W0AU Hr4gyI1u5sW8H6YnfVpLsmbGcorEk8vYhtCaTXew2FP4FCrPPOiNlFKatT05hMNQXKAIV+9/HQLD 3Kcw4coMzRWNy6fqDjCBo20FU3gBUkSN5eXEgF8ZI4Bl69mt2ORFTxbH+phAD8T1OqCK7e5N+FgX fPaM0B70bw/iVgTfDG7uAi0WnjUL14r0q08AtnsGpw5opdqdEeMbCa40kduBR27IhlRE9k70dRIF sfFjqcaazdyqtCKrof2Yt/Xr2NVr6JLXYr+/pBTnEGBnrY9lDNWTEgftM0OBFy8fpYqwnPSDYW9M 9be+GcMc55kdb0mlc9dq0xctXmtaVHzyIbVxfMFX5OrQ34Y49OpFmiILc5onzqptT3YzEiolR9Ey kAOCQ67VrKs5Jz0PnyADPPDiCaThYPSpqOf0oiCr2N25t5xZpH9No6z/f/RCTxsNcGgbEsIC1Znd CvJFLm7MYs+6Xo7JmwPZfUqpR00FsRoHq+gRl48/0lALxLO5SZTXV/AqDxWaADTNEuKShWf6jW6u z5n5zP15hKXZ1zCT2L1VMZC7UKmG4n4fxPA2EduJme2Z5DV/1EjotzY5hl3QFTGl+VwtI2JRHL+f 3RUxWxqg8skfFQgioNcbPNMBM1cGCeAc3fwA9LlB1TKGaK/8VlAFzXqSeWpdRIoNG1+61IMaTMEo E/fQUTf42NgDtdN2uts58bkLkFPML/Pdf1ujAtcnO6FVX6mCpRrDiefc0r2o7SPlBkJfpcPGgFKD 1M/OxmHwk1sicktJPqcogUJeLXigRFMX/sZOfccQV7C3K4ijQ+tePMEWqkQW0BO7v9VptqOYsvCL UOfnMtVHNpYnEiMmQ2LZnJmzwQiO3p+CZUr3e8g8y0x3rhC8xU5sfkAms2mrw7KJwpUW5qw0xfe9 nw8FeIbasD34jhZ055LrYtLPgoViQS3EzZhqoYbGY6IX1OjgAgV1yF1UzDVo80a4kRwmAkWw8yxg es8yy3DN4gHEIoKnqZfImoux8PFn+Ih7AXRSR0W/Az/6ZqU78A2nJs5+RkTmDexMbK91Qaq4hSCL DoDOqUu4SoRoJMljaPkZ4i0TWaGCC/bCgKr9u/Ds4OOCc+0wdxX2ZhqpMdQs6/px98Kt1cj5XWA5 tz+VNfjiuzqW2ruC/5MKipRL7tL9QTEqDNvUOXMR6iiG/FFskVRDj8ZIaFYeWnEXgk7EqZ9y/Jzo ZdGZm1aFiC1QMrA/U69zUSehFKEkptrYiLf02gSnO4i57bC6OC4VZ31unjPA33SGHD+oyIcOAuF9 dAp7fhiI11ZzUJIwlqlBvZUgBg46KNwnCbIrAurGjrhn3lykiqUSy0jZUoq3me34jxpQoYEEPzET jZQ7TXhDVXKm6gUvptckRGLAxbLovnhlj0bxqj3yIigLzdKhOnTFFXSM3pzbc+x34E3ud+IolF7n MXleJcekNDREsqnS+odSohDgtyrwpfI6oHo1dTGvfrbrZHiIeWzqDiXDpO0rrN6TS8lWvSNzrCmz 31wVVCSb48uUziLhnDKegJtGAMDjCCX0DDQt1pN9hhE4HTDwFFzfukUwHIhVuCRPLoapnt8LwNug auZqIb17V59hlTY7TBMxLYEamqqfQioY89NLXIn3AGAUNQSC6iMdb0PugG2lXAN4q+tcjdB8saTM rmhgptVZsGnzWSsd/vyZtLzA2pe/R0ChM2I0BOFyhYkJuFTBXO26B3ky+DGMNGEmWkB8RyCQf9tJ K3AHken6/HtmqNj8fJJFjGemGCNVMMePm6szGhqOo3mDxv4dBWlURVe27p97QkPM6/RAsuHonJN4 kL4AcYxjcri/9zUxoVdydldhqKJq4LHPLTermbHDN0NwHDxnQDSK+4nF5izprOaTKL8qY4uPIb8n kO2+BRqqQYNHqyWutplyPz1a8fWKNI/aGmivxlPR6Zq+oGetsZj6zdp7UaeQpjL4dicBgsuudSy7 Yowo29LWTqMoo4/G2RT32fo3GRySh/Gyhy2rYqaT6aU7hJoajAhl6mmclmDKWV3fTZPiVOJdA/f1 f12GovVjUOQbTAhpSATHBaL5KAiA88Po9pVI8uGK8AGNY7rlpxP6GlKn4wI52mo1tTFstpZwJO7q 4Eg6DmZcpmbOeOIy6uL4MKJgInJlEse2hNvcgJr1EVyRVKEIH2sAuE+HiQk8TmwXf20o0tWW1MXD WOBSacgpZmPVdQd3tvuoHeZZsRc1Dsj0nhaJVIYv1rX/HoSV7VhQ8qNLktifLJLXoCbELR4vqlMz nWY/Ab/OJgniB938gJzzXvqtxj4rAKWWZUKk+UiT3CW0Pg8AwEzR+271UMIwiRR79gvBtAIXOIyX ptTjwz1Ez5TuCquAel5974VcRy2p/988+LmsbpjE//QL2QdKosxdS+nE7PI0m6wwOR7xSLJdtngg j66MSGf0DJkxM4CRMHtRr+fs7m/Ln/7YCemmOOg9NV74dAyV443khlQdcqk5C4ul5speDzzMzxoN QSbCRaJyD5DR5HVrGHI1L/msgmbT8/MbkN4RTqN0m5UFeIDWHmy6VwvvsJH0HSvIY4hdRrPeeueh 0Yma48kVXsceuw6TcQwJagQTSs1sBtF+rEGEvjXivoyaEXBQSsukFmqHctnS598rbmv/7c1gauJD YRBNAh/ZhsfM7rDKtGKIEkZ+MTQMOaS0cmmsALub5j4Y5DUP5JStIO8uXEQh1twLcrSSelNQYXfu EL/pMLJW/DSEcPGkBRquWhdjIXo5Nfu1BrbS3zJw1w1uKjNu3boHSvSeZN/VCJD3lIYIVzxl5LY7 IHZEaIh7ItIHu3VOx/ve0jd3iP2E5oprHgFbDOhmZVt8ThcjvZHNqYIPe3Zelzf2pWV1wnjjjCxx 8K/F6/GARtCytyBsDCNYw4gd0i/9QYYPX8SCmk6aw270wkm92wp7R6pHmO/apywi+tCJ+1/28DPI X9HHzJGyCoPjvA9xHOt29C6hO4X235HQHB8KO3aXTboMukqfM+6LTwfCGojgh6SwjfVzKMznvMnk CcMwynF8zRtNiwF6Q4iVBclfod4/ZRylpN5cWW9AIOwoDLU/whOSoYQomuaBe20HBvQ/VxM5wIi8 8B6Hu3esWAiDt/KKOW+eOVVx1+RWkZZ2M4lgI8wymm8T15hkRnurRUzwjzk0edKZQbeGBaflr84l wjmryx8JyIzKI4XkhsE5jMGdeCKUJYbARt5/oL9U0FjiB8mcmHH5T1mLVbP3umHy7BiRUPWKvxFq dvwFdktX68nN7pPYc2112+iJJUEhpZ+tNJ7x7IY271fLAh1qGHUyOc/LRSRChQ3iXNeySSSBQyC3 +mBAO4fEOnNn05AemJmx73Fz464oEVha6xSc7EI/ZeGs4vxK7B4QVYe+u6mjiTXgZyl7f8IUiHPe kop+IMOcU0WvZJUw0+DkZ9DrDJZLEu0MBoOMjnVtpta/nEd+pMm5i6cjq6/hGM0Qjus51t+y2zKE 387KxHRnnOZaY4U4WHoShEFMQcSbeMGOfqyhxR3opu9hTecRLpHadIbsVJOZ3x8WSJiNpE8Qibx0 gC/rJv73APMEuMrHAtd9leQMhtTCsOYAPOIvZ80TOhJSAGEKUMILmd+JR0TLTCIrAkbq9QtGl/o0 gpQa/AFM8dutt/A5yZpMZ0Xney2Ah6hMMyzelyMxfisc4EJpR5dlyDzDPxoLgyTp83YwAmA/cp8T Pzt1MiMuKGs36Y8MKl9PfltD1fIxHpWvGQCRVYqJn5zIIjPXHCxH/3dE2Ho7hRefgXxQ39dZt/+e Dn2LDxDQiV5iSgpYJs4J1c7cm/x31gr+dnGZhlPF3g/FR1Vrl+KqdUXnPo3VC7wM6SOVuHl8BeF4 KoYAoV+Rxfrad3ph9mV6FnAGlQct3rbF8nNLBPi/K/gUZtljlfc5hnwz4BwAAflFydhOr4Qwx4yp YTDJH8xF3hYo2oxKn8iQY9si0uqDNIs+5d5TpxRjuda7j5WLogLminPPD/Mg79h92R1Wj+cULUAe TlcwIo+Hld+RCSK2xo4w46xxFy+Y6nGbiLlT7ZA1wB0YOOu2kbEePRdZjxgw6X4Psg72QzXYN8bW eDCTSvxt9rb9OJXTvZ6kuLG2jCLhnoQaEG+wKrWPI6IuT6KsaLwx7DVSG1YaKtIjG9HOI1QSLcx0 AWSf6QQEfVVk/MPgl7vceBxFwh4TZO/QTAXR/p7scumfgdqb0gdi/A8bmlJ684TanjThjrNIa0G7 S+77/4DqwRym0b//rLMkALOxZLAcGEGL5y8OQl9tKSIgMn6p2W/0e0KsGQCv7PwfOP1c8TZIM1il SAFdDiZTvIk+3cjQGAObIGU318wXv+jbyF+NwrEtnMxpfbDN5ZBhr5NuTsl4BoRwVlRkpksbqwO9 eOiWq7Ax5M5E2/u8IondSt1VG3JzUPn681AlWAh8RYRrqtZNCw/9xTin3iVMUldjvYnnCAx9ZyCm yxawecbYDb7nrHrMxeGiNooUiyuyfqx/OUYPPpJAHaF5bF7Y+XEjVnkXTJzXKPiurQqm3HjzBJ0w 3UOcazQnp2FxhAAh2TOALJPaEsb+XrveefyuwrcpHtWU1Va3kGukwau1BIvJNhxpM6YrX7Bewfeb dY1UbMboAkTmpLDiCUEXVj9EhaWeCZhnmvnBsPKZifworIg46+vODIVLrMwRS+si8Wms268Y5Cgj /z4tTJoY8oxx3o1gjVkh2pP9xe/e/szd4hZmFDeNBWCvpCRWtnjCtIq1hhiINvHBQUP1Tz+g7t6U gK2RNxKiJElzfcXI9Jl4ah0l/cn56y2z/oeVxmUeaXcBvYNNhDRc2u3VCiHZZZBIQIhQaLTx4yEK XPtihk26OFBOis3M5xAbOnjxRdYI9hymo09lven8ZX9M4JIn3ff1FP713VgZcmgYXtwYC8AxGzSP HHIcTa5qemV4Ufe+iUqPOnKTeRXj3U0P1hi/nOQZWWYVz7n18K9FJ+yHjQJxsrkRN8m1O2V10zPY eNI9joC/fQWuDIsAmFWNA4xLBqvE2mY3cgoO8dWVocqjcNJVsullVbXUllEiVeZ7pGeSmC06KX1f cth3YQROZ0YLzOlAdkudGoWfCYsdNYhhfvb9x5z3ned32wM3/RMPSPluLChrUB5P9/8wG8LPtSe0 5a77tp4u1vNZJgIz5w82DTNcTLRtMQm7rWcfSLTpUPLlCz7rIzDK5KTdTWIXa7yszYRxKghq42YK 3+8/xHLuqzXnSTlfWtBr13YiUDqL35J2baFNTojGh7f0WYqBcKtu5Qcz19D/bv/TIbqqrammx/TH uI4es39jn7eFtjgA/FlTwHW3EuW9g0039Wp7U8EVeDjD6InKZ400mnA7BWCZy+Sob74j8jyytD1w 397cMlfUt3qClH1Z1K/fbgN7XyYSI4AQjm4etBCuqylo0cDbqM2WwWIEt4m5uoV4qIeKEEEd9/5o zrxGuq3KfjdMdX+EiIIr1T2HVP07WjpVZ94/QVbW/wqfRa8AbChsu89z3FzOdHw6VzBWzx78+yFG aS/Lbq5C/ZXcWWjDUIhQt1pAPNwcRbbtj2BAExsUesxaZrqky2v08xdWAVx0F+7+YCZv6rrx6VSK ExgMFpGKJUNgsWXNUXs3JEPM7NO+s9qbTZlzI6pxdgbmUMoyfUWziwGP7JmOqetQjw+h2kwhDSjJ vVKn6JBKyEJQbhZzivRtFXfez086WAFRia5F33froWJK2C6mNP79xVTZrk0oT2UqWHOPo2vorrq+ PCgxiy+2LhGBBnv2P4bm0rGL/81gXToKYkIxD0MHzmD8d4Q9QSzYvEq3fYmJi8UxCDsFKuThr0UP OkPk8E5e6YLKsUfNRgA0m2m67o+fTVzIeliZ1g64otLTVtgdaxyNPaKtOLx6jtN9dlG3421Lmwx8 iW8wkeVwcV3urV9XwmCjy+Icq3OCtTw21EoE+1AH6PTRNMQoGQZlAVdjbx60nS5To7jwy5/5UER0 8Z6I/8klqvPZDgTmf8rwYdLyCMAo9KUIhLnNQaMvAKHWgOes4ng3kg08iy36FOTvGg8FOPEg4QLI xgWNqTS8b43vrfDdiiHpALwPEu65/fuhwlNO35KAijFjjOu0Vs8Uw/dtZEDClDw3CAWYcUwXJ9/O 4QLjV/prZT6pD09s0PE/O78bEEm66DwvdsUfZAcf+604oJPfhzq952yfMit0vPdhN5x6OrDamF3u ShLDjkd0/VscGPR6Zh939zKgdefxmPqraW+vojzHSZHGJzacaUO8+XtzPWkFUSxDKbQBac3+9bAz XqKcah765QZzQDLBxGVOhj2qV4Bi2Ol9sFwN/brTWV4uspgNzTI+xoO7scGG3FIG6uLxnz2PAXFp gTiTeRBpOsfe7fHXBSXSgWUHfa1du+BOECasaUNnNMbNfG8OjqHOzQXer72eML2mQz9QFa4Peltw ibEiAhC7Qtmn86Uy5eWTvnBvAJ1R9GkGCZo/bxjhttUtEvYIwWAbNMYQW2AjOdpZk4UPvVM9hZua sAwQzVcSnRZQyKruovDnD7Xnr7nT/OkURyyKYAhtaZEKiD2HjKFadEXKdXw2mfI+ZL1/naEu3hS/ 5QU+BL6OY6Z/eF0aDgHLh97CT6NzJORta1DMu5mAVdq5kThKNVtOPRD+Axoq5Toj2q9enUq92uHN Y/9UctTG0M16rQQuUr2Xl0UnvJYgrjIAYQ7kb97iNDGKma4OCph5Ai/ek5arK2/PW2wSiT5vf5Mg OeCtK571T54T9vsFjMVEEo2vAGwoj42tTyHxwAt0SEF75ytd1L2+TBMVc6rc8dKVSS9QQ/tgtyLW eXHsSlYlP3zXXX6O9NWfM/4ypEJ820DcAU660tNnOQoJdiQkFk3+CxlC4JcwHEOWKLKfHbXqhMYo 1+cLK9SWzVEj4ncf6VLXslI/cePaYdb4aCoZt087J28+aAwnKjSTDb6zCBfb+VJQni+EdY0zt9Gt iIY3K7nxCrLp357C+fyvwNucU+HOF3cYU4BhsiF+4givVEoG9e8Ph3dom9sIvMNcmto/dtmZdvuW Tso7o4z8MvF9CpvkAMel560Iz8ngMWUEVqzc/tC+XW1DSg0qGQuVgVvo8/Hpe/qbIBL5JD3O/Y9c RGDO+FRRjJRhZajF8E7h7dhzsSRvfr77QbmIEt2SvLYWVXSR2UWCpZ7SpAl5JrxuoHwPUqCjJHyj qt4q/LvY1UPneYYEX1EmkCwmHz7Rb+JMVnerqCJF04Kllq3iLvTLHaz9NZ17V2B3D8jNbi1QXl8W gX53fTya4AnqU/iDTLqBzN4ixkdHotQRyhI0ZbMuUGwHuDcWdUB2ksz8ZuzHduqjm3ZZVz0RB+d0 LCtfMG+LlrWUA7yGG4mJlsRKLk0PYj75DIERTU5IdXY5Q4q6KW7xSivdwdMIGRZzpiXeKm1nr0+a SZjMdo1pSJzliXdOM89tBgjmmbGNsj4D1NcZ2RJkAjGz3GseS85xuI81CUN3raSXQnWO5DWRODZZ E3sJ+nAcIiMJ6iDMWaWkfm/J/bTZmheR9yxrTDXhkmiQq5YF9EgjSgUFkDIuqzAIv47yIZZPtirP /IoqKvYQ5L5fxH8EOTnQny09cmq55XLoD9GtIgyRLaPL0JLQ0tjwPZdwckkIh0S2xFc6thXrVqAO pM/diA+EHjo8bg1hzVHlb86PaOjLbmuMqcgITjm9RsPB4RDb3HAbC7ZCIyAC3XtwJAND/GlEEbY3 YySU0Gg+ZygwyDYiFDyGVFaZ659UfCiOxdhBo9tdbeSFDnPQV8nyxnXWCyUEmUatxC2ojCE+hSX8 TYL/3tPgucgEUWSdjy5/6DuIZAUnT+W/usvfwASZw1uF9k5uMsvtpcL0HFWIanFyyzZ0SRtBQ34i 1TgkuV8Cc1ZcNq741TEe768jQtbSq7hx/ZjTZ4gL5tX1pzQYv06QMqzvhq3tN9TQB22idlW/JrBE 8TNLPsHseagK7bBRxNwEt55V/OyPVQ5VWfgbq1X41oV8O7WMJOvXdHUptm6bkZFovxAgLUWUlrTa cSVBxHHgodwtmfIQ6atqKu9o44s9epMaQuaQQv8z2Jopbf71RznNmMfwGo6c48q4S+zJ2V1GcRwF E5l3JVaz3HaziWw3Pvt3glmn0wCi6xB8bCwwpVXvtxpBPDo+USUrbZeIq8NtJJj/15Wa1NNOalel u8XJLsla1h/OOCmhBlSwq+iz1wFlW5s62NaeiB67ORXzK1ifeRUV44V1dUfHX9VZ61x0RDHpRhZ4 6gkTBOKaMuq15pzPI5fDxvDTxMxN1JCxLs7YYZuFHNJM8rgcCBm+Sc3cf6V+iDXf2ov3J46J1qeK vnNnzUObbJChDtpyz3EWz0PNvmT0l1XvQW4jWK3/pVMJ1vLt2FmOReGTjF54tbR1UEm2517HNhK1 HqdsgWCmihQ17j687yA3uAdgcSYOHfmlBTtxKxLMY3336Sok840HTV5H5lzAMVJVK4Aav6o6FtTG 1TLvdkJlh9PqCOAH0hYg5Xh95zZA77eLM7n0FH540WPKzqxP9YRY7t/0xdY9GHd2nOxiTiTUhgZu SE0i61o0wHc9t4K7gE3FH3lxXjj9aMGYzWyNp1hvrmfT3F7IeV28/oAcVs/d79s0EyxXHydLr9+x JKZQkSFdfPpVKRO+bvw5agd/dJu+KpiVPMq+wo7W+o8JkJ2g3NMt357ycRlE1gsoeZ5wEXlZDENG p7BnCLuNFNRGYS5c8RonMmxtgcLF1l3XEuQdjCENX7OgW3qjAbgDwllaz3t7e6v9RNf6u6sAkDD7 Y1TX4XghRhqDd4mQSC774j1Qxu1OyjMvDjjAY55QK62SfVtZRLn8Nkl9mlwwfHRA6r3qL/z3OnId nkj/Y3JiUMPVxhEKE+3iN1kh9jgGUFLy37kJkD+E6ZdntAW+1CGs/XZwRqSc+PJ/6cBQwbMraYHh Pivniy23S4nFPQrDsGTxX+Bw1FdBfbbjvbGsqHxPcWihh3VMevTjmaYTN66b4nTOLKECDwoolQA2 plXWklwJzKVxV72YVRHY7CRYgCWI30LgUU6zk+QeFcq4qB+o4e/7p2Avqd1Tr+KWCEQin2XOfY5S MsvEmhawslnxye2elenIb+Khc0jsxZj9SOy5uXfV3tDdfaNGw+6s9eQx4rmdQu7HpLJGACYYoQIK TsBpj5DZaBPaPjyQacdHNz0NMVgHWRs7ojIwGgMtuFjlo8wO7CK88exIwHnMQseS8J4EKCR9juAk sE33r4YgWpOdkaAhWldrPQBsCW/NfsXO+qsreq677oOi55P9q02ZHIMjqL7Lf/ckB2MeqLSuYsjl Xxly2cZw8e8ETooI3B3I1AohvTOW+Faehj/IjaTbGvpKuvfn0JPqgzSVMh3hgdtACSZY7U87cTeF skICJVaneWug9YFfg9Mkk7xMSdQj+nJmCRBpVdqSKCgEXyTKkI0gWcoUVO5Hkf3nOvQ58AomikJ9 /0Z93A516jWrqXhegIIYhVsOCN9MPTAvY+gb/Zc4KRkUH+izzoreJVeImqIrQPaSe68K1MZ+2c8s 5AeM9uFUyYxOKwPvuw/gKlMAo3kvOAW5WQKOYtG0T0gmXAniFY4uAavq7A9aEFFDFOm/7W2zi58c 44GomVsfNOHD/eTIjNw3Y0NxBqb9xcrwOg55ERWPFbhzqAiVVSj8dDaIR/VZmWtVeG1IcKyi0vXp 7o/XgAMJxAgVPrarjGrgKa7XpsNWgKmvGIpCnGXz25r4NmP5RPnDuR/DOUcY9MRZFtUG+eU47Cnd T/eIdkUlIBYhoLcdnQZs79lf4wWzvlBlZQYAgIlsz9VyCPs090M1zSjsTnuF5AV4GSapwSpMk5TA y6dW28ERnRKGI/AK7+X19O4yx4UgB7AGMsGJA5vbWG4UjSQBNu7jQc/8SlVUDEqxnr4OhUHE2s3M lKymmryu8QWHfSX8fcn3qK/hOcEasYC89Cse+f++FZs9yHzboyj84nvULZ3Ep+SbUExua8alAIdU Z4aYG7B9E4MzJSaYSIk25bdeUkfr8AQo0tg3AtVkf2aDJIz6XlylCG3BmZ/oY6V1j+5DajvuTO/I P2eLxrG41WrPmtdioWHA51INOO5JAqTGis7gVg/ZtbyItldH6w9GMLal61HR6qwGwCndnDHEaHbi 83Kz6G1EKb8fLKHZk/KxzUBCqmIHSpISRaptk6k88c7zkFCdN50/VaD5eImQXc41ElqIKmLs42x6 1M14bGYgItg5sDeV4N4HmBF/qiIFJEiUktk/CgUu5tkKEjSU8twBHkXrt0gTiqnq+1cR4KTObc22 0zqnMguFF0U768u+x2w+5aGP0JvTPSDFkv3jSgrENO7MThwJyKItbP7pexhgfLQYb2YRZU77NcOi /nj3XWsr5XwXT4QimAAMDBGgdSSqu5Io5zJ8rW36/A+7DyGVMC+pGhGeJ31dOYU8xuSg0X90rVJW DexTGC4kCRnbIbYYNnXrq8zK8ru7wGTl5JHWuXlX2fCL95oj8cs6952wct5Gm3fMWiMopuaP309H UdEd+mZwKJ9c6pN05eYsVW/Sgcv6a8LGG798IzF5Nu8UCYFljsIW0jBSw1cUJYYMvZr6WRnZanCX 7Je9WxKGQddgOreSTJmaFdjoQuMwQrxk0AJM6TLlvNKunMRu1/lmFogQsk/1+qCIJ3uj4R4TT15B /SXtiD/8h9n+NyvrB+g/Bh0uPKYkaIoXbAcAzzCLyHXvG+s0rpQADNsk/WXnStpJZkI35cx7DQpr KVQ5aTQLEit+r2OjYfeOvElRKq5vEGxzQYlTkqdNLd6Adky1PU++6M2vfxVW8kTz7wMFVNv663Jo 9canpz/SUsokXEZSIU7S0r2cagbYbAS26MLaTBFfOCexH08mZea/Zj9q1VwN1iqdjTQN656uAgRM GgPmj0l3uVr1IJSMY3aZDRkEeS7kuDU//Yib+AJ65GhxekRp5llDkbQCFmq2CXAZN3zL0XMWU2qe 1w9Macqc37431CiOxg/gAssBUAxZEkEOAHs2r1M7lwkvdYDiMYdfFbpap7/Hk26yJDj71hEgYquB lU5xMlSMx2hZVGHnZ1dWgpkoE7t/5DHmvzzU4l7NCf9TNvfTZyEyuhAqgbrKVwrX4Dh37ZtOtJzo ZJqCGDe8pNod3FZsi8cIB/bW2ePclrCaZpKQBro6qUY9Frnxm/u+fLUBFRyDucJArfOlY2zWa3HC WSA8mq3p7s92dYuKi65rp+YdAPn2WNJPCd+UoCE+wWesYJWRkHgCW6iIJ81NK4y/DLboGjfr/iYQ vUqSFcxvr4VqrpIxZdopFfVDFM1B8+cRtH+6GK6gVgpW8pV5Iip/R/RNxZ/3aEhiosjN10Mjgw5l Y4yrvPkM63KEWd6yVtD4F7dWy9jqBCCniC+GK82JJLN8ix5IjXoQoqmgFlP+L+lE1aGQE8RZEn5K XuqXIdQlU1c//dMVBgqZc2h3dKlqBELDD9nwLOt5/NI6et41E52QrOgOziOruW1HZo0tEma6Y3No seDXLvYlm8HGLvmfPjy63IUu71uqc1GBwRXQoF+Db1Pm1I7zPMIhH+ewXaZhgq5cFrBN7waNW5mO WyDLTn1ofYgajXSLtfPjAs5ksFIxdCbq4jc/eSc2sE2I9o3l2VFQ3gLkzfdLRuWH4wZWEsTnz6JJ 1rtztmZGdXfwr8JDoaGZLWOckh6SOze8ky6oYLytjGtMUEEISnwj2Ge942Uf6hy3bqI8QxSEaLku ULLbFYldMCsvq2tNMKM4GeHqg5bRH7XXP3V6Afp/EOUgHc0MXyndDVcG7gIOvv+Cd8hgOvBylY2g R93H5mf02/Yv1oVVeKu18ugClpFoG5qQPBL910gdVCVSEQcAnR3eUGtH9Vb3xX8TrW4DPPLK6+ui AykBgdKIA8OzMjaP2v60HIip+iBJcDr4kI/Qo1+Y6srzzVDta0AlaLjziDTpDEjWUslYe2Ekkyjy 39k26bnRylwImH1s1JfUHvzvW5rTf1BZKIf+uIpsTKmo5DYF9WK5MZeCIgQQ5jqiZlZ16qQy1XSo GP4clol7E0GZCUE2bpADMAx5CycAaO/osoBAjLDyHNk+bLoYVC20vam7b0MY10nHIiTCLfw6PGt2 GoMt5Lwo3vyzmM1YSCd1/5x3NfbllI4dDY9aDcfVxjMMzunWwlijGn9jsL5QWbLD9Hwc+9lpPJRe tMwAhzVYPQQP00D1gbbWJlTpGHWIDa3wgYP6ZoRoeD+o+tJeYpcDfMd/3+PTNuthUL4ScCxHDBDT 0o91+VZb2yAq+uA1RuQogbfRweNU736ZS7+53x+Dv1LVvCQXTYMuRYlY942nXum0NI+/+a/AWek3 b7xX8dUwFaV3iZBzo1kGVQHyeuQ/om687zQaJM+/yW5A8Do0+xeyiWgUDNfVOsoyB0qXoyJ3CUP2 O7FxgcUTwa30pg4e3K4ZNgbCixCQRixtx/v14Hto7Kf3zOFLwj+6PqZE6JzxjAUNG/sUDUjJwAh7 JpDIOU3qNCNnaPxuHQo6NI1YmSlM9g0HBBCgGtkwGWyeYPSGYH6o5nJDgE73vdq2M+DD+0pgj3o+ l31I2exVnUmp/Ux1iMd5Wmuu14f0G6BQvHmO6AQJe8oH0ftu5gsKaUjSroFpv+hEKLv+pziIQmZH mr9GTIYQ9LxMyrhncrIlO6Kw/CwjFV/Y/J+Z7nmgaEKfs/T+U5gbu6twndN8laSosFe53m85ozzW i/tr2YxBcL97GaxrlnwInexXf069sf0dZfsk2L7OCgizgf0RwvDt87nOXRo6P0+gWcoJrfCXKjII U3eE87umI6zA51J1E9rZPHb+cG2sZ+0ct9JYifP4VyxEIDE0OzCDJM6Z2nIO7cXu8tfSpsqiV9m7 d7VuSKE5faVqrXzaaZM1Uf4Q3CCI+Gdktnp+ZFBSpUrXQ5vb6SZrB8hqxOMuCYIJpqmsnufCEZBT 5W9zet1PnosnPFFeWgSOXkNgA2j9t0uRLD3CxvJt8evU4bo+CZCFIuYo2HUAkCQLsM1MxDPdMks7 yPrq7fzBJzJGQmvJ6RmXj8+CED88fOmhn7pnIpmu4ki2TGrT6oo3Lwc6soQetWz+F8GeXkoV0hQr XSeuEMTh2rCFnz91+MdElBUVw89pLvWFiNCP0CGpVCA3ulSPgZtZy+VOLaO/7T7emkWUv+bM36C+ vYMPOvWjcLt0YD+n0OpRcFsVwUXMQ57qE17WKCs2f1H2ZBPKNz7POWfeaPi32q4zPwG/3hXtayE/ tOGllm0hM7myPO5qg4uTWrlV+kn7CfPBL0V40jQ69BZuGEoCBhMB+sxvJijoeyCfF9E9k122UHME /27XPVCavzdFGkvBmi7tyRJwjbq/VcH2pSKlXcfqXo3gEgDKNNbLxrBheGond4ev2BSNRba+eYM5 aGo5L1AfBg4StXKM65rbo22INuKWXwnEit2uBtg3EW5oq/JBb/3r2HIBgeMnwfECNS9YDZZyL/AR kg/FhserqyT7fSA1mhvm3vT6XsnxNsLdQ90lpeUXqT1OHvMS3WYGUJqleJBAtpkwauzOg7vvvLsU SDrXYwSTPCzBU5ehlnLSeoeps80tQkGe5tUceMpmF03HfDVlh8vgilI7pzT4s5ckJsgBFWuGdUHw jM7tcwJDO0B+X+8wl9SNyatvZciiYGIxFXBMcCccIbxD1+NzaiVuacXe6TJ7I2ee6HPsdZlXeR/c UdMMXg0WKiZJDxf6N1VgKNa2q9I1EWHBVpSJ9oESU5uOBI5t7EJFK4zj29UB/TwRZNcmwxPcqhSK rctvy0+I1iu6PH3vIiTGMbHJawt9S5mM/F93gsZWYSmEo7gz794jnxCpvlDgpD5CdKftdo4m2RXu ZkpFg3NEYTbqrJtDdcO4tuDFh7PTQCfDLV30ym3SeRUxeIm2yoKe8yH89CzTBa6O6QOixCQjdvsH D376QQxg0wO591UxrFC/wDytNLojuaQfVfDovq1Q3xTxReR688A4bKJ259EOId/AgNv7xh3mf7yg rmQTlUeOLX9fXopqGTxWjL2HGu4s+mEIjA+Hix25JCDWF5I3O9sadxizRsc/JNhEzogmbWFaJSiv cCCGw5ukAsqX/nHM0t/+GOwgtAPItXYi2jCgTboinYv0P5ivVAcEin5KyktpceJlQi/w4lqa0qx1 UheoHmz6qevC8GhVkKDWOhExQO1NtdfPcVLSDLIXvuhAPCVpAITsNn1tjhC0n8+/6CqPKRCoFtKF Ilfkbnmu7k/Tk+p4zfpxoci8MCDTn1eXCZpURNzWOXd/+ALLi15hu9cFWgXTLgcCDtoBUccCCUPq CjHkTEBcmlsTMwybwt+U3CApwExfc4Y5M228VPpz+bURSJhGpKdA7uykgU2RcfZ1Oxdqocxh28Jq BEQKojv7R9lgsUpxean3kk4iVPI3XVG3pNhbhcIONRt810U/x/c8np/ayxuO+/Ycc0/0RX3sNEZr 8dFWuuSDyhbQ39QG7rfj1BRwaeXTir0rDVz4x4wSCKrOMQqXmD4f+mh+G7TdMR1ReVge+1TlE/Jg viXRoE4had+Cm37HAFtBtiL82VaHmk7lGTh05T/GmXu4b1BVXIcwaGzT+eJ94AtXvymchJD5vmSD c5Ql28qfwe1BxJpLweYs+FYju4eriPHT0DhOl6B67PeXXoTwhj5QHM2EhH0P1fXF5T5CqBKvljdH Sothvllt6bQJcsXKTEZk61K3raOZYxu8K7DydwxzrFp4Uu+8mHZsjwtoHd9wyZLA+HIM85eIDpm9 C/w5s4d2QuDYgKNXba23WY3TI1zFEy7r+An1JaYPV85RBfiqs4aUH7iLk3JXv19k1NGlcdOeiJ7H UU52X8fvNQlkjHtbYE0NJi97Urr6USWNSpkEHiTS+9DDpIdWlZLoxbtbimg5sqyLD7WgfXNSPEvc qs2Ms6VQ09Io/dJKFWUKdDPzb+p+OCO7hjLFSm/1DM/g6DdN/js/Fz9FkaJXq61ff/Pig0ly8bie iHvQwVWLOQl5m67On1P7lmkuWQxRAK/SZvd+NJnAPUwZD0WM3TtChUkoZUmYlo8kON0Zh6Fy4ynF A9ddzaqGQRIaHbCnG6Ahjhik70QhBdX1vndr1d1CSkiWPjfIzFF2q4nR5PnlGMA6lr04xKrhnKzx gcn0nqkRsGrOQwjcX/4nkCacEuvomaZ/Z1bpfjpuy/w5C3KpCOJN2Ty+1Ynky+KZ3tZ47BoN9noU 57I6yyB0M3ZcjNTY1fr+V9HgFnkl7ZOH9UxSGl9K9HiERIuTO6sBodAR4B5laCJfWjly9mS2qlpI R5P9xupwyHfELd/uuU5UANNQ65qIEZIKHP85+yb9sgfEuZ55vdcvxRJXSXxIWnwvq6UKSkIdC/rw GCwVcjLUpTmOrIU9YiPAaBqSGy/Dn7eqs3/4BiZ5M8Lt5dYdHE/HGVXWTCdC1WxDZAQ+RU9Cwa/c qedr4g+LbbOtZiXI5/jpu+YPYtC9f+rKSeUijbu9lh7jqSW4c4GS02Fr9fGeNBXhO7CukO/FaiYv IYD+CKFV6A06ouSwWfS51K5hCwZj74UJRpTx+AROops86aNg3SsbjVMklyovuKSo6Mn1PWXp3p74 FqPWop8Xes0XTUzh4jvphK8PtDohijighbo3wwEs5lEgi3eGHlrx2uzJ4TcdE84q7wIhx0cJZC1M pm0ebhH0F/fUKTfP8N1AWlsQAlbVNplEYCCK2TRD+/oSCWncQuzyEYrQdF9q/T9ZDnD14f43kIup 8HCKaZJHYTPP/YzrEfv9DktZ6AAqGMPyrAc1bbcRcIzjLfK7dOFUNMpogGy8n0NwxWebQccJyUf6 zvkeQyzAQzhK0zqyEzox4MXkN4c0ulsN0AufB4txeNSSOcV/N9EU8XN/iqgCD9O5aI9/+sL2205I CfdEMmpUO+CBsNweIcSePhTfWEjZrvfFpCCFqtVYajXi7+NBTO2uZWrWDs9wMg7T+tq12JFFdMCK f+uVCK5X6L5FXSCSNrq6rUEq9cdubyFZLv+L7VBIx4XzA9axh7d3WV6/7J/29Sxz47zAblNSIhB2 njxuQ3xhnysYWdhfWtB9VjauF7eHFH6WcGRIKrj7Pu4odJUF+smv+0y739XPkUpOFxERtc4mclPQ NN4Ug7yeS0a9ZtysbHEWYZakNCBydsVi2TyIqcM2d/Fwd4h9DrHXhJuNIyI1prpPcGsSKS554m5R dfc4zKE2rxuiyHEmPt/xsZUjX1IGDU7yVio8N9cNSZagqu5hVm3y+0NBYKmm+ypC1kcoZCroQ2Q/ CPl+S05yxgFpy2CiEZlEVdK+vacFXwfgMtwCh3uewO9wQFDbu742DESul/A1QsCpUXMFQrVg5uQu tP5WpmoIf7g5oTf9fwUqUNn5nixAPBF2CMvco9Q3c+S/PSr3/7ZXKDuL/j0VgeN74nW4AN+QUwEi erXp4H8k6E/2FIi+n7ZX01mK0nRKjJ858U8HRkPzJY6c4aqSUXPS01XyAsMQTW3O4NqJ4Knf4h7P sYjfnN/053sXPpDKHfkUO6aZzP/KQqbwVnwXKxVFjQ5sXlaWCBcw39/jeGnxYq4hcTQ7Rd9wybnk e9L/WXqmuiCEiUhLfJErP+FOsoaghToaN5O1c4rXyXURTB8wQywYRz0Mqp4QsqeBV5/iN+PvNX6q 35LuByQyu5ESRgfgKqTcibHDSml1w109+12uNc1wUz2KqS1lSTrfHqKKeOxSnsyz7B6B6Oq1WMLo dl9qpDI9FmttHjRG78YL0K9y4kf0/PkfxUj1nMkZPSEtB+Ca4rbanU3FumaRnidck0Q33CBnLQUh 7uAWyYcQETJ3nxdr3WF6e3dBRpi8AX2/mLVK8YhYDSyh/y64/Rpg1x36N4Kcgby+3yieI6xicjgb LQ9lNZMbgXukkC0Ydis3NbjCmutuGe7cf9hYUaPc+Cv28E30q5t2V/ng86qTALYO1Ihvx4SlkKXO iKwyP6t8BubjxdMLu1S7DodMk/dy5wAmwQNxhXphoqasybUEfkAup+NIt+4CqdZ0Emeap9OCM9Fo Ld46nVhJfhWztK0dYaUrW2tctFElzJjggcGQ/d4GjVYc5FmWPkvaSchJ0/0xvohXRUVrJxwzCwWq 4zJkG8Gy+eeCNZVxShEZHo4ygJiZmgjnE4rmAnj0oGzCtchMuRx5Zw6s7gtQVwiqu4E2P+0ONVGv 0X7entPyVdX9a4AhvhPlDfAmmOSsDLT+TPcTxn2sjhKjyG+MWThblxzaUfKBH8qhuJUNTRMgQGKC HV3bcCsWbX7HE3L6uJC/RoXLxhv3ISeVEDUrj9m+tfKuGiXs8QYvFUsfYAhno+ExmxkWGogGglrx pmxbknN0xtK41u0AzhnhVYmrJppxLONSZxzi3yXCqgxyRNHjXhVjCxBR7uHF8FzG6NhAH0b7vNuX Y+yMVABnHjyA7nHNtCW0tnhOrVJV9GZJlyI6yrXdr3jcl5y/BXNFP9k2bzpeRSSs9xWAyu+N63/I h2NeQh+Cb0AM9/mw9/f2ceG29qwOtODtW/Rz4ghEiTJDGxogXM+j+n5bWchy+Fk23ukMwdaxGTFP bZmaGo7MojDmESjWH1uIsTyJ7RerQNbH6oB++hGLZg5YvgECmHqaJ7yztLv8gDPZr0EK2gjMg/ss XJ0Pzcre5VPMCoTBiK0TwBbutz0s/bP8aeHPMzel9GKUIR959P/Yh3gnD2/yenh3AUW/L3yV8b6J 3VPsm9X/dAcCElKO7xYq9lzqbtcnfU9d3NGNTLa0fc8PdTdHJuIw9ih3gAfEoL2abZZZFkaYfXmT jyk0Ypj8hNvfWWNjd2iV8CSvvz8VhHIvT8iGxdA2Lgh8iQQObMfAvO9sJw8Nwa6tncOlJXVqQ3zG qWrzbvkBobOznMBpR9SMaGAn2jZUO/iUZE8paHxe8b4fOZ3vHwwKniXmVyRLWWPYxeFVX4IUBcMw 4yJj5TpIaq1/delWTHPF2CowupAMyjPjZdSlScgugEIGOsSbHBiL6Y/AVUUi/5fTc9Xo9Hb2b18w ZemRZ1grji6nkxM2cwi7g5DCUBV93nqZYRXySKvYsQ4j/qkWDIwYizXTsfrhlXfbkUKcHe4C0+Pl NBwSd4RhQHVcyx1ji6bKlkInXpOUgQsk+iKlyboeIoFw1kLg201EdudrJIXGHaT4phHhd9IPuT1u 91u1rsTsQTXPhAVo1x5FEZJXm0042fy7NfAa7GQEUEQNkhjdKdr+vqo8lHDvzEOOWfB7p+8D1Q3u riHeIafr8l0lCSfYwTMiHnQXgM3M7cz6eUvG7RDVwzfkGG7Ak50sG84Rl2Fnuek2ZfGBkuAVqT4p zYlB77EKIYbTO43rw6ztbswOSfomGQjg1apAksSm/9LJkVJ9m188ddq4572PvDpeBU3vMKUKuktM TkZqjto6Rb7TxBuvucAVnorHvitHl3QbZ4GBw5jcLGNS67BN2IQ2k+NXfT0eBNw1y7iQXI/8jQ0w rfSfIMQS3MPgBQCQUSjZ56qqbq5wfyVeqDPhGr3ytAuUr0bvT9rmO3BanKlG3X6ktm8jVQp6GWee iFmCLJhATeoq3R8Yc80hfNIdSdvLxlOunoj8bYp4UlZdBc7oQbmQ/kM178oP+Y7+YQLuyXyM39Mu gjiJ3TuCOftY3+6kmrlm/7ERKuiMnYuVRtl4HyR6m/XZHK7e94FZhRPVgYt4+lgSHPfwy0fGdbDT 0O+OfbjtxFq9CjHeS1+NIICLwtF5iLafbmYljyEgLO4t5caGd/rDQAFB1MOwei9Oj7ZlVvDIX6ss S5kbj6dPVhag2tRsJ//yQjMh6/RlyGXTzHul8qvXitJm1F/OWbRiCr561O7mrLmdsm1iX6C4of/o 0bb0rVq45kPQSZwPfZr1m0/YxUi1OpiJYx5XWSJYoIDA4LqUcMgBT8YsgnYZJ0GKPbFClw+lYjvZ Ix7uLAF6mOGagkyuXrw11xJVJlnjmXTN++sGYIzVP311t/TvRZk0zqVQoqqnL58sWcfkO/M/D4ng 9RtyPWWj1zsWGbhedryjAsdQU1MFWbfbDu4w+v0HjYrAOJJK/kRE8k65WPON4r2bXw3pIYSZ1qqT vTdY+FEPqJ5PggfyCsfdF3QZTcEd+YMbpMeCu+Kov4Qt6wx0ydb3TIeMQS6lsFgkO8ntu8Mv8oEw PtQ1xC9YjaNlkhYwrNfRApn007kQDX4n+CWAGNJzxiA5hEIIa2UL6gm7uOJEniYmKGL1fdiGn5co cUGKPanwod/OX96A+M2xZXMrzJ+NTtqbW88OfJjGJqQoK3LrScEbF44NjK9xE/7fUgIbO8ybRNOf T2uddqIcRPdCavdYkq4qHHOIp28ezG3P7hUW1loEtwIrl3sMN06CHJggk8YrWHUq45CvLo6KcTs8 hGNGhiLDbUKj+BIQ/hTCHvFFqhLCatetxOF+bOnSVuZ8miqw1brY6k1FurYHvS22BmA0BmihbTAX W7S9HvZ8MokDfVaAa6ANQTeEJrtEuibA5JKsKSM2MG5JaUXB22z3xKinbZXU2wTjYzdWYr1OAQOt XFbuHsaCqXxXoE2ui4qVk9NVMPSqYPUA9k4NThjznfde9g9nBb8nqIn8wv78GFAmx0iRuAO1yE/s MurEK6zUJi0ol+8MqIIBtNWdvtIXgfODcCYD09ohjX5PopUq8HWRp9t75HN3znf2do1Xz1Q2e5XP mjbplsTuGXQ2VCq7GWte5UzTtuxeRcvg0Vxt7Bk1EV80Ud7tF3QO3WcHAycpIvVjvmeSkVIoVAMj 6l0uSSm9qj61wk1QKZblQeeJVO6Mc/bWM44F8y4KF8QeTawQdjnUP9bEgHyVRLS0IqsU6OJt+BLf 57jhUHzqGr9T0g+7iCtgoHvVO784MZ1+8q0uSc81nBut+5a6vP/hDADQNAkgds55g8EALQhlIdy0 eKMvWOoX5svRG7JvhTr0EP9Mnz7sNFxghBwjUYI/SL2sDurGMkCVjDsomtJxuO9qkCoGa2zWe+a3 fd+BEtAhbm3PDfl2c37xK9QTf2LY1fcRLuvI3r0vugEllBHn0v3T2z0slGjBsoE9EO0jP8tS4Hcv tpjPX2f6EfFhEhZL9HUrY/NV/Oc6NTSO+kjflaRSieTYvL256HVc3Dx/qD5vTK0u3KJaBb4b70Xk xw0x6aBXwaLyu1b9y66wF29MuEI/cYxnPh19giaiYIwZLj5F1kRNjRkkawi+CyKeQbAAMVHlo+zX 0r0Vs4Il/y2+trofZxemyujSKLBS336NrrsPIwInEuozem1ewcNCwaClkLKfSjibOTnPc1m7qOQb J20vHKnRuboBss76uTFzCM5lYVvARzSMxjG6C0S3yW3U8cxNL+xwXeVRI4w3qFjpkKLQ6HuNGkWu 4jv7+w50+LGFPZZSl/4OO0BUu5ijbhksa+m6Hvb84RcflaWT1M31Frdmi7c9VyyreG1eGu4nj7xP k64OJ/kOFTDekbmRNq0CuI6SzaYj1biE+duxy6QcbDjbVGJcdL0NaoE2u/9kmiKAZ18iAvM0cHX7 80zD1eKFz7tUyqRlmSCKAnE0jK8gpxTkTmrbnIVzLXj9oIkRL+3trgfilNP6hrgOQBRpwea8TBho nKV3xSRdv8QQDuDITFnsiz64XmEebOvEfXY1/odxbJ6bfoqVg3Qn3orlhDhSIXFbLw5j2jcgqitc JybGxyVp66ZNoxzfOrWZbF+ilt2ySjEjpBQkU/8VRdWtRgqu3OEnyCIFUuS+Yqpet/AQy+ZdnpGA B5NvuYrnO6fcd2buWVoPrwc6osimsWVTE6ejiSG8ReT27R98e/yEvDuePucW3zQydx1EpNGNBAf1 vH5MVnQXgQ8A4+hcrK0ZxAfrqP8tPYUTVASvw3Bfm1QPUZjOKgUO6crcGPTd5qL/bLCDKOpAbLZF tp08rBKWgN3bE7Xr8B039jz2BxcRICuX0T5r0ir9TZpLiwD0BjEeB28aYJOmuOIzOy8S5+mTFyJU 3F5YiJi845Hp2Sfzbw6vvnqHxVra9fKws/f/9jITIulSP10h97ahylh9bJmkkNNYbQB/1LRwPSlH NioYZvhCSJzJcmQTPBgdF7Be9nXDtq1nqJU3oEnFTx1/fsCDP7TI5dzmdV8sRx2OP6iHWxjA01aB EOJ+AyFUF4DHv3rMYYMybVdvKJlReZEvc82+oLZC8mo1Mdcd3wimKvc2wGrp4x7ZH+ebORvRR54a ruypZzPfUwUV+pMhPFATCPmt9OIabaeQFIMpxVxeEg7gmMRjUbBwMW1Kgh73duzyrDIruQDKburW DfyKqFaYdATbcVb5QU8t+zARLQWrek5LwnxZabsZGN5L1AhyGB47yCtjdAw3P/mrmRSIahSnt9mC GdybcgaU3aQ+VW3JCVshWbgm6GKPKoQU09I2QLtlHE8s9mP5Pvy9G9Y5WWokMbkgu0i6XNjZSvh1 I7ortm2i0Pq1E+3Lg27uNtDs/0Jt4CEiD9iqquauIiTnLZPKfvCT9KAh3LaSfJf6VKXc6Vsw40uE vArD92Qy5dBdWPjqqyZp/xL121/4IVHuEFG+apUN/NrufJ+A7Ld7/VHAmPc4oxxxoI3jK0/uof5L kxac126U9bLQIqBbp+hpmeXHfUVIOmoV8EDCLtpJqYeNbe8CPL5wIHFDze7AS8FMq8USCytROgJa c/+1QRden6KSUoxso+7hr5fGjMtGngcytJbZEP7S+f0SSYjh+dSYxshPKMIEvs3RjguF8+uoNx7/ xHX+zEIZiXjQZJBfNazWlEshrQ5U0TKJJnuncZaid7izK131+rhI5Omkp0w82vO/jacil+PmxwSd Z1Tx134BlWdK3pX/MHWCC54hlO7Lt2xyjPaU1e2xcLdQ2Z8ZqbvYa1GWRT9g6jntC1SVYQ+jJwzh 0PTJkSDUMs2/e6ere0h64jRIh0zew72CErBoVkuAfhmP0GbjtSIlp8VG/REaVBbU2wuTUj8xtS6m iIiLcVrAK+VFFKuG/tUyqWsbAUCiWYyYBXVxWL96N2Yr5F+cfE8aXhMigHYsur0Djb20yF6X3Xjd 7nDlJ5+ltZ8nc9RD3RlGU9Xc2E8Gg1J/W7jKALwYupYy6jfoLc3+3+o7x5ZseyvzD3OUqQYmaRKF XB9Y9oqDeDziD4JCJN/7GWEnEi+hp74xFaZ3GeWjRQ9wXmdmdnjENxliJP/2vXqdDXxAL9FT1zEw WPYQMmb7bpF6Hu/Bo9mUg8M60+feNIHf72LkEniUnl3vPpGWdAbk13pc1g/YNoX+flRACQsBUb/E Fwd5OfZUgIZ8t8tmTZlFb5O3PgDngGdKLLgelJtTvzFbGWObjASwjMOOpg4Q7EXjsa/6Ufpib7bE e3vzaL0fD881QW8GGd6VHrLZNcJZ/XDyxNAWYt52Svk0oEb5y26jkNdaTextUAv5CDx1bbXZ5ktV KOYWbPM9tDJJaBMj4cNGM7uu1Wbpu68yHgaD1lIA5a0DDb+XNv8684eq5yoWNbLDBh0Y841Q+ZV+ bVxALECCpm6qG3dOwzx/Nhd8nybfrAY841LS8MZVWzwA1I6lPF8zcRrKoGhGbj6b7ldfWr1cemiF Ka8g1NxWehvJ8vTtqEXkCiZ5JWfLQvJ0I4nddNs5DpC5VQRXyi99ahFOCeoMr952BEJ1UKh7JGa7 8eHj20wFk2Qty/spN8erp0rhdDgtvbQ/tCs+HxGrO6nXiugQ0Tgp/tDkxclLhdKh/nZQj/3/2Aa5 haM1UVYbndGa/UyyAPx4l0gpOogRNMIcTxsbvpnHhG/Qpgrhxox5DPcoAo/xOYJyZecG5EFDUxVp cnNuAAMJLLXIeBCONDlfFTiSiaTLluAXXxYA4nn69E+j7RqSAfnFZgj6Scktw6uBiyXUtf9D+psm URT91YP2fQigweRyRS8x01zqjpelPCEAp0yvNTqCHaqT9ostPgkarvT4ALQjW0FOmOur0SpAzQFM WHucquzUT+4ou+N5jQdZd+UBc7+3wstoziFhgVkJI5gCNUC3B2WKCl9TOHbsB1DfcZF5vsND559q ED+L3/uAGtjXAwZeHht90pAcezsE/b2q0nRliBUq7DAbTYlm2q89ulw9GvKOe/ue45BgpEiBygZG qOFP67tCcepgz6QLUtTygPkq7osUgMnVDqgknnXnV2m187GaJXYLcgDxARFTLdWmLM10wAImePRh OJi0BIWMV8/+DCNhfgGo+v2W1D4nbMLG3fgchKk01JkvSjrzaiFlMZ1fw8G9U4JWrzs/5M93VQQP 9AwBEwj3AbhNiQQFhtJSmBcYnnRHoQA6qXtF0wsJoZppV102k9jfbY1l8onY+W0vgncYr9SvCAru sIPxOkp6wwr1WY+clFmrgu8iS4u9mp8AqRBbUkt9r7AZCVQxaXvwdl28m0ixmYJGu3Jqf4LuPznW LfJcokUHZ8XRPzau6f+ohZVshz1AM/Cvd+De6JnJ8GB8khwhXwV38ft03vn4yAA4EOqSBtfiI26Q m5TPUwHMtq8jQ7LiTKp8PzDdYkoNQaK7CDM4NShUgxFpCxHyxGaKgsgmkeCE/pGnEMwpfYfwjaHh 4k5JO34gT1/cwnD97vCitl2t+Ver0nn0Yc1gistsM4kBBRkCGMU0Pu/TiKeCbL6seTOWVOX7F7Hd 4VCd+5FmShZD0EFmoxPGZP2bZPr8VQZEI/uobCImdredznBOWd5PCzCPPzKGlXDMg0XDqyaF7k1e 6Lh0aqnKEyAY6Nr3f7NNALpJw/iKOwr0tZBVmytlGC0gEF0GVZNhRBkzvYbq3jMJgAyn2/9oKIpy jU8U5Ym61uOACf/MocH6gDQnE8JQ6kslG4vB1BqMZYLfACQVY0ZaazsrgnWbYrsR4AjHrVwPMIMg 8j6qdwoc0/l4lm3bkiau2uVLVG3KKa0TO6Ou53l0Lx7vS4efmd8cXFca0gVBmbudexD9peo/6D/w CvcVBBB27RdZ7Yy5BOI/BTh0zDCQyno95zVu+b5Jlf+zkWMectiEJDPM592LWonadAh1uO0Fui1N MP/3nHJ22EsjDtIo9HPvVxBjZLfimlR1ZsKfkctQsg4/ZruN2/KyvuINspSKSEfodBxmKVNwUZP9 liN4xFYSp5r6KowXMEcEgbP+zBWpA9gUVgBVysWvPNMZ3z2NGLFVWi9I4qjYQhGh/X7+KOkf7KU+ vT1R1rbGTFgUyELqHdE5c4IPun6kgSwzzGGonCYd+BkTTGKVZ9rPdGvQZvU6SIn/om0TCk/iNlRe BEIxgsIiyRhlzSCoZmrEukE2Rxs4rLtiBWvR0E+d+IrJgiVohxLFzZd3SlMt6w9IDhOUKbcU62wX CjDtWURHOpP8bicirrMzMQHW38bsLWzmOcqUsleT/G8UuSzwc+YRHDwhnjp3XMWvNcj1W4zJWlzh jvEgO9o/B080Bqj6sXiaGn038zOBC23fSFJPYd1Q+JSfqNWk8ScJG3guZCnQcNQ/Xo9i3e8gbkGi ZNl+7jdQqXk31kyOS7sEuJcHMg34GUcMJkkMP1Va14i/zoSXFITbtuUSig6aH8S5iQbLzdOtOoh3 XT+7P8OEInfBrUHEZu1Xzh8KyjlBtkrX/1przUJX5z+Rbz0ol9oMdyHTdd3wJbP8luAiUDttHwz/ PP/6xRiCNZ93MAg3J0E55xPP/Y5oVXyh0Djq8nElgeA/83cGWeuL1fG6jak6330VFnVora41vwBp sdRUoG+NUiTOdhpbRU0mfDFIaYK9RP0fuPN++o/k24GEX6ayC0pctp9GCaTe+jyQXqZGyxTiUskL Pva3C5NPkD+cl+Onp/44OJbMGWQKMQqZakY6pl96C+yAMuG6+DD+biMPBeCIC1MDZ7N6MFu1AXhd 8ql6FZSmLOrmA+J1jhE13kbS0gT3Qx3YjgKEOc1TklXwG9sBA9hCamGuG7gMT7av3IOAKULtlERM urK/npSA4DA7bghVbcSx9FobSSj2r842Li7EY6bb7MZfX7hjUMPpXzhf6eV99zxb511o7DKHpF5v z1q6KXPiA4FIltC1qSxKgavgRQzBe1nBQvC4wQ4+/DilWihxJS5DNgaErZZmuFsw9xL6KiqbYG1p 5TERV6mpSVys7O+ctr6sjzbrcvT0O/phS2zQZKu8dEZ4yNWVvZLIXu6sL+60erPPQ04opEfdQdXX YlOJqZatSQrUAR8lBQLqxBd9bhOylH+xFiFBT5lN9qk21l6rFHir4YsN8moqkBvEvwHFORzBKNVU SVxCEyjJ3b3TkTv5wHnacKVacpECZ6/rYuLKpM/KKsax2kWPp2FeRS98xo8L7KBGKaqZ097kkyVO CBCNizX5LEc6kRMh82ieHMXHAAoNHCzpTSIaldHr3BH2FjHnJxGfB8JuraaM0rICWp1uNjW1crtZ 5Q0v3dXik+uf0UygzKrO6QoqTVkl4N/pCqWOCgRngyYfWvKis068oUf/bQycdNUNelZtvctExpDi y0196mMWys58mVAE2lgAN4eQbSaYFRp8U+MZ2k50SIuY6cOfB7eurXVy9mOBsVTtkXUYpLuRRoHi QPhQ9GNBE5yi1BOWKTXY+OcQpyJ23kQ9ZFvpeUjwW3ntO3gga53tmzHmlN8f0uIX+aPrd9GWbJjM KT1zAh/0MrfbOig+kKl5IIoV+3kZ6gzeJCRFFa+DJwesXrj0v7YiczwMn0ncQ1Jj47HCHM7Bsoe4 XMvAWoryivnyoEAuQqFfLQ1tidPCl33f9ZH5w55K13+IoQ/1LL+1bB0zR/mNu57yk1aF3KvSocp3 BmowlZcOXuwFacV5cVC9NyG/qEJVBhw3czteiHp0DhPOI8JIORBlOG6Ejrzyv70xyWyA9MuiMrMK v8S22CKLv6H6gDCVzylKyfQHe7HxFWF3ZjMbTPmgmKXPJRayUpeh9y1dJw7O+HOgWT3/JHQfQVUe IXDy5+3al2ks3GwH7mnWYWUeEjQzSF2noT9IOsUNGRW19sEa60KPvEwv0c7lah0Ms1I8lFoABnoA BJx8sn43LjxMiOsURkDSEe+1p7OQmJFkAEi9t7mOkHeoGmd5qckccgTeTXG0KqEsgTmDjuMAEySr lhU9/Mu6uuh052fs9os8oSUI8uXveKKMTtJj2Stulfi7D0NdpdpIkiwrcJ7eVM+9FAFhDuHwyJTC DgEGuK2lL27qmVors24Ip/N+G3bL3D/vllnbEtzX8M/Tt57bNb9tCgXfX1Mmnwexx003R+wAbbgh 4qu53kf8+1jVkSB8lludKLCuspSslWe7xALi1t//ysocqFuobBrJRWCQZWsTNVq4C9urmTm8eneK CsKgJUk0ax6PuyFurU0WP6jPmR/qZkluISPyC1gpHGsqILKI8kg8P5nLFWixu59n9IFeQv1hRI/1 7nzFaVbEx0NCkQHSaiTtpBZWDdvv2UyVxl4X3QN1KZX9t4IhBQ7xoip0XfjNe+DIgj0y0UiJnRWs P4nhLSj+InGCNwskLVofNMcM6OLkE8ez4hY2zav9k936BQ9Pg2uyTnqOFJHaR2YBIatIi1IW9qib ayUzOBXc1AUG1H1DGrQ33wshYdPe+2nCr+mGHzML+z4KkpJ1HhS73oiN3bJz4RBEttzwu7RTCWq7 x0MCe52NAtA5KAc3wQkpaWa2F2fo0rE7UaBYuqR9w3aagSDEVvRU2W9QHaijX4WOiXOdfGfweSeY 1MzwzJfcxsOGyUBn63ZkX0xdXUtzlR2TbTs5Brj0LWZnhB61hHoLgBtpMdoGtzd8LCUOoPVeoWgY 47xK0d28Ln6sjqw8SV2k6oMIb7gJFzVhl6+XCRIDArsNyrXgnxEe6/V6zCSrEGtf/WXbbEWoALcy 86L303s/SqZNWZccXIzUxq4tzReySB25fF9L+oG4SGdJjxGHP5t3qayEF9kPBJqmb3U71ZHJYrMD VOdq1ngDntaOQoBnOIFPCHhWWYsDG6+lM4FcNXzBN5apW1N/woSYewCGJ90Bip++4zm7dCzc0PR5 8dpRIiVc9KmGSScKF4+qjSJCtF6TPiV1dZVGS5jFhcwgdQjzCaHxsJAtNWQYYJCn5jomKraeQrzI 6aixjY3FJsWm4J7WmBaWZpclhTbylrRSW6BJQfYjzLr76Ub2pQw3vpUIXKgiQ2aZbXTZUm70gfPW /tPkHobC73hlfTnsBxsC9exL0KyVNqmXjjzo7kOVA8wo0TC7SAYDXOPV0Y0g7GCmtXqlRckKKO+F 84QkgY7lE94z3iN9xeyNjhIUL+/ExQ3SfnkZp9YGXhw6jpvKGoN8P0Q0Eo6pK0c5vQJDAoEnfVqm 9nGv6GoQNB4+VGZ/TpXgl03tU/rURvyAngvDsRJTJ+7uL30iclOo9OP8nnUExazZFUTUeeLBkrNM Hxx3/HIKEajLTGlSjotpxiZ/Xnl1yi/0JNDPp1q55v+0SkAnPzhBFpI5d1p163PsDymTiHbbFsKi MXDxLSrqZbgs9AB5J1uwrwk9QsojyP0hjsoL0k1ZqiHJCOccgRWuRSrRItCeb+IVzMgGUZQltXb6 Ayc0V1jbEB65QIcSdTmOYEab/gGxMTgrLSTmHy1Dlhtc5uOp38iCzwmxkNECnvza1yUEjUo5/qvR KqAngcGSTPrVk15Ims5zMtKXb5vjuYcsmRw9ak+RXH9RQcUrrkBTNILUrJbY6z9TlSuLGYmdXcgm Rj6b7C4NmPxcU1M0iQ3nLn7CXhm7hlo1bvwi5mbfIqgXvj+El9iyFKAT/0avlHTaSE0Gn038Gl5u GDlq1HBA9M+Tc9EcYoPNzVwDpSeGqpIjU0dOBy/sqr6C+X8PpynZLYJSntTl0+YGIVSBnpb2Gyhk cSePzEgoAM4rTLh2fLDSVHJ0muYHTc69nINhhNDRbUOMy8r55c5gM3fzpT3LucNoQJ/KL92yiAXu N+Z9i1xPIP8YrDrZM15V+8tgU7hLyOVonqWuqOe6hZcSF42Pq65eeEwc/VPDqROTt1u9/QQN2J+W oA4yNFqkY22pQnfGSsiPEj3tfLB3cmkjAzWXWgJKFSYmKRdqI/YfD3O3WFE1lC0BOBluuyHPNxvl S7W5DjoOq7WTR7DupcluDXPWmKjZiCKC1UOveoZ9RcqfSp09rUpCA89IDHGDcqH0k2Y/EdwBxGcn oBjXASKIRLliHFv+qki3G5uKDhVym1hpTOmdrwnoEnFN56Ucveo87+w2oYKtEi5od1fAhuuMpLDh jZ+PVursHfTZHV6PauBJh6JqbzdPQkzHQUfh+CnhsErx7qupwDkpH6JIV7NecSvC8BKQcafjsEEh actcRCHnx0rIonHqwZ6CE2tpCZ4c8zcgP8SQ/lY9afwRihf49vBZNTLB/8UyygiZHqxM7pjXB8l9 KRmjH6Uv3CphcwWIP1KRH9w+m/fEGxMtA8bykv2ljlQa0Fc/JiI7Yelg41hIf04hlxVBymhEnTJH XDVFsAmnHECbMgPaKx13mtH0Zs7XqU/NIlg0u0ykCFTOv/pwRnvExU1Y76MBEssB7rxxJ9/tzbym SZXEmRZA3cAxawOfGgUgUYABO0HNCwJdHFTwgcMdawrW50tYmo+3WjXGTCzfZXUAe3BlMOCVB/vC u++r4Cue4736FbcFzyutY6/THYjgVtWH2bbbZF1IjBay8WABN/Wn2YkU2Fo23OvXhwFjA+mtRjgV IuC9CQJCabkfVmvg0ldJnX+dlZmiLt1IZZpsUDDOmBdv9HBcnKhCcmmd5u8r5CvxFSZuxhz4lPh3 E1ZAoDIH7xBKur0CKvamly1PrMhPuBOU9P6mTM1WS87Bk6lNVJkWIaviyUrtVWQMfqn5Vp0y1F5s uOl8GV19asqijcRQFp8ZWhv9inzO0Yi8h66aYgKvGWtkpVW6t/1sF+UlpaSLaqNkDNgHUCRsH0Y+ uX2tZOHqGBIcW8zaOAANTjODkp1vWy6qCCoSp+AfjNhKWKI9G8TlaWmQl3FFcHSRvs4MjXOVg1fz VlPOxzgDCIUKjJdRD09odwyyvGs3T3mJSZwxRov2c0EgxhbW+WDGO0iHJeNeEmP5pfSDD1OmBvTD rIS6xDVpuAFXXysphuFYcJ/4N0Zr5/15WPPEvH95WyF7mMERWpcL8K9WXOBIDACGbtjOLNrkjj6G bBR0BEpqzH9AhYX0d7wz7Ltynvg6R6k6YpzMYMfPLPGKJtB514IqdfNa/1BjBx5o1ZW8r1WbKqd4 iVOoFHOkcnwB4y9xF9jCB7C35+P/Tmw+zJJTxtU8a9WPpQ3QGOsGvtqXu9GGku55+BO80zMBFdIT pw8XPKwKoNzW/txwj7ONbnTqC2d7UqMf/TqjDFnkMKvDnNYbmZIL8lQK3xviZqrpRv/YyQ9y/gba w32qcULgo3bJA1aGbjNLQaXXdIH3kIK2jFwK72WJrIuHvHsow/CcUlUqyOcWhs6mSG01OdCNXwxo DhT5DlruH5wlqwZp2AF4zExqyG+Y3IAZ7fJoqelSLFv3T+BBItCwjHwwOusL3xYmUhCp4D846Z16 M8kZDrT8HITY5D/Z5YU6ElN+nBuSLKVoOJEmEPqW05Pc28gPcZRx0t3kklIb1tmWEIYRFmzML9fF VZ2gH2iC6XLR0bK6Ve+WQWgP4ljGvg4Z+1s7lcftIQyNhZBOcHhzTENzLGGa5+sKE3cd7Tfcusa6 1jKzZrvolLbt/kUZUnJBap3Z/WjfVAlJOR7ufxUe/OHTLdFRPzGJiak03EQtDz6Qyka4glPm5B82 0tuAosB5Nw0KyFCDBZM7cBydtX9YB1uFqzDtMV54l27KlVTAnIXmoog/fH14A1xJmR0HBrDxzprp z6vB+oH/HP1hsM+AX9JPKXUojausyg7YQKVZLcRK+LKyxfNR2PZdlUnQJwfVQlL6OuJYlLBLAR8s bMj6AD+GwdwzKRLDVJxmw/97kHdRxgzzHn2W74wVEvHpxrTqWfMDV2OSRKS/iiiaRlXBuOX8I9Vm AqJoyyxOWBwuGblSo0MYNY5RYkXyB953XTpFCuO3BM28DsP8kXuBqWCNIj1QOR8TxEyGJL/ZsqBH gBZqJWVrR7fY50qsF8QzYv4eCL5nDX0x7OKgoR+1nlWbTas7yBy3B8+8edigZLJE3xgBlWfPMPUV iZijAIbH/CwE3FxKAOZ+4xKT3GvC3IDk2Exfyi6DGcuiiHdNWEvibhE+g+m/gICmf1IOnu0umrWb akr77E9v6SBXedgx9wBgX7AIG92KysSDnO2bp2ZEAnGSZ5L4gtRmPfAHRdshIBe74pr0tZmjthOW YKs3gfp43bLD17zZwUHUFb67pnFYq0WtxIa61DwMgdUCubEOH5Ddt6yf2rM3SeP6ZmxFEmcc298w dxJoqyn2F7pQ6ddxQsTVqJz1dXLq08uBNhEAbQDyHjcJnp2gbCp422hXG2iV4QzbaqhsWGt/nOF2 V3msPF17s5uStyU8kmEZqgzn3NP4xq6AjIoaZbJVITfPdARyVPJDFcRGEwr8nkZag6y6yiiYBIET aA0kAQGbnQhrbZA8atQ+iwK499xZM61Q5LNe+JpF5Za4KKZNqLaic4eR3ov1RQNoZ/YHpDYDQno4 1ioe8a9oGFwS+gvG+nYLfMP/9F57q7O7NzmApR2AlnYZfKYiCV3UIsrz6MyNWwV2+eouWCnXNBSX 9/lc42fyB+wNcaNuraMHI5uM3UdziRLo1oDvXZyTV8wVyhADef0aV04tcbCNAk9V/A5jJl87rUSX z6TYh6MIBqEZmLXjjTh77pPhi5A1YxnXMYANR6JCKAH0oMy3heBVPXhcQvyOhKcJhaM5EQssmNhf xud0HAU2aYDYPe+tCJ40i+AzlI8VXyF/G5eIKX8GzJ7ijNCB6BuhqeaC6ilHJKjjpxrRlC0noiaN t0oRCW9imWO+M+C9J7kycqYXz1m2tiUhxKOCisQGJLWx2EsjCXLXvoDJHEzkESJ6jWznjUd8y+pn dPZAsLDhgFYo2rqkTC5ihB3gLC2gAIDpzW+jIbXXiRRRFzLYj0sXxQdxBJXx0RjghJeLE9XYr9KQ TyUClVmwL5HrkFvf0SVfKT8lf2apUe85DS063wMpIPrmt6sD69u9sQo7ShtNGe0p9p8YtuoMyveb CUMT3pPMS4cFNvPw7BEF3wVIQQWHoVx3kqbqR5++OQPaCCWKELIXYyUaaaz1PG8KRu3wNyv6+rAV mFVAmgRjK8kisbJ98jqfkw/+V4jmOhFWFcT5Ct2aRFKYUtYjG4Gz/JYeOeoc8yzps6Nd9O78Qnbd oGhAQgACoCy0Hy09eAJoktm1H8HTj5AnYE89CQ7wbtlUALbuE37LsUEKrh2Rt/EeEd9v8rSRgM6a WNrtZf4RYCxzPoul+9r3bDjNmVWYhQtYlyMxPm7b4OlRFIwHHaLHTrVxHlizkVMysDmL6iXNDkWJ ES/HktYQ9pmuoWjff6jZANQKv76rBnsmKdu9WsCOybdgEy+fsT4hBJm8vCvp1EqjffihxJVRwmYI bw92hMRv0/th1uT0foEmxDxn4ZaxgCw7ovALl5r9VOGLXCiYQU+aGNFbZ3mXiqbe4PtaCiQjzLQT tZUutC9VPlN4Z2YdcBMunKk7dQHDsU/PBA8J4rV2fhyZzDE9nP5csgdvq+gZpyC6R3XvNzjakcnd GA/GbCdOgu0UqgAWm+ijaRZyprefDEZVRah+020E47YtQ3nftoT/+Cbqp369L7hl5z6mwduL+XKk bS+REzjUzxmTeTSZEQlm1aafGvnT6P7bJkz9OrEEJnAqWnBSP9xSLQBTje+rMVjh/7TJiaw0WJBL 7PyuICLHCJC2zhU06CPa+xC62D9vru7nL92R5CdYlzbmWUtquputohx5895V2pGNKqdYRmkWN4g3 vgsTLJqwMlJbY36zpEoZ1l0Zay5TCZIV698O/q2kHu9vpGa4OrM86+6qcd2WlpdEq4++chd4SQ0i FIra8HkmrWcuHpoM+mCdPd60LoJ/jr3E4ZiJWcWMh6kC3XyKHv9OhsFdgrOOibbm4isNccSBpvsy 9eiWRpPtGsBa9PI+LtCHlhHWwmcEqj2wN+ZroE/C4T6c/NY4pf3TRYAVBxxVwhSvRWOY/zDi3+qC 8HrMAJEM7uKcogwVXD7fquEI6n/BKUxSnTq+CoBhrZKxuvuDqdE74BHXUBZNMNJ3isxDQn3oEC7g tRnLCO8CQcZhT/9dsAjqcn5EHg6LUQGqcUcjlF5PIu47kJvI5u9TDrjNhzw2i1hbzZxbDA++dcWt +9K9CAuBfK2ikPQtD0+2c3/+iQgdCo0dfZCjQcenbE3fqKQXVPE2Cc9FrFQ4FMrTJ2VInWNjW9z6 5474nD66FIr9/LpHhkmsKWTnb2SZkzNYJlvnZvkEPhbwt4k+v0MDDexVCzENlqUblamRKtI4cdYn V07Zo27J2BQiOwyts+fCnUH591GVF62zCeJ1gy2689e2uqdDPJ0rCmk19Hu9jyjOcvHcEf62iMbK yfQmA3tGJNBG7OpSE0g2NtEJT+mpQ6aJMGknAw/gsAjWVa2UMZ9UwvRflCALGzt0vOOxGeQGwxHI aWgrEgFSXdfVpn2ZqAah9vGFvVrbXwA/pOMe3n/z04wZCdp7xFak3WCp2e/7Dmdf+AaHMgNm2ggg usxPISTAjore/zO2Yh1tnIcbqFBENqrzQKC5FlqSgViZb9MIxL2AcNK6vdWX0lWOI5607wlkuMKI IpSkPVu5dQVJIyRZ3tfel2qfrJmMwyfbyvUUw0FS39QS/OefMnAQ9O58VYiwl3f91g9ZuvW5qn0G Z4opZL/ccFxbj84e46LFxdeX/YrbiJc1rdbh5mDEBYzJwPSouaynRVM66TYihEVZTXA6GTSSbQmz Z8cIKvh9wmV4b2Exufp9rUj8VQ7E1V29jeclGGjaeACgNiIhIttEd86RzFAvpWJOYg40Yuf/l4W7 Z58SdWfSA0kkgsOqgLpQVmB/aOb77p+TqOCJjo9GgsH2WTjtbW73ElE8yAZWH3wUd26Eg4q3T5wp V2V1vQPcYJTz10K7XWv9O1bO8GRqLcKB140Ionjom3zTj2CSWJjgq+9avyxw28+upXtL1WxiCsPn McCRpdCKy8aAFYIQIfzbmInc4FqyJP6+Kw8qh8202rOevYZ0dtlvC2THPlT4s4tdj1UZvP7nEaTD 6zm7GgYFmxnv9w6qphniVObR4zeuzvgfBAVI/sPm1MzfB9LqubU4lcqGNl7cR84MlP/CM1ClhIRV eyRVGgi2Bu0ezEucFhvXEn5DOCeo5OXbk2q/4EuwR5heK/j57wOg5T+Rvk+t6s1lmiWggL6cQKCO OnPI7cl5m0s3rU7geUvk2kBr1d6V0cV4LALbskzjqtVyQc6C59PD7AMeNn5Xg2wUxa47wsrTS3eP KOvtnjdzifzaf4JkknxPcpzvHwiLIP2pQ+7EpIJowuuI0Dw52RgP4BKzdlgcXwkMVu979LJ+Q9yh ks8917AnkNTxDuqtDnzFxauWICub6ZDfGgPIIpfBv0M7enewQAQ9LMyLZkfrJ8x+yyn2tuk1gPVb 6+KydhnYR8cnOTVtPVt7f1cA2XXOCvYe54rtZOWv6hs4JBV/VW6+6tK1AQ1Tf5RMy+cOKiQjJeTm 4/Ku+3R8Wot0QjrEbLueFBZvUv+vLlP9gRLWPYaDs7faBfolhgaQJJkXIxsH7H2Erl8nCJMN7JqE O8qdNxB2kce9q6rSz9XdCPpiDrD63GgGWzXtQ0eYf8ENl+ynD0Kjds09ycY6rHHC7LUdQC3ArDW9 9bsCB1aKytWrx9oFj0UK4kNtz2Ogyhjl5ldy3RlzOLwy2kRytqt9rEIFUp9e9C7ehjKWx/KecUu2 456YPG4NMAwfdkZ2Tnc5AiWiKVEBCcPgh5zPBD4fUiBN4Rz7YlG+r51hxSsTSZLd0MM/CBNWsGhL 8RvQmyohER+3wcGux1zt8pSL5NF3S2c3Zn3T0j42CdQKewClmK5R1976iWwJL2+j6fmUHYlJi8dW W7xBaeciaGB82ag3at/YceqQQUXjSy+3sxVu9/9WHWPMftuAVKGYXqasugSRhDZWdmSeBULBxb+8 WS/g7i9A2D0F79q/PuDTF6D5O7LT03KZE0V9xFoSt0+9mbyvfPOetEpXJrT/nx0izODtRMWb1jV4 HxHYgsiNwiHHENlVcpacTAbT6usWAYv+YtVedHCdBty7ivZVuqla2nbgkzm5zptEPZqe6Y0TWYQ+ zaXPrHp2x8en8cac6Efx2z0+ePv3iWHZJmCeKZ9O4x0wR8aaqjpwuQJmJSj6PR1izxzZN+ABkIr6 P9W+K1p0O0xgNDCoB2t3pGAkHafjQuur+k6XKe5L+5+3S4cl2H4nDbVIAuFcdJkrkNumm0qR6NLw OZPNw3S5ORQASq6EhXhQz4rJkOuKevIm1dD1j7yk9jJuK+IZq0mBPmcwf1ZPsp/O1vAPbkk69Dd9 19F5doD+SL+RMo7n7FVuRc7iNOHT7EMLHvIUrEXmAnTGZdxZ3W9UtK7u/Pm2TY4bSvQXAxKfexiC ZRcukVYDQBA81T2WEp/9CJSpaKjIdg2svVDQvVgiLVD7QSzY4woPiUzX5RGPfwdYXQpG0Voo7IQL t+pNpLxKJUItzski3UX04CgrGfapDP98yJJMRfhFrrUchYhMpefZPDCcqP5dUiesPr4yv5STEcYC h8HotG6pMzov+0swAvHwLYRyZXI2ie5X341EpVuTje57yG3Xr6csLbf5Mp6ZfJm4o2g2IPNinmAC Mf6b6fO2dD55APA2sChil7v5cCZHFaOwKKp+ptIsoITLFP0yMb04pfNsSqRgRk5R7IN9Ezl7dxey wy1UkLOdapTIg6URf557pn6V8eIzecORTzzV5k22IqAYq5rWxURqnQgJIPtsWs6GQPa66ftFS0VB wNktMOjNAJl+4SiIdfDbFbfFyYKcam6PbUaGQlISxroXRiTzWwJpn0Zz7X4vXopHL5Icl4qpf6Ac Tmr5xomyLwMVIJbVTNKATxAVtrnFux3He4nTCCJ8rWQmclCOP+m72qfRNbi9UHkTg4vkaY8//uYS I4efkRxS6hmXPBK94XEmG3vu8+vga7vXiSvNiAFJzsjRYPVAISFGANjx2WuSioSZAqIspJIdQ37c ZMUENfspvKsSWpozxq57Hv+gcNUuHBuMGICXCTrSZFAaAkjcREVlSux67fH7XPX6gfacI8BxicI0 Zhh5XTa9FZTIsIuCocj/VC4jZZQYXlTMPEgHNqbobyogU0/cEkcHD5fqn3D1tlGtVenGH3deh26l 0vlILI61eCg3P+fcEEKqwmS1BZ8VoPbdw7ApJjKkpsp0o5gzY5IhomBe3sqbfb4o8dCassmeX+vr TuTn1uW66RityR+3FvjynVbNZIuz3f/Ywe42vdE43q4hfYr0onhYfymwG+JzfHrLUqgiXDQF/XLk 14rcwwMEBpCV7SXIA7mXX+/zMUBz+XGPhLhHwSQpMvcdzBUQHmXTVCZIly3M9RXgZo5YXCBaaR5a Bev+H2A5R+Uf1DwA8Zofie0vU4viAjik7LDIOPRQnsPiDCYQt2BvvYOcaByOvQa3hxrSleUdTl3z 4ubD6uk9Sb4VcYvdkGqXpXrCLqQ+dTdySRTvRDhDXYN/T4NFv0rxyYZtl5eaGYnuJc79Wb3ZUnj5 Z5Dd2W1u9YMzlyr7nGQMaJbrXPezmlspAu1p4kzY2gN38kFsfmV882vPYfpM16wjhTZKVU7ta3Cq gbImVlvkJynTDvMlqTjBU3JbH4PPz6zdCk1WcTQLfviL2tUStEE9/zYhlI/nXRp5yfsOA2BJloGp nv0OOJil+JFusKqniFH3e7Y0Ayd0Ce5F6xpugCCU1j8ii0PqP8C2V/nka8kUzoRbCV0Pnou2Pdb1 W+gwMIyHjErbrxR2eGMXc/KwRCmJJCnhaYRsckQ58/NmJaF1PQk4OYspKNrrm7h5wR1xNxzIOFEw vLaa3oAehtr5SLhcF/ZOTIeYkSiTkGmOxzgm908afax7s2DThfy4Z3+hy1GOZrdUTwdd6L528JaO eind6hXc+bkubvDP+W5PTIqt48ZTSpOtWcLnYcRHImelRyp+oOBQL8fQi3yfzbOEjW2icy86rg6M VOgkPY93ioHzkpC+BcaZ3dnGKEg341LeclTV9GAjLtdCwaqInR3AKvXQ7dfKVjxtcwFrc+E5AL4t qTgRIZoZELHUToKSQj2ojldRR6SsHaSc4Q4dSK0aZNpVeq7JbwRGoDk+1AD0IRsle0Kye2BvtGwH oErFK5mJYluHVK0RvZf0+t3YUubcTn8do0KYO1lg8jwHEQ/uEqof803OWwy9GnXcxcn+7EzVRRuK grpXQ9PftYFWSJIXHmkygH8jGejQ2MvySlbfnl9BYud8+bF2uZWgPywKHmg3MTwU4YCygt4JqYRx OAO02jCaMCouyiO20rbhTCFII3Kg6zPpOWgCoz/bgcD/r2jFoDPOUq+J6vU/qPmGsrefYY1Vp7Bo TbxStGFI20xCxGLYNxv44utAprCxsCvMpf5asknojWAUQ3v6y1S2zMrhsRWzxresZXYQPk5t37yc 0jrXMCQ5E4+JPhMEbnqw6msoKpYQSwB9+SJlazYeJEyszTPgBh+mB66+Od/Mhh26oK0mxJnfbCbx jnlPOZa2y/ezfMUfQ9QjTqgh/Y3xqNlij8m8gyuzG44wgfQs/+YIAjRaHs9pzgqjTNxwP2yf5dbg H8NHW/glkCmemXgmV3w5ElDbfPQ6leZMN6HlxjFO4eH5zCe7tqMGIor+4lWSyKtmJDuXwWD97S3e seQfVawgDWDwX6hAD2X8yw6wRZan6inTHwjV8qVik3cCOK1dcBgSbMDIuf5ncnb1OT8jr0K1arVy zER6iYLxQ4xrYsBfintixMzilYDbQwhZs/hXyCQlI8QLraaz/N/zth1PteUr7KsiOeNOhK5cs85x ssAKXp6a+FB3xYMjWN+BwAZNLFPq/biwbjZjNxNdHY2eYKw6yeXo7yLk88VCvjP+SjmI2GEVzYbL vASTbxvT5gcLODxMGcrrNX3hiO98+SRVJak6OHnEd0ZrUe2F8mA+7xuLQo1KWxHhcMSEnfzeHyO4 aL3UUj1OQVF7hodCFfh7Wi8KjtVZCbItPiyckBUo7jaId44ugrzyvW7RCmDSDINow2M6XNmOIgXy q26MkWnqoIWwUUVX0pT66zQeoNxxHHwwCJUvwtbbQXh+cmR/vzpOzotC5IuFa4RLAlsRnZewZV7x xz5WKI4d+SCW3ypo89oHatPOc6ytsEni4epyjNNYLd33U/zVKhFPDKr1ZXtFObWwraM4EAVcBG28 /oeGYl3p7NNme7AzBnIQIfl0BuqZG1GJ53ltawmg+kjGCT+FcvZXy8JVaymzICjMuzrUqdjZLoBw /sFuAhJXhsH634qKDHUFvnGV1pd5bRdf/X+VPE8E0qEv+O/GSJM1/eY3astdqxVH1UZnlp6H/nH5 RfWCeGg7IZK0wx0VHReeB3l5B0Ja7Vq7EkCUeOoCF+6aUC2nkgrmuwTL8nD3CqwwWyhKKifWwUtr rIxr/CkpzBcc9mgQOkCqrfDXQmVpcNCVmlefWTLIdi9GMvoIiYoRGG4FuR5g7Exy7mYR/rtVGMPR Qg/jdBwYoi/KVdVQVh1h1seE03ypeEMmli3sqHNwYUYpWNA1JcLLjaQUJFrl6aAegQku8ldlaRXj tEZyMdGlTi87p+tNA66Z2CZtgLR/j9MY2+FQIEQr+m03kTrNjuzupa54+PdYb7OWbnX5YTEtfxUE r7JRFVOwxfXuxLIw0abLOSALalBPQdzcflnt+3XEcprocQGPZLvX2jsAxV69wQqZz8pbRpQH1T35 3b6nLC8XbNbrOeEarkkZMQPC5HXT5xMU6JDoP8VBTmSQ9gyrk05tNf1DXhmsyGp+fXQNOsPcV8hJ arrOpjF9zxgCPm5YW3m1cxFzY1iJYzJvvDljvOk0M6jqZmrC1z0sXXDQLZkWs2C3JaO/8EuqUN58 yY2CcfKeBxy/TRu1xr9m2C9VbIdw8EZYmFBSTDmfUTQ57ncjcXn4W1L7ZUR8LYUfE6H/yw+vpLUm QZykBqO84uw+sm84yB6zmnLv0T27N4oOjFrwp+I7EVZztcRjwmZjZ1srIqsrnbIsDNvp2+xmdyOa npRjsX/tW1h3gT8RCMXbmJSHWQf8jNhrhpjLHximSBw3ts20T3Fi+hTPGlridcfQfYU4llOjIc4p atYfoZaCoJC600Ec/KdMW+beOtoRmCPSqiSZ+bIj4TrIWgxbX0Oc9M0ojxLoRrNnKQhAzskXy9IE nLhwnMuxY2Dt1kl6P6zdOm/99ueJr9mkOyT9yJqmx8j1C9HGLtNMjEgyKbemy7pW4fOzMSQiRGN3 fxzFsjZvba4gUFWy2N1SZAiOHslT8qvrYPIDZ9C0IF3j/E7cIQVfnDGDc2p77ryUfdY9mYlwPuV/ E2R6Ii0L7rYv0c6F70cfRp8pAgUQU4ZHO8AmpdmM7XVs9uUZIlkj+qptXq+KyJLxJtl1PFQ+Mcan II9WJnzlc5sOKVGzaaEj1zKp+lxjFymQLEKtFtcfBTXDUD/ydvR/JHbeyTVS1aqkfVLW4+fJeUpe 9ruKHbnSylyQhMuDU88/YrDSVMivXFnfiD5YEeNI69Bw7nH//4LGVrfKOtqU1zGx49K8y3aYmzM4 g8vPgsuvPpd+jTs2aJtJDC+nCjo5NzqWtXCrayhMJnSALEQbFO5j0sEPF94a5YKrR0T/wTxnzcZt YukjcPvdPyWBIO9Yhstwddv1zcVduLFI5bYN0sZpHT+tf0lfLqch3a5CHRp7PJMbuzOTkbCknKVF m/YeEsfHJ4/EQ7W+VUcEaeozm/o17860TpY1eUKoSL0R5ef59TNb8gprAyPJi4xDm1vVLx/0NhIu umLhPUm7XJgDtLRcfgBQKqZzACGc94OvJp2Hzm9Vww61GhDpprtGN7UeqxN6Nz1rDeFOaDuHQddF 5VQTU1Xw/WOUaaEgKiU1I/sE3X+R1mrNeauqM3xNHDwon9fiUTlYn3MjjtTzBkvegfvokDZSnWap Lp44ptztttXh8Q9anzZgslDZkkNTiVRU6PrQ4BkLib5ZOMnJ1tLjZ/yapCjFWUz/DAFspM8SnrC5 bt4+jOo25TT80xebFMergnFuG/afMzKeeLE7Fj2EhuYaZpQQfhPcsEEerlNXhMpSclqskqmgBReX dQSorWS66jpXijYnQIcKL2WDeABBuoVLOFyrttdOG2UVzDAPebzW6w+norVzde6F/ewmewew5SOn t8hmyiA0SF9yctAcQM2sAmiUrntcYfving9AebGviFWNr+ldkCjMZLTHEaZt9QmOtLh75GILwUyc 0AG3/juLaYJtB/ex/ZHD2uribS/O64qY4LxE1DshKfAOX+US+YyYVnpLvFqMd/Uf/5LHPyN7eCAG 5WYkflG197bSfKxd1tLG0IAUrFpPdSmh99LpWD+TyUavLRgAwQCZ6tfhRqtMK68pcw5aoO17/LBY hoBzSM7OKjncYSMndPysNPaldceSzmiALOx39nccG43/Yr8SrRYKbictQJdxYLIKsntMaEcXJfBm qL/8qlrT3Rr6N8iu3cJKWA5+JqP6ckx1ctVseD/K6lkjVzfPEKKUMNPtKcjKOXkuS7pzxIf6ArgM /92saS8woMT14QkYaZNc04GhADezgF+trQFaYty3fDXc4AYmky3WGwjrTW+7KgLEvhINIwaMROF9 l/aL5IGfN7mQRS1abhFSnq6ebWZbiVkgwkCBCX77bb0ebY8FonUbmtKa9/9pM0nXZ2EQE8HYGEl0 euU4i+AXWVQdx45TnQHzy3ZVST6vOm0rcxeFnVM9tDg7AiDIZU44l9HKkgNn1IGugQGeBA88Naf8 JkF4KUruQq/E8uCDCYIBh0faYGxb/fxEbPVAkPIqXh6SuIO2TqZZBHP9E0odEIfD6Vg2XptDB7Nr 2fchY8gTnBcfmeb1MLJpjpC8G/FeJogFB0p7F2c6xOfsoYe/JfWVsrJfVUs/HZE7UIkuNBcLpyAX TC9DFoyqGYel4L0/fLd27kSbwhDIdg75nK+CmUXbUeohhzXKYeRO4kud5gTwJvwxpbUsrSv0N2ly Qk2cKflKwlfGULMcgV/gNO4NYAGiezFVpN5AnSSOmAQ6ku96uoVwePr99Eii2W03MsveXNGQkqMC d9z4VEScweN2Zit9lMiNsC9CRxRU4j9EyiVe+fBah0OzRWKYlVsbzmNFO80c+5NWp8qATz8j9Hf0 L3HCT3ShDCoVpk4HwY4n2GJg2MOHzcJ7PIOz6HgK0xkV12DsDUs/tz+65tKvke1k5y9sC5Rmnegh WnUn/rPry3KOBTSgnlAmSOeg3kmTxnxqMVb6ar6QnfggPb5loYlE3sU72D144KKWbj0JKbrW6tVo Xn+X48rBm+kESdrbbXKyEi7eSHH49Pd1DzOrJ7+pOVYEKgQWDjdUsF1V/LzSlYyVXy5X+9uEsbUt ITV7pqup5MUsCdY92abqmUjIdYYenXY31oFkxHrlEFe3U8jQs6JnZTTGx1YA6aDqwehBW14IUIm5 fLFVNF56DhiLGYoyur8YRdHVYJ5aBOOF99FG70W9qV4WrOD6h19YweXNBEuYtF7Qi1dXnpclCxWG sV1jOfbvBHey2oxLMGBh43ijrVdFyVdn85RMv6e0MM9OOvYqAk0aT/dx2x8mvFQ4oTs+4vsd8nU4 ln6w9wIwh+4dZyNsfshLQ/y3xC9vZHoH4BxTx9FoDSXM3/b7O++nfRj2QA4XbwiwL5OPkNzp8Ktn b3QAOMtEN+KrPoECDyjGhD52Jn4MRQxcBeOVzcQVErJDvGjbEGde/aeE/y3l9+mkj7i1jmtEgi9d uZQm10K360gz8z4bDiOWZmdhgRne2vGfUAFLymwktXdq3qBwJrISO/QeFHtGvQluol+XXQ6nUDAt aayi+jNG9NB9t4l/mPjGJnu+AlNhjp9FaiSK6SIkLGmyRgm9CrLeLgHAX3puqubAjU4apZiYQSkx 32r/0mX6ODeZuqWoknVd+TFthFU+845iCl/WICe3i4cByMkGt9yCMrF4rsxssB/j061yIkXoIIgC PQgoa3yQEFR8qGHIsJghH8Kr4W7/KJQQylZFZSQO3ELqk1WwxY3xRqRJ0wusJjV5M3bwJoQPQguB 1bsbiAetL+8nrh79uT06/9R13E5kyDcNIcaS9Xd0wDTI6GMb3inCGW7lgBZVZ6hunO1c0pYUyuVk FCK07oQC9eN2YA4tWtXHBxCARXMYRKzIMrx9MDKY4+Hji1dudlecfYMXiMbXPmQsh7i+cJ2ByH+S PhyMkka9C6bh9ZUfT6qHGYBiKKI9EGlO1lOYc18aP6DpvxEltr1OKecQDkJX417mj86TcVKFSUby I0CrRj4V/VHl5xGhXe1Ot0ok/6ywJJCE3Sde0hJwH2Ei5tNLjS8awOt3dzUX5KukDUxyB27wS51U eys3SIcy0+v+1x2FLoWr1sS/9d/OnE+rW5vNLbsgOQtQEVAYhL067jzD2B/AUOwy8ZZ1S+iGpA34 6sM+LYsyp4SRp71816piIbVuKMW8c8LdNelBCtiMio3r05obrCZPLbF2Iwyb15Obl60XVgObO2bV B1bZImZfJJK3d+NHwNhDh0fgP835JOyRptwRztXxFcTRkFNpyOL7kFyq30La1O+umlL+xiMugAUF CYP5/6kszCRq7uaTx/pMM0ozN6MPHUe18UadQY7j7VLUBbI4O3OjxAN/OheZBzGmeg+SjdMyaEVK HBawKqksydP+m+vE+0wYcNrVayQOSBzIUX1pKq/71EsAxe7ABz721NGoOcMqpc+7ZSz/I2YLJrrt IZC0IifaZY8gmhswRSRGOUPNo4GtVUD6xMLQVRBuuJQnmTSe/fRgGrJtPrR7D+JnjV04j6nUBYqk Qn2jBP/47XYSMbF0/YqE5LyORcmee7vfNHdWmo7aIueEny4bj2CFvosV64MrxojlQ6X506UAUb9d QwzG64+EGuX82pCxQMnaimSnMrEOXANa2indUkoTZgz/WTorMPde5QAnmGwuXL99PdHPTeUjF/7n rIA/82TMhvKn1taMEbSzD6T5GP4Qa5Q7um5NGHnd5RQNjfDBSsOD0U/A9/lScXgIAbaTxNcrqSMP J0/l2uOWBSwIDmCUCSk4+lWxMcNGQ0q+RNGswZ38aIH2FRwxv//0TsvHAYij5WCuRorvRJln91/3 brSKUH+qoMSb5nEJtFbfjfNuqqcPkOfHGKXfnM27XEcwXpZ5ePCtmA4xLcBZeUf5FiMzMhnenqBW e4QCF0ggNDLnVqvlmZOcQMJcxYbrzhxh9BkVBI2yuurN/oNL5l7PUL7Wt8VdQ9ofJTjeSA3Uqwti /hK+E2ycuJAV6vuxTkKMA3BLLKgsHjq00TZ/uiog+CmQmVd3kTFzZrgJAGjcRRB4WMIJRwKYfdMu moZbRJbNsucHYceQ9rCdER//8MBIylySaqbHb4FcUq4VLPJc4PQhwzrx4UeXA8T89OZumniF4Sx4 n8mstpe5DNtIQv0bRMXwpltzArUNBC/pRLZH8Ql/VOdLtGTBLCFZB07FT1D6+2ICHvto0Wtzj9bV eHCOQqcy6yb0felZWBfoXSk7+79nPHfzamxw4ZqKCbuCTwSfUoYr/A4zE8FB8P5zavy7VOpcxAqJ OhSF6AAbjMQMsuCa/ZBJaBE1t2zqssU9ZV1eJ/w7dSkXQZI9woDX6qkoTZ/CmFL7i9KlLkkEIgu6 Hzx7JoSZU/h3wLIiYcg5zZ4oqCCHMtJFPjHP0S09evAiVgjdyTslo/5fceJcGW0/jaWzjA11e5w5 hejjwUAVHyZnzBJT02QS86V+hKIa1M+MwP23uK8/ArM/+/JC/4k+//Wsk0VL63C6VXKOalHRz1VF i2zR+dhUR/4IIzq1BOdpOz+p9lFpMLy/gKQKFddClL2eD0qsepA3j4unHYjVOPoruM91zvEQsu9W dwJyFQrqaR2GNy3lV8FzjhhuuMK8XtypNyJO0MD8lURKrUdkcMk/KpTz4feP1yU7QH2MxRqd02g0 a6R4xwCgdL8WswAXuGHwaZDLaCjj7YWHdRspt5wpMeNyVRp7vKm0uSkUO9G58FCMuOj6LytwW9z5 bC7gh28lM+yIvLJQ2LmpcRVsQsWLMxOe+gafB0TIn714bdBiAr5bntaVqUlp9dAlJAvfWptTh+HG JaAw0+R1b6JyglPAkY/7xVArt7dCbvDBn8krZm+pJAqD+6udQ+dBVqnN8W0IZO0QerZXdhhS3rFL ChYmMIkxpeZTrxgG3baXyaOJqc8b3l7BvYLVrKJ3NFsIYIzBH8oA/fqSPRRCWnw0f82rtA6mJzg1 avJN+DzaX1d0/NFhRarDtlcqslrSj7JoVG2/PhMOsg7TSNSwofz5niIMWiyCro2NsLxKIiG+J2Ys lzKU7cs8J3Ez+inKun2c9XAdTI+EN0sndKQdmoz5bD8MT1pAJsLZqIFgH8q3Pgex/gI5iPQtbcDu +DydzSt1H+0BE5dlOEMv7YbPDvEytB9X+rvQnAx6fRHyqrQQfNOYtk9irCtovALpc1UUGRY6URAK k//miFeRzzrcGLJW3feqIH1cPJoTOgCKfp5q75dbCK8UkRN5yh39DxRoisrTWNvr4x39AtWrvVaF +WKg80IrmDbjbWBlYXZmgZrsQGfEiWFjfAB16qITVI/EDpVjofHIiCtNhk0fNF6tFyT9WIOlHUJf bFvy3QoISUr36mSfH/CSoXuLIKtWOiG0L7AN6fxBateO6fqESmz5QseqFOMOSH0m+z/daAOLoYl8 F5HD0Gd25l8ivEKsc9cWi+Me+nPVBHSrijdtIb+bG9y+qLz1qqLO9hu3yijD1Js6iKXJ3t8nCuCU 4SlLRL8f7mGChX5tj0uPuzBx0/qob5OmJ/E0S+AZEA/oc598+fPWYzBNmZu7pIx+0Qzyi+gxWe9E f2rg5XQrJoo7pi2i+vyANl/jxSb+QZ2BnRipk5LNIPxTWLZ9Ujbqh+nV5Vxjt3FhMbij5dGu1fAe BxD9HbDf+knS9ilkPmJBPyHCrNWZ2OqM20D4u9vNrihVKfuGyObxgOULAmL+t71WbQPlgM0lz0hN Ivi1Z0IxmVqM7KFF19P7lKpWyaP01SryjsdK7i3t5abUBxBKWMn395IuiCsuW2MwxwoyKC97+J7g SQZDqYmcZAIrAU8wSYfVViCX4GHnnFBRLpXy8Ab1PCBBKXZj6s/3mFySNHOncmaqntYwwN/lOOaJ Mq1DD8OhB8uxmvwULaOZAUo5xDLr3hNn/G2rVndX9/uinqhkN9J9KBSOq/h52SUs6j3tMXW964OT qsHstBMFMJprEooIIXTbeFgmgs+dfcLNqyU7BdbwZv6T8Azgvw2JY7hJxb1QM3oyTdyTTejDILwL UfUW7KuoH1wAHcLImbQv3TGYdgSIZ91t0JsJPqjzI291hDqNpjMN2ZxgfJDFug46VnaXG35eEjQB hLUe+2yaPZnl+vmxbcPb8/8g37HpHa45fJr6hE5c7xsED+uFLHq1v4XL/6uyKkLgF19yAG4ZHxug iS+PsDlxwrsXvkmJRZnFallpsV33hBnZcQ2cvAqFCMeqjB18cvqqJ9DQBT94WeKuArmyO+m/4k20 XzvKwTJUwMfBgfHrn7/LhgjwA8j+Hgp1pfwzld8ThLU9tM+RxJsB+xDTmpoclis8/tl5EWyoMbvW X6i/KojoU2u9QUpEKob6YM8pr3hUZ1OTl+zazAL9Iae7W2ycFHFx9JOdGvfqgF5cmiS9ImSxHI7I +kjtqF5CQbQ5RWNEBsJ08L9fQx32pHASJqeyVEJDKuCAHV4E71sIM1rh8AjlOEC85lYNLrJYvcbm niwl5RAmSkkDNJ8zFIPIz/u7Hk0YATCGdfHFRlrLPQAWCcZ/Qmx4Edbpicy0/YPyeNxWMIWuI+OA 1UKij+9cTMJwW3sCY9UP4gXXsW4dIE1ni5MMIQB8AShpfanoH6JH8q2MrWxMEsH6O0wTbIdD+M+c GIpY30h//25/WK3ePOOxz/TpUMbqlevPHA2oG0P+wH2mvcP+KoCFjHhe6JHgA2Baipp37+4NbAZC x26QRfL52is6/Ph6OwPE7mYIt9XZKcOZP5l+R6z4KLD4DjP7fQVZe+RsZeqMg2ZnFq922fK6YJYd R3OohcHjdEwiQQxJdH1iVK+JcMoyeqNjgIPI6n0Kp7J+k9ac1XqgzjTeNzHxlgjNM7oe/2iik7a2 8tl9uOdtRrBIzf9lNECeEE1kFJbQv/YHXtvMTqIAIoAahWiVYUYDWkyi4pNTExN87inhnjK7AQVR cSXECWb//QTiFYsJny0J2StYwlraaRaT5gEXwrt0LB+pSIg2vvAdjNlTvlTjjJmqgh2QXZcAxyNK Amta2onf9qCSKQrDCKbcg9pAgU29WXjvhZfBOit4V7GsG9pG7H5OrK76AMX3Mr7FKsd7gohO6aij Ie8UKFJgg0Y1OzL/vpD11+iEm8ht0ylVYWuSsCBiBj153RrEFKd0E0ctBNcKb2aXOs2+av4Suhek PDlIZg/9d3YWykZQs5c4mxU1boUEoxvSkqBh867NNY6kvbCBMRV8Ppq+SXByAhqj9TJd3qWFgFQ+ 5U5qzdO1HRoFXWuvQkYwVq5KcCYQ+8Q1OyxN3X9TMyFD++FubVjgCIoJ14M/blqzYO8DtZFM417+ /O8m8e2Z7DN9TQV2knAZS1mklPlJCI2hN0gRIgiNRBvaK8UPFnEuosojYdrJW/V17D5PkW2krsN2 j/TyaOdFRnFddGiQrrzukG1cndOTxJqJsGoMkvzXA9j/16CJZ8AVi9HoQvDroDQZo0FEfMf+ymjL 4che9wrCO4Y65nGF+hOpESIBJ4uHPY/jUgfoV6UNMHv440XDPcLgWlaV/mEdcM73JXFAAp9BDgEM IxhHcq+5WQfr6nt2vFeUjnSRLMIC898GVwHaV8TVB2vVdzQbIkNg9I2dzrl7Di6JNfm5pDtu3ubg VCQnDzNjuTTyNxeB9Mbs0OnKYhPj+G2rLiQqQHVIEHzcYOG1wvjl75rYRGdi9yxv2rep7AllCaHr qNXNjBM+UFpJiqJX4D2VvBOgFv47UaNm1H1B2nZ6oXzFmMSwjeme+wsdZ1toVk2CSvY2K/IvJC1v qgRjHeQgl/4kB0qDE9iFio1HmiOwrmTCky+g0EeCG6F98H4ZKfLkxQjKgBgCsxKzZYdytv61aAKZ 3rE9hh32hjdyLLQyvKmSLLJgXpJPYAeYLpd2wcSAXWDBZaDm5upaESM7wWmXlwyTQctelXWmg9mt 9gBHJ3qc3CMrEhRVOncUNkNzxwrnGh1jd2KVas/IqoZ7dD41T3YdkcKPrMtHz7uYgkPja6i9BM24 ngriclntHLUXju1ePy/QAgPqsRUf6NPrX65eRJofC+APtqfDm9geTDBuI0YPbWsYAaEsG209Irq2 IIk0cxm2LtBpeOWlyvAdd0meJvvn0u2r881ofo1KsWJzaKixUCazPbkWUzafoWizUJ244ZJOWmw3 IzzDVfUNaM3QbhlvglirzetpjhpFSIU1i/bb1cm0e9GwagCPSXk30vdcUz+1trNWcX9BNkPsa+sG lfn3nWdWoXzXjxrPQc60Cvvbq7jbp6civW6omkupNwmRuopFMXR6xmJZteAbzi+qgdv0yk3q07v9 tItox9QZljAnBzXEeclxshi72JXagubSlDAfckeNjDqzJWrLKvGphtE0+n0YVMpp8vYaCKfXU2GJ jQHT//+rpBTndlbmruQSDxYYe3isV1nXYJTzJkAWyUNKhZvZWh02rne2hJrOT6bF2UKen3iCb0Pz GWDrL++2cRhDM5TXbKq0w0YlG+WvvVSlc4vg1PThWDeIX69wyM2F7QNdRukJJfQ5rckd3tOAAVsz XoJRFWHa9H+7hM2cjz4mRubEnkpSwyOQBymAW1VHFUqyOsRxSNVHPTa4YzyfbusEUJrWtmTwyAG3 5gGcJ942UekXO1uxyH0HzRSEVZscp0jmvt/xX90+ELCWjcUI5/v7WFSQhR1JL7HloZKPwGUhangp lgZs6VgB7cW7ItsiTNs3+PY34WYfPAijY/R0Cnom1gXI9/SO06zMqnZcvArWTFATvbU8WLj2iQL8 /1dyIwh5RUtABQrp69CuFH20QR3HA1fGuKYizamx5QHrH9v3LDjYbQDDTAYzsEA3NxkV5Zzwiilm +Iv/PbMvznS9EOQLJIoBK4QOVkk1oL1fu6/RGViNXybNinhQ+PEMZsRFVZPWbboWEjIJL1oBcDpQ iHYiTOM0anXktCRph4zzTnbR4AytnPaCwAsySEaTF+/6mw+1e8cmwCVjRpv3x+jLX2GObxY4BiD2 /vIbSY6PpZfInfWBMbzSFtEhHI2833f5h5oyIuUQxuV2phE3D0x9zUpEZbnKKicVR9PZ6YQ0Hh4N RS837JBEtHfDb58s5RBDqeUdGR7teY9xD149cYCvTMYg+kOlTaJ3s3dT+FQgfKLMqpofxDQG1xSK GfLjBe35BIgb0Agc+Zri5AyIiGyhy613P/j+BzCDTnKrnKC0sqFI08tz3CRUX+lBq+Lx6Nt2tLHU MAm0QEOVh1Piq0Nksm9wcNu/IDGQvEBL98Q7qmSdI/XV+zq9LVVrHqEgARbSdwKPp80i2XNFDxdY l+ruUGmEaNnmkJeYWJ3VhobvMLUyUJHbupu4Yk8fgnVdwuahbgpZOgBNZXJIvKzVQnxQ16Yge75h hD0QZ4Ov2TprPdBfEbv2TqZpFnVJK3+22wZpI+3HUek2P7/Y5IjvoPfEVuA9EWOGZLMNdRkMJrxb 953Y3r2tFDnCBURxTTksfGKiSsCbvkyI489eoLipiRSgpGFDGpyieJW90UqmHMP122R1gvAwngLl i90W+rTT5Yf2WWtyco5sBmyt8ymM4mu9xCwRBkuwZkKZgeIlpVRQiKUFcvwZJBMv2Ra6E3a/Wp/m Ej+TzAfVufbMDjUSzZS3VL2pblJsne2nWll0JiU2DbLICPXfe92hWlBcQ5vuCyK/+5dQso1cyRFg 8qlG/dT/NCkVpGdxqvTZ+4W+YUMOBQx2AyxTY5Qn6dJZGCoBMZAuP7fqZQ76Cqr+qa6b+c8CibRq 9+EKwrsatxaZBLeeFXY7ktDN25o1WPSAKiUje0lIK2bEZRSrmWrW4lX/IPjj8zMUq7qhEufq+ofH LZoJJEcFSb3UDJazyY10nTtkIUNJGnFpQNTqxugTGDJHpKe6CNEDoCwQvxJwSH6LmPuu7AKxm7MR nBy/3Q7iYDSmg/lG3sRl/NLqqZpVFFGBLI7Y3XNMfW2WQyKZWzwErFmmLtUixQXqJiCx7qoIIwYt dkn4BDFaqXeWTNdE6+97UrzdDrQACJItSjzw4Om4EE/7VcfmZUA07ioswOvXYiioJNd8elu2m0vh lUlhKPZScfo42RGAMQiRUar3R5Tf6504l2qa1GATs4KXjD213pUJG1NiuG4nV5btIBpPetnhYNO0 oi4wEATiI4z+gNUOh21DTVYeYFHK+e0Rb/MJmRm1td3EWK+R+6hJcSHhzVLKXCC6xPqylUgP55cQ 8Y4+6a59R1zvOpR1dfju3iPXds5j63VXzBwXOp21xYAH7gafJa2iPKUSoJq0ZrUPdLLC34MCC5zy Ro9pcW/PwaHu6C/Cxc280TJDq8M/Cs3VvzK9eBeEflI1jk2ESBBbg4O0kOD9bHjlcZOu/lJWmXWl S4mK4WJpmWxDJqkUXkHvr8tZ1sL3cjKl3n4bLzdfSINOABV+zMd8ybkD2uzvkfj6SsgkVSeLDqhB 3ZuGhg2fvZpSbsjPDZhrMjYIgSd95CUNcubWOFPlqLH2vP/li8bDguXFH/ylOk1HYUvFfTHy5gMN NO6flbcqnZPdWnabg8xv0dzDjjQE8QUIp5HOJd/SQnzQrwydyHiBGxx7UC95oOQYgVKJgivSpVmP 5j4pjKuqVIq2oIKbIPlTsOUkdK4TwtnxjyfBNw3zfPfi07TFoG4no+zXCZ/nvznh9uO86AZwYwD8 96+EE2WmVCIukgl6uoMFvGVmmyRtCM+/Dfn7yrYRxC/BfxgesGNQkMtWLGvwienxrYm7WLBPHuB5 RemQNuLhks1dJkkpcg0SrOXJE5fnYmkfVSIXrdXolf5gpPWu5obmNQlEBRBIq0Og8nWR7K0HGNXT jwNOPHkLtAXtombG8igvP2P/wG4FXz/Nb5/9KNGg3eYg1nk+EqvNKuyGbrqlOlAWG1L57w5e1NSZ 90VXy97IzhC6ssfk/skNe6/UDJwFMmvNFBZVVLVBRB+ow36+386iprzlsDGAnZlvW5T/Tof0LP7d ub75nCFLNpEq//dQpG1U9+rgtSobd7nFCuQkztDlgKd7anVuFJQ/stjAtYT0v1Ye9xO2Bw6lX73F bXjdvhq2GZBRdkjgW5+0B6tnEmbj+bxIvnYJxd797+BZWExlWPVJOwWPonfTtXecShKWsujbm4eY /s7QBrQyao3rdfn/EG7q4S3cAoHtzvW9Yh640mGbTrsNFMT2n/WQKTNRm+OL8i+nYWO27aKLwEMc 4qADwggkt3jLmu0YMbfrhoniMgqNy9zn7qBETlG9DB5L+/W/5tZQuVxWe9Wp8stZMp6gT6Ig0I/I qyuFUEJD/AMq7UsEA02vrK79O5mehE0qLA15qWfrup2BkhP+H4583zaTI9xZGcVPoIlQnTobJVVq Z7Cp6rR+CbjtO0PqpQiPjOXsthUs12zxE44pV4KvlbgRcoMNUYrqB1Y+iiYgv0Sb3mVSKxI72Wyz vRkpuXnwjbymvxcZTuCRZgyT0tnaN1qlBtlglIq5ZM1wJHuiTKEnENvZILGTioLJcluzFsmigDb8 7OW9UjXyWc2HUuP/qWCzqma3lE7zCKzI7D0vMGgkT0U3//9MvSstTGwijIGjRjy7MDWQvTzbiNnl MRPwfTN7BS/HYmSuZ/z/OFXRYddfti5j1oSKlBgaMVM4AWdINBbXYKU9acR8XceUTWbFFcuifpaw O/5fl5h5y5p3X33NK7XgUGBd/6prJpsKVAyIlcaQfWnuUtiLQdlL8gH01a58N15NATHbUWlSHp4x uZAAWks/kdHocmW3GSk/zFuhopBkzsiv2+AktPGdndhQD3CVgrlLcAg3hwrNVfMLOSC/1YbYK1CK TZORZXDfzi59Kj6HxqjbZDVbIgWRytwvSrlYL9HL/qXpGyt01MwNOf9giUwbmvkfNFd8Ku1zM/yx 5f/NY9e1d67w5E1E03jXzWe/9bGDGtzHeXVZJp8mRn/pugM7QkDr+zg+2fqfjk/vIPPIv/agEv4M X9o/do8s2CYewrXLpVACN3UJxIHqUDBTHMS38MuMvooHEPII075wPqs4JT5QmPKJOQKwTibbDWBc 86Kum6QGcn/FapTpZHsiYj2sJt910x5MEVQ+o2OXO5GeL3Ttp6c6Rvac6JP5W2vOVRUHGtjnlMLD c5XxvB7ySRckPjmc7LjZ7yGgRW4ld4ocuUdeKAW3bnkoN4GGEA72546ZuQP8BxKB3wVllSkSr0WY W1P5CXHRVn/S6ZgbvGyNMKd5BH3dlIWeIcbF6+AjCXFORxyQgK6paHFeS9jggI1adqUiO9gAFE/F 1qLTcCv/sUS5ijFK35hHgPN5FRATqny33W9ShROGi9qSP9vMpfuT4Vp+lmWYkIB6t1UIidbrquRY LgjzdciM6P5riJIlg+D5pfn4Cay9R9VNOEwLrm7LhCtgOzZ2PeSDrH7kLwEXIBx/Ow1utEHIezE6 S0s0cdUYOVeBaJNmt0i26CEZehC+krQEsZ/aVI9nrgcOwY9DnK4F3/hafstcuTx0MgPRHiFWkidn p7hoM3we4DJzA+CYCKqngKLlo2KM8pk/e+Vglbj7wv9D9AohTJXMtspPUqkBOUsMCOSa+HVmDu7x upeAh/Nx+8q2gVw03mBkNbiptPBHR/ktl2fbgItdzRZaaCpWf8trL18fTs89kgnHZzhgpD62rbrV 1LM7pELGvb83HLsQ7o5CzO0lgAtiftX0cBeKm7qjMJlmIj4M0ZV0ROBDUiZLA+HoY4quo/WoVug5 7bQT/qzoIGpvkY2dRqW/QQLUO5hFeCkkdrGGp0sUABPXfJVEmGl6WghN3dVmubkOa2ExwAO4dRDH T3CJqmauZQ3mCeUYTwoM4cYVtSWFIRkL1nxSkqg2nw/u5aJvwQ5tbpDZK/vPSbYFuJhrTa1+bmBM 95wRoZv+f6meUN96eSqq5nQbFpw7nqDbEs1jm3YHezYn6moWiWUos43uK3BxXvNto8Gzqnx3h5uZ j5VZw4yr5pfBSxgQl+gBWrVXLp1PEAVb3EzGUYuDT9k8ytaaRMIHh07JQqnASxR3pSJAyEGiP5qK PoZ8IAVmfGNTK3zeGTI5HaSppWtp5xnPLHDkvDUILQLsJsV/ir1CaSqr+4iexp6OTBi+05lIcn0Y UL2jZ9mVCEd77e66aC66qkbnskOGh6Wmfn0ci9aazZFCM4mYqHV5O1POR4hoAtdd9zu8gKLZcMpA Tey86EYfr0bky+FDbfhYWhTmuYi3xhTmBh+FEszrLJgoYvRLXtlVwizlOI0VyDGWrHt3s9RdTgYE oM9AHizHyeQPp0yuGnryeCj/tCDxVcNT/XhMgcilHRlEX0M3D0tGp5XVtp5WaLpVbsmwIm4HyZBS +qlOCeCfR0apUnylpS1qnzvzKyrYU3+Ji5xUQeRwS/u1R/7MAZ9jp4y3pr4MxZJaVYwThFwHleBl tqRFmJlM6HlvoL+ajjeV0TBtYXZjfcOVpbv6cqV+UuZ5SwMxZOFWl0hChCFbnCBfbJ3rsFrVfEA/ aWYJ5gLoCibh+CpDi0Jm82koCfZmWLsrA7xurStLgdinwd1BW3Sp5a+bskhAwYMSx6TWNjhGDy/H 9mPVVbe9FgJ+0WSNd9G8I05twrDut6Z/El0KaF3Xk0Ko+YBdfMhFlNjBFa4kHycyEvBWsTechZy8 omiKp2mK+xY50ljJArHXzbN+f4mkmGqAW+8W0bgXOeo69mNcWwQoAnnP/kYzwPFO4diDGkUD9SIQ 4PTsAj4m++8xBOtxODE2vZd6dEq9mZTdTiZRwKfa6bVuHWtnkBNnTsEsqzFCQHy54Os4g+YTvJnD YrBlxzGuGwkF37qtqx+YTcCjkTi1S6UI5BnudD6dx0rwoGnBkCb2jbR/OoZSXLLuhnmrlqrpW3Sb o/AQtdAmoy1htQgvbnxkkM1xpz1RA3sQ22uvWIh88dRvZc3XSX8DKgz14H8ExU9Br/wy37O3W29r VU+wl/UQpjJZ8m3XjnLsgoqUS5nitDOJz6SymZb1uo1vTVgjeFacOukII3SMIZSCt03er0EQwHqC 34ImKA/KY2nlQziv1TuRj4pcg7lG9m0G1up4ArzQsT+kwxKwg+W+7/XolvwAKZ/11SIeofJ1S9EK Esr94F9DgKxeMFTN5A3bgWC3oiawlA+ry/0rugnDcqGunOkycKnEQZ1xxdJSgiFcyn76OtWdyB9c STm4k1o4KHjMZryf8G8VS5Aa0p/sJoZ/tsvn2L0r00d9ACJLToGGR9/CkKzCTJgqr0w/zes7iEN+ P5LGa/qIuXTOvraTSinuVd/clCQpN4enbXs7zl95PBUOC4z8C5K7l/b08nWhdN+rtajEfBGzyqK+ NdzfFJBn3Rrxy3Iyb17He/t5NAvFLktUTr3QK1VqEqkXZ2Em4Icc4zjl5CVZoLHaYh35QrDk4S81 5SHITdSxW/0y8a/VvwmGLwRiqY80Vyhn86MI4OFxGi0r4NQRPwGEWENa/hCb5LXsxr/znx0bumYb CZOEI29QkQnbzZgbLvciAzmkOzSUx8h2At/MR42+rI23J/fvK0BjpScupnGbWzbUr+Fl/F4BlVXV 4i34jG1kZhmTDV06zE+7ybKFDDJP1Bl7brXemDuc67vfyTYQ8njT+bFPJT2Pkgs9srgr3Ojr8HYm VCgdGOMsMWe2i/jopO0pEmnACeIdzqwaWVparQtqqA4CiwEUPZzbqDgR6xbYDMgRcdKB6fRnk1oo eihxdrRcmbCyIoKDLDLrwf3dv3tIAsI98ODRIR/73SGPnD8jOz7LewqdJWdEeJmCYSzTGDGnicqA 0vFlxEr2/XFhRKZcoYA1CMSeuhlNrQeEQGNpJYTVLI6N48duWwrePWxPNCCdTKiMrJtCdDqYlda9 C7JqHpHJzMZm7m3vC8f+iLUJ4Ytz/4KfmiJkJFl2pJz8MmZ7pf5Pyq+f+DJjNLq/DMiZkRdKNkNK FOdE/dZOOyFWDAuFEK1jKBi8dh11Dr4uQALaiJ5ZD316L9tT28UhX2yB4gSgvNr/MTk5/8At/Gab YjoV5/kewHG26Zry5LKJsg9QDx04gMnw+dY8QeRSa/OwhevW4bF+UtnYXP6KViVafr/PeDAgob9L x5VkdygQKrRZ299MmeZwGH+V9QkcKa6a8HvK2mCkn85K7a2wh7s6YU4DlZZW4bxeFg2m0/qNjda1 yU8RUaRzDEJFJFSUbYIrUfUDe3JCSxnEHbDArDJ/cWRmNneNZ5nLNANvChnYOMm19egRE85XZ9w8 8gEtmZvoIbG4DoMmEQZrbM24ymZAXKmj/iHCSlVcN/Fy9KXszK7ArMIoZYU3GK3K9qHIqewYxRiX vgputUhD/eO+3RdKEMuTzU7WwYaHICa+YV8Vx9vVFed4CrYf819MeIPLdPyxKFnK7QUdV0lEOWNC 0A4sBOfmffawsTMMhL8qprmiyJgHq/wIBLjRxsYGk2wKQZgkoLj1Qh6eAFA8AQDEIwRKKchCiFC+ YjV2pUsNFs7WWJlpftLY1W7eFhGF03KxIJZ+NP/cHjzKlMBDag7rkPZfHUu0K3+pgVoDXujGPTu4 WF9C5DQHc88C0wdVDvFU/FizhuawjDPrs73xf3lh4zLhRh/scuU//yVH+X+sqTbJHTHIl39US0uY hXYt43uT5teTb4df+mGvSahDlfxxQ8FZ/IRIENs7tFUQ2FWtSeYYe3k9aQQ2i9PDAtcTR7f+dCeI 1XZS8+tHn1veDTzu7DfjCBOGNJIfubhzJnRcKmmjf7nnkwrBfsRd8Kh6q3d9xQ+UDPN3sjvUas85 XDFaZ+d/EkQXmQWdBuzQ1d4vqtBEoeMGfIlvWxK9vfV+xvfIe45aFSJyCUG85tDed0lt5xfIp5aG rOXxDfWju1XYgi/9kCObXz17c/azxhHqNBwa2O+oaAVOOLel9rc3bjXoMrxoJHUFXkGt+892Yzm6 FtS9PIMOP5thvr/46gKc+5mMSqdtowJtod4b/KJKviHRQrKaUX+BRWtYvZeSj6UQbzjBz4UZQiLd AQWtepMCsw0jTfBSnGVTKw0VNozH5GDLkOYSe2XKVbWVQC4comWUo+mjTOWGIWFVTFOQR8vp24yu 0d0kc4ANF7OvA4PmOkLnn1n2XFmFMNwRfgl8srW35IFi5bPek6EMPvwgZGmBGE4uFr+madD7IfYx rmkHPPHZBLv6kSI0GNPSoJXpYSMUfI9VZ++syFjcoHLX+ENHgYFSP0OpI4+fJLqSI5i0icXa31YK dBfiRh0RUZByEGlXUsKd6m4vJcP/jgF8IL4V0bHQDi7FSIsl+DTGKiju95hkW99FLZjkAl/ZubxH RTXqC2IZYA6Rlwnys0T1X4ZlEfyv7T/BDrcXkFAQ+TslVnGspjcavFXJf2Q4NPfkp7J8nTYcUSh2 6oZ02zqd2+VjvbJT3E/n2e8SkvdaD1sPkyFut3VtNuG9Zwr07I3pqnpKWRpd/GHdlx3OBJn0xAHo XI79Q1zcXYmJEyMN+2R2VZ5qUI6K7iIuHLbfLWihm27JHERyjZbRBJyET1VpPofgM9pqKkJMj+Sz Bs6ln3vwT1sJ22tZQcPr3hCkEmIEZe44BBMqmrm2M+E5BK9Go5BsQH15a2Rz8USPOZ1wueou+62L +E6s2CyT1pFN5l7KyboknEfGb3nsmICaKgMScwuB5nG+rX+R9Rkz64UYdAcXD1WI3CgMXufnmVVq 37CpqQLA/RaFTU1I/rSH4iHprfdW2bDZocwmT8U5FoXtMzzpK0jCAWhabPBx4nozRqzCXhECelmT KbOEQ5mF7c7yNzQFuRLKJujeTYiO2Q9n2exki8ajaOO1l3e2DegJOInDkluwbDoypq4djLAlfrNs 9dqYR4Ydy3sJ3CFoZH5Amlw+YmBlzxfq/c+ddcAHc3zQJ3QVYwPWGfUpdChaRSSURXFQOeMd825n Pc1PUA/Z5XNbvkkmllMs0J+9kyRo4IBUJFGfuL3wZ+iLGimPKdUdLXo/u7Mg6w6lQMNw+Srr4FnP mZel2Re8xtuUqfghaQcQe+9D46TmeGacvktMgHKY+65kycAqR48i894PrP0C54a4u9misUt4yfwh 9kBETLq0sdLdQ2FNKDq84XYMWhdyFFkBp8Q2DO/AcOED+BMq6vzUSlGBnJP+RcqcWVmOuaD0d08q 8qIPRJnxNHTMW1ujKgbdgcRpUZGDVNw3FkUQTyA0nIs2m2+IiHSP3pBL+XQtHwOTXeypcn5pXG1w h0AeL7WBLrNdX44X5eTuPBgzLBRY1Yz/YOn3xJdSF+BaUwB0dyjiZr0cf3hioTXsjosiZiYV/tGk 9XXiYIW8VYC8xmIpMwGeGM9ibQ8M11OacT2qG9W7lCfWn5qYz7raR84YmO6DaJkbhgE/lcueNG3i d2KIVg77sqWPnwJe1LZGwGHSo+C/vNNyJgK3fJQ0yx/q+mw/oU1vTovMUX684cdzXW2OrEpohXE2 Hor4CoNYoRC2DKvVDQWLQAUcxiywRNMnoZRRk2GvrGzdMClLVS6MBRJTusDthDekvS/3QRQ9igly SirNG6oBAXtxZFhqGy2LVEIIjCt9CTJx7NoGciE1moSMcrWLtHPDeWpQnoLttWLpB3ntkzDmOaqd PM1nwwbU1R26vtYd9xhmrdoF22z2CnSSAM1J9BZHDdsGF1DjOK6U4EuMBcq9sj22nmXk++0lyaeE Q1lCOLbwYgxqa+HHmvH4xOkwV6xxWoUft+gyXQ+0q8oemvDZPdvvKyHItIUvQ3tcZaRlxNuluwRc 7YVhZQPmWs/uDSV7yYuMF78la2KTQIeknHlHTkAPGBNSyMiLZTrdhJAtIzan7sFupZ29Cmt+/st6 X83O7cITOBGJWjYbo4Cl8LITb/qmhO71X4INDKugwyt917YogWNeESjVTAGTOKeoquEjYYqtPxax Fob8eVOXRMHkot+TetgNAL4+GnhTUS8qsHZMCMw1OecMRWVVkizpLHy5G5p28lbVEEwjttJMEq+p 2aodLzlRRqO34goWlmZewSr+JBadGPmyueQZsKjnrez5QRRwrwhLhuD6iJdEgqBjbko8ohBJFfKI +rXa/JbkQr9Mf8eiD+2016cseUfyE2ELojseN2KcxtWOzbN8o8NQkYXUC8lCJYzFg0yb2CkWE8D6 1nKRItMVLYsPbCMW8cdEtvlL6j2LDPm37z4jXwQLcU9LmHQ2nDzH6v74I3t4JZHIgTkQJej9wuSA GlgYAeKxW2aammzpqkSb+qOtoUnv/uMUQVLTY0gO51wc5QQpEuQH3oIpbGyDwVr4W2w0MCAFNtW7 92GJ43AJO/cM0aZzxrFm+1KoSo1wcTc3lXd70slbTsyoCKaTZw+2Io0QLQcAlGiqtLE95UokELlj sDipPTbuxHal3oc4zEg8tNc+3YGaHPwUyPfDO47Mt3mo6UeT7fQFT/o5LtUOC71UvOVNGou8/BeP CsHQMiypOkCBzXecOo13QDkeGyIBlNrppDHu5jG4GSaZZ+HyRUMMY5w1t9zMYM+DhpBlPs8jPHsZ 8fyEU41cjGV0zjPRHU74X32PUvb/yJj81Ff0FLL9zzPX+Hkt6hDUX7At5wnyrvQNwVWdOskTlO4c kdbsyG99zIs8YUJGKq+xbcbN3ntIolTyGpuWOiTr2Sc2b0bQ8ur9Xw0SC9+sYaMSkPy7fw2iTbad qSPq04rdEGU9tg3PUeLGdzJy3CBDwnMmmCVtPuDLq6e340NEpsGqsc342TuCKTkcQgYLxmh2olWd NVYuFP9FyowZYoaPukavGpqvKOmPZKFf9AzSsAZkvdQEm34zaNwlzqfo395eH6ck/PTJVFDB2W8K SpQJW/fY1QmcgUtaQz6B8lssPrE28FLLlVDZZAR6+ajzg7nW89kXP+RDU03dxPDx3NaHwuq/T+G8 Qfq2YZdhxghz42NFHStzZ9ovbOo26LPOfc8BWyk5jzRYgM2K0nLKIr1oESbRUBt76sXq693AqZk+ WjzqUmRn4GmdqobUPrIgIhFgUqILXrKFhIddDXNXBsSkx7KifbXwt6Id8Yr6tK9MK+EFbtRqcJ0l 5Jubbq0981CQiqth1RcnODAZGwnf2nbTcn7NuB3xDmLsaaJ423brkD3T0ygoCweZPis4rsX6sHxA URn23lMEor1xCvYIrMaWsj78PsOej9HhlMa8hPX4B4qd1q9yZlA4N/l4pHlS/P489Cqm3FGR0Xg9 WCWE8LBy1dbp3Rw3D7xZtpYrccKaAo1rLUunMhQzMgaVk/5KzWj/zytz32VZZba2WmxkUYW5c+ZD mXFJyjYCnIRN2bQ6+WIeC++ka9BwV4BAWCsrN/07tNrJN4NW0GaAF8LXQEWhWickspC0gBP/ObKQ 7AtaWuGwQthxPQVgKQw8DZwB9YFCvTHesxuso+zPEb91u0setp6aASMGs3Q85Mo3PXpQF0g4HiAn 4GpEeYBkcD251oi3uXNZjkUxaGB7lte2hnrRj1NQE2lZb11pHsRcHXK9iGLmvD9NMZSWb+wzNdII Qhs9qBkJ/2c7Q/vVNKeVtz8siFsq5mppvuEAgvNAqqFQiIEPjBGWydFAg7MrfWouQygVw4mg+daM 4h+Gzb5iMqz/VsRMzcjEpyl/bDQ0OHFqCPDKV/e7414SEAkLR+/2k1v9YaldwBa883Ivh/6S6TLV 3f8yKEJi+6PJNObX3aDk0CoWvcVRhn/yVaxKQYwyQKz0AYfhtHB9PikTW5u54dTHIdig+MlbaWja 4sILAVlTvO1PRovWqpFD61amhd0/LVr7Wm6F3y0velQ6NXCp8qC7uSUwgihdXLqgO61OYGeuvC+L 5PltvKLjYHHyMaqZV0X6P8q5ZKVlmw7NgCcZ2VVuODRKzqvbipTK9qO3fzWsVzzWy1BTGjH9kVqv l5Vr8/TWu63y7UEgTlleH33vlozHycBknac8pWju+qeLyNFjMM1HiR7V7RUeVbbF7QONyrA9w8TV mVmQvHdp4h5q4s3WN3jOzw1oFCRTGCDBLMNaIqDSdG+EJtpH/Kc41K7ONlk2eJ2S0bRKUJq67vbT aHUmFT3C9V8Q+YzClWQorRoGFsFbO8Kwq3PfAz9VjKq1OP7LAWwO2jJxzG1TDjIaxlvuoU+00Csl erL3aLN3bHIUTE3OEHzzei4FXGMKdg+iKoL5xfHxWBuO54il9E9iwVSUTLx++0JO0rMUn9Q02GfZ qq5WyoRHsyPS71YJRp5jB99uApx+LHu3zN5Ub2+mAXPwFoHN9uasV5OxU21cvetOk62r87abeXku 4tYzhGfoQ1ZJ9xTGHhEpLpXrxl8ilFAkrR15xXUcUWKimyOFBogIdhfdEGYsLHEvDa1Df5Pgsh6j 2MiPAh/ksFlBnhfRAEgJB8bLZe6I3gjdwnqemOb1EW55oXX8cgKVMNGLCjvTo71wTGW7CX2KvCAP CO8FZXjSKsPnHqWMTtLfpXRJ+LoeZaphojGEXFco8MIvYinVxnazduNRAe2rtqk6/1nGsDX0iXRa DRifWxTR7/jUSbvOP69xTCh2SPsR5CBl3Quoo6p1i7PUvDH/xwkId5faCQZOyDc0P1LDSPnx01JA K68fIh9dnd5pGgMNmjkKYj+qhM3QtDvab3mobTaXzJ18IQTcWHQ42eZDlwN9EJwtwEPfqq0CSI4j IZkBT3pGqLY8lpJfc10hZpOlgBi5EI7UhheT35MD5Gy939WpFKKA4eniTBIH6cKrxJm9HJTr+s6q MduQ87bbigfshSQF3PRRtzJnfVBQDcpCAP9ZfvcnFsXuiudJDWQH08DgInSdAg+WerGxlp/O1ITH u4yKPSmA5Rj4s3Rnz7CeVqIH/OY6ZcXDFgQaNBUY/k0wf8Xr8AptHuZGPxDeW68eFQ+0z5Wj6R+J JJ5IQUETtwNZdIkb1r7+uHTq+wOZ1hjc/y/Dd8jqAJu1bAqr8TygyIV4VTkP/tIsYJsydjcFua5p nyU/3Q1IvqH81qJfvouPAET93C0rx6F5jP9MFMn40OGho8R8W+60cQhJ8z4uffGgKsYjrxoHDJMj 5amrv0aGkuEq93qYdmGcj94hNn+7krcJLKPaWWY+kXzjSJyxwM4PXR+HoLox1NiulYUGkRBexKyz GN9epzrSd0h/m3kuqK9l9MxbO5D6fz1AOBHxUHqbP8o7c5rRcE7Rvv2zDS6w04EAky+olzFFOyXb U9tvmL4hGBEPENEeSBHfBNSUymFR91SWjgHv/UqKQFnM8fjoQTeGJ/DXz7oFyStPzUHGndXnpwNe Te+XG1enOynBakCvvjsP4MULxaR9OnOL4UHIEQb4Vgx5H7QFKOsUm26G3Eewb59l/uXBXNEUL7qO 50uA/iW6Q9SJYOLTrFVXVFWVdGIiwNnUDKfKGYtbshfDxymDuo8U5VIcCD8dyXU1bJvM/W7eQNra MH2GOWfHfL6Bv7b85JBfEvBz6/L7EfC2WLfsNqGBz/q3AudE0dgJr/DKWW7NeWp9bFY4ez+gQbVV vhvvzD7pJqvj938lB4Ljqv1G0JKabvoBfEZZ0ZTwpdVf3pJDibZmDOmR/gfw7861N3893ONT7s2N yw5pbRVngL80v/s/opMYFocYuwvzUnPiXZctQ1AYaDsWxs3EKiRd4/T+cmWsmBcbkHFOZnWDgltA UK1JsCL8LEeL6rXdJ90ooL3qDtLvh/ffNYKzv0Oii3ILK6pqHW4LYfhvDxTx6ERct5Ty+AueApiZ Zz1RM1klZBMRkfioFOCRVg2gLEWHkq0DXwAGl27hFUW/4shqEppFb+Xo/W5YxncetWUlTyoiP/Tu t2QNJt7YV5yQq5d5iGJbXk6obzeH4kEW35wS8I0tER3c4OhIm6rViTihByLN6G2oVCwJk4AZjQ3e 27dn5mGNiXGc7EliJ8e+Cu10oMoI9/5nvF56+XO0LZIK92babjv5+H1PYLQsqT1hJF6tv4PDVl2s 1LQ6zTyLnR7zlGSBwMQD5VhL1mnecRjPzKDINggjzYeyXyQOBSbxc7MWEivOK2yGhSdTNPe7Xtzl krfsGQYF8+64+pfy8FEqFf3TDQJGriS1Ryj6HdmwM0UNDHnG6BhV8moq91Jq9EUXJinDEa4PEEON xY3+5EwP18ahFuLuWwY6f18ZUPtSL8wxCTy9A/gYgi3Ph65closua0SseGzZs7GHN1VJA24irli9 11+SjenMIbGVFaUchmeme5JasEfRnugXCcrA6PB98mmcAte28bh4V5B1bCXnoG9Uc8MG7NvF/yxs c8g/JnxVztoYA1K9hlq/J3S1N6B3DSY+V/PvCN7mdQqKfkEoe4SvU7+RLZZXYRhZGa5IldwEtirZ 9mG+UlS3puQJml/CBIDStwZCyzmIqib4APjRUudsH2DfEZuV9r6kdpaCdeD+9M1bhUria/TGi/sJ VwQpMpr6Yk7KLqvDLFnxhMMe97OvdNnm2jBzI42yiPQNUmmwFezk17lFF/S4LHmEERm+UcJzH1oW mEbkYR5tZXi9nEPf/leZDHtHxQB4f+mRZbb7Nzgp3Z8tmCx5OPtnHu/V6FwdxADM6kVKw1n5sk3P NHWM0mzVW1Kq6wW/np9Rcwwo/lfBL2hpLE3fXaDbEIVOUzGvEcggM3GlJ0Do7dppxcA1tH4GQJOo qaN1v2LcN00vbz1dFHWo/kslK2M2Pu+nDp91NAOURMzqgVgcSa2oqOFqoyGY1d5ga0K1jUl3M0cx ZGn4qsPevQQJDj0tPLhQJtzI0bpvOaltBo9RBYDrG2T8KutvISTU7YLZqHH4so65G1Yxo3BrAJaf aP/9i6fqIfJD/OOgTHc6F4hRybOH9/7AmH0bj/9EfvrFGtQYkWUiE6fCIycGmHpZGzbVpJJivsMB 3hrmd90YkIGzAWvGW7bc5WG9fHWHjTjTZSFSZTHyBsjaJCtByxRhswIWvC27sxT/4CK5kbGvRwxT KMemITUk7T+zDZhOdigkfb1YSG7D+KRUEeycRj5IrLgMsPaBO7uqaXhmnXwl3oaSCkUtvX6CPPAs 5FeIs496W77vmidVqQKJ9e9xxyOWhzqCm6yPmbK3WggHGRESd+r2BKN5sj1PJSOVB5aetxAOTjgo fng1C8bfAYGRXPcCFZ/XMrJzEZ/sSV786JQ8IRgUA4ZFuX7URRcOLw1w8TtTWb+ZB3cNDWSjzDaD uWt1JWZbnNe+TnfnAURIqyPwcdt/Nrd6zNj4xJ3nQXCcoTdSrna8mteMvQ2Ce3cYEqEWFDww6OjV vjQ+c5mr6Bjeznb7IjQB4eQfEfAKlahQgvEOJta0QyYz0JjRObzFSzjy+WYXmAJ1Db5y40vMzULU Nhq5e5kgY9SCN8hDPa2+MKOILF6Fty3bEcvvXbC+SYkOwuQfz7kyEupDEUvty6ZpKcskZA9nNHXZ aICt8Lg9fV/dgoV8pux2Up1eBXTS2Gk+PQvG2DF7mPkNqRm1+kc9ncrng29/V9Cy6iEQpwljpWwj UnXL+TzDqtJ3J3gTIhujxg+qptFoFLU8weB6WbO4b6OH/Ncl6AHuKnUpKcMJwzQhTrnpm/Ai1j3T spgVRZZcclYOrbLmp5NZBas0lFR339JsgrNn1zgV1db08JaNR1Phy2l8jB5YXdL7DHL952eocJwM /SGyP8sqvXnVAeG4b8TnztGcE7nHtmhoRxpgc+RQyBfBCdtNHd/cgiSa20cNi9MX1MsDnrAgWT8/ yfTTwR+Ly58KWmHQ8gtBY4s604LJ49JV0n44s/sFx339LdrLz5Y7pC6XnGijcOk739ovoPHbc9F6 VYh8VL1nMxMz5jfYrFK04w9vy2OpjqZGNJ/1Mtum6l8Ou+YBiNkTaz4tvUXOia09E3zj3p7nkHnM aWdNjncFi2jzUc2ZrF5Lrn49lhWvgWmFZniZBXbw5RZNTgbeii5eWp+jQRVU2B+bcvz+9ONrV+Qk WhrRpKyLPt/aBKK7xLfhNZtAPdIldOqBD7gFy0AqQm77qIjjhV1mNLWdGN3ljFnlQPzK6c+stOsn LX3RPvywnS/fY5HXOViAD64KZy7OVaNalHE/lnWUKZMQHY9y5z2XJ+AWjKO1hXm9JWXsz87V7zXU yQZ0uGcXXe7UtA9/bXkzbUoUWsfEhMxpi6nnQ+2+yhWDljUZGSDobLF5zDcymJqBp+vC8hB1a00U WQ+qS00vsRH+rdNR3gL2XABkycxgeR79BimZUyXBiLsP2TVlwtGkVmj9ij8NBzdS+afb3HhtITh3 L6O0wvnkv/ouBw8lJMdhAfxzfETNADO+UiowVEFUOLLcwJIr+Id0Dx83tOx5LBhweVl5y0fINC0O OeuZRDVoUbc0yjbyWwMSG5sS94cHmQTeOK5CNc7xc4iBrMP6sOkL+u1T32/OOmnZWxuK+hss4QW+ +tB5n3/bh4jIpAkV3K2XIW/yuHZHKIczT6xoa/GYmRHYuH8hq7bjb4mMCPZxL4qe4sqQFRCk1Drx Yo2nd7KSRsZ+kEGYyuyf9EgfkdBNIS5sfRNXRam+OawRlo5LEruCR4ekhE+cbpqDRznGdOCiyO15 3Xfw4Mh/4JHLS5bht2nErUutE+VCmisyeXD45auUTmzI9PDnSZbcPyVStGuR983LxifdGEGykRrQ ta8RAfTi7K9Aa7laXZqLoiR8/7LfaN7oMJrZRY0kWJ583rTDpev7sKfA1G6sttjquKjiurzkSbCL Wm9qYG1uAZhvmFQT2SxumCGebSgxaF9PhqQ/E2sd8nE9WMFbpKGqGzfn8iYL+VaIdFyacwrZghLY qnnQPP2HIAvCcwxWqF/i6qhohNhIBvQJRpZUkoGZ9l16uP4Pwsv6h5Y3wY/DOvbFBPvFOdylokuH NESlAh6/ODh8qTGdO/rUs083oTmDg6Prc6EoAtWmVGEEliU4hqgvKkuIpqbbekIlqgVnkomNivH8 3DnLSM7Nd6HUS5ebea1RK25AnhfXoEGB2PnMQwrO2tXXQTTmIEQVzH/NgRuWM8h5ROtM6hOgXe/M V2maSLNXyv/CBBum9XoIr4WnuQ3K3quozFwkaOGBQIEBOcyepQVgRALObe+uA/nKnuLf6ToRQY8O akSH8g2BckNKwHrtJhUSQsLUA8upIjrZliVUipTSFAlZQ+Tb/vxDPd0cIRNDntIyyWmtX1qj2Kez ABEstDeP8IFgPjWw6lBzAfw/THFxZfNL4Cf/hg+/SPI31bPYi/2yEe0dyF+fRysIClBqr3S6npPq zD3aQpvYmsO780DzotO6Lt70/cF9ZX6PCxDFZ7kOqq9P0LiDpUN1ij1ehkNxrRx0rD5fnJzbB91F LSamoXlBWHfZkQgYGIH//Vy1COsHxbHNyELwjC1SbTMuyIqpyd0EYOTT8Ue2zifhifBxwT/zPF3Y jGo/I0ue1KkwaqUDCFnx3W+MnqEVe/GgrNq8IjPln0KQjy9b1zyFJRJ9+4cFYfAQVjs3zJDAJqAX dRW8BoQrzmlgV16RGelYZJomienHmzb3fj3wKvjtpDVe1EHaq8jpaMb1Mn4RBjMw4d1v6z+VB5lc Izy8+bcbCr8SBJHRm8yqdzexO0+yovQYK4rhDhnmGYIGhHozbK3MnQz4vyGc5znur+ISQGHBBlge 13giQty8E+/l6V4cF0O5PUdxxVzosME006kr4rEzTXXSGfZjAEPDOPGZKNpYC3ugdJEQBCylFyW/ bbxNlUVCGrxVMd9cq4SAKwY972yzaTzZWcSLCVtrtuVwUgmtelx2ylmzyR5/uUHf4pHeUTZpygtF 9HtFND/dFmStGJcpxlBn+6ysRTyOX9zW7nnvdOX1C2V7vfJ8jlRT5Dn69mMtF8G8dSbyaOa3Kn15 Y+WcRn83OpmYzeZiMHOt9OzJe7/TWhAQtxG/s91apmK5sQmCWxzZ0FtBuEfGOSM1UDJJBQ8JdH/a dXavAxxU1NAo43E/GlCLRmlG+GpSe7RaliYeNYA27Nz3IFf9Bw3P3/Qrkn2k5DpHLa66GBelmjb3 yGrVCvA+OR4Dx2dNXXxS1JPnaIxNtZ1xVaJjBfe1Q6HAZ0DsDjz/DmwBRgxp/9Vsj8qPJO9VzuB3 2xke3l910Jg3ZKi0irDv318bchFtj4EDFn+wY5DT6rRUzyz9fmvV/+t+RYS8eq81+0E40WtpOSLJ UB3Ti7idG/qUWBKrxJKg2Kqk4SV2D3Amb4SfHlQa6mHt0pp3gnvKuvnYo37q1R9eanRBDrqMBTP6 vu4kxY4pPAxFhGitYB8jiG1sILaAzr3HPmjCI/uC535E8LWE8h4QszZa5jOTb7g1vW9RaiqOoxuY SNqhnQjueIRVCB4kfyI1Rv6rNBxb5wjhJVof59Es17gQS180P6kFnWyMps1JnC/W2NpMNMnnwz5R 8A3qb705EgoRFr60LkDu9xCENLfmKcczaJTWdsqjKj2j51ymFX354ZcVQxeaW3T3ACvBHIYxi9nd NYEbqPQ9SZUYlZKnMv8m9+rSEfQR/dAHaD3NenO95XUnOrCrMv7WwqxdkVFB16Wz2i6OE+fbpan+ zzlBn018TDrsbQidHV5jHXHAernD3/MCuKdAZ3MzsKpyJrOCdcaXQ7D/xtIH8KwjO+SusE+O/Y7U 3pM3tR9wpLiG1X7hk4cBJOxam7MHCR+rfcl3ZlHdbrFL+JgXGJeLmOS/1mxCI+Gc/opLttTQ3sir u4QKkj2U5dZwkJMLQ1nNbk0fLdZDMqhaOD8xczJUUnljBtU7WwSWnoTXwDdJd6EPc+DPIl/Yt0Gf qrt2IsKO8daX6lOMiZ/AuxNDZPeQCrCWLEhCNKhLZmoBNx/SNfz9WibLSoo6WY2EbslAxgpUDHZq kDn+OLOimxFvAmRHNy+gb5YdOWGEJQp08gtqnH1rFUIvPY+o+TgjY3Du+zZZzTpqMjDiAbT7h93A 0+MNbUNMcY/ssl9kh/XpKkMf51PKVP9Y/HCZLyXefMEgK6Hm5iL3Rjjb+IIMg/JV68zT2/UhY05U r54q/ANN17pRypsutcmSY8gRp+vj9K5o6cvkfMYk3+6uwwv5jkGM9sPpZPPrDj9lm6/PQeRiOs7W uh34qPJNsmYnyR7cTxHavszqDio/0/4SZYJ8mM4h7D5GfxWxQzC0g90XMyDJxhi24CtBKm5le/cE qnSS5++6vEey2rAfiZzimIZw2FNsjVHIGIkO3jjtFgEGwZtu6BXMCuCOeUxhWHhYBwKP5at/Liv2 AZZ5UpZtn9NSC5EnmX23K8IFaV88eUHcwnWLgQJv19YTaoe3E8nWfZaZtOBePUWxzKUvKbMINIEC thV3oCiYAIwfo+jaC6o+f9s7odzC0kvppj/OVNeLOabpcyvcDmFPFifgy5rmXv+H5GkYDL6CB1aV zOLVjnsDMmwGo6coekhra5LVYvpIZ1Ieu5jC4VL3Tw/Fvn87ay3Pu4PT4rkjgYATM4wyWe1Hg6C/ fFz1+E2zdYuQmMP3x38xMQ4Kk4dYbVu9LdpVnHjxviS898y78/ef3TsfOExRdVo6WflpHYGyY/+n fcJuQek3hGDeRXY2N09M/+Fsy5PW3xM9fWdCEeHJUBhIn6HOnOFfi07yENqmLWSnyUChcAIamQKE SCrulW56BElJTln+Rb7iYxxXoT6CMcBVYReElOExXGl6XCx8sCuK5MsYSk5IuWWbNyVylt0aXj51 y3Xu0+S1i8H6zvrJzqDeKdDZtJzhZVaXVnPjFtXEa0R/pnU7L1kIJzZx7Nyrbfv8ELGDaIH1GcMK e+X1TGh/XZdPVk58XaxdY9le2UEyqHpp/UpsZDZBJGRo6mWOMwOKxENPsto8KOBsRWTe92f44/zu u4cM43RKnkF83eBESoiEHD5JuvgE0dW4F1szXVofQLcOPVaqLaHSHn66zUYFfwdzliV6fTFBMpLw rbanZvVeUwnu9XGgj39Svmr83AF6wen5aQh8yrxIEFSj7z6c5Sz1kDK5a+ookm+DYpLHlqljxp7s 5xWeN0eXNqfRZ2A7ob34PyBZjnJQwpRggGm+vX65P32DTrgkMTdCE2jtEfLlIhzwejPUpvxDrXMp QBy/he6qRyhDCAP8QnXGZeK6qbyW1c5LCZCGbFHYp4WseaQoQKhFKoP6Y9Ne8t9myytCsECIJoyS teItwLqLEvd1YbEbosFTGnDCiCK/CX5eJ9uG8MKbKhWVJH1yoQFf7ZWnDsDkajoT77PE83AaFxlx a9AOGTaz1/K69Z6ZCwBUiqOtLgChwZqjUChazeA315xnNhyL9cdNopmLeRGXjKpuR1wFWsX5Ynvj GSc93MuJ0iq+cEyBv6/XDKREeJ8xHJ/q5utGMkN4K6GDPRvhgr+5Q9NnC64O0y93i8vYSkgDUiAn kJZDlvk+XHrKVcxlC7iOpPx3U5CAt5Z8iJl4K3x7uP/EIsXelfMxWpZPPm1Bidi8wsuPu+OcQrlB ll4XhcLSy6WX3NLPuXE7tFwbVMT4OZYHqTqvRPyq5Vm93uvr8y19cX+XjCtI/QPgk5Gx92xZN1WX SLAVtRiLkDPB7KAe+at1V65+oGKjEb4XLVf0/23ZTAE7OEtBwW4BOiYmjbxyQ+uvltyROyhh0wy0 qkfDAtfJv4//qgz4xhHAg5SwmNEP9Mz6sALahc3WRvUPa1XZC+F2NOGPMCf/KtDAMv+iaJjTrGji CkikFjQJK08goTlSpSI/JnVNlQJBAywf0W/umYA/BFZZ4OTH98O58l299sTC+z8q8PSNivSChNtw z48TjHY63Ys2ETb/PnWpkcZkXHSI3nKfCrDGrc6o5eacbqtNVENILV5QXPmSj4bWf71hzvSaq/iP NcZOZ7apNLH5RECMecz+3gdRLTsNfSDups7jzcPAmP7BvfWdcHmDZ3OXSJ4X1pXumfwSfO+CWNhK Zg4Pee7vhL5c3e8sT8RUKykQgDvjRUHKB32CQ4khdMpHVMLEBKZz1ZyiVx+hnqQDdsD+ldV819Oi nuDxvoOIrzRzdTWnQ6Y++mUUL/c6av/ebg49lIX5g+ZcEAdrrFdsCg9EcnyV0b7OBpSoZj826+Bv 6XJHzi8Qo1CDIQYa+0ZiVsmBxNvoKbbNfn7JxiLPRRjMcfP6+VGmrWTC0BYuFcLBTkG8t4kHyOS+ 6oEWWR3ISyGBrKnS0/6s3ujtXU28HVTLAeHchA5nIqCSv53qojN+drNsPM/fENno2D1KrPhcdDUE uASbhNPM4z/8UejTV4Q4tphd2Io8DhcsMtK0vtSxVsTF+9ObCiZbtstUrcdp0AVyo4UCe4zHCpRn 5XWXFsrLpzctR11pKH9BZZGZhK0OAM30xjHG/WlaXA2ZWL+QAkavkRHcd/fDUNLBvCEcwZ9Lyv2N VjAdPFicuSy9QRjKhjSnKHHQ9AGZQMKxUPTrsqr/noUlBj2Xv4ssDZ93gEeN8phOWXtX1qonjEpE D3RzQTqB6QM7RaqRZKvJ9V1uMFYhXQmuH7pW3yBB57W03HFLdOfI5rIPGVsqE6PWCM0AZ0qZ5qe+ jEVn/8bgUTKYyeDwTxZEVSck2HYotiBlQRCgVDu3IY0tiD84E0bJHCA1phyq3bCX7SZSte0wj1QR UNltGaCqTf734xOj9aSK1F/ERf1qm41fvzbLDozGBjJHIEcdz/I5zsQCJd4wATpiheS8Ap/1sRl9 ThgWOOamD4KuTkyBsbJ8sJaq5sU8SwoPAlgQy2QZIbi7EqORqQrwDv0994O8W1lswO+M8IwLJ3Uz VtLUE93vwxgbRZzkhcADuocPs1dEdQwJnPhU2qf5QYADRJv4RR9rvFSf4zxtiWPQs0v0b4r4trPE kkPA3xq73VWSGb07mVKRJXkX1AJIWDW9Nu/5BDgLOrADb8tEnPvclUVpdGb13bTf5CzIN9nLJI1s Imez7HysOtd1G3Jlr54x8dyZEjFu86cZxuBvm1+LUOgQBIbg6o6tx8OczMCuqixlmMbXSKvWS88j oOne3ltpEAcx022mfkHuSg5fekQxnCtGFRyONXbAJcMWrdOP98MpnDi9x7CWJoJoO8oGo0erRXl8 ANREHkw0BrJzEwBhNQqRF/FgYmKwkOPbJsaEEL5j1I+pPp9R/XGDfv5m7nwklIxCYL7bflwHd9et fcgeIM59iw6Kj/kg0jWCFiPV2YGGm9iv5iS5GrcA0CSpSrjNcvrdoJQDuHeJbFCy2rZ2YKjkCIjV 9AS2lk4Iv+j+halOVHVwDLYnmP9qbts4PxhRVVBx2WDnKS9oRNp8j+tykBqIMMkNxV8xnCguRB3t osMxLc3CGbsD/NEnHTxh+h1NkdB31IPX7f77WEcUlqn8ieOaq3Vr+7n3BcIRUmYUVl6O3LR2hbnW LtbsVLpWn+tGz87cb4Nhga0NaMPQj03mmoWG5ja5mJpIS5PJHg1DJwvMn++39dwS6ZqRH3S9fXVk KSG6U9Fzv926mcOgQ5OFXMXk2WvbZElbbZ6D5Tcs79KQVXUQcYt5d6riqbftRnvHOJzJb2fBPSiW d759nJY8/dMZteyi3dISy2PHAGqORu6/Qc1zRMH3KBKQQD2/0kQ/+AD8tE/YKPRrwCNOiJ0fzlpu 8v7mZcYUYIBtYopcjP78CnwcX5B5TZYfl0Vm0cILTk2Lto+YUnb0khuvyxnStGJR8M7GuY9KO5oa dQnf/+3dyjkOQX66O8PfIAk4LhTV5Wv+zg+p9XbdSYZI43i0SgYcCB2kMk8+AqwuM69ZJxxIY/Hy 60RKxKNkMOOuFYKTmFI5+hPfYhXxA2uciGiL/53PGM2lk/CkM0UPQUk0PXIXBU5SgIHzuoOvu7sh oXRv7+xuwsEtLGebf7gzBd3VBbgjBaNLNgd087iOTZJt4SwIJ+PPsHUZrgzvxksRxka451vb87sF IMt0yoc/fSbcu4ifTL4krW153NO5LPaXt4QSd49S8BF6NR6D2i1eE+lAMEu9JC5yoo7shWNZmhgY snbTCC0jhWN608ETXboNIiuD8bUJvr+DDvNgI9OXT2KZXO3qZ3BcRRc20Xj7t5WnYBjJpncBYI+S pYoPJ4P50yGeSXAHcCtwdk4L7lv7dQgj10u2MuGBptkROcm6hBmxsedtIyA1Omv5afHhuko31vRT tF7vvQ2Cr3uhwWNDPUhcEiVPqmHad3x18YtzfkfWLXBFBh0ag/muXLrifhZwQPn7MxvGwidJYJNK crCU30SvFhn8J9L54sq923WVZLOnEpTHIcD54sRoZR6UjDdjoJKibMR/seUlCw7BhjL/8zUEtu36 Vo/2UT0NOmDlunz4gOCOenp1Yu0VgGcYGks+TQOzgpf7AqYUYVSZkA7rzAR7MgC43pXYNocG5c9h FK22V6oPMJqHLUBIccjpd3AkUgW35r8dXz26pVsMsdbU6gCJjuw5PjXeF2EpIiT7acrdhiVaRg4A S2Rc+PHLVsP3rlQ3WAZ9gSHYoDw8CpBzQWu0/V6KvLZKK1OV/c756JvLY42ZcQdKHBpcoVuZY4dc 2CYMnL/r7UImf5mbUMKH2wggUjU58hDFx0H55j+zTKwnQ/xfvh2FgaYFwsjdgMyK9j500wOBWU6n ve7Sq4nesI8vdZcIwi5n/a97NS/3USdy0tbFSk+IWqwIllZGh8xuJjNAVm++bkb20EewEKeIQR4z b+7oghOSCJk0gSP0PIaRrkuYdgomAaDXBYWS9PHMweJ9ob4RpHwZTeqmNGqVf/V0b/jzbt4Pv6sc I0Fnm03DnFUWYtfOkfQ0BFMmQcDeJmbdbDv2HuaTrWH3WcmnaX0UppknUN1ZyKMWO/0qNhak1UfW NKPR2SBmJYGBRlO3HFgRgCgoAugBp5Uag2ed+NxM1gLDhTahTRAiXYss52o5vi/eCWV4jHNnrriV V0+AyDOJ1uTDjlY/ijwBUy+N8fERi1vyPQQpO99OqPaKm/mw6fUAuq6BOZWbQFWZ9SPPiuoMkYAh yrAZiwpYn5ktQEmzWvSdva35sAwFrkDLeSReVkPkkrfsfp7gzE4NJ36shGCc4A3t/lgxgmjv/cOO 0Wosk4Q6KcaJ399Fo22qdFndswvNXoSjhsibbpsdd9+AF1NgtSh3p4r8CyePwppqTDh9qyyMGjUH vLVjov3fdTtSEWr8heAR8yW1vHNig9orvYUPa3j6Ef8fuk7I9V7GjDd3s0qhj2h1kA6j+dlGcpNa FJKjuqmFDWLP50PYEYhAqT9fgDccIIJPpSK4TSZkeddc9Cyie59XbAp4TZD0JXrTIdPa5bMfOu3w 8qzVndQI6X7OMsyNZA0+lywRCK2GZrjR2fT8YDlA16xQjrtbDoESvQjYsd1kcWr+pqaCI3UJtC9q QgEj+JGE5OIEgqUkAYZ5IKRY3yVOOMDqY4li8/v50/s9UaQ9Btsd+MPxFLUZTm/ulHqwTFxb1blh hKlTPju2msNVPGFYrLGE6rSBDOCVeGwSDent/1AgRK1ujavYsINLAULfXpQHoWs1/duet70tX0zR v3/k89O188+Wg8LpekJFTJ9mVTRK2hDYt0te/KHxxPMZs75lk+vLP54Fw9ixUh9WCgXQIUMpQvSP oXvGsmL3G/BlcXxnqQkUa8JyX0zGCucJWRCfOHl0Z9cqu98A4rQvA3scHN2MhnngWoh/TBmEtf+M HSJUh8lhEGnz4cBMfaswXlTX7PoqFS4reFz+R711o/Ixg9PPCXLoPTSDFFFYRS1nfhUcPiyE+oiw AwNFoqkgzt03K4McmMeMnvplsB7jKyxSZvH5A5fIB3TySM3fKUsHEPWZGc8McukDPvdg/uW87r+w a54hFB9l4h39mZyKR0JTRtBSwi0bOHHpicZ7yGSdlBoUhWl8GHI7ubzKhRipJCTN8ewMQcBiRY0V X4RJIOp+G3NN0Aprt/BTCYGb7TAbap1v6xnw0dQ/+6HogUcyPpSXZoNOt40tn3KK6iar7VTFLI3x LXmmHEFx0Vt2ccCFo0rW3FA9LgFD77zBxFH1J3/VXU9Uea9kQ069e1168hB+cfHgAx0oVMIbBmjP bEf6HJ+ioCZP0hvbkLog3Z7JWkZv8aTn6QqcO7G14tHNYexY1d3KxaNMtUA/rp1Q6R7dpt8uGDXn RWx6uyXRHbujl0rmXB5drCfDnCQjBcOwbaAUs1eCBTmqElCN9wuBC1cQxZvuqxvK/yHHtb50IL55 SXO55VP3XAtSw/xT4bS89Lgz7bDDdLG0jml8e6CMlpfFa5TGfMMwJvwPOH76Vdtotin4iMhnaix6 qX83zhzkSoj4yOByhdp8fI2KO53NKW2eKen1tDzSOqdoWAGvyFNgoOqeiyPKR9+jx/GX0APjirXN fKUmisw2ahDIw4GDsVDE68PjQ9vmCopmhcGfe3rxvcJbeM9vh/dSZ7s1l52P3n8hmixMSSe+y+QH TLMsqYs55Mr5PO8PNNzSCyJ6fcSKvfHYFEQq7oD2xiM6QslyXpW8RRiSt1lqMQTFTyDYyueS+Dsm NUuXFKbsW6GVHPEo5mBX8e6//eDO2LlbRtBDu/xTjhrCSLYWa7Pocpb/q+gkOkgFzBtZn/LAijMz 1diWz2DygxBTCWBZaXjNfmHP6ikKtwopC0bKh87TPL3smjlR/dHhi6g/6zB2mbiI5RAeNqoeTSr1 ncqDpu1SbhERaUktNNYeyL3byd9EK+I4u3AV/RQcpGar5rAjcFB3KyRx1ucCYjBPSOC5ZnTh2bwa gXcIHicjj5f/sIPEqxbdOp4dvlRe1FwiXuL1P66t/vwBdlCtzow63n1bdqbWTK5mGKdbN1tvxg4q QZpByijlP2DpMcBtXXsBFlg4RfHsChtjJKDJ52lhfRXBN7gs6ASpv4bMPnvtU8JZIc2LWmE3TWL8 utl2YlqmjLdhfyCghFevW5LPxA0u44a2WInZkkaE9rRNMAcjiFZ0GzN/pqoUTkPxF394nmI9wwoj R4VPmLIzSkgr1YOQxgkV33E3wgRs03BRCSKgXIrioLYb/rTOYrXjTh2jpjXITI9Za8kTDMDpPkKN GS70XLzTeEfvVtSXN0GYHEFcwaoyGzZDJKJO88/ECS0wKq2FWmCFjmuQBlJ720ZYqt4RsQRN3uUS rCxWDzFZgf2nlqDtd8PruK4IljJcWDoYkgkJg3GNDuHbPwphb8YMaxTOmLpcUUy4DSgCjg/LApHr pLQjq/RBMYd5mNqIJN6eRVY6b/PLV0/Ah/7jNmuvpwCjJE+OVLTOSwGOaA5QAkYLQ1qQdp8+5yKT 8ejgCM96WwBuG2r3gxIkcL38pCpjCxTOyE1MckLyvcOrHIoxWtOIy6XgjYoyP7knUBrv34b8iqr2 OtAssCE0D2iy5GfuDAyyI/cXFqlt0h5IBVbZyzQ9xjhLBauvTom5l8F9NxS1cYARAMiilqrY2r5b zD+ipfylF4L5fG3VyWReHGz4M7CQtQryfPDKl2vrlTQgqRKJXvtnINMdmTbMXY6Ipi9qOX+gDx97 aobvlCtHQbLK2EVUVp0cHTwySJX+2GABVzOEMRNWwNqxiQUszRdqmNJSb82iPnp7s8aBs6G+sk6p 9Hgv3SB9m/PHV0fFZ9knsXdB1uHDY4g6WmTPmtm9aNPhYkF6pVCx6L+Mvobc6rC1UHXtBiAHLkTy pGw6nDAbkSm+j2JC1bXFT4ZhRZS87OufCj9jO7t1UbV9nhewP28FDbYkU5vMSVQMPh9gB5ZibE94 fqgAlib/J7dsoXgkJrIO0RK1Rpbl3ODZP6wB1fmppcTacf1RGfPzUp2UGFmi6WPdKl4IkYURvm4j DPHxSiIvWMKCrj79NV1D8zL5B45XkAWPs68hjCn4rnC7JYVu3eehCY2lYGYtRVNeQa/lK+Yum/CH n29JwYUPmKYh3aTDGzFn5qyKjuqK/ul4IFEArazTXnfm3RIiaIXKQ6YtY/w6fkpf6SQCKt1ue22f DTEf4jem/exkC20gatdXG2a1GAOs6DgKbR2aa316/RgmgwDl2MKw11FG7kaE03Z4Gfho/YmI4SSb iIaYoGHpNcOuCprWFfOl3NJ1XN3bTOD8valeLNU9EYjqD8XB2E85dhBbBx3r3Puq+ecOHZw5A9DZ xVR6PNOmIdLdPlB2xNL15qKXDjvDkwwgo2EbGVGsgz5pU6SsRwOkWJNPa0LDATEOsxC+f+oSyINz ReSlbdFCMlVySWMi5C5omo9rJpdMOHRJNQ0fm4ANhNj8fATXySILcMMu0VaUJG8XjnqjMBsPE1Bs hahqJ7TVzoOoDypn4xMc++VULjlTR4FY8cRYJgch7+MH2kcYvaPfHa12wpmD/p5HQGefCBUdF9Nu 9wPYIYqVBNl9rHTKB0alVaSSIX00grXxorqxdLTmS91A8ydrcGOLVZRECriMDDqgzHiVxUWtPXfH 897iOxD6ngzLUkiLoH0C7LndWiFpqvmQ7yesbJx2bpZzmi95UzMx50+3fuGE5F/NRWn+74auV+3o okkUoqMDM1YMOkfMtPRQpUR06KOzVZrtoKFnAS060w7ZhENpjAJtozfSMXswj0JZ2LvAFpIcs66F YaTTLZt3tCnzu1CnMHqjbpaQIbeCTFig2OI6OAMazxH1viOKUXyNx3aabbxUI32p0ldz3TM1S/85 93te5NenCkZ3UuZMkjVoiJZ0wxh6QnDaah0JXkEexD3DTGUUBuN6IRcFA23+2aoXf8Z64dj2Eo35 k1yoTvvLqE66fp7e2YMCdG1hfF+2ZamzGvLgtQzDi+sjzqHPIMsLD5YTI2aKRGqziyaxhSpTxk9e JhYHnXmb6nMxFyXMjN2mTde6LUV7g/lU/4kchh2JBTqLZQhhHsh4i9uNjkLBrirrkOSSjJEzANt7 LWJPQEvnTl68DI7xw+ZwaPL9e/+Yzwsq+JwojkEgCtAi0+JKIwHD5iww/BJWh4Mv4hKfnwbJwbF7 NrynT+U+Y6a3s77SghVgZZ/uCXL029eo9QmbFuFKL6MX5CLcAqaTre20cLtaCz1DYWB+xmB3Hr15 xvjFtmzBQCe2VCP2E1f0Nd5YltkpYh9aXCqfb8fyJgbFGYVSrumhcRkEb3Kk/PPnj4va2wfaO0FB MIFNvZIVOHscrd2AJ5q+ZAKWZGuI5rqIyVtvxoYavf/XPLu+t+DdtnDloiPHb6vCEpbxk/QcE3JQ PrRN78PtZFOaZl0boQsI7URkCyevxSOgd+9WVYd04wqM+XBhouBFaarwEgDbkpaBI1FLXhBHeLxL VEdi45PtiTyaV+aPMF8BT3QIpv6e9Z+yjV/5BPKG4U/WqIKRTqmXoiAffp3ZOppfAceU9eIVaKT9 oZMTh4YoUuBgnm9pXOsbu/U59RwO58ttUvzBedb+opBQAhHvnrqbofl0srA/idDVOthGYWtAybEx RbC4K206dASpNRCw1XcVobZuPPaFxaWQI1bEeTgIyNrbLgrfryhXLXANlnRcUV4ZQiZEot+vnOFR y3P6JKthvo/e9gisZ9/Uo7fqXUAXbcr8SFx9FB7L8tspOTqTSRtnUz+1vsXvWHLb7gnteRXV//SM H4VqPCE2NdZ2CYEnyqTiAj/Iegq/UVPSeDgbZxqraLS/lL0V7SHwQeLF39xXsJTJVPyVuGkO/Tli OUU1Nou4f8W7b0KQITeVR9F6Ik5pWAKRgINpNNKMldI1g1hQJgwz+67sb8fncQ2f3KoLbH0C7gSW i3dL32kNc0JNpilyeG4+mNHTrPczFdEyNATfnD30b3qRCCtfF0+bor8p5UE+005bYPZFJwwTHloP 7wadYxjTB51Ls2H+82QYHyawv+Ay9Anbl7Lz2ePXad3NO0YoUY0t4UrnU1lET5oPNNwY3QWggrjr gq+KcM1D+yA1H7Z4SRwZca+tZywA09H+d+j61MQ6bLRvo0hTXk4h56NLq+Tdvehhm4ct/KzbLLCH aH3J/nsVKC4MAwIEKjNfTSp41clVvx9NBu8RgG4MxocDqZgjjNi5RL4ipCFozwNXi7Wjr07/OXDM uZjDeAgNV4b6XwwJFHie4YikjGYr43OGeBfVTb4Bm+GK+6EbEDIt88o1SqjO35BHvVo9PujX3SsI gPwMBNMpDRfuhLPuxURcEzivZCfPqQMl5cN6cAML2O9Vqv2UHBBPoX89LAsvSec0PIH/XcNYnNS6 X+FhBVWSkC9YGupIKjbgNKVDDJ6TcRM9VM6Ye0vOmP6QgdYeJjNc6CElfXGVQc9fvBG9F+cRgtbj mFuraoRahfsGvyu5vF49BRIAVZEEzBRIhp8FM5iFYhpcU/QL/ZPhGb/AZ7dm4niVAVaZXUHCOKjs 6Gv8J7VCcfZfkA4ui1LGRnlFLTupIBi6D0C9C2GqDfEfti40OR1GEqWMT3U2F3Y+ManbUORscWgm OhdRB+1r/8yVvx3nTFZ3HtB991TBd9AWUXFhnAvL8cNko79fu0ZxuMuaiCbMHtKP9ZJfm735TA1o 9kgTROCQrPtJnZWAwjWTWbW70Ah/XyRaULcHLaKAbtTvEheBAgOExP4R5jPT/CJjq2Ri1cZLzSjt aI2xSCJpOL1wc/QpvTj5MIWLlbltUp/oYHQD0iULC7xUj6OjOWlZJTXXYEKNCX/9tuEYzIYYD5FW uLUAWV6UrbKsDfoB/NoCJ60oLMJsjF9HKRcOQbI+N9FvwKmv34VESIVNX5lolV9zjnaBrWaIZWo7 FbhYXmBlDp1/Fj2A1TDPe4R4KQaBznNMQSqMVssuVYUkazoUB07o/2OMNR5sUgU7+YfPZZ8iplk4 IDNbZZkdMZoatXJBf469QDQnBArv08ZFBMxDXRH51o2hHbKdqRfkIdWi89t1Uf6HZ0e9SWlLgq7J jgd0xeklWyWodOR4Uc2r9O+fV4PqiQs/CARhS4d2ww8huMvOVbTupcCV3RQsb2SVbY/Pdjw9iMAo ckj2rfD/1RkR1sQ8eYovQ+XP1hfrsiO1CxWSL4XycM3YUO1ts1boJ0gNkiGUccpGDWJxlgGoJajw VlTdDBCiFZhjTIKEEP4bWx9odFPdtKT9DZdQQZgyR9O1K6Lw3IwfU6qUlK7tmVCtbCegSTA2WSlg amTdG5NTdjToOkDPVPRFauDdkmBBUK4cQE0Cjhlud1J3FZNrk1zygWPcIwfd+BEtLJH8WxvUc74c xHd0dO1tjnHEvevt4R3RO04mGsVeI+3fS77SI+ece3ZzV82h6N7RxcFHGcDgyQbyAKy35TZgBCYF 9ZBZ5aQ3RsW3ZDoQr8ttesIXmLpwtxXIOUsuEAgnwhksG4N2dijZ6eZzgxtR5VPa0hOa5XMCfUDR KU58SdeKLePqQ7TCu5GKxDbvBoV2sWk91pHBw07xXfyfxuUqo9irXQEaAVEdRTMx0LmzvXDdp8/z OfKkz1diKjPOoIgwe6LwnbefMLwDJ3FhipjFwrXjmzLRx68k+JW+WFmdUJSSD/bB4pa3QZ4kbxBY JBMA51Ftj2j9MJceCmjW3RkXFzX0yriqGF+mXJEwctpF3IWLTc/tUALESRTJeBX8J0JLplvcNfvn HVkJ4//FvbYh18iEKbAc7QG8XMk0a/CxBZeDIGC0sXShqGSgP7Opbh53YcKn4YEescdhD0b3XKdE yeR6iq8BXJmMglq19Q/+bDa1Bs43fqauNSnI7Naxk9Na5ZeXHEcGWRp+aPqEDSQkGAP5JjqkKjrf iv7rAZL+upiPQ6pFsRcfmshym8lSFE/Xt/zLTNQZT0eLWAiuPq+piHt8zzXSYDKcuds6k+wmRK44 gxFVIoFjKIfVP7LKfV83mAIp2qsgbW5ks+SAMOfKBuqWJ+WU1rVcgkoeLD2dGiv0SmPIEd9JOnr3 88d9MZuIGcaTm6Xk2GjzW+pWADMsoZYooDZePS/4z10msqLJUvSXbjTSyCaRjqqPOSuFKFjC5UUM msQp4MpyLLzpliTZTT/Ges7xYvR97hcxUxOO07RUS6XG9PExYlCvGwlhQttzPCzowQyTbLxgiotv OifGuo9sE0Jh6mcLj1bmF1iFE6hm80/6You+K/tViRfEqbtauyhgEuOIN977C29fRiro7lIP+eGW EJQadh7w3z7t4jxedrJLoz6LlP3lH3AulTgeJpWPalyrfxnPTV9NKTiHwzru+IxG2Hq93z5rzv8T G9Nn1MLOoFQZtpbOivL4IjYNX3PSwXouqFIYrP85708qtKKHiYENs2cqHHXeX/bxZ1Xs2TqCZY+p mIKtAjq0fWY3waMRKv/gn7lG/Q6zxNGPZbnMklExKs8Gd+oo0eLS0tlT6graNCil5ytJ0pLGffjN MtMqRWpMv3M7f4DoNiR/yJBcMKwqnYERECRiMUEccBkzU5eUnwwUFC2ZmpBNYW/MdXrAGmYsokQF y26SCJN6+zZUwEL2pN8s82WGyDh60iEp1zKEwyVX0vANeoJ4CZNz6oUozcBCZfZEYzj7EA5mSOEF Pl0BNksKiJ4/2T1c26/zSTdqubsQZfbPIY8e1jUbslyzEVkcX7cN8f1IZrtvZdh18AMOK/nbzXov Kdy1iwlotlKDd3adSDtO/bb9vIVwzkl77H5NwnS4fnJNk5gCBlqcCll3xpWPdmMIJ1P3TDVacFPP WkVxxdmA5Cd7dCjaUzdB4/Jt17WXn5QXlom0PE8M+VOlP+vI+DCjOf4UDIDtJDaDpNYCeArw5h81 WNX+6Nm5tb67ld2T4mDrcWVI0f0YP6zhWipMDZ3FGklp2JnW9A9bKPe8SGy27SsEtCTdDh03qr0e Eiz2EONT6pYyOr348JH3JiDjzgjT72w/4J+PUQgSPbZIhAX1EhzRWMTd90pHc1NlEXj4MBo6UZ6w 7bWSUb7A5RTjfA0uR99FRwjieDs5BaJtU3+ze8hYoiYEMtNlsNwxCv25ZB2lK6WDiKb/dgir/TnW xfoG1Zfh4ojodrMgtu3VNWxFCdEL3AL4i0rnOujPnxIzhFvP+7IM8Hj0Y4vtGEYQMCJNWyzM1eqh cac+bIQ7pciI1fM0z8lBwaTt29gXO9lUnTctQI89YiowFNlefqcjCPav065nDGlklUM4zcbSziIh dICyiGnthHKRQaNEnFlbp27BSZxcgGMkIROlNaR6WMWVQwFIxcQhdxTbqXf9Xom9FqbmvBVAHR/0 2EDyzbXle3fRN/0mqCyhoC17IV0/qFyMlCS5mhZY5JMbqIgCn7amELhDJunURq0olSP3OkFY732k rYTKjYEsK+yGe5U+mTKkMRAuGytiA4l0DDKa+4+i9oGNYr/jN9U7vU3IzszUvxzck71aZ8sff67U xumbnFEmtDjGJWM/m36Hd16rrde65F3I2+cx+tXbc1O/GnTWWkxJeII4u5ahvkHQJQ8JtaCGQkAs fXtLgX+TaH+2EwBNkby1ENwcphQE1TuHPftoaFLKIe3cUm+6h9Lf2kJPY/XUHqvpMp/Y+6DFeiOX D5zI45hBZf0Q2hQRKlde0qPhqEUbugyJxFkABpeSHWpDxZtg9zwfhRL9r/29jG5idQz9hSdShMI3 9g2CxegSQkijGqglkZJ68wTzmmuijD5ZxEQVXV7kYRDfVxTq11tKSOqpC68qG26/M7+p6i1CaeNg lT0sZNcozShwWDRGX38b8VNwPXdjJ2DHRTpgZoCgWmo3caiumBguse8PrOXVZwI3czM22sT+dRQt 8QuwO5JdTb4wNmCA1641N97GImfQwb08iergrDY2J3Q0A+F53u4ScKMN95tsSewHKpxwBVpabcCo HAPcFi+Asbyvi5uIjFPro81lPYkCSa0zVVFadR0G4F/c0TFCl9Y4UOzFpDWwcRMXNxAhHwavRRDu 2mEiGF6iqWALPUPB3K0ZiS8J0T+McIshLZnHywM3dL0OCRNe68lACW4z7k5OUl+js9Z5nY6xLBZ8 QyCi5TCgsOLgjX6jtrN9raxs6L7S4x8WfalJilMx1V9X/nU1Z+WRNwPiQlisit/NZa68vLOm4d8V e9cg4h5pHrX2+N4Z1qrUZoQYy1NWRXRTVUpN8M0dR6b+jGAXo63rak+m59LaSuj2K2XkYxeMcoke SDERP6FMR06Z377hlCXZjCMXEqm9v2umQIDR4c/hQmLuc8c22em8xxDDDPJJKmyiJ6fN3pnqZHDM fDmB8Au57McJns5Be9sq7eg/ceDUfsZXRSlfGI/OPxAsFZVNpfBUupoZcQXwkgYyZuxnWEdfR59E 9Ziu65Nw+ccthSHkrrif0tUiyub8WDNcnIoqDoi3lYlYV2GAnHD3FYcoY5A+Uxd9y3fukeEvwtiM 01gjKbXdZUhXFfKfPlIpH3zgZKi8cMX8VZ5nK6XmLqWrAFdYeOkO99pG7P+2xirmEiwVxxxW6nmy c/rUkTFmJeXqXhgYBABiNbbbs8reTADVbWyJuAGahKOEXQb++hjH3YZEMAkjyYax4bWGj7V+Z4k1 hEyoCjocB7s082lxPB/8/tnwfcBBkIDA7F1uC4iG+cz2Z8aOFtR3QO7CQBnQN2JBSrWKnTqNIA+K yEtTrEsQhcvnxd9zYdKIPOOKt9xtgfVLTDvKB26K73bkDvTmsfzUWkqnxyo3vVSmCsOCBczUukm5 lxRaClFOAbV6Wzfujh3q2O7NZl9QI66pIeJ3GaQUQH60tFfM94mFju2mqArpGPLLYz6OqPbX0bqF qSGU0hk0V+Mp4GyM+eAT0sLv0KDdwE7yXMdbpdIb7gA7PxNIn4O51C7o/xWgsEnn6rmONppZeZZN JtPUpMIJOieQv1sAlAu/U4ZqiGRTMFiDgjMjkXA+Mz1mz+k+t37Vgo9e25Hrz8bXYa1QfBNS7XPx XWF2jBcjDJVIrgZDXeK3uYFrwg/8qLaPm/nyIO9P+cs6uozTxGIaRYNwPjqJqOhpp2knaC+Pu83G rWGf0EgjZ37MsTvpfMqkpRsQGCemhy3kTrTRfBbaE1SpmjimSYdCaYD4txJzTFYkNfOrIpm8V1gu fwQnBSOGkaE8/NUx9EwfgElwIQcWHzFJgON/tulIvScuR5Z0f8C4/pSJHsWY7BneLjNz+cSqrNdG +VYWe9TA2AC9ggGsOavahW1uJZxgd/39IDV1wC6AyHxD8Y+dJDoB2yqHdAeQQfpCHP8kAvdrfy0b OnBJe3Zzc1VjDwiFjj7lusPQx768D3f9bYhLNSujgO4aFXnTHs3ZCe9AnWp/pgH+5bEPo/FyDASy WNk+j8/KJG3Xmc+rLI2qgLPY1jigM29qElKYHBo4NNwn1mevY9qphyQX25U6KfsuNizDxSbUgYPL 6HlAMgJQyKuLgGCaTM9DpyVkr+b3Vf5ouFe1uv7Fs2SMlOc5alBphbFXY0Cnd/RVmMHFEHpDq44A k2vAZyboh/bk6NFnRa0SLlc8TmyjygXgdTHn0/MbIlVY9iSBp0aCOlIC/Tg40aa3bEaxXhQGU3NA KU8ve/l3yOscmoUrzqoyMXjzEECiYN7WoE7emDIj3Dyf3u3rmHC//hE+IR5DoEaHpTRpgTG+/NXP DgW+pkqjW0BzX0T5jv7KBp74TRBBRS7Wz4NasQHrLEKqJTnFau2yh22baa4eN0joYQWUa5AZBU4j 6eQcMkDLL9uD8DIUf6kQEnHZqAwQSzZjTUT7gJ9YUW3JxEJ/Muf8qMc9PliJk5ETuryNSXY4CU8w ona1gxFlDF3TQDNYDqY1uGKmTTbHzihkR40btqQSboieXmIDRePcWJomxxW94X/igPzgjSIzWxd9 Dj/YOb6ahjL8cjZxP01oBPxFWnd95dym3188GngFRUgX+nNY01qonwKBaT0yifAmNCKmaj0pMCQk jN2FLcHLKYjlPf82n9RORxau25bHKpbpeuo8b+KLcfS/jDeSSrf3vmC77RSYHKp9k4sdJJjeR5z8 7ESWRIkEhg56aGT7BLk0ZtDcUXxpnJmP2zupY/HEwhwR6JG4RBDbjRXaA5uQbiNv9h9ZHJ+1Fo5D ghmQcCaBt9rREOW32Fd/t1oIVROHmxZCp352hGba+iqI6dtdLgFAMVQb5YN+rbHNY0ynp601xLjh UfdLQ6/KYd6ZkXevElN9yz2hccJAKYOafzEpKKd/tXrrFzH8FFD4BUQDbWRP4vB6TZcftkLABFK5 eTmImTBq1NOI/DbzR9z9qMbFITHydDSOJairVze9VLl07gQsI62VRO1+vYG9DzBPu2zeW6kIzhwM 2XC4z7p/YNBf3ZBWKc0lTii7z257W1wAVgWzYhUPFLUevzy3EICWVjah9gJc9uvhwZm61toy6rkX ewa6g3XYEfcGn+XzvnxuWdDJDGL0+Ydn3VqfqNXvYQYaDHeGOjkuq43Q1OzGz3mV39Kl5OkCT+Ht ksgdYT4AIgCXxcGmPXrIFtv3MaYmpJNqd5tylnUh6FMpAyLY3Ql7Jze1WsljliI6sV7R7mwFP+lN etaDeP/JISrG/VSH750yuVmxFnV37yNJkPJSk7Vs/6bo3mOIuXjYjvOd4RZNpquQDukKlomyXjxo I2LtutvW8q1IoUVIQWO6aZL64LdjHlNtAe2sMtqFkyQLr0/I+jPljS26hL8T4zAtCs9J4x2i/XTk W3BHMU2G65IZ3VP1Zpb8aYgt0RtNbwHk1wx64WIIm9oapq22aegDgznwpm8S4ilwRJCo8wXuCiUu uSk2S1CbjruzlawG8GXwYddtAU99hRyev6NKL44NWZEt0jKSNMzZSOW0syaoyvkpWuL6MsUhfhr3 VC9PozxTcZsd3aKcE5LAsb7MLg3EySDdhg8xTO4bevkTHlDzBmHIJgGMHiDQlT96h5SeHUG15TIZ ZCCW1Im1oDY4nCjYmlga8pLyggHLv1IRuQ+BPXXkr6Hp5ZAOhQlzHyW5175eTdAi4HXkDjirnJqa IbUUhlJXH09NQM3rgrKOEWX3j+Ub1LYmotElFKsIVE8eJNtuFyswIuDYK7wDwBocEcYc2+5rqMSQ wDcKnmO9lSkPp3rdw6WZMG3zCN/CHBaMQXOUuw1dcva6WD6tiewjS+zpwaqxlqSWOg8zKSbTJ0t3 ugAAh4M3nCfvVewBtVpLYfllB/YbJ5DitFXkrRVxvGYvsgi4vGmeaQuLdHK44mGMsFF7ovbAR+YU +/NYs+ajgLCCwNWT5p/oKU/wm1WrGKMqEqLPwYgAmyVoQNoSVaX+ZJi8omkhSbUxqczNgfTLNZPl rR0cYlKoo/7HAV/BtL9soXetHDiIKZHyTmKkCqYoB6OAQLAftICNmWOQycphGxBM9FiuXEdldk5t sSukbI8fVZb3W/ZavVxbB7QCJYKMgDOUptOihib3ho6qrIlqadOo6oehVjEDm2/b9iKD44HhexG+ QcPyBN6u6T7rOlMxZSsoq2jwov1CQWDzosvjVQR3xaMw2/3qFTehVcAzSMQKfrkupkxLhvDCIbwv EJkrBMqn2ozvP1k65B4249tTJzzyXv8hX6sXwJoRwRi3HOZxrv6E+ZR03zUWt/gtTIzzZtEWWNMZ pF3E9f6lBcmnXiS3do+djXdpLbl3hft+M6FSUDmQZmVY/5DHG/LJIF5F+OLJFMaW1V8VJzGnK32q 1rtSTHiNMmZsUqVd8PbunOstY4rZ+40qdtfdyh+H0IyDh+xaferBuRZgk+6WQ3ZJpCWFn0Sq6BD2 3T4VP1qOE1/udywPirCOAy8L83LMwNYrGY5tDTbVN1BVu6YuWFxIKJPqlB4K0TgGJXCyjQulGIsM A0mjLGwAkFeNp88FmsEEahQk7X4fd8rUQyStvw+lDmjAzauDxdkWsLoES1Wz4eXkmDYO9P1RjdU+ A7J7CJ5eMdbOfvIT0DMyEbUgd5Kf8I1xiCNOZxfYjeH+O3gXbEv9LEvhUJ/2aAN1noDAOMNTjSg9 Rn27+HCfnHylUeRCkpyxAeGMrpNL54QhJGMAtGxfSKtIxsdNrusaYxs5LxvxQNOZOC+sVeSrPKSt TvdTeLDL+l+VlYLT5iep2OKBkdxBeMd0VPBvn4b1xHNbz+17UDpLlN8RR9l0jWmIhwee5336Cpgh xFMmAg0jVGszvfyU0FXe8x/1FiXv+8NTsaWTMrm7D8QnpfSrjVUaRQC41znq23pfcZmPVG20Xcji pC8+k6VBhq2Zgvhgf7J5k4ladACxypPMFzkyWcxtkkLMv7ZpjL5fsQdDwtS+KXGHm9heZalyJTeb 2JnvOgPac07o9PlZBOibIRXjmKZuxLGG4pcfjd/5Uc0clS0Vx3QMaLaHhmbbMeGajKZIUiO6r9Yg ll2fI/+sR6Xd9LycYX1/snJENHmRd9A2FTMLTsMZfuV3STxUw42lo9+BCzHgZdL459gGZmuQf/WQ DQsbL0aHJKi2D4sh4TPca2T0vAs/gpU3spFughPQYxpWKBmDbufxAOY7pfidE9fko72FEN3avJAI K9lm6qs/s6xxMlIGeMhPpC4sKSqWkQFnhR/9XJSo6eeu9Uv5786O+/5jROegfv9wgt/nGQur01zY 7lwXmNoWLPDh//e9XdrC+6X0l6VSVdm2IeVhvis2cJxkD6XLppL1qlTi8UODn9MrBY9M6YbSOmCA n08O4z9VN4rkV6DSVPXn5N9pn8OiOTpyqIgXhEs3+NcZNc4N6KiqsDvu9CmDr1wR0pVO6xdLyQmL LFGPJVBRQoBtfyiETD0enyZxgRmL2dLDEdBnAkeqJC4R/mZOAeJFDrwuG1T4m2LoHJsUSmtrecKF UbBxoH7vk2os7P69/bMSRZ5rtF/ZoF/iV8i6egwLWT46s1MT9o4dnEO6vFgphAJUez4u0HL6bsNn 0Tp11SJgKV9LGl7S1fplwkT4W06M9o1UWsKijdSAVARHI/CBBK8U8QLG4weCn2a75y1l+wqSz7Wi m958C3c8hewJIGQ9QUjnwS52pMeva2u2RWF4OqGXFevcAyoASiI0DXPnkNVmsvypll6whE4sSD5B rfj5W3imlexAKbJTODqg47rv0hyaTqayM3YVCGQtliEWSEqz9nIbYnlPR7vfcKz1POaixdeLle6e 2X7Y/vsMwCOkEg/orGLg1mXXWbBxh1sx94eVEQPXoU3FuHugoZ1m4agjerQ2op4jpONBTKBnCeew fS8PQAE+ERlgH/uiVKaCK5hUtICKpeik1dqEXcMoyLhIRUNGq4IxAYCGMJlz1OVF0KBoT7MLecA6 qA+dsl7i9kk3iZnlU1PwS3fUHE0Kokqz9vJPJzZ9k1wkRXF87KQqGYsy5xGf `protect end_protected
gpl-2.0
fb83c4835cba66028f0fcabb1fd71f92
0.955614
1.809615
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_addsub_v12_0/hdl/c_addsub_v12_0_comp.vhd
2
9,265
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TS0h9QlkPOIj31El/L7Xtj400WxbqOUKr1yfV6Lu+yZx2d0lZ8Boekb86H9yvJcZf46DkAWxYU0q wbSg6uukNA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aMD6wr4jWYfmbygkHRc/+3kZz92rRoWskHzbhiEcLNd15A+Rb59bJcC3XjpLbG4+zVlHdt61MKxE 109m5fNz9L0OBwt9tJWieDn3Xbw57FiWG4ntnQ6lm1XQT+/wlsZIqQ7w3k48HfvzVnBAHCUL0kwm hJyiqOBPcDIzps9hZU4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ld6jUpTFYwtLLYOLDSRNyYO0DrXU4w5VtcXUHmjzNXA0hVhUKNuy7jbwxVseFsdFEZ/9b/PZPK2l 3lyc8yuegbNG3jJiJlMl3gFWRGH0am2n0iQXwc+U6mUxDU7GF0/2ohJyM7MMMHrQKuMvfecnL0pm fmCLIOWublJdLxr+KiEO+CeLQr3O+17SQvnHi+/9FYa354wgVe9lAZ4oFvWhhAGGTdrhWh/KTGqr Ebz34z+AKW/X4EhHFZzhNFmrKdCvNqETZe8ocjYoxwqdrWS3FelTOGbsIxhqPl9DinYbDUE73uMN +i1ql6Yh59eYFxPAioemRWteJVR8TlygNwBFUA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ko1kiNtYQqvMhaeNSqS5jsYuBkTugGCuOcrgipU+qFVZvo6PtRBZIBK3KAJvTFBXg8Qg9QjtRTQt xmiQE4LS7duDiIS2ttP6zsCuJwvHIom12cLuLQYteUPXLLZT6uNgkBGTNsv1nyhXQLxXYyYOwuMt fgSxI3D6QqsXXjf80To= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NK08wB5PsKOMbdmg2AM+543nLv4asVg+Hm8zmUbMt6gb8PLJalpQ8imNCDtYX4YJckfhjwzaN3E+ SgYITDRb7swFthY/nKC5rNYqV3UbkgtHzXmLFVW/oOQem7hmNVAyz7UR6odm7QqeBgoP9gRpT0Wd sHDmJp/6spZYz3wUqgDTnkLfJ616KB3NtBk5JmGpzYWF/y0DRStqVeMTU0AfkVkFVD5uXrfCmUgl uKudoFhHLitFdzSeTBNR1y6xhUTmUDkZ+B+srfbsGIdDu0FecQzR1SgIKyKOcGeZ4cVVU+X41FDO cMkk6rJLdEXVijjJECryNSU5eMl2/0D+sLx1fA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5120) `protect data_block 4qdd2lhHJZYd0wCq1iBJocDWysiS6kKODnKIYAWmulOlKHIXv0ZNJGtGY6DMXjUWowpzczPPiLAB Er6KLaX3eHYjaAzrlMNiqd5yi/Ose6cBtx3c1+9VNUuc5eW4lAOkJw9H9zLKjelc+nXda97uI5UI NHFjScvkHWPZZS2cu6mHVf4IUv6/F+eYYwrcJFkwxtujM5c/ZqKMa2QzGZNhYhLAPuhGx7uPDQmk wTSzTJmOpcpxW+tiVhilSez2AenC849L2Us9LvGSGkHuY/dGrf7NDwqFTk89zOrYUIcIJe4swhxY GsZ0y4w4YxRAgeHXG3UXV6d76vAVnbyP1UeawVzK7cwAoYNmb3yIpmCckbT3GqOWe826GWxvfXlO KS5dbArGrySrLY5uUC26uatfloNUcfw+xhPXJAAyVZ+4gUKj0jhn3k+QERs0T0i+7LC5Qctr883V iWPzCxNaNRWB94tmVRC1S0wX0GgGSNJkreo7S5sCvnMhI6+uwc5PqycTG6htlkzxJCNhsU49/vX5 vnhT+Oeo9HwNifyIGgryXZpoS01OgLN3aNfoxU4qcklMW5/HKCOLMMI2X57gW9SDPPZswqpj9vfv pOUIOCr7zzC8jRg16R8pjFVfke4MG8rDNk1S4IxBZKe+LhNgvY94jUQ3/SV9oXXsZ7b5tZ/43uwu DAWb0L9OdSlNnGZvCgZ7oKEfJCnlgHwL3dr7afi3QZILTrVfD1j+vcqyGm4pjZTarf/CFqid5oHf YQg8zEQ2zJB7fGG6rGM4aQMtq4WzwmYHPxJ2hamCxHdYyPe6cxMCMmyGp6khSG9WQH5Xawn/TcWF vzVlA6GHampsLj9A6781Emgt3M10iu+LWZp8JtU9XrVLIl5rN4Ig0CFgcZfU4BTheIf5rQG+/5FW NLFvY6R9gt8kcpXrOhbDxB8rwOIsJ+wPepEwO4N5bQDRoXXZjEHqBXVKGqnriSGGXnOmhJ6UvSN8 u/NAAjYAgjDQ2egMHjVXnpi7lWH/gAYO99cNp28MXZ/qpAIqDtUZShUCAexiP6fRzXvBLKR9cK+y ms0eqBUL1mSJkm9Z1jdDbm3BDnDLkmOX/oEvmbMf7ss0k3rGixyjUKCwK59k/wvxhSBWiJ+KUr/5 c1CN66am06WqPc6Srt/Kqqay7bib08UJUvgr+uZfltZBJBEnuF30YZwVmIyYopbQ3JH8ueJPsaGv 7dzYjqAZB4PJyibUBATNVrTGII1Z4yf+bq6ilscojjEUtueXaXEEzVSTJa2Puq2EORZumQsxfOfu xSJg7p8b5thHYiTZpOOve8tE10QLJYGjuMMUHNxV6is4Sy94DxnKQ0wR0P65Yz76g0+NYhjGd+oH T28nAtgYwy9+6SZFFzUK9QQQvdPb9EjVz0fBqKed+GTLnMAH3i+csf9YFWA02xayX8hMYoo1NxUk dtNdWGoVmVk+WkXutebjOAzMSaKZr4Z9qAX0oe1NBQN9Gt4z0lpt1xIYgte/gciTRpwJUpGCUU5b V3vF15QdmDkA7ep0wZzcjeFNuKuZw4Xh7bua/WqcoB9+9Mbtm4j/1web4ukslJfKTAaHvKVpXTAT rY1LYvdjqhgZLBOzM+udETV+vcZptLt/EkmiM2M/IwDD3I7eB+IA7PCTOy2wgIyqtb6ziId9gW3g TX0cCMyF/nBRl18JnaanswU/rZX2DNI5cMyb0rztDWf5fKwVNcu1b6pQx260tKGKF8vf55kv2iWP ZJITgvpAr0LY6FJfidfE6QnrKkMCOwBupfwDg34YDgGr7CzfqvGzzNrB7yw+6ofgc1PuN2EndT8M Jc2Nsv4VWCq7KSmNs8zVvo6U97BTQwrXoy0Pm7m/C6Ldrv30aXOMgc3kpql4JWp6FfwCDcN6RGlD 2HZu/IRU9I3iYsUcEBnuJo0vy8ALp7xn6hg1GeLXKldPlKXhvGhwopSq/x1w3X0CPKhbZ+xxTKVQ +BzNrVSvUDnQh3NP5y9NIccswkWOILeVkqEBh5MkgBVy13iEofqwclscojHAEcRYDIZpbJXMiR+F TRPhcIeJlStdIUmfoz8Pj82H0sxK7hgWoeTANvpDzkAvvfVQ+5u2d9/H8TnYilNMgdH+yaRscD2X eygy8U8yoHddGJfau1gEQeWUHHCk4BQsDCloF7JhAx1lP5Udcm7vlXqu+fp1LLGSoMZg/gNBSUBH 6R+9gLWPjDs91+APxmm+zsKI12E1nuBfCvN1n6Ur3rPFk5AUPezdnHbIMuGo56iraiBk+0RtHeH3 kJve0YJ0yMBq4ZpbnJB5ivX2GptjGFMUiX0/mpSaDq1J91ODAK3y8mKrJ4HLHVamf3cI5uFu12em mKlOxykWYngNjGPuR63LMqYTCD9gLLJj9OSa7jQn/37QBQhyf6KPUBeoU3VHGexh1ApWshoFV/OD B1YsowrYXFa5vlnQwufDFhe7byrRO+dQycPxeb5n5GHcWmhGI3XTR424XiL9bu0z+/WA/RSnSLgR 8XUTeTRxYSk/kO9xcfMt+Ppb2fZwyP9/nw74TT8+lmkeQnqQR89r/RwIMt/cp9/n36X/Se1Yc/Ha CB8MSxjwbpcG5lQPN+IoHCK+wmvbNr+iRic4fLvarx7crlFZ1S2vGZ9x9yxKGfgVharvLFBiO5ux MJu7TJu9qAleznUFmKmwmibotU3Wt3CjefEqKl1xPwyx6EuhqIai44WJupSEHUJT9av7IS4gvNO7 KQevGyrDB+E4Z5UqYhv0gfIRFQNMtLY+nunwhTkSEGTbY7xlDoyaD9skurXdjCszMps8QwQP+r0L pIJw6vCw4p8HX7u3qWY7Wu9K+EDjdPcRWGjBrISt2IMVJQQp7xiDtOcIPHpwPZ5Jg9ptJjFHS9zA tZsLsp505HOG4DC4+G7n9ZLIvLOURIxiOnCA7p7vEqbL+fwBGoWH16XiHcbiBVt8mE2jnIUVz6J1 IV4xIwsogagAmRF3nDufwwVwaPYMRhg+np6OBj8Jo33WmXoG6kZvn6fRcBJJ5HlUdrfIy5kQf1pG HxU9W7rYiLfc2u/HAFa5IfpjBAN5cFRn8afWtDrhxo3AfYZbrSo34LU5oqG0AIeNTnj9uLnF4thG UJrOQLYe7uEEx0MEmPzRSeTqIWO8VRNq02X2RrDP36fGlRJRCcH6eAYhbLLbYUki45dExunB4+R6 +YOFP8EqYD5VwnxrcQuPoQv2FhXi9zXABh0Xy2VkRcuqy945fmrnVPps/m5eeUrFqeGs0pfGT86L iq6l+PnrlJwcy/nvIFeKc4dKOFo8MT+mJZhUpcVp+Ny1yY5V6dnqBvS6zDmTX95dWa2Klu6M2qnL 1AkJnGdHE7/l7VCzPpCUd8Q5WZ7tBv3REUF51OtXCJM1r22WsNOQfxGBGRFOaOA4sfljvySJwyc+ opHYJobJ3i/3veAIRLt5GzyX4jcuSpjgUT5+45BjOeZVr6NixcANIuByWP/vv6qKse/dOcEjH95z xx68yHWli+CxAZYglgZbhvRcyR8GfsC+Z/mDKvS3MBdD1Kk6X7rVoyJwElvAy+TfJlxnc4WTQENO /kOAp0Ogu2Qc2YzzAvab7BKuqc9wH7K/BOt15UqiqLI/i0Pe3LkXif8YJXTom4aob0IoE9bslDQI 6mcqFKM7bOlS34wjy+7sYVgfn9+gRZ5RMB5+k946LWNoLLVyIbmyfW4uA7BzXD+ieZtqG/mkOHuI GEPcAzINlvvnvrktSzwZMclsXXf43uk4ZEP3ZZrIfSkAhIeh/pqqHj/j4cE1bYB7QNxOUO2xU4iQ dGHaS8Y9vHUe0F9BU0Qgr0zlKLOhMw+2ZnS3u6LVUoV82KlTCLCrvlFe33qHmca22eRM3VYApp3V xEsR3TTfhOXpykUXbI+6LUH8P/o1fTXdknwp8k5vGvrUpXe1jgbJer2JcQ/4NTTMhab5yChwwJpW LsLoCZOIkfMBuHExF5UfL8WFr+cMWDYYkOj3LIHdJbedcU2ZwETTwLyuL7q/cbF8TVOIV3OpRdCk Z+57xH49X/NdZ53dMBX9zaNbejeZmMpIhjj+DsHBQoRkp9YkkMkW4Q07Gf+omlHk9ekf0xKs//MY Aq6BXslLl7DqvqYF9ltRPPsQWdOiKMP0k5lWFWfAWuiS4BVimlwHeoBesUU94ZiFa/SCMxPXwUx+ 8L26vTO/JBM8eJPchYwvIiB1aH59HAGPqc7hUS0HVLqaSxPeMbDCXfWb/vPzwrpc07elIIqdmsFr DxmnZ5mFPYEquI5/o4I8HV6U9POq8jAHm2Lu6++zwo2zRxPesa4yfhIaezgnbHEjlNBUry+Vovez e3SYhGH8oyPvSFJ+paYyg9oxp4EEzPaGbJKZoLX5W6h4TbFgesZ9vTQmW9nbsB1U+klAaut0Njk2 n/8zRORVkxlYGO4LWcaGUs42VURy015kV9hZ7Vl0FSNVYHLjYt5D24HIJnxfun1Cd/OBNpqXwDts hTU5vtZBMHsvPfOZkp1Kyy0Y4EN2HAVR6CChvvmL/Wgc4NdUxxW2Fiy6oOxG5sFhjDnEDKB266VS T1Oqy5i0x0jyPeBqoyxyNkPTPmBAx/zUvchFoz3yCLEbo8NkffEUeX4bQ1VMhj33IjkCFWbQvu2Z 5JDKJFmtHdTnRpoY9tTNBFkz/YbGYVKuMI3AcMcIbxpUuuxphNyEvkrmUBzscpAwdRV5qRz8X+no 65TFSKPBkImLphPBPho16fTeipEmA8ncAQHHmr35y9WgwAIGS8bDNvDR4emLWOvfQGEaxsncw0Em /JlzdvCe7MSYHGOVTnovKHxJyq8f0xayrn90GIZDTKke6G5VYXlBT8swbKZe+9N/qrTOBie4N1gx RMF61MhPr/yAtk4Mx+sOZriQvdMNiDOWdFVM68dYo/Y4fIz3TPwCej6nx7XGCCMOww1Z3DLro/gM sktyzqOYr2jBz0+cR4kGQusjtnFO3RI/T9UkrtXNwuBw1q0qywpWyFAy8lo0A3qYuNCRl3Km8lO4 g3gvtLrTjOv1CgFnC5hUvLxy3rDtt5pfGjZVJJtwzO2l7eXNXxMlbckQWeNqs/zmsDRBoUup3HNJ v+Gaf7mWz4QOCXkK1jIog/nYWPuSuEC4iXsK5pRh+I2fLWILopmxRtQwZzsBLZtnRco9z+1NE9ep EXpxaN3M7nVrdtZffgpMm33g23jBEhkcnwUfk4piIIdbsuryRR893cSUR4izhbzOKRVLHQBtq0TK hHSb6hHqIXrtN9yEI3GeylvgEgkxopQWtZk84mVj0EZSh8ssN0Gb6GQr72uLQpAsDmihEmNUi4Ei hqd1KTsFddDIdVjW+j+jR7K92T3zIwaYRxjTmaywXZnfkQn97j+feXlQKJrvX75ErsT2OqtqgFvJ G6KrpXas79SXbQr65k97B9LZ/Ad3aBkfKwnSDckHmkluT83r4IQLFVRrrKMkGtRUuxVNLd6R0M+8 LR+nHvBW1DGvNb//PWgl9zQ95nfuJPfkpR4fOO5Q+P/8kzpHTEXu/fSTpbTi+YzZQcRaX5MyIPN6 Di7GmxiDLUbJGU7fir4NzW+UgD6ouUuffcFJ2JDk9ig9HuSUBjzQz2pjoWFDHERG3r2TSXY8LiLU G7ObNFYdYffh/zmS3yWFsa6ZWIAAuGYBBdIg3aterT3xqNI1N9oFUSzXDZdIdfYLg1q6iiuVJ8Vz /ccqTehH6bHgJ6ejgSRBXptszDyqa1T+WUB2dbBJVNQnn4k+jqE7jVOHmtQuFhLAi/BdefhtVER2 oED4piuZstseorw7zh0n0oNwkiTEwPEsXc8R3O4uSV1M29gyn0p0j4vqf7rAzBcNVFQJ4Th3sROQ hAsNZR0bt61Kv1xD88FaH3WR7HUPh5t1oWR6C05uPEoiUNIXfJOzO7u5yMObGU3g7YsNJCbhf2PO AZ7L4MWAwMQ17L2Cw7F/l49L14KfAaZkMBaPhbUfbXwPcOzN8P/dRMrx9zvCUpaUgQ09D1vVL8gI QJ/8gYDo7lsYAjsTtwcEneRS8nduv9VaQioOvtgJVpSKkQbbsynV5ZAjJQAIgbQUIz7GDAtiY20j w4ZbcW/csoZ8g37kjqomTlakTKby/kpdoLkcVlXpBff1HDsCRaarVswnOwPuzTQigeIduemZe4r6 ZMnCOGpw8SzoblFyjPd0+PpAErn5xETqkmmxoIyp40FBk7eFNVFhiiLPjFqx/N2sW6sZgfMmeTYm qrFBenRTXmVNJv5WKC9mv1h9QAVTFSYzLJOiUmbRUAUh/cXVy+v6nZEH7WJWep9rOJKFCcXYAiGo uJe5MYw5ENcdeJaVI//E925nog6U9M4GUVzbzl3qYm0fi4gDK4H8TLWT0GDaurokA1zGO4w+cazq JkS78gqEZOEhn4H4tCmfc1V4QEo2474o54+bjHKrL6/6WdQRmzx9pQD1WlSst1tRWSsEYJ7BDxSy 95a6tkUs3QBngc8EjoKJ+j4CwSrS4E20+Mu+vag75LkCYAnes/+t8+S+DVrHKzBGvEMWClUWzXOy nuVMyt2Hj1IXWxH1ubxMC3UUU4nAhrxc5yYYR6XCn9CovPfR+IMU/kcqFAVl+iOytRNJ66ZjHXZf FRlG2eQWNbcw/RGoUvraHyn0LrOdapCEi3WcGpdFBZU6r6c+frUkmgCinTzE7e3ZaZtqTXrcaMTY UlvnGe4hBfj6hgAB0Xn927k2P60ek7HWXgcB4wIUipPgXPN5XPFeaPlG94er0C7T35ZUDwynyAUz +HlhOXI3+xFqTlMTYkePeYTmDRWI/0j2B3yPxsrjUmVl8SExLYF8K4CiRN9D75Q= `protect end_protected
gpl-2.0
c1f18a11969d03b8a5295166eff8a9cf
0.922288
1.913861
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_pkg.vhd
11
123,927
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ccre/mo4iYR6ZSgOg1gk/7yavHm/Tab3ZkZcYFm6mHsK2rs8opjY2zm8CLFAxyKzM+XWqIQXr/Fc dQ62SDu8pQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WyYd7hG/1lw77JWK+H7uaCTBuAtJ0TBNBmyeEHZzKg+QBt3Cr/4H8z2MUPj6pZRjBIIMcBdDyWAg kFxba6x1wM6D0583UJ6utRg76JBTYn3hze0vwLk8TflbT8BIsLMY/07o7U9RQLj+Czrd4nu/GcB9 pJ+rlEp3a0iAZrf+WXM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RfuO8rrKLN6nyJNR3MR3coxhKut1qVIAuEdjKuEt9/BtiGJZN+vho3sGPhnXGPXiGhQsomdebq5G ubnDKN4NlrU/K/1OyNtvkXiCQ0yq0PS2JdWUylqpjwB9ynBw5A57ADeaCo/udDuX1y5wHWGkhROQ fsJZ53VGKb1Op1Lb3r9BDB8N5YilEmUvvtSyFbdB+7psIBAUYyMVMn5URNhxA4cyzgpgQhfcULcK sD4UNIk4VWttF0vTTR6gUts3jmAIHyHf3d6WxdEAShshX6o4OKR2UxT4uLzQata959gMnHWV1u8z szCVxPR8xQQ0v799z81NPg3yNd9QbIa33NfW3w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vxmmKikWOvh/MtjVuTOdXUEizG5j31xAKxSiM9Xx8aixITyV/shFEvsvoImS9EU54TgPNBdxM8IR npEUXOOcVxO9WfGzwhZNQ/ZK0jBxGyrb28doc6RBBBRFSLq6zp6eRXW4db+xriK9oYHqwZlnFh+p +PrqAo/I9KP6sZv1oHU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kSh8dathlvrCSfMnDfy+H9hBRQczNUVPEe4uv9JEwCAa3/2S1CyBPGf5gqqXuNXvqHZolzJIX/7w pSnd9F19rFWLAuVfzyaIPlTZrAsax9Nea4XwtEczdmi61CkouLWxlFuVwoM1bzkNI5RFMcI3c+mY VVE9udu6in+oPKf4Zn+ElbHY3V+cc76JILBdVqpMZqtx0VT2JvmWZLAz3e78avyNNr3Xow0ywIGy OdX3dLU09soUoUFPZH3IK98LoelBpKnR1+HxTI22lPYimCTRIAx2buuEryXwBu5wfWWSCn3EPtF5 HiIi9rQ4DoAlkBvN4LqTfIdUNmzaJr1QCruccg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 90000) `protect data_block lvJgp9F4NgHfQyd0s9WfMj2NKmMmK+cVw75XRIANBEFXjAglUPymuokPQnOMfyJFPf8qKWlwo3Qe XrJHuaeX6LKfO/NZ4vjdMRky3qPb7KS1ZDXX1CP1z1s51WQ9aTQO6BiBoNW6ouNJWTZmvWcHc2Ln YVf6M5x28gNptT5WNP+UIgu92VricFgiOBR1gPIL7FV+IOzcJznZbjyvYSvlGJdvulnhubW2D3JW KH6ybsV2LnM8zlsz7L/FyejM4DfPUIqfzrVM08P0bR3I/cxLPH/mVg3BsSIMreRHxSyPg5oJgT7D cAJ41+YIDsrJkB5vf/CYBXpOcT06iQorhXtVQLq4DPxY3lzIXuYlbAqYKxPLcRzPrr0e2IB0CoQJ MDZdgW2WWv7T5W+8mJ8+hwnyhFhP9sv9oMmGA/gM9vaCyFFxsyh0ybR63XJXfPaR35uhG3lSunFE oaxRIYv6LaEaduqlH3ZgH2OHX9IkqqTK3tweKgRuAfXLoGkE/6+tYPmB5LuBmSif1yu6bzDGIATx rauJ16iPQRnnBIMEReZK8gv/30XfdrH9NmTDag9LOnv9SCeZv8slp6k1WjfEgPI4gQU6AiCI2TxT AcwN8eSlKJ6i98Rw2jb8N16ORwBUpupPRejyRA21l6dL14kBHeZODL0LuAcIalmoMdd2bw2YRzMP R+kO91ZduRRzsBM0mnr11LFV/OezvYwU3prAH0gWn95fyGniKpD68fYmccEtwa751Tce455rDm+l kexsscg5DWc4PRhHqYCHk4j61IISlAqaYbn+WU5gaGNFp7O8UcY+n4AUAQbemP8fT7swYLfGxjQ3 z1+SUbUzhrq2vGFr9yRKAIbW2YblXIshzH5T5ezD8kQu8/qP5FKtwRQ9PkYTv1DKVC4amA8/9NB2 gq8180MPsmlVTYaIvCso+6Ohy39QEZhX5f3WXf9pqnMDxipiq0DVnoWHET1wDzF0Rucv9T2wnf8U 2/ubcR/Cv8DE6gw38amZ4XlnaUux5M3T2ljijEPBWN1TFf1ofwQkRafL797wlcpsKVB/6twM1021 Tvi9zxbYU0jnqqeIjZnYCZB2+MpPO+CSkSSW7IzbF0Mx7mXmO8ytmUe36dFEMa3c1ehkk/V0a0OA BCp7bxRJoRiyzLi4z4cSvXPPjxp5aYGl/RG4Tf8XDj8R7BiwBl6TYf3uOHUrKUns2QTV+Tx2aRtn okV0HBSuheoJNBt4aKG7PUfmJ799ESS/o48TBZ7rcxWGj1Ln2oII3pBl0AQ5+zD4lzbM0kktTg/f c6Fhus0fkV0cdE2B+6awb8jenB2oo9At0esjmrCvn9ixhYQOItm2Mb9/t7MsDqThbhUx/E+9yXoz Iy3BuZyzVCITVuN+4k3d8BzMqIbvAp72Hqbbxcq/Oe86UYGbaALGa9UH2pMawdeidGIeeK6csm3y +KAMEhxzwHP2PBNr4aXiTId8+tIljBF/B2AOfDtV80SHz7lpSuj4kdbcSyfDdwZPsvXKoWnlRxLi AkOJfGgUVLnV/bRY2BnJ4uQ0ssX/gd33ANwScw4x+hHdeQjh+OuxYpfzwvLPUpeY1jqCROIGODl+ IaS1GWG73dhWFKgF41chiVZAHh1e8jJx/u8SNs7DjMot/I+CBJPylr620/R61C0c9BNMJv8DEYOo XM3gTNlCf/KXySjYIwqu5eax9GuRKHU4knBwJnqEgW+EA8gZQNRuryAyu8t7aze6YGgXv4fYn86U +/PFVHMO9HEjHWeAKBwwXiezGABUXEaHuwoTbzuIW9n7u7sfobAgnjX8GFqz/aKaoV3MEVI7Zv8+ kLREvGPymwmhxP3VTUcjjbnFhnrZG/pBECqS5eFgWKMR7nEYRNZBnzOqZSyxk9ovt9MVi5w2DTGL XyBTLyDfkljIPB20BerlXHMI6uuB71eWALT+IHAP/uPBZMvQFH1mktW5JQqQrqwNT+flWPDgedNN Mhn/bIyEVZww7yGFdOj1+qBEUC/Hx4vE82P1fjzQb2q18Vqg5+fhn5HVQjoR5na5YSc3NG3rmK8e ZYvGkBiuWXH9HlCUNtSSpru8h4idk7ZGLb73Ff1QzQ9WOqULWs1b326ghEp2pfrNAZbJmhzj5yxS YCWLlQZDYqkGQ9DXZfQnU8sDWp5eIQLieRWHpKVASY0ckHpv2lbWp+X8UvoURRhec8UFL+lXpvfy NV0Ht5J0ge93Y1Aq+h9+zMLaUIlwaGCex3HSyKfUpNOc7sgsBYY5bAGp5cp9hWQtz1IFdSIYPOFy UCyN3tsgQ4LnjgKsVMJasDyCwk7NTlpVRAId8nAdlDEJ5deRVpwm2BKbyURzbAzPtt32gZ8fhaNi 7RHtR1scsrYFDFdg5m0UaJaowk9y8khkPN8tHbbF9ClnVXe3r7Xa7VoJ8qqrfGLfbAWaG8Lp++fR wucf8SrgnSdZmbsCsCoVtN+Bf1z7I/I1NH25bIKlWfkulO0FkAgr24q0RMIgh/L95wrqKkYQ9mEk 9T3mnz59LUEoNBgxFai/PM7IK16By1hAUw1ufscArQjNEk5ndbzHqCQz2jzLOOsIGuIpqtDsJ8P9 S+eByrwbFio4v3GOvNtoG2T2aJpDUR5frWV9Wz48i32Y+dHb3Jl3DIfrGPFnPzKwi3vch7A+U9Dy Jx8RxBl3kAz53wsTI6ZwLRZ7nPPIMObnvo47rCCnnEkMLuy3CXUiZe26c+C/hgYNBG4waSnk2SiF 3lQ3+imjn4bO1njhwI5o6v4wJrsKwTkyCZyjY3AjzjJqxD1BvYbuO4Cak85fXwsdhDSUypcId37D kJYMgvtCMsMC801Uo2kET6q/zFFUjxHdR7XESXnNIp+KvfRA1kBF6CUXjDExyBTO+J5VLf8t/gWh tihxBHNNDD4Cel/IqM707uhZguir5/6/HeGNcOULBKU64JaUO8C74AMbsfHiNat/DVS9CAhXqYUl mxrphLZF480SScZ6o8qWXgAXl5k8Ogv2gDQxnn7/phON01yzwh9eedJSk9RWG+bxdNcO/01whxaL 1Hvp7+tzu+NHHjW1l3haurDqDVMmp/LLLPUE19eJUER8YdY4DqIJGgQvQjxPmqg/+tbbFbeFjTaD Wk9AvRzP+J5a1AoDGhRLpcBHpwfj+51UJUiTM67VwRC/BSYqF5nJGtDGAXRJ8v+bfcXhNbifszi3 yvdW4B8eNFe4H/lfgO8h+qXSEAOob4zre4UBdodfqaUzq1S+qtqZdGmnHio9rN/z/RUMl2YDT+ul nEIILSBI1xSVExKpHRkmLwGdyfNQtxwJJkRvnaoDa6yYejpEtRHJ4bfqL8caAbl7IEJgEtwUBTh8 MVjay/FT5USvbIGfU/KQX4ptft54iaF+5hIJ+eJE2ZT72SWFgy/tqGzKFT0sfSqcAl4uKiH/DSe7 9YoR7Wgga347T4L/swBR+5iguE8Cd257P90h4H7pG9S8wkuQJGzAPucvMaRLuKr7Jm7Cyms7rnxp kxh6G1pA88HHmIcmt4/MWaSkKyMYr0aMS99lg7JcQ7RbqE7LtKh0pKVthiUTzciWiXExcbnNPv5M 7QfSUtQtJSJiTrIu3P4mmnhxgPgcrl9BQZp3iETjJOk7eDfJCInOhMEwETT2P8pvT8RPUUSyRbzP WPssQ0OI1zqmP9jsOpHzyST9bN4xlF8OxmaLFFEddHelzKwXHLRgPqvH1+GDkTYzTZPIZYdELWW1 0d+CEtgda1eVep2JKkZpHjqmD2z5AZpQwKVkZ00T/1p73FoqvfHtbxBgIO7XKMAQWONuRktcTW5I 7lS4rkerJze9mrOnsIqX0mT9dRuY2ZBhALupbTvIg+4FfAXk303/KvnexK5GPJTVFo7ZuIC66LfX i1CxAOmvs9sj7iJaJy4B2MuztvDHL+Rdm+ga44q0QVqkBheTT+wLAcavq4uS4aqk8dcAayabtYkZ wRQf5uizuL2K90zpudVZMj9puR2DSOqW78ttFegLgOvQGhfHA/e2Vw/t/qlQrhRLGd6KuvIo6tVt q6BYNMI+xNyfV3l3n2EC1qMpzlnTUcutOyPkywXhUE1vsYoegO3OR77Icz5CP03FIb9bbKfjouoE bTCstTfet5CjURS5Jf4pBHH6bOvPKKjdeUyAJurr5ZHn0K+WgpQ0oPN+sMINyvTt2W45TxOcEWXh krsYMNYe+epYAAbOMWhRCKGVFfudZpOOD2WcqNvDsVOmDBzLtgaM30ljpOTA5a7+3qsvdzxe3pVC XvH+O5Hfr4le+Zy/+eu2PYwTysrmTKrnq7OcVNGMQPvPmuQdcY6+X8YVshGjkXnNtK5qzwMFeTZc 1j2JdpDoKsONbLJtiGaONZFLQunFo4aWXX1YO28V1TxyFgxGGgnGYzNuISiFE7av92Bmt+X8ex5s r4gTpwBQnVXlp7tK/0Hmqu3wJR7cjsbEVFOqKe8IwYqzL57/KsKb1f6hLaJ+aT+KRgauATiSKbF3 G5Zpbj+LwukERrhoXKrGeMFjFlaTfD5NV7RDQhW3brZu+4drh0hQe8jUhxPysVPH2G2hSrnpTr8i cwLKVay7ZX+IXFR38K+HHOTYAH4hMOrBLxu2Cpb3Yz+DIDxTDEkSyEiOkBt7X0aYSuxj3JD/J82K bjktME5B+v7eSmOucz+9Vtsec/uPCgj+BcUPQ2IqkIryNpZ5wRPUuRjiVGDu4zHncX8D4J4L/oEc LY94rzemfNaV/XMie8Nki/rls77rtdOXSgEsQrs+n5k6O6iEfLEc+SJl2OcvlRIbPjGGLmSv8Qbx Qu/9llVSFow0S0Q8cqOtNrUrRhROPd9tfGIbKlqdpdG9Zb00oPXXHtC5KeCjokIUYjC0VrdEj0nK pSRTdoi9EZ9JFVEcdnYvAo2AOZkSoXytlMFq89T5Qv5qLfybNZ8NDNGf6zb2YLAjuQ6QY7PKOCgQ egzmwLkYZlU4KcODH6HT63tpLfz97OPXiRnX9lwAFso1VSUyUCpGy4xxjs+kVIivqg/Ho9SbHnx2 jRPYOPopVcXQr9QUxnHYiEVeZt4h74VpLGTEFICsOOsLIK9eujhu6kdZyClRpsJHcmv6Gg0ZnmGr CLFBboFURI38IZAbpsc5p9T2fo+TXwcwGAqc8A0SkmPDoAziBBmEmmUg96em1zE9eGNzy/cJyb4S 6S80zn+i43Zr5qiE/ueCHjrusoJfCKGON0JBfQSCTAK75f+OXeauiTKyQX/PxvLnUU/Li5j3PqGN z0zsRW+k3kaw5PCK/QUDXYOTcFGAH7/s+XsGubgaRos7bOP6RyQemjtlKjWhfvWOz3xjvfAdby6V 5/fFxAOMFwjNfUkDHt/wLwVeHs/M0LdiTtIutNLphfIWq/d/lC08FcM1afEgnTuhnjIRQoULorIl tQUhekskQUVM3bHah8prXmR/N4qrhjlQJYcs7yPx3U15pG9U8IM3NDQiwKYjrNjxWiMIH5A2fmuT RGkU5/92rRXzBcC3COQQv5sqjV5QMv4HG0JWei++MuW1hCykWABG3oaJgUkAx7LT8EURA+ycsKh7 4vD4JGo1vLBJx2w1XBX9Ddkg6cYPlAHFAaUfGmP4fppXo674p0VjN/C0Uqn+HiWvcKjNmE6s7mvB MpB8clwkmImH+TpU9doOUKQxkvqjjZ+bE0kbhiYzXj+5N/bH6K1VPQFFvFgb6Izl3AbuM8OJgPAs wNbdBXDHOXo9V3GMu7GxxBA4jn/Y43/6HRPLPOrrgao/2VFs2i6V1KWzfFSsLXbGEF444GshAxuv 8Lbqd7rM09SyMcrYIfli2SBsbETLRUTeg7MdLMfV4SKPipLSZX4paPphh46oiJJtTFfShrM8h8eB 0cqvd1pwpKOwPPqX/nxBAPtZzTnztEhI4oX93whVR9+S7Se4ebLFOYhjVBFANHEr34UaYU7aFj4m /vrCLCp/E0CdMjwQ+kF1EDR5sMVQ4jEQgFzRn2DNFeE56OtoY3TobtA1LExfbvzBYBZwSiiMaZYe mIk6G8PDBLBL/YbXW/5IMPA7xK/hN2zjqDJSPoS9qYQrud+8BzlxFmV2O+fKLJY/PKwxX/MoJ5e4 35qAR+1jODCBlpuzT2Be+mJWJFt2/u60t/yYehLUZy2GNC/BpQ8TAslP1wqgrD0AZ/VftWRWB9wW h9yBQAzPdldRv+EgSTCXZxDXKKmbTHNseJRctPEN0GMWW3jL4FGXyR+MRBKq5kB8CYFPghT/FK4i Vaxv6FSv1roGWhXbh8vGvqr/K0b2/3TtLdIyTx+a1L7JFTC5l4fGVp8nBd/uFy93WIXQGwmhB7Gp zpEDN/hRi/9Loc6VDJc+HzncKQmgARUf3f9vvYjjzQY3u8KU7NYgWJ7cjCKM4DbVhmNvmEscfDOj TfEZu5HhB9q62Q1+qAOcIRwUr3PrniVoXa1klAxS6StyGvNzEDFkIfZNgYJSCLw+QKC4V4jrw7Df JG9DkAzqqYpyBWIoOugs7Bp9qgPY1XlV3ioRKMh/hYHO37W9ZiC4oxkGkAC8CqfCLr3dr1M1H2Ac yYyOFCJHCkhsrizfak3lGyuCrX333tcftcCQKacOqn8msrQka1bN/iCbAB4seqMaQBnuB3206/rW RCQKJMxoK3dqD4niSi7DirPcmkgHVkxoGpwD4a0leUc7VrDVSQ0Lmg+34XW5iCN+prjX75GpgVef l0lPkD/D83mbtJcqFWpzcqiIPzWSFBPtvDFNsFhMQ2gV35HrwR0DKR5Dw32jN/UA3aJfbeAL20Yz ijsLY8wRMUqHBZrKDZyEJkYoO6519tyzQu7aR9lvkWjs2vjusxsUBRmjMmGSZLYddGuvy2Im1mOS PwNbAadcSqpKSHpb3gFSw+hXtr8KX6kikUv3GggEe9vj1B+rXH9CGpZwFP6TOs042GoTvOddGCNl TGHM2ExiqnGsAaCAm4VF3KukzqbpFCaW1lCaV/s6UjO/Mfhk7SdmouttxUZArxC47lxewGB/+eDt eWWJnWNAM9RTdtCe6+2LgA22pcTpi2uZF5LAgW40SeoCJZYBTqE5BpKde4Rrg8BKE5RIu0A6W+j3 YZoXh/8kJ3Ugu/RfovALpbR3HGBvwL3bwZREJKmyYGaTTl1XscwUGyz/tMGn8FCBJsLdAyVA2+lB UxWJdUlSlt7lcLluSuyjLexiQsIxhCZ2vjYW1pEWVZomQggeOYu3W7tmdOXWXbpL3b3QOOsiv6Kr aVfI0g1MkkWF31fr3lklvg4Q02fK/+BWmoaRUysR0YnM7jsUmKOyfFFKhd3eCQ+ohqA4EqMVKRpE t+AIq9mCVv7tASWlEOExgBOkiR3UW9XMBq7M5MX7ddOH43niuKM+/zUxjvnRRpIDol5prLMZ9fBr UqnAAZmczr7CR6XfyPdeQn5vRgXFPkMeY27H0mLB2xBHpRnG4W3Dcu/CfGbbVEMgG9kTNYp38HCO 4t0V8IILAS8yJ5scVmeAsj9I1laZ+nXv6sKmhmHnhqhVrp1bszFbPLv8BYSUalHeWhCClTnSer93 zNYOd8JA9wOiNxIXidgzs2uuwYKPQTqS08pbnVBiyZYrkBUsHebT9pW6+me3hebo80grm1INYaK9 tWzdaA59YbhbRcoYja/K+KCT+YaMlZvrK0JVHdVQxnsR9X8QkWh/CTeGuPRiM0D2divRb3LxDfpV m5hf2Tji3hwH6plPKphfEn0WT57tBCES9uTEyJN1ET94gaCm6YY/w24aGxgffb9awF9+FFrRGLMe d99JbQ19WCI6nJwBMFJlxD/1VKRXF4TDI77LCTcNb8i6+L8LPVEb1uOnu1I18cGDX0lzvrD2kdF+ HLOjeCQphucECQRzTFt+BzscLKFzZE6B1JtGx6EVT8jaoXyz9hLsnfFsAmUnssw+CwdGxe6jUTMI NDcK8P+G+aSweCHlyN64K9oDlkdiIVzM9xLK+Ahfb45WGNkaTPdyskoKWThkAjc2ncupXz4y6/XS s/U/LKA3226yDpTsPjL/3oZ5hu4NWMDVHNxKiu8sercAxdYQd86UM3+it0/q7hEmN6CB5/Qwnc9W xIQfnaryCKvhFLu3Hf3+XvBTmtvImRxqfHj3brlsFacMUXPeUNZu2TCHxLWUp+nmLRm7t57pko8g HWLKbIesFqMp9HEsvGsl/Eo18R3+QQ3ROO5Dzp+/aRXmk+jJka8n9CVCWSVJfToZotS9Uyln3ZbW OAhEVpTVbXzUbo6rOgIMgohCBYOD9d3rzBHjHnI3tEzgnZzZnMh6Q2ZdEJMNr+lduN2SLwAENdBz n2dPsFMPfv+qC3kab/MGtYuah4utJAQfiINioDtuS8aek6akpE2BkpUOqjwhq5GTEL9TvLoun2zq Usw26kPN999H/3LvlKr3CPkN+Ztvs34IkREEONJEUqJCsnukHAMCmJXOCv+JkPhVHhIIXtMAieaN kys9LMf/5LdVLtRMBNXrnjOmHA4iT5bm5l4ylcNj+eOAHPLmq1xO2oCBAa041KsPR0A/X/4f1ogS fYPvxzDEHkeTmMzu6679hmRF0e8AFzs7kkmF1ooBVC1gCG9m+5+5OsRXTRKgEGrpfdYfrMGMhlWo JleGrs2+1VQFNinj5BJA8zc1FJVh2uePXh8+2xiqRJQkxu8eXkt1xOc49t0nP2Hyiw+Ez4F4umsy L8yYEWEsayXgMCvpufM0dtaKMgKgDLs9Njnmeh5KfaZSVsjcpTRYaCBMpKDTQJZ1N+vERWhs4dom dkLsrSacPwfvchMRDK3YzCURHvf40VngRgKjRi6UgVJoWFP385PrjBEtLarbCIHkch3sW/W1e5KD jp3FVXzcghgBYWPZYHSbcY1/SImnhw/bEmwFXTcAlmg9TbcWbLmxjKZDDQ1Mjih7pH1Sg8cOrY98 amGAw1yeRtQt4H+twmdbXuMwhfGCMtTK6Hylb4C1nB4V6MokBYhT9KAmAYdB0RihX7jY54g01v91 S47xpDPygGBt8lC8qODz8h3qMDjJHq6bqkqtQSIJ6HtBuF2aHRJcWD/AEGdtwc34Y1eQ4VuF2ir1 /4AYJZ1AI2ojRVel0AvgMyw7fN3ZXVoyGZ0Kzz2w0qINhPvpG1ibI4ulElnSngzK4S2irsiOGzK4 DscbatywJUfgsP7gj89FkOIEKp+stC742uXDJczZs6njfSCxLsq98evZIjfJadi1lfxf6PcmRz45 07MsvxnpkXNQztliaoaurcsyjhUeLeKmpCEyMCnOyh9mVwJ0Ym6XKOK6jQp7Gwv43GyAIqx+T3f3 x1vyFYtx0Ra29uWaltpeLLkigv4ol5ikuxIdzvcchM6eufLFy3IgdN9FOrCkUvC68rzFc597FzXb ODUwroV5cAEQyuxtdmjqo1GJwqTJ9R0f6yjP/mUAI52GAcnOHFu9TiOyKtKuhNjByuEIwHKnlE2B E/quFr5SSaxPURWtmHEW/3ePkow0cTGiRcpUBvGAqIlVRVdwqWn3HWGjDcL+1U7tb7Ly91WobxNN 8lcQfLBy3Sy2uMPzZ1X9s/bbVFA/ZAFlX9KjUSmxOgUHL5MPNnQ+jMFUecCUDtbcpiGHSuOcyxjq GqKpSyoac7jFV5gx0G7y4YTyGfhxIbZUht/0ooDAxHX0dUP8ba2XrI7YdE7b9u+HPNjP3hV3kY3m cYGkJCMEnqCwv+bzNKd1R1P3T3RTu41fYimwAtuGU/PR2TOkLJpgvXNOaohdDe4nuiprMk85s+8x cnx20fiCdlJz7qp2mLHH2BbvslY+Z+GBtHoZrJI/3lI2kdIsGEnPFd6cziV1EoyIFy5R3Cj65yxu Vb39GulT612eJKlb8Egx2Jcs9GaE46B3CujxeQNna3I10fSSzzF83jY8lVcYsXmfUnwMkwrA72al ZAL8feURABA3E8SnLBmTV6JPhI1lxpwlRzAXJtAMkeDgalkSpQb/utfxJCSTFfP6ZL+J4ZE4Y+SA eIdqlCpoN872rrWj1xpGA0TjR2FiteLRzoJ6iAoqJZU/9CVCqWOUrkXq1Y6oJkOSd/GjXLlb1Vee cKjhOxPNIE1Rv/Tsu0t7VWUOtWFEz3tLWhk71Uw0MnYS2coYADNkFFo6oBFZN02YczAMeP37NkgK EBujWtc25djZ2+6UheOX/dtl4y4/T8bIOYD1WQXTQ4SPBNKrYu00qizF6rkHlKK6TiiVGCUx+JpI tvhHxMqUzr5dp42iP4Nu/plUTJmSqjjzCwrvNU2vkfkA3qSZvHjzh4eC9Ye7ZMdvZBx4Klqybut0 14gukAFh5vdtg2uN9gP0dmiu9oh4PVB5BVI1EyW3JQ2mZrn4y49e3No2R7CDlggeM+UbSQalFpqA ZkcBDocyJvUi54qCUrXt3FrCW1sohJtxujAereE51MjGa2u/aXG5gEuKsZ0ZmqTl3y3yV6kGDNDJ 7yaz9mDiOrKG3er7hcMnGPFV4RPEljVZRJHZmpWvGan8Chx8l7vlFL26l8g91pA4d14B7Ts81fXT fgUS+PkebqqR+IxmDNXWdqniSFNJC/WK4McpBMJeshohk7EB1YfmfSMmnhzqPvz3L8RDgRJzKC7U Uatntfx5xXf83ba/dzZFZOVnvRzEVN42J9oCDVYR1xpUrGoR/PUJgz01Q5H4Cel9AEmT06VzTt4H jNbU+yQ0YZo70n3bNk9WNC7u9FarbFKUV3/EuOHB/1JxeCM8x1FXp/AZK2yHvs8hlQZPuR346Ujd dFX2y1FsH7FkIo1ursbnMeCgGWADXj0heaa1P++0OGSG0QypzR4+sByA23c3attfDeEKdzYWajPl WW/vc5KJei4GTiMFd5wY72ALGBJV9bX8GDQ8BEV2cTyW+vEt817D80Ehbr+GDfWOHpqgBvZGRgj/ Eo7Dn/+gy65Yd0frADJStWi8ZRWTYFMtot6xzwV+QiYcj9e1/X1LeQ1vHBDQTTgbn1CSDIhV2SHi 1k0MXJZWaThdO1tLLJNPQcKgRaSWgHaWo6G8Z5GhtgsJu9NIlGTffzTEL6j9UG5qK6umMcH1m7ir mG409fT/fiHlHDtf45gz1LjXu20SZZ7Jw69xIphzjo5L8bhi9X9HZkmZ4JoQELWayT4oJmgT0zdN HHDDSLJR9o5AF1EdE1RbPKj+FDUOoSa3hs+ljTtqQHdjPD2M+AlzyCmIGy9shKQ+SMCy4ASjIxBN BGvmnP3iWjy2kttgl52IgQs/9149HS8dzPgtyb4r+zXpGzVLOLYJTWzRrmt2F0loshZc/rTSLVpz LWcp+60mAwjDF6rqB64j4Kpf0VQOfSVsvxK23dRFsC2SaBJ8bbr5l0uUhLadw7GAM6Rz1LXgmJtD EVEiYeq2aqgN944SdaZ6kpG2VL8/REnGg7F8biP5BxhfCH47nmsnqPmMIPyrHmtpHNTrJ79J7op+ HFIqo50Igpjj8Oens6b8DSkmv+5zrAdMvbogA/eFXEyloQuMjh7B7pvH+boC6puEtGWtipfPAoeK f4pMK53rmWbln15vxBJJFpH8UbOyTGMpD9DpCGjjKNJm6G4ix7kDfTCCcS2yp/1fi9X7QrmudEFb R2sRAcyrLtGUrnP6nOlBtVGvut8biyZ+8AMZlEQI+TaEh2ZpyJ72Q+LrPuGG3c9SQzIaZT0ZIXSr EHX10/0UpoiQixDoudtUyVxhj5AHYBRuO12vHq6OE0R83KrzkvyZ5TV97CEyhKXljh2fTZ9Bs9ZY 7I8kZu60r85fhzZcKoNZHOcPPw30uv2EurU6ilxEd1FY6cEA3qtQJgjFd9X34vgsXMvXVF6iXNb4 Qywm4NdcSalsWZ0owrIQh+0/gVu5/AhTEM0rk+/rmXop+N+5ZNRFda+XGODFlUx7j3tXl5RKS00M yA3nio128kvLV3rhoVdSKcNs8+DjSuNvttTIwSbDlMkFBLLtgoNJzDWczw/yeD3pAnWR4bDOPhHT BZDdR5BGmavuQm8FYR70OzX0LsTiNbPgVlOSH7ijvKAnRd2O5RRWYDnUw5H97EV+JIqQ70iZA55m H/+k1dg939k7sN8t4ammWXFyo4cX+8DjjaCMC8BkUWttL5ExeDjpSzXAYMfIr32mfMtcrLB2UfJX WFM+3CPKRZxHqfVGV0X+Jmfyydc/T/9GCJuDV56QzDI5hmGlDclLbnjrkeIuoIQGVq0WhNUgerfq d5xFxNA2dJjhLkbA3SEFKo7R3ROg5QuZegpskTiAVYs6RA54unkQhrbJFZ+grsA0DI0eqpF18jL+ DOXQMnwUx8lHMl68T+fePgTMM9+voJjCXKv2BSRHu9lgiDSGa9AnwX7alVrxU62SB9ekpTQGrp1e niK1IaE16fNp7Z1J90PhF/WUR6+ZcSNB8i0gLce+oCBvt1rvaK26a2ZpESJaoO0QZ60kzoLNRvzz 1bNN6kUOFDMnJX8XT0IaEOolXyivopvOE8TdiTMOSI7b6Y64+5dd8Cnl5ecYMgjReBIIWRqvfxjm jGP7iwGYibm4iZ7YsPiKCvhBpusYbVwBrd9VW/mDUyUCwpPUTkM77ImYqRBEflKq1gYKBcgNpWvt UutSP/WyJz9tWIyYkMdvk+8uP91JCg0S8B2DKzPi2KnBtiVOVhMH1ovcl/qQHm0OiL+9jw1K51qc ikcxrRRQSlnAhQPckOZ1YsVPTXT5axylr3PcqDFjzydk1x3et5bNcveVT/eqhf1nVvnLKbjBfW82 LC9xBt/h1w0wkfrcERBUWUGR3CVR7FGZOZeB12jiRsbzsXsSmNcfdxGd3G4DrYiUYLm3y43Ycx1g k9i0l0NwwCR1a+te/xVGqh9soBeK4w6/kDPQf31TqUT9LvJOAnVDOBQ/ESuS09qshelzC8oDSUXm BnthkFpNcmEvAssr0H0kudLy1MgkvlhcloHacrPBXi7hXARlsodpP8LfphN0Kl7eUP00WYLcAyf7 RnNJv2h0EeFtnuUcafxsPyCGwbBzZmDd9CCtzIkRUckr6+i0vlIzNLO2sjxGDOhlQSCCQL0nsTA0 95UxC1M9Vhos8B/4/PJyIQNQDTDvTgOn7vigaSB7LLkjVMNiTFr1rGM6504hdHlMk0FrO5yNtkB4 fuKLQ1aeXnw0lEiCsRHkxKcxCJDFKSOQZtVbGrt3DRWqOr0AIgCpfDKn3PlJcR7UDmgCY8V4t3JM 9aajrLm9opy9qXM7pwXCDxAZnDxHX9NPhpojyU8iRbxUxXSjr5KEwadAUssNiwEt3/Acf7XH0eq3 qUgNVjwu6llQbLarHuUlVXRZrPZAQbL5jmJFPhDAUcvtonSf6frvm1Adeq/v9u3Jql78Qld16tX4 /vgfmPS/1qkdcKbCpWbc5PzrcdjN/L1aLKIynB7CsRvtIvUFbzRQ+wxMxTzjljwxrew5vBzkvXvF v0Bt/jxrsAuz9XEj0KciDaDr70M4S9b2sC5f7HVd/82bQ1HGX15n3dMPdMk2z97Ryjd3EC0ZkI8X 6mqlV3PV1MGt/k17LW/l+sn4pWe1C8+Dj2um57XNjElp4fjCumHKTqDKE+uPsGWR38OiyjqTdLge SzS1/JRQIlWq7S4dSdCDVMT7hTdwLQRSvim3z/y9Qt9Trn3Sh4DG3ZmgyPkZUoQkH4OJbySiTzBW Su9aJveU184sVYPAhmmYofmbNWt2dvmRq/y7tbbtyEDbdVVzeagAfUZMUWszxXrMKED6lY+OFSyc bxTvdOXYrO+EmhApTYd4CNy3UxPpbVcvqtZgnXJOccfjwVUi8H4MMDVUlv2x1SW8pFGohwusZENi Ke4ALQg0m27AhXCLVlh79Ge8VBsMQLAK3u/2a1jOybW6cXMQ/6bv76pCOsFOTfZKB1RMTzhzfv0a vgdxiLRK4MWIBjYqIFoX68ESxavhR8OZ0ETHoIDpPeOQmx9ww5I3or+KRQBVlRs2MSEyNHIEVX8z mqJfcbn6q6Vc1AqYyaBmmLYuOnxaC4fcBuOwLlZ/1iDq7wl7+OtNh49qPani4c7juV11Ojqd4IbG bfY47S8WmYlgtS4xwzXZ1oseIDHiFUahxGxoMDV3+0NMlM8O7WRy3w+wCwtkG+8O0lusXuOOnNII d2cHx7PJeBcRKd8XBi6xNGBvnos4sEQ91zZDVH78vFlFHBmXP54zMgA6yPFvd/K5bywma0BQt3Rx QkKMseQvUHnuI+FNcViAepoLtnDtnhOpcPAaM8X2y9OYZo/1M+FR4C7ohLpdQoHFJHkKKRFTmMeP Tzkw30NHbdpLTz+8XHwB3xOnB2mkPO1aVT86anNGt6csJ+EDaAZZ+dGtVvZeQ2ChTi1qm6izjibb 9m42q0Bn4pAPP6HiBjzVeTkUIjhZpmMhWyBPTzn/XeUr+A7DnVOCJzZY9UhRsuk+i/JKvhFL5FyV Bckrr5cXIPM8i6l+720T0v+ByogmSCkjkJIbVzICx7MCpwt/VsMypOqw+qv4TRUGjRH3D14BYI4f b+XQx+F358cA+a57r28Re9USKSAh8YweBV9zpY6a1RuXAWP6M3+YpjNKoXVMWvu7K7mSqzJ4cV02 J7GqFrX3637GsVv5lfNQ5OUv7TPQJtGVgWP69Qiq4RBoTZh/jUfZIIIFwNFXMIqrMPFtLTpYfymE /2Ok5qpw/NorvgS4bGm21sF1hqQ4wU1Nnw2qjeepgbGssRz9IQb92a53vyjvuRmV/jPvHSA4549+ gezYVVAbncKDOHsR2HoZBZepd9bUhZhIsNDFT/AFJH/GMc3ZlduG4KDXW4JEOk5wYvVB3Lku8WIS Qh7UsGqLKvmTDhDynDQHjANRSXPL43E/NiBtRsPjkG4GvVorsqQq+Wg6UZjWr6EPkPTDiJ5LhyLY 2V1y5aj4WepUbYV3dKRm5lh//wCQNsh3mXSp3nX0unfmWnnoZxmVS2dCLwf90awds1DbeP45JXJc /wF9mFe768H4kNkH1iVY/U6q8Z5y5F+r/C5xPp5+l5clhqI4b9ukfg+CKkuOdyBWNG00j8A5fl6K eyX8VY0e+8dZhCA97p/7BCqxHh43VRu4emn32ifFhkt99gDO4EY2CGc/xMP+IHQEoYB7acCiaiP3 JhHxqDNX3RBqYporY/+FHn1yj7mp+tTf/ROdRAADsnTZUhd4ducQhUnoRQtDM6C5SVN/NxEEa82c iAlgDZy3HhiqbsBTVaWxVFUVn3R0mdlkMYc+jQEJxI2QQUYKKPawYDEmUvrcpYNC7RMUjUVF0g6n HNQmoOO+WVftnZfy8pHPYHLQAerQMOFMRJB9p2+BGWtmPyBgrRVotIAKfTgzty4VFtfR3DoVP9gs 3ACIlLwIA1ae3ntQpEKYjeMpR7uMMwsaT5mMEwQ4IXj9Bj79/8va/l8HjiuoWZoot52gEWY2sQWy ZJEyaR1s1T1mb0zZqKh5PaXu39J5h3+ZZBXYpezjEMJoV07sFmOJgK2SSVVKcmrJal8jw60Bcpoj SaOmHWHo3E7gptzP4zyAdebLx3p0SXlgfnw7gvwUjuMO3SxUHKRvynXcMp9aTfJYKVqZByf6ioX/ n89m6uWIDpiecTME5rZomNHIGeoChTPxnwUYQsgKuzU2rohucmnQMdurjTxtdC/I3iKNsKMVamWG j7buNCvi7LlmxsWo+p/BkQADh1+AK2YpL9W0F8+6/EjS3rQSIi9ml2AkbacIx4HLR/VgRvSefm+0 DRrtcLNu6x1zz32Tyt73uj6XfyuV4zKQYo2SUhncAfqlGZCuj3wUMiySBNsK5BVIttY4FeigIg2y jk04B+cmhg2GMwMePnxHhDsYGXmlroyFWvQs351Qa6QoErf5uMMc+JZ2fMGOWO/lukhw7oIE0sVB TssXfkI7v3WohE0jft0WnxcsBtL/s7VhU0Oc6yE5772e1p2zEF93UHFI+zeoKfTMnIMw4haisPBI tODuBC9cj6Aiaxv0bmp7T/Q39S9eoDnlqPGOHeKEJfCoy2yEpcZ6x0F+jjQYV5/vav9x6M51oXLB Pzm5ppEUsWvVxasLTstqE0Zx7QNsz4vT+j3i+AQdyvEfiS5HOvHgGTmkJv4AsZL6jdrb8kooMFWT 1MOGEO6hAt3lCUHXo3SgreICtUtKdiAgfsuJ6ZisgenD2MC4gpJyTUWFauGIjFGVVoNjT/vPz6l+ ZovGiSMFZ4OMBSVjz7b2WWgR02ndKNPWCUEOWddZMr43o/yyv181qhBFb0K9q4vbi0XVJGNngAZ2 5kL4ais/DdBY4EY86SzeQvhVy70HiHgaUmRIbLEhjBmWrwxhBtrjmIcDYlKZMfngKMzQeymarmeI 8f6EgDbMiBR/1V86cmmXK3tambtMDcllp/ckJUr5lC9bRn9eDKnLpL1KPRZNGDFp/PtzTmvOWax5 lfj+sClOVv384EtFYtnSOEBwg2eGpFgZFOcYC47KqAFH8wsPgIPmX283NeooSHkwj8f+kSf9hXKF jJcE4c0Zh8dAK2ixTf8cwmIyEpA66YcQgmcO5zbn8bxVsi0vn0r+wNS+DQ+nvEGLWWyeP38zyyHu oTXZuYb01ViXtyMMAWV8uHKIApJHdPK5JdEROL9ogfnqv+IVcoywAOxPw79jIRX9rir806R7wocG JHjyeG3OjDe3NagmWBWEAelmtXp+witAAlBTtYUa3kt58LbY2CdEwFTs9V/ZBXl+sr980WyI45Z4 4YIBlaJSVZaART1E6/J9CeUGOKPTMXfHaXvIOADORfJnmLFrKS35p6FrlfNWkPTE7NHu9S3sd696 Viw/f7y38qQp70vQmaYSfKFIqehSLLuJnZACcL9wtzB4BNj2vnEenum5sDtViE9mWTmN/B/c5utn 9EKbkwgnEhYANKBiG7LdvzHOLkcAdvCcEQy6WcZpma1R/T/0gkjHYjjUl0MdzVzOlNGYFH/16S+V +l4cFPC4aznB5HmQAWHay5l5dISw5P6KqvGtvXdRwBuN/0f6f0AwwPBbIjWGF9jKh9nZau6ZrxBx zmW3FEgl8sBAB2HrUWPGizxssba1ugHm3+UaH4rfO22s1gjAW11sPFhyluyIgF17BgHh+fSHmjtb 5JUyPa6N2nZhcAdHuYm3Mz2tDphOQPacuQj/TsLcCBwS1/jpblt+VJaXzPS0497rF7ErwYjJXe70 +Iqf0Z9I/tRg1Ep2/qq7C8nIjwN1lCm8mZI2cwHLbB+WdqILn19utqQHxgOLwj9HnYzf24fpWs+a Ublja8W6z0u3UQ6PCtSexi5X40rSON1p2ukMJ3+6nptqjDmX7ip0SIv3a0vZHx49RFKdw6amlyQ1 WHKDRcPN+h6CJbDYrhRo344fTu4Btc++OzDZFrD8GzULtciQfAK7Phr2PpgILmsWU1JSr4tUsWJN xZoz79J7zv+ommpZoIBfUi/GkRT9rJJ5Y6SslgGS8Zc8rAJUs0J38yNHwiOvHWeSXhf7jAqPz3Nw +5XEKfFKXwgtRGWrgXBpzad2LoqJdhLDXKwqDQSXMF1uwcpkAEe0BRd0GI9XCoyoIBgxN/OoFZGv IYuc2MHLs37CMJjDGsVEgy8HbaV3oT5IH+cZrbqHh9KLosurX2FuwGEjUyZD+5jWYDIrCi/uI8/O SbTMYYWorfmrFnFAd5UUo5dobbvuZT5xRFW7TUnMcO8zKPlRdT0ZDGSut6nPBjpzRgz4prc/e1g7 Qk36acSHaTmnzF72huOAsqYcFLklD6Q5ebofz+1navGAsOpfSOyvDamx1uRWediZ132xs0sD4rnh STzgdXpUZtL1tcNJ7vYwEGr385k2h8snG4RZOh3UrI8jAGxnLe0BrTVZFM6hXONaLGapeFST7aLk lQ0jSJ0VjSW5zIe6HwVRw9wZ2o6ETaStq/gzKhxVFa6/DJFFwN/LmU3aleWqFb8y2kVzZkBaSTyX 4GmoesKOfPRbaMVZm42irJoeKnA/4p5G45Bxo88w2TswgEIGmaJ0J0YWujdzUrKzZd+1QPgjXeUr KGdRMCl2AskNTiNEWNY81Zl8wZiL7Oyc9Q/B8PFI8m0wIxIXcFUzufQUo1ArWxgQTLvgGC2krtA+ 8a6jBTH0jr7XDzQAejuDffhMMuLhCQNkrDN3TrseDpxcovyM7dtKLXtPqjMc1xlGNkSQ/SIRcNmD hgAebhITxmfWfbdEk9JcxIR+BJx6RQHYUTTrNfVqv1FjquULS/+nTSvHwjf3MACVbAwfd+mV6dgF sEDjOwwHlCepOsmeWsG7bcrZHtmPnOY9ddJkpz/ZlQKqNEPlZmrQg0gnpPk2LcB7GITKG4omtFPT 4FTuktjdfP4lCYLdkDcMAX1gdqyrqxbMLnKZRTN9RiWzIMsQiECKRp84wO4UyaFtDp66ItOi1fTq TVypuDQhLMLTQo8iBAJcQJ6iYTFY4+IlVilKWPqlfUfRnU26SjbBohwuXMkzm7nC6MvCrddZ+Vhr I8esKh6skT16vqUvh6L9DOmorHzIiZ3g8eoo151G8aA2X6B8vTu/cp2f54y7s+JDD8mnc+b5JT7G gRQqwA1wHRrjiz8Re2bQLNsOyonMjvc5My8ZLImTrmun1l1i+wq21qJmJsYQstaLZDkBA97mfcm3 nLaeER29u74yzSy1MIlaCb6P00A+9ryFnS+MSuNwd1lHbyVGu70FjCeNbmJvUHfE+qFrauBriduy uHPetJrSy5yyzSxE8yzF3B5NTsn5vvd1JozlcB2zD5tVcmzzZ0xu12jwJDMEKIp108HwuJmA3ZHg IrZTpZOKVF6ON1JA1tLUWu2H+NqYiAKJfOzIvCFCZ8+CY0tVTAJrAtc9WQAg0uLt+C5RxgTTJZ8Y KMwbmhKprOn71xsRvVS9lpB9e+6CZne/J6wfQqNquLKqS4R3NA4c66xT0yQD9Hf8qQVO2mepnmhC UdYpfB+yB7VYikC61kAs7SlhWY27KQSqJEZPtbXrVIXzXAuJl69hnPsJ6bOhbKaF09ETurLhHbQN hf0QsG8rrOOkrTBQZa+2HVSOJ2pfLYYrtjaob++xuM3rFtqK0QUZ8D5/8/2WhueDSbVtmV4c2ZZP PrWNwoaKy8NiVectIHikGMEzeOY6J8gqO/lsIHqpsSRy1b8OkWaJhrWlDAtd6DiatPbqs0KTfwjw ylGjuLQlM6f71AdV8wtFHcJr6Oh4zKBiCkPT+y9fJJfDWOxmEcel9pKkpTE+zRprkeSpuzVhUFyE o0+XdiE/1+SnhwOaFLbU9RFeK6a4TLbTiXQjA6Bs8tydZyc5gEE90FxYm/39dmYZXFm6A2xgJdHv rSg0x0Nu3Dra+YaokKeTnzVTrYJHdEEW0VZnbKaoKSKBBWgrzHGQCqpllpqVsjWwMduln/o7kt4r l6eDAo/t59BgQGtkX2Er3l+tXawVdSFKPKs2C9D9/lQrHPa9qwRGV88LpvPg157YKLJWmAwKch9B Ir/Vi5td/28mACftDIlERjPcXJWzkphBSjw1t9kU2gO8scHaUoJ1FmfnnWxdjXsilfvRzRt/pWaW dAgue+/F7RVFRn115SOgBVF854qeBVqXJwUCx5rh5RvT6qY73AYsL5kDKmWH3idDem97mNT7wKXr RU+bTmT+N0J5UzdqCaen7elFVuLuK0cYgfkjiDrjttG482gweDe9poRVFYhwhzbd/Z8KbigNUXny E70CzG7gZAIjDmEUeHXFcr8tCyLh9FdIUf5mxpu3FbLrGuHPwr55U+tHeMBwBdjgtHLZVA6p5Lg2 wFYJ0n3lmjmVGTjV1lwRXjPO6WvpiESBRuFiKl1k5fIUM6KjsPoeNAL+RRaQNudl1uFDdt5ycgii 7/iafbU7c1gf3lU76gbKO9bribpP2NM8wqKYEne+0TRoTcvKIrGbNBf5dorx9S6BtJSpJklzQD/K WdLZ8NawpTiKTQ4C5rzKKV9HN4XKontaHv8I+McTRBSUG+57dbakTkjgYgjjWEq+Z8ZtL3UIxwCd SVYU7qcWKl1lIG9o/hHo6toWauxJUbUYKG5oCOIlqKVgktl+F89U8c1EMOILPnQte9aNe57GCck9 P9q3wrS6gT0oDZKzxpRxeHO2FrKHsX1RxzbQ1eSkKDZBQxoioEhWBcX9jCRi+B5/SFSC5h64PHs+ rtI4ICrXvuAFkV4rKbJ5Y5pKdCgiIOCEU6IejSKr3Y/R5azsUX7vApfgGBgER8qTKAuJMF6bmL/S 4RXEjmf2bvEq8FHb/4rMau45GCWz+K8mPOPX7wamynGV+GxJIt9JAkeP8b42Pm82IOTsnXXqstw8 iWCkcqtbWi/YRdfC7skPMLsYvvORfwrAuhmzxIA+fwr1menRedpzdI1jCa+mngfvGnHU0qwqLm7M I1GfvwHPjsFoVNV7kSUhSLPwQFmIZ5bk2rNnQ4nxWx5Vaqu5zNyrSIdsLtfgcqfbEXiTiwfJbsmY 63/mqFRW/nzcyRoh8M0FB8wO1oV9uy5VgUz4eKRYdPa32e9puUQJkenPTYPAZLRAOnV4rvQ+c4E3 hAOdGSY3qhRALtvPBNGYp42cwEiA5S0DHRP5pvJ7P2iXnm98FkDtdAWmV725tUybn7GZ4kxslONR he590ErT2jcVvmPrGWYRFAZwiCe/0dHwT5S0Zwd8a5J1KE9e22vKsYqeuM5+Y9BtWv4tZ4TnqMls 0joOV9NBMYzmL7lkZ3YX//g3e8Dv0NCwKwn9TEY1b3sOMj1CoGS2V5+jAJuQwtbAf3AoGK+EnC0Q LaPc2zA2G4G4qCgpE7glH/pCjn47PM/dw/IO80D3siE6K9LQjauc0R5UOV754fwT0o4Sbl9gWwUJ u3NsDkf7CF/nQWhPJNn84sA4Mfq2mmkZVJBRNHdm2rYha1WOUTUI6lnl1d4S/xBCyD64wLMe2Uvc JspAxIItBcykgTVKSPB4uMJbNxixfNHaiU0Q7po3l0Poy5igBNMk7If4Egyi2C7Mr6lG8Zwhol7T wedAJL/uWAJ8+ibN28uv0a4+juXUp9Kl7dX8K3jAn2qSARbZfBYaB/SKylEgjB7B/DpngoJ3JEKw Gjpkw+qDGgluuiH4h5IwKUD3GB2W7lwd3KvQUX6Y0Ep+9b8wJ+17kBD4RFyMRoABCfGRL0EzOrZu ZCpCmFweG4/HP8LaWQd4EXkeSwq/2lJty0T5OTy0JQJa3K0cZ8JthKUDdbmyJn2B345XGg23XoM/ vKjxIEQIDI0Wgs1Nhs2YH6AayHwH1MqsE/DkKkm5yZFoDE+HUQdF++u/4euC+nKa0W4JeiuaN8RO R9rZkkIK430m2OGkHsT5LOm1iQQJTbL8hqogDXnsP+3l0ETAIPH+u/5BKVBQeNU7nX50ZOmKl3se 0vvjXl4iq2/UkZIvt+CPB6F4NupkhaA8XoUdkAB1PuK9gJHT+DYlo1F4QtR/eSjturwvF8W2fVam 7zPv5J3zN0E7+nkgfxF6lp7/syzJBsSYghrrYcab9t/cpdarygz8Z/4+m/nDCW68lsLhUxhLTRY3 QDWjOLbnqtylmWy4ZwdLK6aCrybGpbkF142Qa9YmG6LQeAxX080KiVdiDUoIzedveSfjuNyU3jwu QVUZ+1RBGgjgBljj0zSweZE1sPlnfthscXihbcQkCiIgHQi73EruBdE9oGSNUFW6p+6qwYGgygv+ 55s4HaL+d3vkXOJZGbYLNM/FJcid0qzY2jr6MtnlgXy1zZ11//AD5lR/3XMW9iRBKLKmwP91jiKu ngfqUxks5+14clO6PORDspu1FKay4Op00n3Mba0gr8QUmpG1LXIuMgnPIiWRr3Wp/bnGgfYc1moH 7vYRNHYZfIKOM6UZThjoyVGct19H3JXBzKAoh5iVBFlXgPOsRpXPP+Zh53j+T5H7jKY2Xo6rGQYc dai5svb3GV90EIGT03i0efGgTfHEMvxJFZZMlXeNiIEFhP8zGT8nnissjggaQVSFwf6Um/ehhRiI yeXVLj3L7i2HlWpG3SOWNB4F32SQTfj1aoczJEQxah51FW92/aI0sU3A+6QadwIj4uFuaq///TnK pDy3PLVNuviQvSex9rv3ZO0Xe62v9ce+VUnHgZyCraoIc9EJyT8vV52YrehcSp6Ae83UgeKDukOr Z6WQR97GJ66zvehqigJSuaGQHvvMMiN4L3uM2N0k73wJwdWwBzJfL6AKFoyhqD56lErURMkNCxIw rAh9TzKdzJ7+zlgDF5M0FFJuhhP1dXwDHubFUPchq8/AO4i6R14Lf14SERWwRVTsozAfj0AWNxiT X/ByZbNs2RcIQpq3GIXD6LNowq9Ccllm+S1tR9E23EyUTZXfTwd6nUmsjsp3MHD3eE8I+WZpW868 pwU2WfPwWcAw2wrnBHQPkKN6gkfzZ7MlDJjb0iRJMqdd2aAhi58uSmVvbv0vMxtEcpceqfmofR/Z 5/Ugrs8w39LIILUndOCLB5zLd7qaqi0Teg9UZH1ZF7L2gXl1F8L/N9Llf3rNdwlPtjIcA4oAJ4Tw RANUQU3snwcfjEmEaLpJkIi0Wy/48jvZmV6EgVT0aOTvv616ueR2RHjmSxnrdakzz0pLpKhYcEWr VVWHlliGsA+v/6MAjLpLHtxsF3S+JBztWaGP/1dE1ZrKcvBzy31iIzZLc6aZ+dibygOfyd70VEKB We0q/gXCn/+jegFkU5OGZiAczI9lv1fxGchw8UabHgPHuGlqeEvflq/I/xkth2vqGAPzyxsUfHrP 1txSBPalibisHYfiN/8dJ/uTysFp1UA5wQphxCfEIddDrz3gFM+cyvbGu2rzz9a+DcntZL9uY3Od dH3HSUbbk3mfHxQK176tZs1iL/s7G7rrv3WvLSyX1muqmAT+aAwMgw4to+nnrgc0mJFQVF8nnjff 0Sa8FjZciTaqga6n5VBlzERbG+o4YaqtaIrwZGvd5S42ZPkPVb9QK27Nl4m3UHcDxU6Gh7tzCHI3 V0S/CSQ8ecBgIfyN8gu2JgNd1rjKq5yLiUFf1G1Sc+hDDQj2AyMuQHR8FB2rdnwMreo5576ckgSU fXR+L8+fhqX7WIO2e2BF3BpthIlmSbLOI88ajhTX7dVgJL3upNU6vYk3wm+3qM+CC5A4CuQ55ylY DN1FwK/AiyuwgArpujF57/3YprWws6vAgnAxe1VQu59Unq7nApIj9rqYauN7yVrY325SBDnlUki+ 6E1jcHfy8kBylNI30h1o51Vpa+q3PdEfOPuH9Zn1LY049JXAVXsY/YZcBBh5/Ofi4lFGhDogcaWN kNLHcpXo7puwbLXEnnuJZbJaLlP6znXq2vZ2nB+QUaUSHMRtmSSHboH7udIudkIVX1ZTa4S+zN5l FIWDdwoHDmNXcWJHOljta8lLQIJOQ5lP9LV/+9+D6ET4bz6pHs7u0o7862bJ8CP5U3Te4uZXQfWg S4i+d713rMvVeZ0sg4pLfI1CT6raGKfFlTkhhcoSq5Rc46vx8V0Es4xwokP0lPIWZAc4Nj3cqf0n AArsGjP154WkijhHn/Eo2xGIRE6CrL1v0EYe0t0Laq4o1KvAlswIl0AIsdN2MTOmWHX/Gws/ibO8 NC5O2uewlvVCZmFTcJTiGi9BkLQYeQ+zfnqTxx/A17mfg2iDjQVlyWJcKF3RP3woxlM+v5ny0kxW Usbu35vgr9tL/cpRJGtdn5qlWS4f800szS9EV6HZA7bZ2n+s6lfUqXyJ21D0m8ZWp9AQEuU702UM zBcqcBh8wVOJwi6kFJW92G7Q9DKJAcv2lobApgLV7SISHr/NQJ8iMxHh0g0rm4tY56QbHgP5HBiy DcvSXJS1M34RzIJ8CMLlFLJh/tEbbK5mvBMY2a37GZs90vbmvuq2mfIQTD2O3htj9phqsWLomKD8 D+ZH/QpQ4lmglturKbuU2iiJaVIgn8AdFXK91/PZwjoqV/05lJrgmtnGZZV6cpGVsek73EfeosTF maR8BFzOz2JQ/V26oEOML/DMa+hUt0poA0Jjy2gtzmoX/WY7Tgpin1vO81nX9KXw+HAulRzWVInx +C3hghW/4et05wJFlz0WIzSP45OnRLwsJ0Jg03kgLpte2s3dJkgrfzDfehNhCzBD/ehpOJTe6Iae 3af1/wu5EhZNdks9fEppzZBxbEFA41fSLCp6cAjeNtpspoOzflzJVDehM/QY0zS2dJPNX3ikiN8W wTcryqVl7A2oxFIbmyJLngeyiQ3xEkRc8or8xykgYq4T0BntX68smm113P56FyouR/XQAhTfS5cm 2luDRcWOUO1Hcs0UEvTT6P3WG/mZ8sA6eoYYaAjs4SndJnX2yarjzKbXf46EAqxJL1PK3YJI4Rc+ 7O503HppVgSQBHgZwhDMXJ+4R1l9Z2eWhpkuQCkd2xf0RMH9PP3uScCfenqFCeFKaZtgp+1zPIcb 4vDOFpOlMX2XhsO7Lyj6N7NotM6WQyB547i0pIlAYPHNAhtPsApLOcU7a2KWkLSdjk+SpyoOrUqD /xDI4hOk8Hqd0YsQuA1beqXQk7Yt+WPeSOkuWJCjSM3z9Tv/Q3lVQKi2D/I6aWF7pghl1/o9dRFd XVwtAU2s5Rf/gkwMfyKUN+deYyfsTPtfZWWhgkb8Qus4E5Lr0TPKqh8tSzNbqM2KQfnuYsQNdG7s 7xR/+YykXVVoynwBepRoiFqdjqPeWVjxRwh98mXXmsDycJKhZbMe/obxVcoVwFjKvVVAqDrIXXBi uplJNdLDpYNbwfNg8ZOpa583Mfv86tElrVPVvni1egA+aW1rnXzf3lm9LMB4FtM0Mhp0BfEshndo 8miHUSKyr2qVgWMLPkEQQrOObI5kH1t1qac4YtndBrOgSZah6pqnHDLQhfdI29Q/AqS9q4BBZDhW nPcDfUmnqdyP/rzgkA+HKPIxeGMzsLFuFUJQW3rRWKuXz3SZd4hZMsHgZoWPIV1ZwVZAcEQyjEOt ZdBovJTTuqGnz2zyaW3BpgvDb9HpJ6Q1LqVf8OYCxex3OL+bwEX8RcuyNRA9mpObCez0kOOrYouW 38eDQjLk6cMj9ps4SKJezn29kXr4jK19E/YF5t+LtD/W4P1zyRQygyv/exd9pCGKsFH5fUIGZ35W 8BWWgyMVXOsoAyLPAq68mioDKk5fAMTtUxQjjAmWbbABYc6czQ3u5nbEsX/FRsPKXItQolfODWev BfDxZ92xhFGKWJIhlesAqvy4Jycj0pBUWNgYuBjiw26U3NXbsl4mijbciyjM3GghJp9qUiOcUiXX iZtH10gMECJ9cM1WCLmUCzaiJJfLfSqRFT5k05MGlL8B5dhqybTxpf7ZMh2ybDXu4ohS4Gh39WrT kpjC8e10o3TClOhyKv9qqX5r2VO8634wvKfDjCyFKDNOxckqJG3Bzd3WxKESinhuI+l6kIQ2/ovq vA3e2R5GIDeTu0pE/vNV6xoHuuw8A3zt1rFetxI74JEWFEeDqV4+0csjD/fKkHV7rskUH7UXLAFg 5UKQVZYtrsLpnZ2QSl7QdVCqZQLCieFKtfF/ZXHyDU4GhDJlzaXEHgNCTsYQ6+ZnzT/Fw91YarZC sfpaie9hAgKugyoormbxuluE7IAN9MzFlhu+IqKPHMhE9B50MF5ozxVim6TCdVDKfxmBjVrE61qs 1vIhH15PgeMPi5OlU3ogFHItot1CJFiZEO3lUHx04tLLRoCPbQkC2tjXxWXmexojLe19DzNG16RT rI++UlD2TjcAtiA8j1Fcg/d7nUXjo+N/epvkWPuwmSS3J2tJm6ZqWB8eRj7Hl1NexZGe4JsLXSrA 29zlDsV0NsDEPRDsmcN2PUde3uReKYUMEUUB8Otq+zkyh+p92so2OkSAX1FIWHk/UnuwL+yxzXcE BpVqAEkmVYNG4jFzgEA6EVr0c5BICStrG7Fl/ME7iqE1RM6Qj4IvM5etyXQRBu2ZbAlixpR5EkNM 26keDSiIcgGaHttIhY6wB3SqjGZly+i0RzQyeTRRAPjFW2+JPCdneYH+WutsUKPpxn9PAxcPaIRz mZNUcu8cJ7B5dH4KAdjkV6r5a43hmhoHaOxDpKSH99sdsBBSOwCMcZkGLRlcg5PDNKb5W4o+kHXP jaZvc0w+leX4cfJEUkAIkob6STgVinFGYVDQrcGLlLOrWiacKrkl5qlFffEmNKdaTvrj4CWxC7nv cr9k+4mPc0Vg2adfoVH1Byk0f0CPIjMKgzqVs6zkw0I4mVD7fz6Fgo/z+hd/88iXTmxWvw+u1NrQ KWhu6KtSxMHEC9fdR+qo9e0yeeBW7pUhbbxhllWBL3aRbHOgTs6Ynji+ubCYqavHtti1YKqJGOA5 OiGEJ6+EuZ9btI1102d7hkpr1fXcEwiE57Mco7i3FaeXG3gc3vmSw8TFCNvewfuvqLMmEzMgDt5q R9o+A4OCwYuU3loXziey6V/f7Jc6xwCcfiK4ujXlGjkPtOCxBuouFlm+sWpUfwEPuWhiSpFPXfZk 0yATseOs34kMkui4wJqBhroBpMYglPx/vBRr4sRik7VPCWNqZLMYeju9KGNO2h8hrJGxOjjar0hR SglAJrw8CDCGwaL09n0hvjPkdOTR9qPR6t9F00CcepFzQGPNGULv9q0HE1cYCo9TS+HDo+r7DMW/ sJrgXFAwTayYxpRDsrz09dGGZbA7vgYj7wV1WfQdAO5ZJHcyxduI1EXBWiMYu5lY0ZCuJla8CIh6 d/1SZFfuArlP5dbWkMetQFPzsjBjSBdxC9do415t5R9OR8/kIzf3I/Xxl/MqWba6C8LRqlKpb/Aj eo1pePKuksh9Q+VnASLroRa4Vp4CCuhNWHbtyyEet9HZpPksPjepc/JjIVvPeQQas1SHmts6ZeAK EccZHVHxHy5FZ2C7AqOIc/oEQbWut8dGxpwH6kyTgFdYKKNakekDqYqFFrsKxlsCHWGSFw9HSIzl MFeMdO9/bRI/f/CCH8IdXh6ZFrjyb7OCuH+S+f5uMxSTV6QOwsRf9iBWowmJUj4KJBuLXgMa2rgM HNLkiTsLBvynRcwb1rLvJNWWA/JcvV/wKdEHwwiDORas0Ux8RxO+8+2y3k32XM58+vwJl7e8dB9Y hrCNMI9O0cHeLrJl7zP2RLCZkXO1s3pShur6XRpxl39e7j6cYvikKFK/hXsDSGBf2qkZbW8FEf89 aJfoSPYWtbjGx8EKiPl6mCgp2F4m8Po9rPnsvswMloPNshQUGzlC7Jv0ou0cnpUzgcTp2Gaa8nRM YiPOlMFtnZuLF3zoasodRi8FN+3RI6L1RlSaO11PTPMVxQhVVy4crkfYK7JxdwrfgflB4jzcOQKg jQSNQyaZYaveuNcYwTajiYGAjVPEmXJ+iT+wLIwcAl0mICyM5opiQtYEMV7upjHmS6G0/9pHDo3p OY4ypGZN607EAz5Pi83VveQjbJ+GvHTle6ju2yKs096tn57GyiPnu5BzzmO5ZjE3L9mW83RGBhSK V7KUVChRM5nPyMAhc8jpNagfSpRtbQ/PdnuUTE0q7HxNrTv0MYAiJzt73ppU3dUWjK5SlZCInL8L BskEjonN+s8KTCWbZgH96VGevfb0xDq/thxeBEHaBSfQIqh76x5BQPRblErWVn5kBEPvDuUSKGr7 Vd/oVRsrBl5zLm/yj5OwHD9r2DYKv/MzUQA2gMcRUV5Us5+nGlOVJs/5GtRIkvTr13ReiDDxy9du jSQ5EKQ6pCi96khxc+LU5NpHzRBVwOnSVjX0uPuNjvP/aqM9jWZ3nufifDM/36uj6WNeEEmYFtZm gfPhX2JA/zIpGqU1COSTfgikB/xGffZfBy6Qmyu0xi9ayC2kBsAOe6ZNQKUD1zoUtYGTyIvEdDiQ aNPcgI0wNRPfWGKBOdj4YU7R1DT7uNTVesNYghn5Q32rPKWIaKq7eLRG6Aku9Qtl/Ped2xfzJbHY uY8U5W4+xt7/3NrLFacWbH1+hecthjwvvTR5bRPMZGGPRCMtmLFD4KhF3yxRcpbJLo0ehum0H/Ar Ipnd2YdCjeUFgR19qRCkIQ7DefntxWZb3KtNwum6HKYT8e66heaRqm3zk7TgMl7zBgrRaCXT4AFp eWt/bt3MVlMYXRqLXPSCRzW/wvbRtB9IcW6GnNBKYiHrL/FyNzinULrLvtT6h//R6wShJznPLSLR pVnAxJ002dWD2/Lilb+cIlzot29CQkj/5vHuBrGIzD6w7YM7WeFP73d6Bwbv5wNctPF4CUv4u+7f Q/gLTI/LhBEUqtjL496Vczvm4nnS1awwkWPlI+xG5B+5Szw2zx8LbvZJUvv5AKb+JS3qjwY+kDNT 9kCL1EyXrI72jXQq7fvq1lPz5tnm7ITglpv2TJBjRx9l169f0/N5Ufc2Kccs96SJx251sM60eJ+x l1lqSQc5oOODny9LfrHZgGnSMlVda7/Ww4wURzvV98CzImQOXUJMbPm5gnDsHfTxLHsg726Bz84Y UlojlUMhblB7DBl55vryDG1jW8rN+kYr8Z6cd8MtVnKKKAYRV6VNaUOU61jKMDBQtN5NOA6iXWYC 85O3pGR+wwY8RJGZR9jpFOGzrnqp48S+Enbva06xEN+fgJM/V8tAsYzb/G+nocMhwD74Z13BNN+A 7uZdCUaMug6hKyo985jXpaygOPKlZAo4kFLkjAEC4FDmtq9jv5Nuo7yJQQIsd3+pfNaxsUyZTOQ/ QbNw7v94jqbnd/ObSJuVtIX5x4fdvD/gFWijSL8QA4SZu86bCgkX+p8zGPsVmnkJdwnU0BSn3/m/ AjsHy+8Gouu8ER7qfGZjOcIjt/8INwfKkmjWCKAMn01OoP6W/lau8v1yw3czjLBiFbYpFU/GhJAa W2AJn05b+UljsO8lYuhwSFGr+/zg7WdLW2j1EO4PB535Wqel9jFS/nXuUH2sHPc9UNdRLpTPK69Y yWSH9Tvv3XyQBWPo6NFmaRpNPqqVfhfQs0I/JJP2oD9g0BTmYa+4C+WWl2zo92PVD2ijqpE7gBMq z+au1ZVVkcw3Dbg8hr5U7d3zvhSY8wWW3TE7+Qx56uKr03UP309IcrrCPphTGr9TN35Jq9WLszGn HHD37hO0CRbiBSIAywbDKg80V7cuS6dvN+udrKt3L1LY0Ld1ANHi6sSqRvC6Np/yxMdZ9iKdvFRn uPYvS4tmbKVdJCzr/9DhCPPy7xy4LFYpJuvrC+uj7ggHhQhWECadGSGT59s3kruLrEVOVa4DKbrI b0pzKAazUViunPt5HwhDP5DRyPdILILLHXXWleHib0zRwHWOZTqnRfZwkL4b7IuiP6lBSEKIG3Xa 63B3WJfHdEaeU9+ynYr30vcZja5IggSqlB9oTcPdQPwr4V7wv4M/S5Z+JTvyaSUn7/0K6Ig02Dii lEhXGszyJ+WrTcwgPMAXO8PIodNp1kOiSbY0cUADdbcmHl4u1Th20t4Um7jdKGVEv7mZYqPdfr+p PYVKu0Up+cWTlXfFKOKONlvce9Rc/HfPJQwfhcGCaxKv9qe/J0AMfD2YalsT4eY6YRVbq7UQruwp hRQ1VgJVsxXbZqVoeZHb+DL/BJ7hvMVHqKbNfFH217zTCNsJy3seE6C05WSjgKltWgihhTWqMp1/ PYdfesm4ZzTVDYmI/AoTua+ikrpXTwaM+Wi7VtcpS56lEsbJ5uexZuJgO8HFUSbdq3hPoVrMu+bM AcaSoSDC1WCFI95ugHET5XQYd3llsnUmDwrvMaHVJ7tY0h8bYVO0QKv9D1e8YJz5WUiYoRHJJZq5 5Y8EdQIT+YpX7uEyylCbGfiWNwvxHz01Y8c8YUSuvMeq26XfH18qMHJMD9sSvSNNUrHDx0tbpEDI brMaqxScIDL5RNc+uzvFnnrr6yelTiSPLt3N6V0XkZKDXrGulrjUPmMAIp/IACJlO1fqUZ7dOPSp iEfKF3jRfBCFudXHYl8MJmJxmJa/lPi2+3KirS/GAHyIxm5yrUQidd0F7kjaM2qdbhcyFidf8GwJ 5LD1VsW8caZtyC/uAA/ReARWS6j9AMEMgNVw6GCpB095qDcigTdpxbLAu6Yy21Jpc7oTGgJmz6Bh wlXrCrP1rU3aQxyzZZJvQJEkoIIa9MyosUIUHowyOl6C+LWLWv0ELxNSwLaE+lmlIgnijvLnyR5E LRYW6a0ewSFjQ3IyZuQlMsNn5S9MfclS8d6eYom9995TSNCRnKe8QEA/LS62lduFb2+aWB3RC7xy XUqXBTCa/swpZZ3LVwBL97xASdH81KGdhx4PeC6WjvvnDDIAFnt2ydQ9GpPFw03YWMkqIDrOIaF1 SF2bQXrxYHgoPVY62Xom6R+8AHgBCyXcGDA4ElEhL4irEJH1P+iIv/ZW4IQk9EbglgrqcqIwaemm 0DJM30FAPnNVdG29H+FlWEOEVToNCIa7P6w0vfWWk0Wr8upGRTwwxIjq4b6zWIQYOrIsBkLbtC/+ kaWORDU84fcTAzz94QhOdZSlwfDDImanLKqs0ZsSmYQrD4r6pfFdG8BKTax0yuci9g9tMJ8jxAdW e4eRYoeNb0Ghp7ZdWtFRX46SJeDmpzUA7rMPS4EbCigizNUKJ5Np0ekupFKxCDU6EY3TG4dC+4pj W12XDuNfXeyyUMqFRCxAQpv9+FpeLygZZlRHY5L0PQOh5bDGlpcFlCgnNClQtgznu2vym4HuIm/I j9a2SOV2d5QdsTBReCbF6VOblN9SBiJk+T4nA0iMXiGkCgD9Y0KrOYiJSRH/7FFjtFZRYH3HRwAK 877Zy9Q7OytcKP24KL4ZvyEFRtQbnogkuJdGHPz4sSPW/vU5wM8bfya9yMJpyAYGMmUg5tIvdXX6 gfQyHKRUmoL3R+2D4o+rJcu4qPWJkXMcf56mCEuv6ALp+d+E3U9a2BY/zjcf2zYQkD23qjjBCbs0 nWvdEB4txrjeaV+XYYHI9IgwOvEwY7KCLDNXLeYZB28RacBzLHWP+u33BBfeTksQP5dIbr3sjql+ Pw4X/EBlMrCF4TIhwKokKZfRLCrrNSe9SeOsCHVa20FmNv7rJore0Z9w8J+3cJKa97AZ2xzNSxv7 3qoWQNi9njtjUkeYqYR5BzVT7I6HeHwvqzhYE/6peQodRI9Q1kG+BSPm5Mk4pOAm9BtdjhGxCcOY mlbVTkbcnY58vCi0IU+nhP2i3fANSSMkAnBYdydFqYV/O16GBBy0Uc8eFO/IcZledfRdkEBLEfFY Nf0HFk5G3VgV96Aox1ED4y1d8Z87Kb+R7hU6NkTe4NwE72t3/fFFoUBOxBB7VRXA/8ka3KpL7ALD QsQ9x1wkst7Bbsiwd1G1DKvAIhLDOUyW6zny/+TEarcZT3byIo8uShw4nFz1KJS3czhTMWWWaj9L i/YxiSZRtJz2DWJ7Pj9qq3/jRVoZG7IvqNjWNE0FRcSJaWAngQba24g8jflZLW+sp3hEMpex8oxL zDwi+gDFt9eExcjZ3mN1O0i+TmKwwtaCTojJeq5bPyjO3K9144cbQ76FmcQYGl/BdCr4XBLLhzON nUsHrK3YhHLEnsoDY0fibHVf6IjCh3IT+xJTe8osZD4Lay+/3KjvtJe58DYCLYHxBLFKZ5YVxEku 7mkRJS6ZzyRq1NXBGH35LF5PD7C3zgQVdWsBvorLDWDPKFZw8wwQTc8aUutVCrP5mQjqvdLqGmbl ts5WeCBzmBGSXl8C/MHNHlJuE+T7qIUYt6+xN7WfeMfhpX5R8aBXr2qrmYrDDs2ShtEpsk0y/ZeI xNt1PFNvBiCtSKxvwD10sqLCouunugIF4+80m2yKbJlMmpPxICffXHwmt6Rho1s/bQOBZa0nUs6w FfR88Et67MQqRA0C8jioQ0lOt9+LzzmsnI5AUeDikRWiQv8ohf6PP0p/WK3twms321PAMBlJaWuU UsT2rPjZWfIen2wg6vdL6ZwXKlI+EZxxAm9kI+5HOQ31M0a9Eom1Q8APS/yM5qZfIS9LP3ArVOBY eKa7SIOcJ8sfa1mehIFCsnl/sVBVNcCPHoaj/M8263g++r6KalGh/tPr6YoNhXS4rAJ0lHHbqDnB rAbye0hCB1zqfUzVcFzx6MI9BNPcsmju40k38fO6JoiQn5WlVOzHvpeNb+iKAqAe+teLYAQNQH5W hyCgIV+Yh1kugtfQgxzDSDx6rz0ov53OZ/xR+sMV8PKDko2WSNRn6QeyfYO/UrZ9+k/1N8T3iDJj /FDeWxuwI4zuEQ4DG9xbkM0x2xo0YZoFzXKcoCF+v/g9hSi5f6tvzcUhAM2wL+ydwG8nsBdZgy7a bnTzhy9rzq0AslumRDYwty0Kyps8gjSlcyXwWnqhDyqfi2ngROn4hKdhWijbJhAuV/L4JVK2rue7 N53m6gMJzugjgftr9yZSa+IHwUtImH5C2M6NZWY2YRUlVbP7W7w+avhpLdxDbS/vIveSmPkzuPr+ BmfPIe3z6jNziCxKeU7bd8VPWT4I8UDRpBZa2lFdeFrAPAZsXBihVQ3yZ240vPEWFdzkWytir2E+ fmN0mYF03+S5G7+FKOZSEGMpSClF4EYYJaJE82OHOcz1s0MwjZeCCtBlQwK9YvZxgKcJbXeATp/O OEf3U0VafhNUZsv3b+p8tf4r0srZ/LGhvgOhiO5ynEbeIHxCC/YFQuVgWP0IM76jlEo+Dij1xQDl 8QmpV8TLlbkg+mhxa1GvbbXpPmew7iZJpNGZ3Yzw1x0iRK3n96106yJUwdGNMj1DFo1oneE/qsLI MF3fQvmtbctzNjc5JRobcftPyg/HxK94lC7Vf9L6hYBljmJ41ad/zHktB65JmU1TzR/Z+U2/L83k IPX3H0gi541PZh1lfOFjNGsou4b6PubTXOJUPg7Vht+VBMk8zAetfVPETac+B+CAKqL05AZW5Ik6 i4JvL7nJ7hmD6J/Gg+Z2RJx31FjW8xYK6U31t/7u4hKMRBoKxLDAxrLPvpxlxf1FH2GbR2FaRIJc EYuQ7Ga+El1aQvqd3N9owdomAzgCypvRyCvofZuHW8cbZE/GUr4sG7E0BZR+eXmb8bn7dYgxd6BV GmTPdnTUQdPGbgmN9YBs4O/BAb4uXnXUXtHm/+DdDaoST3aoUkl2Wb8mjlvDBu8IbgaYWk9MibkX hXE5/oq+NpbtL4UQD1bqr0iHFt/mGQ20exerjQ4wpStw++L28wWdhahmLxm6u0l3CR6jDLUOffhM Be1nXvypoH/6FYX2cd9wzOcLejzNVXroj9KVwDxjCZ8oY28gK+zCYzHzTa3jYNT+0b1reipIvFG0 QawzYhrqpAdJr1EsLxbXhHH9HwVjbO8jeNI8rpWsqBRwO+Usunur+rHBeAyYBk1Sup5rfL+hWeDS LRhVB8uHzATQ+BAFwPEOdlyW16XV98hkjoXMSEJN3J+SfMfCQYEIX6uHbE3MSO/lCfF1nJaaK9wh +PmAP5dY2BOALUjsJtiO+0jBL+ss8Ho004O/GE0tXmHEVScdvJ2fjoLRpCuZIMbl7kZoCO6hnNEO WsApZZCm9sFSj9y1UrbPyUX87lPvANnFSxBMAm9pDAiN85934Z/78nxd38cBF5hnsSbtz0KPAa6S +c+5DS2mzHlLxLqNWFlj33br1xD0eqXKg/fU5/NoDTF3WDB6hlUmRcVLLNSCH5Iy+lmLon0cfjTw PQRK1MBRnvxBFYMXX7Cnt8VLEK0mgcqgZZzNJYwo0NcsIyIKb4ZD4mpybf7m7CaFPPUEwKUmMkDH 8VUwR37PdOUtYxxYXDaLkiRTe8tesJhnIYvVNwUzXXNcIs0ruw5xtjEEZdfEaZG7AmcHQ0Jsbvor RGkhObSTev71OcDUSUsuVw48mSykIpd4XXlUGbeQvMrP/BqHDcjbioEXaIOZxf2ar1LDS1zjIGfP MyqENIa54DaE7tdtyZV70b/50PMkfbMRvCOZRDMAmMS3A3B/0VQRhIN7UdeLhIzezHX9Nc7daLpB R80aGyvdBlWXQNIBkGYH3gOp7Jfm7/QxGgQcC/sbUamj6B6Xt9i+gS4DTwAyzY9tjFwSwnBZW89z PFUH7n5QotPDNWMmCdxS6KkiPsOGVfmELJGkKF7nrXfRWHes7WneKhQRtiwj4md2vQVTgSLDc0eD mA5Nh/WDzzehS73WrjbXYLsVZQVWZi7FsenoUOiYTx3qSNoqXbBSQI6DmRpjHVdTztsPuFcisEgo J7HxQVEG1t0kd6oA9W0hrZ0rxliYb8N+0A9b33m7CANUJxuUaSbUdbiyYKcPBcGVmRzL1r+JMaj2 vYeQ/y/eaBDZwILtuGTWNPuuCD1xlD4VwroXECXO4OzJ7lRPegfH4HCuMKlzzqYAkD7gMy0nMAXD 9pUUFQNURg2S+gfQJJ+2WFte/B9xPIbHTPP+ZHU1G5HRP1MmZdDF/spfvxium8wz2HQsV7hPN6XD TH++jgtZl0oHAyfqu94+sBxYYGEggydp5FaOkM3gSOuK2fzYLNf+t2HhKt1f1Um1bi5093fue7fQ GO53yXj3+90Cv44tTWSJ9MED6rtkyJpa0i9nLFOs6i1TkbIdZFDuJb1u0VMyJliMK25c0nPjxupq P03jNcn/c7HIFWDbx+E3pQabpi3X04f3qmpb3f9UHj4+uzM8iZFmPI5PVH9ICRjLebXqYCVO5uSE V0F4d66n0xDB8d59E5LwYrj+sjoqZ4/GMYnXWGXyu4DrNElzR9ibABO3t6+TKAGeu7RsZ1ByyXHc OiQj+4rG0bQn/+FGjmWZjthhz65+GV4jBxe58RbR4lT/1FmuXiNrCHjy1q1yVgJDTzYzHFftS4Gg +E1CJVbAYeSZMbf6eg0zCbznjGzTkZk7Q22hcEimfPwAXrf5hADoSuvGijJPLIEwDais8cX9uS1+ bOQIxef+Eb1tyd88t1jCTvqI2fHetjfqtIC1cAwPWNNamdvRtNtvG20G3bupme4/dFXEdXRTpE7b aShSx5Bv1FNxjYMnzsQ7C2OpdGQG39wifyR80mgi5JD4rpE82m8EVAKhP4l+n/YnCZ4wdLg3I+Qb ipuFwzDofbGOCstKIBeXtZz8EusE4JBLV8gf2781tFXJQNUEzD8eOq5CK+fAHF+l/LkgfEgVLpWw Vfn13ZNblZtD7wEJtja5rrv4/Nx9/Hf2kYSL++dxNfqBB53Yuef6LbBnMb83PFUh+qYEliOy+5tv 66TZYp2I0WAVKlx1CqunW7VxClptFW/S1gZ5jFSCcBDwpmB1a4omlblZa0yUbx951mtPtpTRorMp iSFtB2DjWO+XVUtxqKv7Rv061qIyF3P5+wA/B3BphKe7b8ZI184P96yIuoFLbZR6xDUko4ifJqCw r9UjBWAIC0Id5dRBbTA0HkRnWphfbr8WNyP6HiNUtVztJzPw4vIr25CU4dmghVDoeXx//l0ZCfRv j10jlfJL1gZMhO7ext9bSX7CN+qOpEhyXEpmns4qIwGME1chN5utw1vR03eLiYKSwNFeHehDdIxc WehCG3jUKiAAgmgUHShEIbKJLOPd6NYOfBjU9No9Ma2XSD7yRs9iCwV+7d/OE84nUea7rRe9kNJm bFodJMq9zVsVnAxe2h1DLouTKqhii8HkpQ9uZUpmONR5RsmarTs47FqZm3aXspM3vVyivPX+UlCT ii+cYuxEKwQOduWhu6h/2s63xeJc2sBGyQxKqfS3G/gbrOCjfBXArG07G4BG/W1t5SYY+PDy0WXo EGohr0Z13xFEcgEoL2FCoYQ7EzGPn2siRsWV46KwEVqiwcMHq11RbvjZwcukxi34bxEkP+IJYJzd XpsPIHuORK3dfdN9Pz9JozUGW2hakT5NOa7TvTGu3wwzSuEzUVdp5vNXXjmn+WEigUNdfTlnjVq5 1zHWIANT1BiD810Jzs2sJKbkiXrugnjpOaKTtU+SziHD88H62fCMDmNXcJP0ZUC3KOmyn4uMPz1H p/TmOvxv8XnNLQym0zwBgTDDzi2LIhzK15erh623i4VfPgrKM+I98IX+X0/YGlfFwFuz5UbJK5kS kVH17tIpZ1OAmxY5oN2jVUFB0IYwuRZ2fItxnUb9ktmrU+9cUAkS1DzL+b/ABgveA6ze2prLh818 eZzcP15mvBwKOSfUgimTp3VvKwEXbX1bhoGg31S5JepJUL/2QQpkh78B4SAMPtusGuJTpW89jpF8 xei4tMUM3qfayjNgSOfWb7Qypt9Xp9zAaHa6BYZbXrxclM1G5SROFbCB7ZWeh8roZ5DOXulym+Ra fYkG3g1EHftPtYM09+MnN2dSUeNXDnIbIvRqrDUCFdKQIUkl+35gugCIgnZviuH6yolcO6o+HcL2 M0Xr0FfREPB1gvpyBbqL/UQYfBphzO9ZCennWrrZPlgtSVJvOYAlSfe7lr46EksGWylOFbvnKBOh E7BBqcc6rAAdyoijSESaWkdTcqvxZ2YedZetqzL7AT/z8wZQoJ/TRObtqHohWXLH0x4jn8yYN4zD LkSdgFsSZcDWHSk6FR93TlNCcAY0mjn2XIhplIKZPyo1hgR/V7y898sf+bSysqs0JILBEq0sp8sb azjVRfGyxUBHNwf1j9mzRofVQ4S3TTtP3UO6N5PNjZxgQEpJcR1Iqgfb0x6GIHqIE0Ss9P6wgCvy hr6w9ufM9KYhVc/6H1gyF15Kz04ULGfXjJmxkucrF0OAegdAAaJcukHq1cBeLccY5souVGiTpRV6 vyW1yJjXDJSExVL3P47IYNE3ihmpG6x3YE3XxaTvNi7Ubcirpa5UeiMl8LA+29NWMDNOOZjo/DvZ zUccSskz8XJmkA7z9prCZIWfcLqc8/HXvMifdvGwULMNalcQyqVQBEYKJrMF8uB3N/36TUFK3HHx PS8BH96x7qMDl+eGcEtq6JkXg6luY8fE31h3m2YfoA1pdHhfh9Qai42UVK0Ui8zqTqz8asVRwISW 0LY1ogGFqjKSLpaSYh9Xl1XrNPe1euzFVjHrW3bEdkInrigPZ0RoIej2mN7xuLdomj/EpB/h/T+L +vI69wt3aNvBp+CwF4C/afgTao0EqQXME1+B+iS8zqJTTYLwI/QP10J0cOaLLWIHwMuR5dS4NepU BjxcxEKfx5Q6s8xuj9vlKL8kdHIAklGWM0/c9XPbfTFpDmfENmM17acbPs6sy1sFCFs1RHNzAwOP xBOYqNhI7B+Wc5eSB2GwPFjreKD0fdTJe1e0g9pq/Qa44ENOB2vO8JfhlAcCZ8jbdpCOtYvz4vrO qHQOBx+7rBSHhXrdJfrLXiYMzaIvRax+BYgC0SH0LH4PKBUJt4MTWbE+owg1Q4fsLDFivxxq7ZzZ f4nTKCUsX2x76Otbh9seznheaejr8oXGB8Lybntt9VqIYTF/N20kd30Q4IqrHQ8o80EY6bRShRao t1WY2nCrqO9TomvBecCsAl4SFonYRCf/o19UBiVfYec1k/sNKRGLxoytZUbkNc8z3yZvXH63GS9Y 8yEkIHq6u/kr4HiAs7p4KUwwRVI6pwDxne1zHMNi59CAUzEkQa9sa7G+Fmem1aWLCqAj6lg7K14/ 6z5nF3+KOziTvzYdp8fabg9tso+x6Hj7/qI/U/7kFC//fOEyHEPYmxyRrluDJKsxCtvWoa4y0X1P PUDsPNLRzx2wrVvmNaUXcUUh6/Xtp8BdG6XwVuizNqKrd2B//dThGvXplgPIASxHWgohXkKzfBqw dchrjr6jR9F8mdoXgj881ozsNuauNSsyk7Ba38hEMu8LFUTvktwRsIrJUDD6NmBTa+8CTNubrKiS bxKAwFQYa5woI7VRbbDn3fwQOUBrG/X7+Jioz+HvgE4sDICHHOyOc860lyofKEd/XO16SfJkgiuv dzwROv01FcC1LSEyQma2K6VVBXHcgsLU9h37AwQoFKpXjia/xD3ofTo7/3OUnAVGDf8ppjcqvTrJ i9s8jgEX8wl1vA8gBAGX6EATaa9bafvLPA4AUCwj3L4RzdxnOkK2DQBmd0KbX3keqeUYDO6muwQc PH6AO0ufb/YvhulFd3j5lQ1yxSTx/pS5SKeg0lQ1aCd+Uj8Eb0Pc9Be1fUCvuKxeZbyr3c6o87K5 4CwFj5hrVMMWDvEm68K2kRkl/Igv6MbfvCHtzPdz9LL+ZIlo+ZJn3VKYaX8X0/2xsAIMJVRMvZeI +QvIpXSXitd8Y1EjwVvsL1w0HrX8BdppUJBONDtHGdH8LaXSGo9bseTTJnESjSliDwhTpiF1QLZc pETz6aCqF8fHuwOhVUrU+8vL8tq4UnOfVLDBk47K0uYmZgVbZE7ebdlrwikuk3QZj6M0wakkc+oH tUQg7ROxX9tRbMmk3qIYFqzCpmhlvPeV0NvoVfE8CnXyDxjDHoFZhxpfSk34I0nc0zPrIszgotN4 tB6yKHVejy/B7jlNe0GVIR3Vo9VWG1ubvq/z6uqZcVc0C2N6LBGCmBdBDmjooN2AMn5vIoYxYa5G B0/oBUDAVKAQ8UvLBIFuGT33eq2QZxhkEviOArlnTE+eIqStv2jQrwoXJIu43evKnrd4MS5GDovU MMLdxj1ORxxorT1n+IWXkDo5fCHtiyuY2ZlbxFJJnVuIQw43diDlGWqI0vHHNxDGcizy21YQUlaD dDZKHDz2thGE11FehkUiEoh8kXEIblW20SLSOIMMpXE1yT5ydtzVa1dQClo95/IIrwARwoHjiDks ViawZqh98Q5LR1gLlxorhBC46QdsJf67gkx4dubGHftdTCSmpRB1uM0On4Ihvho/D8jL9UJEuuIG p/AfPCNXM8gUmQJNWmaaLmRWwW4S4prfTrnUcuqCmr6S7kUnaRkzLOhAXG4+0ov+4zvH7Y0gMeUI Z1CpOXfHliXI3ng0CK4gQLwrtNblVan4klRCuYGNrH8sl0NcM6/VH/qzFiCSM9Vd1C5sRrXioKaq M97TjeE9CchXaaLaI2S3yB4R7DjBLSwsnZwmJ915SyG9LatCaaxBn0QUzce6dCBkLlYgf2CXIprH JFtW7rUznMgmZ2tbbnT+jSqCdXdyzjS9uICGhk4gPECDMnwGQ6+a1kxZoN6zV3zkS/hqJNBJChWD MsnxbVMMFLh1g47JIP4AsECBG99fFhr523LzdVhFEI5jwXRcsytF+nGdaeocgZimV5jGeTA+DFtG HjJucWq+P8JXcaPHmHuGY4yuVDkpXARXWJQ54rINEebP3zXvoq/KxKpmR2f81aP9VSvQjR+2MvrU h+Tr8Y+rL0sM2qGWqVoBwlYwjV4SHfBvv+t3ogk6uP5XGrIfnHADjq8vocPowaux1d+e7HLFvtOv pIo88Sv7xAEVLt7Tdf1I8VJSdLQMsYAJ8lxI4V0UUdMd9hFCyxItmXpY65q99kJmR6VjXACJMFjt QfsGLT7Cq8vRyZNXGN0tTmJzqcBGJu82zx3BxKuxjO+8+BUWZnxOY/bVvvL0SW/pu9DulOXgbj45 VTKeTm0nXu/jFmQrnarXeI5KoZyZTBkFh17KA3dU6dgoiIz1FFI5FkRKJMKEZvkO9BwVTe7tnG8G 6UA00t3NvCuRJI5nRDASBeLbAbXjpbAbEw96HAmFYD8kilKUS7dkpMzRyr0de+fUB1jgmKao0I3j MFVXj5KxicJC9dIP9vmbCAHwiMbyt3MmzxMfdd8r3gm/SgV8wipx5kD6VOfstKb1n/g1Mcts0EIp ZiEzhoLFpLDTxbcFwOzIsHkhW3hTCtwWjHJ7xMNda1MenrGSBQe5ChWDmELoH7HZbWjwVE8PcSg0 VVYDCei1gzCvQw/2UGo3Cs2DVpXS3WVcJf1kzKhP55FCSXHLvBUK7/Tje+CXkz1r0rUjsgEEJysl V8O4Qbfp4xySjx/X9U52P/pJX15bmu9AI/gFNFeE56dXMYOJWxEMMUVMrNzKdWVQ5vEHwj4+sT1X 1Kne/OS85w9l587OFPQ3bFexVZswi4qWfqasjeVFbfQCaF77pFVCCGJACNxUFJuAxTPsqEM3JCI3 /uSo0A4wod9Vu+GL1X8gBt8+jlp3hO0nRKp5KkNflQAvTuWJKaZqFkFjmdNzCs5hoeZU3fSYodYt ghc5Wvu9jNxopyhLbwhRjCkTruIpKe4v9rIVDLQM2Lz4ds6FKFR8XC5lvul4X7jNVCyppQuY1CCU WAA3LEkIzhA8fAp3Z4iGX9uHT8+QLw5fRw+yrC6frfmalGP0kpJM7LmMKN/YHz1qLaXYdPEQ6UaK bKcXLVAD5qOJrSbl8R3eQ2MfH1Zf6UU8a4FsAAftU3WmMMLpQeLoDztmd/1ODa/qP/E92t2LMc58 DKQh681w3AR7Z3B53pcl0wV4/je51gOxtA4J8RWTdQaRHvGnNzLMn9Spe1CDQPeQQ6ES0hmrCEmc B5OwPest8gMRYFnXLj1zTmFqqz4w6zclDHEgywyEPReURYLuDlVK40xWCWqQP4y0VJFiT2/gHbP1 WXABv6BE9KbWGtyOq9fFipVccpp2Kb/BliSmTneKTS898cIRLAPn/xUVjABjhakoHMH34rDz1YNE NYjink33xhtuMDaGVq3Gx6QSgbWmP8FnYkwzEA9ih8GvH8Q4YhYG9V02tdT7EgSkzXLPgZlLwrOy i74Od5P54H469m0Q6UvQhwtTin/lmA+X74BomjAQOtlNM8CgtIUY4JmU2Z4yjZ8qS293FUS1RFaR hfe4uQqT9qrTGo7pxMm0rr1hTQGpUuERvL00Sl33YPnR9hqmIrvrmJwBNwnnQfaV6PBUYyLcOjRz /KL5+sEpbI+la0GR0ooXwmfpslF8Hp8cAa3nY+o+rMYouk5N7a33lA3h6anjb75JxvP9TxpzFR3D hDUGun1p4jM0BYWGbYvw8jbvDYIHkrAi2V0lqpA+x2QqolkyhxVi3CnHMOWB8Vau/LtVf5lH4JA9 QvX2pked0itbZeYQ+OlVDNMlvp3tfhWXa8XfOrobdaiLsUHmBipPp1LzcuqtU2zAmG1n59Nr/+XO aue4KlIsDbopte2I4iIi87zCT8oRXKMvhLVr4l64Fd9fX8jnuZ4KCJz01RD1iqJmPcKh7stUy5Ho IlhULiRmB7ONduOd988EtUqvvmwYvjgmG+w8TaeHFT+UqCgyP/Eed4g6zlabvTKhCxvCBK1ymu1g xlPyCKgJuQBKBUx/eS4NBt5BNB9joYTgkRwfGFp0PoLSoV8dOtSpFH1rOzn363Jo5kI8n+DNok3C RXUERNI0wyuHuuEi36jQeryBwQu+WDsmctx7uqAYxelNJSoj4CcIAgKsLZjzqKsGftIGqkSaxQ33 VONetDZyfMBB2MgeNmcE2ffUn0V7tovWCSFh34scxMOzjxpLOvoRuzGgA597t00unzV9yA7wgv6P NJUtWeA7LQtdT9anMVHLTqEhLZMkG+/F9i73/gyxe1lvYDohu/rvLcAgdjhXavD82ml9IM6AXw8r KPosTdyVuUH6YPxszvwbOiecDiFyjouGURPGyiogSrIYXPUZBeIzFiu09Fh6o2q8GCl2kxOHmoSd c6MrkPeOr1rJbg616E0EQsTYNES/XYNeon3Frv9qo7n6B6iGcVn01Y/q4DKKJ1oq9Ufh4y5eTf8U OgTEwIrOZ4WfBuYKfU6I//a82sM0ACXtClLWmhOxEO61QlQnzY2D2hrQ38fJ8N6WHi9g0njY7+Po ReOZgMoPkHgy9+Nk+mHgatIAqS1snPW90kzZyyiV5ARff2uCBUsVMVPA5oWAuaxp19uQLGWxB88f IA4DTeT5z0FAeTnqq2ol3E50i47TgZAURM6T6oyHA7QtCF5CjDuwxAiw5wjDETovgF8W19r0Mtf7 mPk5tECJDjgOCtV27Pnic3TxHSgQzofLgGCDMCqUgdJxSz1JlEQvprmvAfzNXvN6cMHzp4KzjzBG vPLjKtQoPFz+pfr1HsZY7UJQFMIyY0HKovP+NmT4dBwW9uY2Vz7JZPbIFxcydWqVIE3YuniQrRNh L1t6ltiiHkQXLj8UpHLA7FfSWxReIxqC4kP8HlCFIvNA2weUYwCq/Qhlt8yLPTXIMsI/InFcS2gv p5Bvu5MgoT04uz9m9d8aDC4CIgM5Xs1r+/7UC7/tSE/zO5T+grevkr4ISdNL/9Wz5z4KeNUxIIHd /Dgqz4xdZz+xR5U8bdH07jPwRPYFIEK25EMFbuBcnW2kcUWQdfExPiL2UKvIBNWwGlxZdzpsylgo jSRFRLD3AvdN2nrGYxssSJzY0NDMbRyBFLRVkDaDvzku5s8MoIU+ZrHWO+4+ANavk/Agp/nYyh9e AeHPaRhDscpRruPiEEQlsaTho26VZwlvFETU82siArGc5T3RmSDDGIzDWAxwLs1JjRAWNHwVXfv/ 510g+RlF50LDq/MKehgxLu91q1fk4HWe0FTkBi9t7YRzMeKHNp26okY4OeA2TDZwMCOXsJz529h1 hnWH/n6VlzeS7CVjWgddV/ECerEfZS+8FKP6l+LAPQV4urhmh4Cx3/8XjO072qIw5nWuio9jahDT 7YKLNvV3UZdSFUQle/MAX8U84un04+JGlu3WcifBQSg6zLnKaxTAwGeZLP2+lM0PBrSknHhKGpEE D0aTUgVfBn9Cj7PyiVddTF8vv4xuJb+23/Tm4UZQA3npdS3Fh2wrg368MQCea55LW4866QVkBJ44 B61EPD5cAXcPq/ciIyu+thGR/kHXcq2nnGEV7HOZ7f3taUfRYHeYMDOaoWh5mvP8wJK7tN59uBio qvwq3+/1yt5AiC7utCKXHg9wT1FhWK08RD34lrSt/UIHZnP+6OyXU2NSxX8PDBBkoc31Ar2E2s8r j5Y/JoE8RzTdmw0mlRjnOyRsUYGCjs4x36aVwd7sx9A3OBcqNHqFLoj2XMttYOE80Cop/LEL0Yaj /EB0QChzJMkGYVsRxv5Ri1uopEdG/HzdbpXq1D5605fc5EMLXqiXTORzL1IZHJ1KDwPcqBZv+5fO sfQ7YD+lRE/m89GTHH7QfCDnF7E4v2hrfCb93KaBIRSwoQit63ovV8fg1o4/6A7tNBwHsPI1QIXU WSe2wxxgRqzsJmDMfhZXaRGLiHNlaDf4rfTvXXxJijfpFm3q4zcJUqGG4RwkKvPCwu3Q8rXFGDQT qfOAyic+4w0QMLPTNiW3yl+OLn28P58nGUh8iarmzewl61PW7gKtTb9lC2C9s+EeIKx4A3twSt5k uKaVz6f06xfX0qMrrkU9ocF3OCk2YXqJzNyCkUBtLlJEM26RJsT8Q2+Apab4G3x3DT7FrZeWGTUw WFRMWdk80tlEMgoZPmjP7uR2Eq7R5p1q8LJ0rKhNnWs8EtdyxFvb/VnyqofLUPGjLrRNurrWLrnU H1cnh+CvgIJms0ykepfiSwj4GEN6Bpj9kDLN7h/8de8OhUgIbXmGjS3p2PMt97/SSBLqO5CnlAaL 2AfzOlmu03ubdAsqQAwPFCYRXTXdtyLewsvsbJu69E4MZWn8H6w008SxTVdL4PfxYPhW105ZXM0+ mY1XMEAw1mD1nrEIvPcUaqrKGw/j9FuzUju3X3hEUOoTFyQ84GLSTQ6wyY7EaPIRoDNsu68MoDej CHhC9wOkCnxK7eICuV9akH/Yxgvl1PiV3jqOBw2mbGW5E47bW226aJAjCvIajjvILCgTaAXyGAP9 F1zUXjfrM/RMHbLAzoGSq9cwxhNGYpdxlpxkRTpbqEI+H9aGfqP0NxHiL0eX0DZiDNT8rYRV6/e0 5lgerBGRzIylKAvJEiuQvB9hmY+W3e7ABwueRKHhbqD+RbMqUc6Fv1E3C1M9k1gKzkXcqGo9XOCa CAuEh36vVQFQT4N7LNxV8831H8dZsm9/XceHYJorEOo+h0Q1NgjQV9rzoKN4c7UViZghWK2EklBi f14XKsI8NGpCVmQUdXyDkCOJmBtxCabS+/hTmbX0ORVD/HdFovHUm+dQVRv5yiNB00kixMCxZfku JlHY7iVWXgQ3WgqrDJ31xVTsqIrK86uKnYUSvNgc0baTB4HEIVmupFluCbr1K7jM3BgpspBWC2Lj qmSo7fp15qsgk06ECCZByl62pbnVOKAFcRaOHw3LRDU/aGpizXrKlAjn/s5Jfx6yHhMkT3esMr4I 5zGRRHquCpfO/rGkX89Zcyt0ooEi0tFeHsQPLH5fSfN6Bu2nU14399RCXopPqj48uYk5No5J34mf RpphuwM6suYhugnPWNS3edDnLpGeH/BtoHv2A50/jTpc63AfydrT/jHznVA5Svxjf2dPcYMn6phG fFfDQFn012VYC8U8FbvramgJ+TRczuslyFG5WYYEIei15f0dgY+xCURieS/trFcYR10HJxk47rD4 q9QTuks25MnF4jXckx/9cLiSUU0vakam0DX7u6AMX02RJpWt+FS+JDxHaqX/kHAec6GczXmDAyAs Lm5232YKxlQ8ghEkRI1PCaO2YdVuF2mkpz11cp6y+D++lvRgV0mDWYitHRUcJF44QeWJaFqDcCOi 1OT6TWpXhbYS7AfzIY/8RdtbjfZO4G9YMMVXAKAUZnVTue30QjajAo4J7RtA5DBo7WueYv2e60QH 6Gx2BTiSaDQ1t7Ry96Yrc17xWGEE+YKkGf2304PHrKlKw88DhVZXuEz6CfnZoO2iZy2UoOxVYpCj 7+Hfb9MzbBBCm0ID/aYAa0UK8UT7R9dvILiVhah4TdOKSrPHaC949SiHehMmHh2KjaWjRmkcCe6U 5PYI3zCKKzKcEzDssXjkk4JMweu1EQG1kvDdUN8cw7tlPtrVbCXPyB9hnAUdePrK2hBbk4uayeo5 UB1jj/2nB4b5qNJQN8lOKzurpieKJwro79dY19PB06ZV5Xg5ZizL/TphoImWj/b2kHi+QGSsQoJ6 lW8+oSB9OGRP1gufoHHXOfg78zHjtAJ3nUub92tDZucmoYTf/iJR5OGADr94nsqOQirLvE1UlEd1 K2U4kjMQipXFBmC5g2rKMmfNUy5IX6dc+OqaskXUZtdCbstZvC/8vmJBXBtyBT+c+Bwg/tam3sOe wgx38YQgwRCeXh7cs10H6h7MleVBda8ajm+QW5faJAs3fSS6YjGjL8DFG7iCq509Z6kwZeVbIPig UWxm+021EjsO1aIhf0LauC656RmW52Leq8EECA5icLhSh+iC2ecfxu+HbtiRrKub5CPRanWXSM7W SEv0bfuEPTkFi91SxjZIxc7ybx0LOvMNg5SJRCJEDvHotZ+tnd0OkWVs39lJ75PIlgOsQsKMPE7T Ko+heNJrlLd10drkj+X1CzdZ3eZ/ygT/7MrXLRxLZr8466rzNYMAnRLptIrJObbyedjBDjtNd+Yr D04VAsDRtNCB67A+en3ToFjUlxfeo+C0tz9NMJe63b9oWtD91sxYGVDW4FRD7vmLtLYzBvhnoKZt iI0ZHRk+CSGsmugdBUn0jeTP5B5eAeejks0slgScbs602WyzCFdngWFvuH/CfD7ZWrT5VZcWCLKX 8o8OuIq7Rzrd51Jur4/C7C1tcXt/dA19v0Z/4K341YJnTtToBirF9opkQG1N4qgiR2DGMwurRijn NVDzKiMTO2eLOu8VW6FG3iVGB29aXNYR4caZAyiwBYj56pbshpJ4CTMtuXra6UrNESn7GbYwLqay Hzh88TN7eus9eJDiQ+/4Xp1ZZEz33ziwBID2DFCv4Ry5A+KjRDCPlFP/HgjNY2w+bww9JUYBr/ap Z0VoHyPzMom6MlLyi/u2cmfQ8iqFijMHPfS63yV2gdwbTy/Be4rYusxjHLe9oat/UgIaFcCIDpfQ qlhdFFQ8+6fO7KeLOXhmAdpkN84eQMAkBBHSbsdVpxAbk1IYFEfcHnHE1pj9GRH8jlRT5KVQtCjX OLoVD1Iwf7YwP0iMlRHp4URuz5z1XXNQOVN21V7+hcgfqz3c4pc3RGuNiLQZB6SnkJYbcjdiCYHJ bQGzr3kig0yqb5jPo01cVBPpnr4/5Wd4bl8glktxzWiYMpt3LYO+ZD2syeHFjn2PbknVaYqnl3ye yzFhdhTNnpi/pOX2RxC2CUa1fAuj3GKjRLS2tl8DCh2pXVxNZerz8jfII78CtWrqf1d7//sYsMAK p+8NXS1WFvHkV5InGQR5aWVricKSpPZavKUqC2VUyOY1kJqUFY1PQeOWhBYxYMUELVf/QZnUo4D+ 3o23ou2Jm9Zd9uvBq79Zn48du4BNhmEqeAhlC+C0e5ALWhzaHNqtCBGJZ2F+dyHbzRBI+aNKIQv5 SHRYttitRD/XkxlicSkbyoxEhArfBWn080XqPH0D9bHG1CdZeBn7SMcDPdZs7J3Ps8EGkf/hOIZ6 9yLV1DOjUuXdGQ0B1Zshux+LZmfdCh9ouw8xiYjhtx+AU6OMDDtvRL5hKPHYF0dPYoZRjOABMLB2 S7FtkZFegoQU7GJCNOD9eMz0E2xppZq6cWvLjfkLsHm/FT6zpWhqO7hxlEXSEfN0R7JOOYNP7VL8 s2WSquwbK4Ne4Wc4WAwnxh6KjdtQOS9+IV13xC2k/FoQZ/7aEvqNAqwC43s81UUmzXRrPS/AnJPW fuAHkIoSpxXiBy/7PqIou/zBZJgl2lnGNew/hUr6KXiRRlKTuq5+8Pv8GlNaeHzNJYdVQe9c67lL amfzb9LuGFBQVKw8AI24k2Yxtsg6V99kzDbMhSzCOIDcUVBMMZatnqXIwtpJK9ZnpurW9YomtPGO BhHx4S1DLkp1Vd2i8u4ZZoTkyO0yZbB5G3MhuYRhlK9Z+gcxfxNQpLxOc9ECNg/vcepauSV4fxaf i8YaPM72ZxbhiPhJwFNQrVMOFRju005YJpYv9kSCDM0qM6Z5bm68G19bkj7ObYzpojVZ6SWPQNps nJ/tmcfioffCjx2Lo6cu/9T2Pa32sHGXoDFF+S9kk6uTksOuPXcfEbcGL5UVR/Z4EG29PiS3n2hw hpm83GkWATA5+q5ZxYSKfQZV9ykZ460MHviFoQNXFHhYhVnrgxqhwxzFDVsqZx8vtp4A0ecK7Y8T kGi7o3OKT6SkBqxG0tv2qAsoL1HlkGFaRqvTYhGQvJtDuRKwt/1VgtaClhjA/3CuN57T/Lrxpd40 lIcZcmLu0oRolF+dd3M3SYPYQOa9HWAmwS4IA32oFkzsMejjxr575qAucA139aedXlA+PRl3ZiDg XPgkcik/9WbgyABGLt6xnBRUprrjHn+eMOYJwNulz9kBaxLw7UfAPuYGW6pbmnP6wZTzoLd+WaWm Dcg4SIvOrDsBZlrmkRw4rGY6oEQe8PlGPAg6BbmZ9BQIDEVH1DtQYQFx3lcIVAFG4iREw92cJi29 sbVWGRpKQ6yhYsWipJPGYnKegooyKH8a4KagE8LhewZ5yzNHf6g+rIllua6EupAtLns9z47qNhDw NmAWX82Hmg2nS6vXpa01R/U5j3jDQC/goI2TVwB1I0h+6zEfCeQGsmk+9MYV5MGQHEEkEVLKJROu bVqMPpKrfZQ5C8Dbji+PXLp76Uwo4HtKseER01EmpIAwiPBMshUzwyQuTeoaEuQ+4LGJzVqqjsS/ ObRrRjL78pMpAMT6crvFQFd6cpLTTF9iqfivuT1F4wBiHyEVNPnquQff9PEPZFmiWoFVjhAYf/Pu tU41DbJfbPlLrNAzdu2lzkn65pZlh6Kw5OQuyc4E3EpwZp+KjbQouBq410dbAjpaPOj3AFl9ZqWd qjbE3TkzA/0A8QPXdZ/VIxhbD9lyy2tim4SMA1KkjwmW8+ZlEvnlBw5NFV3r7tLoSIZyx63xXovr cbKI9IcUBInuWrrHZgDvOnIUM9q407zpA19EHjOrdCgrNFKFltfJZtb4V5ltiSsDF8AwzBcCVeht 2kGBnqP5Qp8rqv0Pk6b9PNRrTh8whNliPyHoeggiLdCReNqYR/zHX7gnS/ivqS/uqPz1ai9nn/lN aiSXN1TDDTctDCXAIgVXBBTO3iNRx7B1djYpm+z/5xG4b5WrEhwssFzHPC4LbiuTE8YGf85L29+d KVuFV6WT8tDNJtedqJhQ8u1VJZd0PPfSQLgcUUgN3RNcAOHSFqZTgxugvtP4n35NUjQpVQehWB2R tmye4heN4N0KBbrfT9r9850u57o/dpGFKSYcg3pzVIMxtRbem74newKPYcgEk6Xb5O8GCu81KXRw RK94yWaPNApHk/vsgEjypkXH8InfNKp3YcQ3N7WobzirpK3qqtqzh9R6TjKxo/KMp4lLeHiKAU5q IzaJx2nG+fczDmBuFcj1n83WyDmlr75oZKgJuDlTbX8Az7qe16CrAu+QUPwNEWO/1Ehcx3W9Ayts pvVM40BypwLg+6TeW5AB6rg8wizeVvBFuRKMRXpMRXxHMtYYKzKENdl0UJgvoD8JBpA/tUnae38+ ouisimSMe7tFqfM0QG577cIr/8EueNXNWLhBLxAQiUvnLmxVXuRJMwNSI8lxnhBfpC9DrnkC1i8J ZBk9CcjR6ucdOUZUIlqlqmmySJFDmu8kuXWtqZUb6fd7HOmXmr6gRkjvSOtMTmCtXXRDIkO+Uawh v7THskySx8lt6yUAjYUVv0IGH4KxkzMOz0Z1zrHyS/Tc8rjBRtYRfl0fRMg9JKWf8zsTvMpROWjG ZvxRgG6KTiRYZAqBvvspvGntBTcL0xAwhTZiCD2nqUw86GOwjstRCfP3wc0YkRzhBM2FkCedzBgL J0QRn/VtqRvKVtD1rOO9I0d7wgYez4ReWpozgmSNP2FvLNzqHNQ3tfGFSGWxFd+ClHttk9x3Ee3s 0zZxnCJzsAmQv0buHO9zmBBJ9mNLcgzlSjucKaFwzbSxgv/Ej3d/JwAjlINpNRHnvQjHd3NfaG7z 7RQDZEV3CEPOkYoIBqPiWHzOrFF46uMz3uqvKuO/ndMC2f0gWaRJOEQmqRbbDhtYXopk+raPAw3s Lg0dPMowrnyiKhloqzpHmMvQasAzUEQDjyQFKzQjotlULhjiz8fC2Q2sbe7DwgIxfqSm/KgYC9Al SF5/mYDnvcs/SdXf7S9asCtd59A4fvb4ykq5RX6m4pScyX2X6Z8grG7Um6eYrAzX/HlLz1zqRV7r WBLV2lq1VGFh+POTvZU7/32vDC4OwVNGSGIha5O+DeKQCSh+u9Z/bQo2TpLMLv9R+nI7lz6Xou0r 2Xno2aS6ccFviFBkGgFL7znIG1ggO7NIFV8F7w423Q9QHLgEarxQ+FyGIHrlZZtfN9rXrViwsV74 rooF7YjLbIHZO7EyZqOFcIGci1LR+fr66mx0m44LlBJ5KwoGohvE5WVRv3+weMVX4zvdJa7CeuPb 1l7IBd4LDxN7rT7lXJCqPXK8XoCiZlEtXQ8V9p+eKr/OzHO945+7JshuR01fp2eK7/goIaEmTe8B 2oLQnQtzJuP/rSaZtA470bNq7l2Y3KhUZ8oCe1tDPBExtm2eW+wBPuaG8j0IfofrvtHRFXxoyvPn CPbT+Rc9LIFTCxFczvZLaEdJ3kTRVyvepbl7tOSKByvuRt+NreEgmZoEQJMeV+YNJ4CJbd7g4LNs Mq+GK9WD0hUFwr+lEg1ogbQkrlr9MpMj3n9ZkEae9h/BkyNtX4NFD09VY6Wb2eIODMonNkslYFo5 BtcozrRq/EGlwCJsf+DkrsuP9a079zormgTtr0nDI0QnsU2BivHDOAhJoaQBI4IJZD8TpBCZ0iRo 0kqGRjuFTrq56RJMxFFE4W0c47wHlIgOtjeTZiWvipoYNqOi7/enYJHtLsWYPjU27ORbhg5fbYnl PLB3vym2FPkomcnCBdIbx2JJMecm/oXDrf7UG4jf/lMKqRb0wu6xW5vAwfXhCCNtPqM9lVnZEpAZ zf8BCjt8y8F04TWOjxYxIWTl1u1x4NtJYI+vekJ+KAzAW1s4dWd/iUjXXPBjsmbUoioKjnaWBhBu lHjd2vPfNha797Bxcrc7qZf7rCKBKMW5BTIUTO48Gc1Oe8FQvQ5uA4Sx88YBi83QqQkN0HaGlLPm E0ZqPz1EvwvdGS+Bs7ZHCuiIM2fJz2dhA7tjXzEvArznI2U5AFGYUsgoNc9qG4OD+XRB8mn7u3AO luDlyeBtmvNnyaeIaMo6gcGxcfAFZnN3weOyruaLgJbNbKcZ96f8zKz6HTJw8F7Upt2VpF899ULL DJINfp5XF3PJ9dEivYBWioXsR6Iq/DvnzIibNdrCFaekINwVQwCtlKGYzdhV6HDMOl49U/vWPT/u BeLhMODHXmVotdhdW0dGehO2iwmURKhRWiKPVu3QC51bKB4KP9t1NFIsNLYqCaV7wjbMloSm/LOa la9jTx5AnPHevF8seek66ggwc2WBNxrf01Kta7/Rev5P9APEMiAi4GhW6fXpgq7goxIONsUEOCYd SCETRkRm5KNYec1x+DVEcZJ5ZF/h1IlzXjrs23BU6iiV0gqCV3oVFDtJj0c9ZmXGQ3JrsOKLkvNi vLkdVn4hYF1F4PM4ZVsLcxK04GZ8KCx7eJKm57D0YEAFz6Ml2SM4DYWKGXcBixIlMHf2A3oCH5Wl W3UxSbXZnkV8bnpb9NUM5GVfx/RGoBeD7CtorWKX3s5fheBwC1dvVZBJk1lpsOrVtEtByAQLMA1r +muDqwqs31eNvfVROgnMjUkp3Jjo94JkGn6xmqZc6GsVGAGPH/WCynM0kxUl84VxdtxUxEG3JczN 4PikXfDvlaswhP56tB/fMzVARDGRJbiMBhygQCw5E7MkEiDiSJcOkTyNebVr1NfrwZ/1Ac27rgLe ajwLTIXFfGIySNHYAGX7XezE2tRpjSOtFpxRPqQTXJKQP5So3pE1jPSV6B5PRsDkonN2UJwr6cMj woL+9wgCAwUXnsybfkOO3MAkhhppAI6wOpXKKhG7HePgA2TNRq5lrdMFpRLC66jNLC5QU8rshCVO OFQr30Pg29xTn08lJY6rXmlaSmr4lM2btIMqWRyh6vjJqfw3qN/W1L/qltBfEYnaWi20qwjyfTy5 kU8NK6AqatHg7uRZPs0g8ulqQ7xKMa/RKUoStEwOFOj4lz52kiRSzcUFHIaLcP3jYq0QaTT2deOk fXEx7nXRKfSsdnwPXJNw42tt8Du/B372Sby7wmM1Nez/D4vIzcbMk1jlM3VeI9RY80NN+q8iuKeH CHArRrLVpBtHZG5lrRElEd8ZQoRZ00xpGTrWcrdyXG5G7F4LCgN6F875DPI5USZGVnpVg1S5DM/v +s3h8kjDVCOq2Wb+tdarIdohPMcLmOe3h+FGig8SSzc/0kwi8o23H4QYYUzYCFWdICtPz44vA7Di 8DOmYTJ8HK1I5d9GDRzFCeJKIgRd/6xVp329t8Kqql18UnWQKWXHrkidkBeURDzZkCFr6v6bINPr XNroX23msDzd2jRd8qq/TidjIraulkb1cslBCIHmxGC5u0UByzSt5X97li1ayzYnexbGdUYLtgd0 Z13ksC7kllUW2bITLWvfPKunRYCAyCy014LM2LR6MVJO8MTxraKplyJBC7/kjQnevz+3hP4AUgbw XR+GUdm68GvxAYEi6+R90aIiGVa19ijhtIfmzb5C6kg9xOzjE99u5qhkvxvfdwJLGBqea33I0ij9 /8KNRwnqIvTS/ONGFP3Cdd0aBM5ixPnPVkbzPhUazKEJjd2KSgvBmqrbDCEmp4LPQ8EchEGLmyVH Fe6GfqyoiDhpm/xpt7aPKq6kV6QQH76ToUrhw45WqO7I++rNOHoTXtyo7yivWDt39dcJA8U2WvDu D3e+C2COQcGVTLkb+VI4/CgQWO3AuH7uK0myTc8EkpUuDDRHTFtitBkv3CcZp13D5+5spmpRV8bk b6hnNbppSsX5+cd/8n0EOltgJeOdFQ8NEC7B8Q/L6uNXrnrSpd0J+BAG+cIF9aIOTu0S5BYKMWl6 eLfb0KCTFsLVUKaxMspWxn938NE92ynKz6AbQcKbCQOaN0+7wrbIS7Z7ggvbH1aW7oB6j8muHIE4 reYW+kJ1JVX5Dq82BZTKvyqSvGbi3tX1LisQbxdfnKAs1kQhC2N4d99zLl13agrQQ/w4sYG3nAai +6YYccYTmhMO0HR9KNgpZPsS1KrSXNz7JGDrACz3z6fDfEbCozLRmDOgb3M47cX69xT6hSjocf4V Qp5LFRGef7gQddN/0SM0u9N5clxgX/KkVC7wetLQekPy/WiONzJtrE4yn8EIHso8jWKFj4REVcD6 Q58pFHQbmHIwBKxGKbN7O/Y4CKKfIQIuT8PeAq/noFsUAaNN+4NsvoHqGx4wAcdN93XhAN88LmIR 5zwkzsEoXSZmNPRxg83LzLWQzlpP3xOMz67u2QMJKSSaNtpgcnuh2jPfdUzbiu/QTcMYNsIU4Y58 T3pKosQZx8RSpFozelAFSsStRzpNzY5r2YYcaEurkc0cOIXDd2gzJD7391kH1vuBLg+mJCT2bWm9 zKylEU9yIlDJZ9x80RJk5i8+o+Q1YeyisC54xf+b8GKwJOJ/s/PGVAP15nO5A538CadyH1KH9EHO d+Pjqpgh7ThjfjQg3s8C3enIBeNIEn5gEJqcywVVW4JRpCFfk34A1K9IaOIAp7bbNjX0WE96sn7R mC1vXqckofZoGPNkbB15t14u53LfFBdr7l5mpGBtIwztPw+RNi7Y9m0wRpT3hsT45x/LlvvmX16l ive49HDW4OuQ0/gsafBLldDkltzFRUGrYLB/RSYAQN3sCXX+OZPbI1vFcTx+OnNevLTWtxWipBxf 32iQEN8SzoFghyYgtR7+gunLR3tuRoQaNPTsH7cTdxwfyfRIu9Wv0WTsCjnN+Ymjk+eNsbsoLrvG 5JrF8Zacv/bFoN0JYpoAdJhMVlPPHOGk8xh88OKxvJi0SLp0uhfSInX5tcM20UwK1nKiddFKgOg4 q3XqXxiWDyt/WYSoEB5f3norTULjkOi08InyMYXkrjpzeUVGpvb1z6uxMmhvr65uAIyz7jihYjJA mHolv4UvwNlrGNkDrmYk5nuYHKv0CMNRLd67h09JHLtquYr/2sNUnU8suI/yb/htOqnBtAGyPlkm BpzOxaom7Hq65gfFbV3kkubYG8lXTkxvnYr4E62QRc7lEp/owfWd1ZiTFqBQ01+87ROSzPQtdOOp YAREJCKwRyLpXGrthz+oYW2QjYU0K5+CWbV/UMX/Zrb6v2lpgAeEx0OhtJp0E9ryVxUF3fpRL1R4 sMJj607FSRWi9fwM6mg7+kSYKnTIsc5YK+8tYfYdUyAXeSvBuGvkYvAw2OOTqrnt999P7KzPzD+D XqJTbXRwnVJ49vTZxb2d0hwfGevdFGGCLeAJC935PAKkO/5ala7b2I8qDs71xaVAP3EXk4DntMnh gqsVtLkZmelIush0yfRGyx7l7MGUFhQ4UJBgH2vIvNow9U3RYHWgdeBCzY4NXrzDU/8oPTKW5iaE e6tzlXlSzt0P5o9Pv1dzM41W5D4eXDPavbHr7wyYRDPfcllRG1NoL4KGCySaswEvq/AUWj/if+/H pYxJzTMV8PrDdsOwo2NQCw0+9TodOnOxXBDARqyXIekNjs8SeMb0+IibX7zB7y3qoCOQEUpSO9aM OVFpogTV0R5izrmgqQ5XuP43yEjjL4jSEkINT59ZOlBwfaiOsluFuqV70fmoc1SstIzn4xYO/ITd Df5LOvF9/RddqiDbOcLyahW2TrzeF6OfcfxPZIf/xbWM0Y7pxZyMjJ0TRpQDdTjor29HOIGzQu3E 8cL+8guqZWhfrt4w7D355EGB1jVf/SJGFRKIhZGtOSkrUjm8Xt1VvM8qyEu2GABnU6qjy+TV6gQc 4NlhP1HAcE6b5TqwHCnYYPxmxbuyATM2ztAoselh3hz34Jcz7LqQUeanOs+7Sx1G5ahUFHmuIRI3 OZDcPQI8N8LfFqg9JzSBMu50V27pUfIyC659MpTuulfwiw/N5myOYmpvRBHnaJMwhD7AGVquGOAd daR6PPrvLDdgMWakldNHZ+c5f79Tim434iq2ihq52xhnhUeoEoQkJF59/0BfVN6Jpj32xS+qTNxV o9dJn6ckzamKYHQNBDzYKfP7/JY0Jdk1CmZ0JHrJzJiVcP88FxnJm5BXL1I2IohVCcyYMRSQRYZv 2nIZkhmhYfudpZvp4ZKdmyXbReHR++wV0TbeXg8TOfztpp0sPXHRsRFhtFbiXznHi/PbYuKnpNjj vE25NjW8z8c4VuuEk9/tuAlfyQwtYmTweS/99cuaagz7nj6zZRLBGI0uoLjRdw83UeugCk3Q1Fmv 8z0i1v4lfKVVUQdHIv1ocH42/fC0HY4m2FAMYNBqtKJcA7D/HP+qmkGnnOP2Q87KVwPXSnbHYUnL S4isgMcZqm66LIi3hqwV7hrvvk2N7QRe0DJSwxCkF0yHbLgfu9DynUfeQ5SzGcSrwswwXubzbtZQ DRj/X28POsbaVRJ1x7xNSVDPxkSGoLtvJS7ZsNxuHzwgBBh0aUmVMLUJBA6Q6vrh1gpFYfDYNTGM 7vuFByAEXGAsvlAmuKNhjEZIrKDdib564lJ2E8/h5JJXIAfosYHXzisHg2iBTWhG4bBfuFwGpfnS aXdlFXblH2a4NpeVfWdxin5CK8f2Pbt/ZTJwY6qgd2yHfKhkG73KURd9fGmttaFHfFNfKrAGmivS q5m7K1DoiMA/COvqnY/I75F5JqZIao+S8g+PIG8nEFhZ8UIx3l1Qpcpg74VPUu0glUJrI6tmn29L YDzXXP3tC8oQMEfTNTHhiJPSJTppXQ2PU7Sn+bcORS5VPVOsx2GGAtSDqtvxEB0r/f11gZvnX4HA F2uE25KSp9zSzYytzJCJbTFBq6eIeQ/a1vBZt8sB0nBsuaW4ENFHUiCmwU0PClWFVf+VoEj3BD8u VTI3ZfCtjwRq6uDYR3Hr7ptCfNBcCCOa/grncQVyZfykZREHn0ymb0YuGThn8401ZHLRe14TDNIY hngZlFlzZgN5jlUHk3x8KqI0ye1G6Je4wEAyytK1+o1MUz6RQb8Fcpc4+SiCjeF525cXoRlNdecB MOKG5Wa3jMA1i2w48EcoEEuHwHLUuUePpg6A3BOkourp7qYaczxhSEwS8kuM36FeQD1QFDHKcJ95 RUm1AO6I08lt7STJiBotr4Z2sym579PSoKxIlZA6wkz3hef0ejfrQLCrtgoYjnChywc7hPUtRXD8 QFFIpGdmFDf8240WJZ2QjskcwkM/AwDucziGYLiJ/vnRHzE4AItc+9qV/WOKg54LANa2tP9MD9nm x6XYsmUGR27BKwDR23zA5wLpgq0WdpaQ0G/O5TZJwWwshoJq641SXtmirbPmovjYn8NTN7kqaChW OEu2t+Fog8A9gsBqteV03pX7mJPIcWacQFsr4Uir2ioMaf4DjOp9CLtR1xZ7qw9/PI2yd41I+IIW /FXyZnPEGg03JRLyKvFDek+IlN4JEAgkypdycHVUBbQuDDKDHJd5nNVyUw9UCCQ2kJ49X6vxbwWF KcbaotfSJDUL0mz7av9wmWVEppDrVLrrcZtwsqD8MHUHhgDE9Zt5VxoO0suL8jum3zh/1gz1J3pl 673oizi6xHY1ImIEs3I58A0JSr57baRXPJgEkajwD15Kk5Zd2D6+bv+2xev1ETQ+VKfITaq8Bzs/ Qw/5j45AG31rLkzPVh9nP7u9smKFso1IpJTbSmKcm4XY2ouI+34ZjQ/Y7onXQVuO6DzyXvypLDzw c6GoafBnar1lRBKBZZnJBJnz/FnAKUQ0eiwu8JNMnYfC7SVjCPux+KYEeKPxL3XCVUXOAcMMcoMa 6uYv+vG1XPhITWVVXvyRunp6n4sZPXiUUM/Pab2Vz7oXwCxxb1K4xVCTGuLtvxBqMoSpgM0CZq39 ETOsRfq/53Jhbc4dQ+jJaNFxBFxdrJ1qQzjdyRNpn2DpxXpQsGm60d/ivU51DQRo/R1vN7g1yQE5 rGhBg/Je9DaoDlTyJbTESvo4OjqqWwEYjpMrs2MPPPNtJ3z/1+dF7vuZ22f28oEnIYmMdd+Nlx0/ zzjO2BYWqPdOcfkuWnxlHbc2t72B5Co7SSSfr5fIxxW43yzXxNQhQ9Ies9z6F7TPpY0+/Uet1cmk RGIG6bD1/nKhl7V/V2uYM9yrsWAElQIOGrqph0sNCBuxecsRPxfrbepSyEWlv1XaNAc01d5+3xwP FiHfltRzqzVCWfw/u6NRYorP4j8FF0AiOY0iBQVRzCzgiMtYo/5ikAdtkw4Fy/mcTAWg9cvb6WIc TKwKJ23rVTxFyurWqbA5sji7/Yx8+b1Na2Jx0LNGNjn15wiowwBHtw2PYJGGQrq1g7vPCQBtL0/a LHwiUk0vd6H/GgFeDxMhgJQafV2oxip2y/uR44yYfG6V7TCiIRfCDOr3R0mPNLhSRRPnXOiyfwJQ 0qmvhUiehtSCDpiBWq6rUYgRmr6FP+UlyxA+WG3ApD4esYnsIZrs3mi4hqKg7HEIr8pUrIyRfs4x xZ8jxUrrf6prdRGXM5HWJrr23DeAQdriRGX0e7j1qWpR/5+n5/dilg04NqOaGCYYzFotS/Zbatc7 AxyntAOkoweO+yMaPsQLUuAG426bMwG5JHRAkDVBb2tfoEaZbsoeGW21+flszSvCEc91trnYz9DF Cfxb/6u59No+dov4483JzcA/o3VqJo1i1MAeXPpcPr5c6CjhK9RBga1YzKcl0jgieFvpsXrCRQF9 UsZ0MdehMAtiQgYT9OMFNR53dHp7/rhS0NF+00F8crGM7MWAqCdkZuwX5WKu2bbkQtKFzdWS8iS0 kmLYO3UlIrJzQQI9+wKis+vQzngrRYclbBhE6wm61llXfTDC1aVJXP71tEdW4bjQ+8ttGZjOwP0S LrwQwBJBPl0KmYQPkuqLAkiEwOCqjT7rAj1rLKHEr2aaUsOXnlcMwmM/rfXMIXIiTYeESk2vYOJe 5d0jXkyIhzyMvQ4fUSCkl9J5YNADFK1kXXkcpEJTEUWf8r2TEQ2KWIwzDBLxrdMstuR/OBv/gnbW qrIxzvGj+VUoCttuX3txP4v/3bKucUixCbv3wVRC80INJBOIQVgeXDRk4FMbUDjX1vNeBEknuZ5z 6S9eR3CTb8z313ZRfa+jtWdVdE76qbNs3shO8LBbwi5rxCimOFqVn9BUiRhYCL4lSAMaXrEEShS0 my4Rp4QdNn1Aak/ZYCiSyc+U5JNQj/Ou+W8iqAQnma271s6w5W0iY+0mPYP1UEq3WlF/WvsV7FG+ MKaqMqThgIRwt2Y56Vm4ajYFZCBR7X5bVr846i+be4iECdcONXsqP7kaDSFQO9Sfytld9Z8LbqJe DanH/kvCuEEC/4M2vm9WKiv7ReWXz5A0MAhfEDt/yREtUheQZbej8dWdW5Z96FAyrQK0znY+VhPD Txfn9hriYpMF/AQI3YP0HcPWzPmyGq+rR+ANr6up0QcQ9oDXscfGf2DPZmFwUugV9EBx4Bm8Bxk+ p1idC+fc6VjjHrUi8n7VYwt8PutJP323BUA+v8EAhYLfU2RChQ7Vaw1S0Fuazn/nS6VbW6LBro1I ODL7iwIxmR5KhfqL+FdEOnnkNCcEF5KiOneEu+b2a9oexboVCwTYuOQr2qK/qXJ++T3dQSsu8+fm mtGk3gIFME1cUzp4T2Q6gj7cZRzH543MsDGxRKw/Zmgg7rqBnuDucDc+WXtgPS786o+gmA8WFKjv lrxFm3nrOuB5ihM+5+vTT6qwLnfvuxdcrzRP2GGB85jcg3kihzwDNjI9ABwG/Ddef1S8khP5BwaY nTjxfxISIUQ4VYQajMbcygbht1rCrTF1N48cH7j94KZ6/mZrdvg1mosj06LM1K0HynRv509peCfr DMpSqUtlAndyuGHaNVW811OB8FYSKw2iDnhT25YuCzzlpJ+zslJq4RgbK7FX/QFEJUyh+g+MSjnW FpnyzC981DLQdgrcXtn1Sh1Mq4mQDR0+bgUVpYDEep7S44Rcl+E6w+s2WqIUqh3RRU4+QHr6lyHe lq/P9p5SNz/4pE5EuS+Zy/wqwCMIUX53dy5Mnpr/ZM/2QeiJl5vyTrcBwq1eDLNyiY3zGepCwP+d vFxI0eBLTIPCxkAj4pzNJ2QJaEjaFXAtzodLloNFA3FaVrxFZ3Ov2fxgFsEezxl3FFqTsKipmrYb N5kA8gGoBOHx2bpN76Qq2woBEiQgx1dAhiNiWnhAup4/DceMJgmOo76peshL7A0FfrUJKNHsdpg7 x3GQUG5h6HqKHOkQU1axAX3YzQEQoLM1coW6wRwVUfP3uNLfBpXntfVC3S/FgmIbFHawuBJ0mDfF gJjZn6/+bmOfjHusmNieN3X4JAkJLDwR0hdhWweqMwCduwDK7fikwTd3jxDZDMrHd93XXpWrPgcM nNYAXf6BCbGVujLivjOGtwK84lMu25VHbph8o/GCy73UhEtwU7HiBDrf9KlsmQapPjfOXIRQG/bC wm8kZOTRzOlxqyVzzL/3GjMX/8XrtrvGa6DLpcsQWIJ5+hJams8qYrhOhJozO54LdleoCLN1GFE5 9KLUjwzKTzFF3RGr7sFopSn2izMcWrcsnBlnQ6K48ZNwW0Xhj5sBs3IpvrPCqVB0jGhTg14rA36T SGjPJc6RmcKjPhSJh8v1odScp+LbHyw1WiBGXowh8V4m6VYgQg0h/QDTT/SCnEzuVeqM3mpWNSQw iFmNa1qdYqpTR6LUZh0gBUs1kntPk8jt7hwkUFhQZ9AXezeazoZXAXCRxIGdaeFk8a0XGUzOoqLg xUhOlYO1Ogj4h65NeYgCbUK4kMt1iP7HY0JghCtJNltIbY8lKMoADIPVzkgH1psPkvaK6SoJarbw mfKAWtMUpD4nDWA2RiRpBEQjTgTpXzz8pk0siNVDvNFX+qDiudIGPNr10R0FYOrHIPrT0Yfkdzuq 0RwrXRQF0kHYX04PeXekFuMRWA6ENTrqQtCJMkpb7z8Ek2ZnMuC3aOumLEgnw5c9iWJmLID1/3fT SPYhIisAkKznEaPKuoX/gfjr+JBirs2r56T+AoFoaFh24dprRJdyr+EupE+XjGN0HtENPU+KtWPt JXBH1/wEA8PQnUXRDeA/5FsiSurglewRba3zJR7HPDULLVz6ZcKLS/KIuDpsDZQA8GvKJuPE9pEm p1iHvnWPOr86rbPn2Yf/DkAsNR4RKr4Kz0UGNa6Pzl/QzZ6flBH4WmojwczIgocVZ4HENVJrINWy gXhQzKDZNcCcGcoax38DVHguFxfMOfyw7qIqvBq+7yBp/jo+w49r4+x2ULWCLE7Te+EVdtHJBB5m ym9pmfA+dw6qSGG+5zstw5hs9MYzDRHfJFeqkTADIEwk4AJG5Rl7WrVEpSfpfsWirjKAxXzuiOO9 hkZ1iLXBzjdhW1jEGnKI6JvyzU05dXoK+sDrYEcZT7mYRGvxeDmAAEMnJD8gj3Fk4h8iYo7sUvN7 9VndI5TqDjbm+su9WaUWf6eRlmB4Yv0XFjdTC3jffOroobRTgtPx3lLbVpQdoZeAlSMhRBzHqr8R Me+n1OQAIfuWGrSxIa2CgIDRGC6sUEPVMZqkp5o3FLUtaX0MdsVhcZDj5cdDcZIj3KogUxRoGnaL GATUAloF5K+8a/RdR51gw6nKEo+7peRBTgXXba9B9L3kMOBDb+sjbDA/5VgumPY2mrdZEWnoTcub idmjm3M3bV41NlZZ8EbTH2UkXNK1HnXfBQsDE5vfFkmhERGaj/wO5yVliR4AvoamYm5FTjUfNh4x hVkciYaRllFpsQtmXI6vgYCF254M6YtDNY9zNgf1mQI4HYkI0YcOLvnYMQJIw1rzs+0QKUc9Ex91 VUbDLhRc3ClrvofZFdIiY09sAcZLW4LAWgJpslsa/+0A5Mqu56dYYXTvbsITlE//VxvbokWLdwpO r6pFFS62/JEchLk8TiQB3I9W6a3GMgCCtyrl2bsB0XuZvjNU00zngcMm6dU3VxAQiy+dGXEzx+xO YG4tl7WAP3JYwV1s2l55pPXBk5QOOafxNGPlTPO0+89/eZOrpFkenOlpixLJ9D2SNIO2KPtsG+g1 YUGDTZ/FLpYpXCpxwBQhvuVvCVLtjiqhYiHG8SbZD48YBF9G2GF8HUhaYlJHXCGgRa3T/M4FLUZa ZnxMXR19p4G/XArSg3ZvVN3siTK+jdkGqfuo4pthurq40KDkiU1S2mrJ8EtNIuUfSaxxaHnvUxZq +zBGy+kTBO8LJWMnlpwit8mBDskDRKUiuMh1PV2K9Ea9c3KZTHkcS+IK+RHiBK2/gt/NSc9wGHWB JfoptUneYxqWQcPaLbDsK9GgVi6t7EwgMxPd8xQwDMeLtNivTbBCyi88AjaY/vegLZ7iJGpDqNXk yfTht+SMO+/onQ0eOKsGHU0QhaMbLPnj4fWHGHKZCY5cWnDD/GN9bh0ZerXz1YbFUxSk2siMwXXZ uP+xLFKat/q3VL/86vV0bk5fLBoKxU7T4/+ZX6zSbUkW1veCBMV+36czuoBUAIYEQdNnaBLdGmL3 pzbQ7UD0j1EJF6KFmxeAmHCbxSYM1rbWMvvGHCH6e+tUqf+giQmA3coaB3Ho6F0bbRsib84UqJL5 IFoY8JOLr1m/f8aEV6EuCL/2u+TgJE/KbyjRgLIouBpfK8o/0C3hcmooBMrPpCYF4pE6DLxJM5qq N8rjMNPoXE6Da3nPnklfSd7ihyophPqWHaLvgLcaN9PsMo2tT8308uoVZ6weaX8MnHkjY4YswtG1 LeVUAj38zYsNSe2DRsGWiWTcwDn4mbYkb8MudDQ2dTaufGzt60yn6qjsr7AoXJR7rcDtQEP2omLh IDBrQbc/AG7TpVu0B5TSs7MeZ6+Zp+w3G//j9TB7+e1qGWybr152h3L0oirta2HUHNIX4W61SIdE 3jgdStN4zIEXAx1kfXUf03MaIkK5kZbbXuwiWcv8l/bzIwl7EHG/qKRcNWf1vqmj6l9f6UpjMjdj qV9YT8jmla1VaNxdMJewLg3g9TZZHowCqJYz1Z/QPBdwYzi6El4UBhHjCsqGm7OF4H7CgEWKqHB2 TqOjeNCz76H1MsNX+ZyCRxj8xPYD5J4tA4z/Eac9ToFczzdex4iNQo0ET9PlHyLY1Lv6V5Fq0uLh ZhYjNoZ9zrYoqgJs4zmIvXHF+5pUYCDzCKkb0qYastX3ououQ3R4Jbhb1ZZ1MK9a38Ii88Iqr/ra SP9qeo0nCyiOG6iObpJVUEEi+qAFREgPBEnhARHyZpmphaDsC0T54InLmWDLDQUJcS8Zg9NxZPWr qS1Z6dr69GOz1ZKqmgNUXt7FnUVx/MWqjjhyxbdimv+trhPC+QdCRDbp2JzAsJUs+a9PBDpTa5lo xKP0KQOGd5CjXVRWYHXm6L5Cis62/3OqOVrrekMbxvqH54p4q4ba1UoAif4NUy+B4xA6tIjyFTZM 168C1soVdknTgIDQc/M24KDfosP8in+BshXp8Ee/0PUFknvM9TRrVIdFQKJBE2Zg+PT7UHGVFaqt 78g41qOwf/v6H9lebGi8VCqaRj0JV2t6a7FsJJBgDcFctg3CxPETygus5SrpY1hUG4ZiH13WTwOI eTfslOALnhz7cBR9M23hy5br123gZ06vkx544ZH2MJ1DKygDVy/BM1KUQVB8dUzlJoieYXK3Qsxd qvfrJKfnTcwf7myPEGoNaLWUCbRSqRZFKUTnqJ0IJ/rf1DSrJiihzgV1MIF6kYZgi8SXZ5mDkEUq 8BCY0aMXUnDEkSTrGNttuFSOmPoiD2uM/PvArM3ezn4SZ75s30TWXjrVbIj+GmYmulXY3utZwxJZ 911zB020uVtrOnQHmOb1HBfz9Tfja4jckXOS2vbD8+Gb6AF7GPVl6HUp99m1rMzelmZFH7jjga9u SxNWHS3MQ2ZeB4brWH9bvAd1QimFVqW1dTiiDB/bFOT1XuDWTGIzhSkXM1pDd0qpq2I0oABPjZOy Kf2iEdnVM48jf6nVsGCV0JuCrjfTSgGD3FxQ3vGUBRlVjhyC5lKfXR9tvyNQq+FVdG3VzsXKq0JN 138+dxEdB8yFAq2HbJ30dp4DO5XFhEfsZjexd9d6pUbgg3T8gmsikqIOLD+z8ZO4IyKoxbbBnhm5 ScGMoneTxvVUJ07MTeXrjaPeHoE+c++/Fdn0XKlV/oVPXMj3rFJbUxOhQDUjh9DuLxTp7Y88WPCV Ywui6KuKWz2u0r/aLsSVXPUtlADAgqwZSYqnSrfSnXutOOeZCMJo6p8hTIYe+k19K2cmHnnBqlA3 tRa4T1PXQcmHOGdRzlFN4JSeko7VT0I8IltLYro/0tm2ZFSq8HcVVP4GsaQi2nur+sedqFXjNDsB TwEybHgf160u2J8kTkmLWloECeUzFny4938GMMm1pso1GsrNEBI5OlGfqvRJNHwOsYYMwm5tujCw piz63hSaTcxyXLI6uJVyPMHyE06n/q9Yi3BoLV7kIeyDTLBhfz4siY5lD9P+tyiAbsyYkvrx9/Mw kykpSFp0sQv5LOkc57cPN6K6rKz5kTYyjyCEq1ncDMgQ6upkKmIDtp0CCCSSMMNpZV1qI5llTSbW 3882xlYp08/TxMdvZLYBFbUNPcrpSMUWOd00USkEbYkIz7EIgsi7JrDZx+udu6I2r2e0uQX8+ddb jAyVdfEuyqizTaoyPAvYkWGQleKL1mDKvTlQ45JQYRdZi3uslO6s32+bu9kyzhrGT4WVzgIKfSTF DQ+sfcA1mkW9ufBwfZWkxBQmYBZMTbgpa4wm4+i5Zh2AtYSzd7FCsPVy+hoFbLrmkoZK73Hc5O6b hwQh9jA224W3DDne7xQ9ha58DsnHNcEPBaICJVdDXN2UvDpOH26QrwqiKIVj4NVffKIUVTRqi8Ci wsT0dAR46h0MtS1YsMBqWqOWem5aw0xZM6icGSXvHXyLvyTNd1HoUJm2RM+6VMVXnpACn0qjYE0Q QiOyarcnCeXU5wH6ktLGj0bQV8vrZvLetOD6jMgVmnOMXtsziyMiut0KbQ7l5HpxrozYW6INXRif SXEvwg3MtuhQt3GwmDJDBOEZLHsK+bK18TOyzpAvpvupuMAm24DM2LaO/koTWy3W7L7NoPYxV8FZ EVFyy2zf+AUcNJQudnXplP1ezLEebVo8hHa9Gl1xjRgznmqxBe/TtEhDdNuVl0hVTB25i+3g4Ka7 bALkrmKnQGXwOkAqOvUMwEjPMXLzJ2P64mDKXGTogt2sgP1OzGy3pB/xIrBkHRtsxyoX82+rCrtl GrMvXpmBHJ16E46ay/TZA3M2EF/o++W11BNaJDOG/qDzni+QCzRxQypWo7d1kmok2cmax+ER7DNN lcuF+/io5k+7qawlCQQkb8gEk48O2KRsJ5srEMKkJ4dJOsrj8yLMJsVitTciUmdeaIlEAX5Q3Y3h XuTdI518+2oKFC+CYOxviHIONfA6j2ie9aWbCXGMr+dSZXUQldoDXHmLbppjBI2Yp5Gu/hrgvF8A d8+WJ2RtxZQrSCvUAElrFY6CtHQdmNZd5iamg8r+GDDwWEZQ2w9srZ2Dq+zG4I8HG0TUyFC6khjf oDxs5mrMlO6XYC8fydN+hbu7QJI4shNgXZVe36dr5uiU/QuddmtnnTt1VSdEKlBp6GXPtZpHp9es XGPR7S7JXuhfbkwERnNoi0IEWUl7G+bzHDESFqjYK96N9/xXBSh9P+A4HLfoPG0tO2DyUeJY5AMf 58fv8zcqj0ztKDiweKyvrEuFR9cPfuleULwuGNcQyo2sD20ptRYKHIAi4m1CluRlQCaMvq9W0V1j hD43Jq8ZWuewgNpS8nt19FDj7qa/4f1VcHJIX9f32WLIo4OoMEb62G3TtZEXMWlRgxCO8QDZ3jUl I3iPq9+nY9o77DQLuEFSxTVcZPe0aPMmIghg4OoOt8wNIjpSh/mvm0uhj5HCbY0WThqrY9himNE4 2gZV/PHLBF+ut1IIqzquMmNWUSTDpTfQxz5QqElWNVLBt1opvWJJb/YahQN0K4qRudMXs7b0lLxX /au+H3AyUdTpKsofeBGpwSKWn8JWHciGUoNr5rb2wEIlEYwpDHArmq9r1Omz6XA8mB4sd+BYdSHB u02nZ5fU6JQBBLmeSaPTGSEyBTVpKdFagf7uG75/SwiY6ibLnF2UeFHtlJepAX3Tf7N6kddy7ALS 6ttbUFby2nOYr0dg/8l1ZV51hx89qtC4qLk+LJwmV2vqiUyaNi93iorRy3zD2TSf3V1+qTtX+J1o 0RTqa32wUT+LzAasAPUxz/LeF+cK63ZfLepkcpTQydMtv807XX44jQrqJazQFIHnr05kXU85Jdim Ns5e7jpuPf4VWRUHMjDyBxePIhIKHCt3RZtaenRBtfskM8GjbK5nXoWFhgNR4wM5NVYzih8aiMTN +NN3W5qNGiHMcqsnLaNZf9QHQVY8kYEsdx5ne/PSlhAtUUPcGQTJkH8NlzdN97oWzZxY7vB+CKLc 5exlDE+nhjjrkSCakN4Xw4dSfBcFysHjQZg7kHWn2N9hqTs0pMBEC2zmpXTEtY/KTc5qztAF5fGq P7HvupkNC8MaAYNK0+jCrDbzGOpE0geq4xw4E+VO0ggwakMBqkr11GZ15yjwzBeCFG91/nRhAoHh WCyiSlwnSa0JRAoWVb6BnBD4xjBt9j0tgAINRraiNkWjCrSa3C+FkiHNahZDfNWIZN05B/TVArzQ bxfuH5e9EbgZz3yixPtidtcPrEvgubWCMzcXeh3kB0iPNox1kc19H08UyNE6AqW0bJoTg0X6v9v7 ZtlIFsEXdW8RJo0qWMS77O3RhongFxI3psfUxr3iCAz/ZgSQ9pHvhSyXpsfnVjTVFQ0PNYoLxJ0S 5PCWUKT0pLQGYpvEhFNLSBjsu4BtXhQ42QnrghbIl1ue4XPFaMgvrnp137b+d64eHU+0sR3SR9YK SMoDA0oNTguRkbnePgA+2otDMRJqz/0SKUUhJfmVvInuD5x11EIeFHsSurN5hj8Jmg/DY0aMl5J8 uK8E4JkyslcSvFcowY+eAvS2VMpWLEw/9aH2zRmuo7bpAYwgH/XNCJe8Q92dLm/PFBG69JfwC/3e 8TUkEg1bT6xIakF0iIlOrdnvL/HrITkLVNB4bK6PU9tFrJ6D88ugQfq0OcNp3yEpdFTwUwx7Ziq1 4PErhgTVMQfah6Fran7cCSgdasldVeFYzxm66m8c0G3p45Ke80wOgNphBPZ7+60PFNMnxHw7TD6j U0fPS50bkXOG9s3T+nqQe4gGjGqe967rrDasmaQ3tDrt8C1ep5/6/pUaimj/EiFdO9jacVHM3J0r xOzFkbBEOLQ3NHTydWfiauy8i30rbHYQJxTNUX9RC6FYdYv5ejXXOvAyUHyEEkMNArQMv8g3mnji J1oFW2D8oqeqseS9+ODFCOUvU4remVl+UoGFaboR7UKm6CfCcTLsQOWGNb1ezTJAV990INhGmiaF ejzyf2b1CzM5FSK8q2HCbe53eT+5wQ87F7ZFKlB8LzwM5SS8JE4fQgghQ2wfxSf6so/BMENQ9W+y BOulNj7hr1LBuBZDNhElICluu/I/5VjeP9JWmHPDbRZFt6qBrb/xgg4Dxdnxut7bhsGI94VS2nOI nmDyM9TuXM4Az21cymLLtKgVoBVW6wfQ+BX07/Cyo0eb2XweeOeUNkwBpi9T+/ezxzOPTx1ljXIr WtCrb+ExWqCVHTl1toACYy7IV7stCLpLwo8gCUytAm9JX1NwEDMSGipW5ljdtLe6rotHZaX5aq21 RF6IDkXqm0x4qN5jnnayebzCZPHTPUSBopKF77/nKPr8HGnLeJkGIiRQ3rN7va+TXWqh0puZrPj6 RyrHSKit/CX9HprPVZ6+fA3zmnv+vxZyptafPDogYCTzllmKm/zh9dAnv/dzDdPygE22pTmYu4R+ 12JQWFlaSy+NBQtA6MwaAJIC5ZV2/nal2g/qlvs5konSwwHqu5NcXE4vvXhf8ZXwp8ht/zCQ3/iI 7NRp47g/IxVFPXrRCNJEkR5yfsjkWSpXlR22s0ZgRF7h8gF6uYkOyWLo8K4FO4fvTtpTWOdi7jrY cTKiKfR+sRGGUsoh++C0tvOd/VtYv///DrLtrFYXk6J1gKXEe6l6TDHQSt11zgon2IDeCN2haCY/ 5GxD0hEkaQVF5mv4SQWj4D4GOex1GiTI7q2aA1DjSRYlUp7iDyjs03ZuCA+5dkEZ/K1Gdi44qfAI XWRl1U4XoFDZ6rq/lJU53h64WHsOTNFvMvzIFv1/nOKRMy+3UPKisk5pj1LVDICrVPyEsc3zNGUC cswuldipgvFIL4mD0Omt8z7Ro3VDq6baCArh8PZLec5yn3Gkwl0NePOFz1/A2QCxbWCo9xc27Nts BVhkwuaJ/9yezz/BnK2XSXOTqVjnYc7JCrVA4rpY5yFaqnKgKR2PIXmVjdCKY1vYHdFWakeAD2B8 8IVBWIOkv0RD1VbcgTAi40DxghZlrJzKLKmxe+OWiRQJn41HBQ5XNoUASPI2Ibny//U4fG2cWtau jXJ7hYcCbwnCldNhG4xgyC+JTHrHNGkSNNt5hogyOix11lETZKr4s+DQgFpzyqIKtGedIw3pFKIe F39I93TJyTz2xKYwt1xtqfzXtTwb9qyXX+W4wZeKewtbLbG2Wap3nFTQNubmXn7D4wZnkd8TTvj8 0kIXC/2OwAC2MUakB6AZONS7CM068yNCzgW4TclTobMA+sDL8XoObUUDndWW4bVR6G90JSryW6NK FXmxhQwp6Z8GwmQ1M0e6otoDjMtCQNpZsGSoWXkAxjrv7jgi7P53YJO5jFU5dDJTYFUpiyva/dQw jkZRxXn9JU8yt/AP8PDndjAHCfeivNEor47dfDcZBeiIYy57Uk1qnHx3vYCq+qQa3B9wb/f+Ojjl IDtjWbwYuHFUH2FQWcP6Cz1zMnTPO9yH02fr6I/6RL6enIjnH8bc1jSqy+k8G3O8qzazOsFb9P31 KeAZGqU4uLsP+nGas7bMjm+au4qZ2wFI9dhFF3eFDztnbsxH8rG59Q5Tm49dzZEUhk4zH06phk7k /p9pgFdXQ9kTDRbeXgBABgiGm4Par0edFyBwnY29F1+0S7oy9gorja9jhHLuBiWkCu7/s6i2wkIa F9hgQlhSx9m0zPEChwG1WBtQ3Dt7l+5iaVS5R6MzwWj00BaIJ2oTnKrCNozH/SFnIVw67x+hJcv9 qHVn4ls1u6TsR7e/Wkq504sOXB+1L82XkNDWWObxRUpvWEd/618k5dryT5lqtXYEeKZIvyLgoy39 0AXJfR6h/DbLELkSPu5Yo0mfNIPoiy7raYNCkKPNHFkEMASNyF5QPTdjX4mj37PgcsZSzP4dTM0h STW29ovkIXsCu237UHBztIUWS7gC3v8OMVYHkhnL1k7NKfjsrZ90nr/OcKY1U8yuSxG0iBT5OH6S m8wYTaFgah6af2VmF7KzhE8Fh8GbJa5Y1fnGU0jq35R4qGHzVHioM+O4oVFGG8qxP8JJbiR/rTCr C7CG4RMCs4GwNRjfkkEUfVWSBc6G5T+kz8Xrh9gnB6xylwT+Swx5nceRHaBwQYkHI/ZzpOYoNbhn JXoEgoAHa4BEWK3kj0v6e9NBFcJ9pXypfnLhONyj9bw190Fb2IfhWrSRkg0Z5jpt4/CNMFxUhymp 9LOoh29qnCuyk+K6EMx8nhnWrjkdZrNJBzBgSW53lfwRun5cBxsetfPEKd8VnQIPM/r56TtWNjQ3 IbD4TzjTdlxvAJck1IpmL1pVTZaujrhMXqwJazQNJBUWEXUKdBlyLaEeooT2qE4HygHAYZyvV1Jz fCCy5+0L51ynsvfBGy1NkRcvyuqKcliT7s0nwqOM43YSRti5xwjQfcmob2yRCALj4nh7zNHW4ojM OShYSDZB+C0EpzuMxRmhg1JszZXM0HW2G8urX8tyiFtS3i7JUGI2nSVLU9Nd2vMgTcOAE1xKKdzy 6E16hLcHmWzJ3UGVvyMtj7nCwz3VTjVCJKTp93nePJx+EDt0QUj4hgUz2mv0hX2gPf4pDAHintHR aduanv7VHwS1+pTnLg1IVmU0+l6hTUfaXi+KR6r9eI+bGV2Bn0vSNRRk0pii4Nd9aOsc0cqQ8Jz+ X443dQTyS54D4IjTI3Sd21mo1dtqXVhJo1H69Bzrl9rsWsx5zujRSQhWjU/R2T+GSipNCDwe2Im/ bTAeJ/5YDGzKlDPDC8XTVTcIwRHDDFsIBih0HCV8kAiickbMUeO2+5GsyUY1WWvKHhHyFfV6zA9v sO/kXXrH/WrRS/OAc+uQbF7JqEfWe1chC60/bwwomqQ2JhUB43Cw0zlU9Cc6MyaBkpSv3lGrC0fU TcuZsALa9urPZK4ouHxTkrOwNZAl/OchCTdWsv/QfMYUUOty0dAUAA67Zom+7h17iMXb4VXx3meu Y1JihxTTJ6darXK26+memPWcDAL77whnwWav7SQTdrUzcede8VA1TJ7PLrzwjuIHhBI+m+PSJPUG BA1L+9OOEOIONqAE2S6MrAAEMNyGoQJpG7G5kdB5pfjrTFKQroHaBaxHfWcK2AhDcZxE92QV84TR KMHJymSRuT1yyk/ciTSMQDSvAomvU2YRzo21Hge1pQcP5eimoQR4wslYWKm1vPmrQbbLe8Rhm21q IuV/c9zQ4JnjKGz1i68AuxKu3/nixs2z0FQPqMg91H3Hc+hLPzWlVL45jUrAX+LyXUqjfLWNFto1 4zVOfGGCR27XXn/qYeEUNN7kLshu/2t6Z91OILTJkLidNPZe57mXry/AEoORAhjL1/3tdyAtwGmj DbISNO+csOEQoy9piASoj95ul5N+NzOBSSMg4L+LY2KKfKULP6grdNQkjVqHW2bUJ6uvOSS4s9nz ZFesK2TrLVu5JBuGTUNgxey65NNOseFn1Ne+R+eFmkhYmSEjhiDrOtQPeAihoGiNxToWNoJoi0Q9 UzJMwjFRvI1vVcPelWA0CTv72Qx40nQpOH0YYnqLxshHB4ggjgKTn1oNgF92Prq96KE2jqROCH0/ jyGelFFDHTNoGG121plnL9lTz1dN/bpeAY0jPsGeQIvYMe27LQdNyhSUsHogpq/o+LLtCkOBKp4v mn103cHZ4Pyp6T8ryfq5N+JcxHw7NqMiz+8Pkng7SoMCjlyRB2/IOEKHXHNzMGoca3REE1TuaQrM gjaAGPrG3jfZNK9z4wXnJK0Tki68kFQXmzWgpA3bnopx7WEHXEMoezUC0OyWd2IHkarrvdtnZqQT 8Y0Xjf3C5FTajx7ahzl6ZzlBBV0pUBLwNtnsZl79E6b8EFE2DnUdSGaqDAY5pH6Uw2Ktx5jwF+4R jrdSrqiygkOWP1Wcs/Y5NOvwlPhpfAsu/nCFwQE0KOwis8HCucm17h5u41KMKRqdXIYVLPVaZUnW dFQb+qzkrrlKHDfhSlwBPPwRqZQRE6Gk1MZ9rpaBXMXdMy3wt+y7JID34u8+OrKkolLzYRhUt40A AYaPbdn+3xNI/Idj7Nu81CfxQf00LFHFlzkIHhyyrsNP2K5gejTlDF6gq2Vmi2WHrDons/Kq+/i8 VTJp7CJUsk1a9sVfYTjQr5SIvbLJbwNCwpI/sfdRl3+0z3GAm3l5D47WNeQwk8a8mdSA5mBR9+eh c5XWX2Ut2sD3er5btOI1H5O4oVWtOxIUpMl3/zunr1MUAfNG3NBNZPCd6ik90wUXGbljFAlg9NuI AlLTo6fieAeKoCJ6CX4SC1Noa7HBQAsm+z9cb58m5njlYCD5kYIr9mx2STYtEGeo29BXkxvOIMNJ eY1qLq60o/8Tm27gVwBpa+qq7n7h4cbyEMEoxyu+U5KrcCaB+ZG+iTFtAO9Xodhtvi4DrNvzNvee MfRO+b5RyN3ZMFvHm2hmsFeXBDdQribx+SScOTZoYp0gH80P2iZpSkTyhj/lBBhV2afEpaP5eXSu bW/Vpa9sPEbpaVOLICY4xRRXplqnzNJ6Yo/YRYs4xGiCLwb3hOI54/OThubGlnmZPRBER6Osrf+U lE5SIEpCf8gjWq7n+esZTXBlxgECJ0CiuUzhT/l+EmqNDJbQa9wby4HLf6zY5jNKoxycVirICeXD +UGxXyWK7tyJsgX9pWOClqoYLn+08osZ5Uuoifo0agWszzQhxEEo4i/gztZJfi/Q+5T9YcdDhrZQ /Ywd5UJ02W3bFaL3mfjw8nDJzVH0SQmAyYcHIvlL3EKv0vUUx9POOe3kTn4JXxXboRU38HxOkOLe gD6E4Q/0FYZjXBSJpOG8yGx3K6n3OkbhoEEnz1FtJRCfOgBcab1BWDVqzTaLrcItCuBuddRxLkP1 HEiZilYT+Fmo01kn57rto8FyXyzR5Lt4A7Z/RSH97zN5bGqnlTDoG4mFB5yx82nM+7V3QBJF6mGm VXto3l5wj1bydZ2dmjbiA1VCtmBrPUn0MZGxY+ZlmeHMelD75Ol/MCGhNjz8taq71fxTu2YanCMT fgsQlrKJUmNtxMR7jS0R2QYSeTOk1IeCf+JMuEgb2MFmQHr7xAuE9ZQH3hjgsFbbgvgcQcSbeqGg fsHtZU0SGxQdkjaNez+2ZttzmCViSDGexQE7CGFsMs0/2FD9XCcXeOTWjk6vPxe6UWShaoOJ6p7o FoySuGx/nl5pwfUYFZx7cZk028yXeU/7ItaXpYvlP8zpuZ74qB9OrRlpP4Lc3RGu8DQzxbBRwdqg 5fbfmOfhsvMnKpqhbVd1FgwGx3nVzNd0tGPv9dPmeZQG7v5PbLF//ANksoj/6JqKeOgrLkYhs9Mq uUUbgJjwPETljklJSrD7MORVv9+CPD3X5+/how5DOSbm/RdG5gMyNj9SCJxVICDQjRvpeaNvdFAx yLwZTeP8bktiYTs9rweXoqXUyB/e0pe9mZdGZDms9R2sxxXrh7U3vmH42c25x0E/rW04gxfuNba/ 3IEuBZr9YI/1ifv+jZZjbDnyMNQUyjk67607v0KAya4KcpDXPyuRKFFC35PpDC5HGYBAp8X4PvzA y8rp/7vZMod0v0lBUTo4Hf38XoBlOM8xA/E48QX4eOPR2+FFDJnfEGR19sPsA2L38ZiSZNwZciqe Bvsm2ZFtiaHW6s3MER+04B/DFhP+1QejQt2c7jh9grfmEDQxTwQY5+NgxWt6TXodxybas4GhNVdZ f/JA+0W3sDdD9nzcB/1xjkrtx9VQMTjZNN5c3vkl+z6TzZGUCMdeLpDi9SN/51ZhfReOgeXTCa3E hubSRF/hiFHCMYKACiklzVrkhPe9kQYGLBYqgTFe3RvxZ8Zr/tJhs9N5J8S3Zs72eL3H6yKmbETN l3g2V5wMS6FOm4Rqrzu/JcNE7EhRlVHKLFIRxW6HL3FHl3my1pKmEqasGV/Sbnow4uMqkfq1tA1I 2dWmIfUcWatM9c3I+6abLrm5uaOB/3O1eUQ8SUWf8zHayf5FSCdUSeaD0G4J5PiniLQbs7s/ccLa GJi05e54PjBYEKNozCWZPGlBhwR8+oKQHqEClSZUjiYtIRUCJO8PIKpCEC0vZP9+Aanx1rW7gBEr YXYmB8w8uM9Amw8QLMEgRe6xxNfGyVXIgPr57uP88T34ehu2FjeqngSRAnOrmFq3wvLbwjnxTf0F vCXz76J1opGfvMYp1WYO4ccxzSgqdVnSQzNp1i/wO5XDFWVqN+bwRlQC4eKoWJsI/69nzqVJNBpU 9M1lEE0aG0eJUAcqM2GAKzPG6CM/6NAk3/u0jGywuECacFs8jrNTqDcULfyrGkUMxLrogxSPw3WB pJoAybkSFUX5EAg/YwJE0OC4l1ZEIfaj+cU6uOKnrrL3KKcaHcyxfpg3rBW51kde9DLNRnY4MD+p rNjwqY/zj3tQ8zEMnhH719OQYqJut11SPMguptEc2qmw7wMmjHnEatXhXTxhAK12rMr8Yay9tMk5 bmAxSEACUcEIvzLl5srSlZJVMCmv5jvmc+C/LvuAOkkdfpg9y/LF8Z0jYSU5A/FQARJAas+yL0LQ +qm5r0IFCZI/yAppzLW5dbn0y/n0v1rgnBA6ZfauAoFdZfMVtm5NzSOwPMG91EemHnJ53TPjl8Un dmOVkfRj1AhIJUZ/gNrNOk764HsJS0y9LB5b7eKkNDIYoEjC6MAaIbBPkzKOEATe6JvT3iJVfR1y pu11pYWj5Mq8UzZIlF1V1/JLlnM79swBRSPvIo+8tScGR8Z1AOYXh3rC1yTf5RDLNw5+Vta8dEkD BZg5WZlW+mHc6ITv5jBjFR0/0fhnxknzNlhtm+XFXpcygb0qBoaydZRGGtGRyHiOnwa1nZL0E3n3 FelQutibzH+zC3/yRGeRv6Kfx3HpGppkRNzn3Tkbl3X+WaiF45PLbUIVbnYRmDl3wsFtem75rCmP Jatk0d2XUeH+opCj9t3j23W623d6OTYTIRKGrlj0PbfIEJGfAHl9LBSnV6N/DV1YzEYOuxiW+ZhR iAqpFTA1eB8U5rYPr/x2WQR/j3x4iOWpaSxVBWt/FOryWLpmfv/oeXguxX9IZipDAu51Hun1nwud t/wE9eJwu2N06QPuE3BvC1vhbqKu+6rb2hl0F8XIae0bv4a0OTpT1Q6Nv/tB7tVIsZV+5wQ4kiML ScpUFLiJMwFttMp//QefN1QnW/SdllqxRaB6w91WKBX7YkIOkmBJ1gNGxcsaMQ1MTmmeONqHkBnk 71SiCoI+WtxWlCpe4fLGxLe0RualQxFwn+eDXganHpPZkWL4jZJydUjF5gEAiJ1iVlqLDZew57wr /fnk327Kn39Qlznw52csbkqUvE1/yyOdp/Ehit452vWATNhXmv85Fxx0L/c6KK3a8QHmo/BgIvzs mxF1mMHjWzyd2XaiqZSOhbrtPTR2XvG0qAUYCWp7oEvckrbEjU4Rz77ADCX9Bx1J1U7amS56QAzw 71IoE7AYQo+eB6XkYNnMYPmgl2bVlhNxB3W0r9TlWGIa1EztbGoEbRXAUNmWuIBrB3CK3IeORlpE Le/QvYJlcN0dbQoueIYEADbcMq/cRT3i8SZ2lUgmBCJetwM9a7JCB9k4Vkv63MJBkvW/OYb0VqVZ 6hvIZR4qYYfjsRf3WapwXtbrOqDmjF6wJ6jHuRDergovvImkx3on/YH3FGlo5PrlJJABBLVqlhiL tDbKbpPDx6w1cNfYbJ96nXJT84D2CF4XAtnLTUPggwwqJmXGAtGZfS4v2TLodUQOsudwa670hQA1 v61yxieJAbMbYQndF0sr0P3/AJ64ygakk/kGuzJUMXO2Iop99fi7TWYe9Q5HjKlXr/V3xu7/GqJe IdDcVt1/e4LndcnRw8o/iI4auMRaJuzrM/XoNvdyXWDU28okRc3xS830qM9Tty3e6Yuuqp6Hr4z0 PkCAAjPBxz/ELc3nDsLv4YvYyfMj3pfcR6e5/gF5YxeKA4MpLu+cQnY29ZjAN8jy3TifyNIREWVu 0hvdC4tea6vhHOUtu15uCMaoA/DRN7gkMYWet+IrNtOom+qwB7jsxHxWUz8VvrH6Vj1aw4o2lWWa 0mePHEkTublY/f8Pn8kseuTQEEAjRe7Fxlwv1QvNDmVqEUyxG9cweR032jPmNMBuaWYYcd4tL8Nf 0cy5yz4QiiSVvzqfPuLhr8yswKsSKCHZAw34K3kHck4gg1lPA2gwcjQmoA6u3MmtuUyfwlT6gcnW bBX9sh38u1/IIqjBzk31MmJa78ibuatUZfLDW9I43Te9mdmUEd1WESFaLD55f5vMLSj2VxRmunPZ eP2KGuWtoyeJHjSHcLb4+RQOofFI8kKcPe+NwH7Yrf36AfTPyecDCWWrAJaMLAIjYi1T4JibA6Je wKAMiYzm4W3DtCSqaRlKtcgmTC/BchQcA7EJ6TVNXkTSXTsI4rVko+HCOTmtnig9wQrMGwKd+WC7 S/Qzr3voHqRtcAu1Ee9AtziTBSKYlh5pUeXpB+cViEluUPmEXLI9znON37JTu6E3eesCyZdLpB1p ketOGNLePK+X7qrHf2H2lktj4qvcS887Rl1GBXIQW44oH1Uqq7B+9eQy0t3O0UzQ/lLvuAlKSaUI kSdozyKiTt0hj+eq073FTvxl5GzfvXbrRPrNB3kSarBgVmIbxqo+XG/AU44PsGvBpUqQR6WGFIXS XuakzZ2XEQpP9MYR9uKhuvquJj8uMiozW8Xyd1NfKqBT/UtRqtXuqytHln8F5iqIjkoALlezwymD mHmXENteFZZc8efJb2FRfibFO4f8TnXtmH/tLsmcm7ze5UVbejxlaOpVqUOpMsyM0irbxUFmEk9m IN9JS+MNBFtjjgk3nbHzi2AO0YSDxvX7pyYxmbToBj/RMaQ/bVSWVt23ufAlG7EM9z8m/aj1uC5p emgqJOLuOTfEs5A/xEkFeZ87U6Qc+6DLlDKDZXmqAZbgmfKQr3Upo63bSon665Sye/FTYSg1fWvG sZvCK3WCUT8GQK4bSV9WywwV5e2YF6tvX3whMKcV3t+iQG8fljekhRtUKE6oF6Ot574cHbG2WnoB S+XpGLOv4qXLUrXTSm6NR6U9/+/B2Yl5sJz8ZRVmBIyXFJ0+kWFqycfgZca7CCYN3ehZef4rRR1Z x5/vYe2AlDhn9alji8t9Nrgxk+pC+UhehEiwTDDeSs9Gp2VrF7hE9eA9JBUNd/S9iu4wTSXOCib6 13pW/WPMHYTR4VbXe91XRzr4zNUv4uckhRlqTgwX18GSBAHgEFQo0BEMI5hMWjjjlqR6r45keJne iUTc5jaKvm3UiTLoP9P4yJccxMSGL42gs7DWRCRgrpSyH/NxKYzhK9nCmVlBrb3TAq56DAiuE+N8 m3xmcFwMO/rRp95o7Qn3QbVKZiv2MPyqqNCTZmrqJZNC/nQSmeSgsVWHAZXpQHLqA35pGlYbrE8q msk2DYUJckxeHPhZ2kbam2O9xKusfAwh79iB1IrlWbF8+KaZjr4U89UyjKV6uUYytk/cPbj6JHIJ tEMyfBNCzQljph6xlSUklSFw+63dP/f3YdNIwegU5i7fU3x8Y7GV9kWYdal6a14Ix/V1VvxU3i36 34MjK7w/J6QhobYom0D3Xs7+umrDVJ0PQnZdDgqllTmbUR7sGXXNiJTSMSK5pAAf0idApFQ2eZbs Nv6ttHqAAMaP9pQykHIOrZONkwzPAe0EXfw8fo30vqxYe13FiJ2eJHk2W891ydZIzBci9Q2yhCpq DkQit/iVFEsupVj0302qmacdYM/kNVwjV3T6LOvp5ALGuAgXHGRIdL2q/VezIZ/lbfG27Zpo66+n EuLRBCMsL6Fov9C9t0RMFmlIf0/6zD3Zfyc3KXNKxTgm2j2uVa+BMQe20FQZ/XNsSLWbWMgu8wro vqZXP0ylT6Fz95w9Vm+NmspWingXElruk3PEGCIR71Oe9HoKthf5XWq5967tEV+RD1alp8Pns9KB SCQqzMPeOEdmcHq1Wcmu8w4juPN/Dxc/vn8risqbVJPKonVGXY0cRxkBquo+bcdtD48GLKHiTl4N mWZ6gWpwmDaRepgzWritvlQ10wZfcYu6Huw1pmEaTn8m0ZFWrUUITo4DVpWpiDW+SesiOO+kY6rn eRb9fZK7SajyMsjVeyUMqOB1fAtwMWVzoSyRv6MwOXzAyxnhEm9S7d6oFSvg8CutZcIwD9vE+1Pv qNM4W9v83V32rsnjuIiBL4YEsov+++3mixjOUHSQatVNdvlvDdHVbZWqMibKwQHJxGLLArqtt8Yv C8YvxxjLpnhZ/RI4471bSt77LpBDB+16qK/C1RE7Lpschk9kDhdjrFwkqqpH+7D8gMj7rrR6dQWG bioVnP7yRF5fskkLJ3ARoziPupW/2JeF9xWPX8QUDnjaG87xC5M0stkxPzxWb4u9Zwl5sOvcWGzD 0mQh5R3htt0GWu1uIAG3q8MXnw2mSAuPAEd9ub0SNHd0+QFRrC5pDVsfjtfGQqMb9ClaCgDjqpTq z4WnJYC0KVvCuPqwBEufOwFWhjXG+noAcgtZHhEXOI+f1MldiynFVPRSq1VZTGxuByozLs8wEFSt cfnbM7O8dqZzlQt241M5hkKdoDFZO2h7u9DgO4X04emyd1l49r9h5BwtXC5aAYzL5rTc4VsxC/8M nSVH0hFwtI45SZ9OetEtKIMbm1ec2ee9AdJuNZxFgtIkw90NW6lk0bc62kHU9+yrYFeplSApYfSr Vy1dJXQR6c/8LF/YEZer7U/GNOSMfjdbjmg0fHxW3azkkASXGeL3taqDAheAzkta2xN+RrTjS7CR Uu2WKXtg2j2lfKmm0rbwX80uRont69bim60ro/3TwKlu7TClZBcIYBdYRtfs/jCq9V3eKiDAESqm CPa/gqN9h4wOuanTZIH7XqiEB8ddTNJFoNRsB+2x7fbmgSVFtxqBNLAhSlhRLZiD1Afkj42ARTXw mFrw+ed2QmJlCkinwWBtc7ikK9baIm0rmQUivTXWvy6w1BLColMhOfvOKE36QZfUgZH2GxBmwMLj Nz+PvW9CAPIwBTbtEb+cE9ShOrlaAkoelo6o861S4UOmgqwLfnsZohTAUpGaPPOu6cvtIAOi/s1X znATiEJo7DoC5E9Iiz9BzfIlroRMVH+KB1GwXn3ir9XYYTyiYOB4rqk5pxKNB24q/4Ouhs7FbJx2 JUxb7loN8zPnHSZvJ3MbC6xWLLmR1fE9AFNke6lTT/2PQCIbkldo5jSOJAQODBPFsxE5ZosJU+Y2 aFcy8MRJyuqrbpGwPiG8uiItC+1DwxdHDdyUDewOt5fl6M58VrZrzCn7xo5oweWmYlM+vjE/NGUK suLf/E6cDB+5tQ5cDl00bNSvJzKp1k9AMgmUAil/K92EzVAs6eSoIjOztPGRxPxKs2dSgB+09bFG blJjzljRzkkx4nuyhWm5aKzc8/pt4oG0lg7q4WxpHtdquDbeTrUhHytwpvISwMK9R8aABizmkVB/ 8tzQ+xkKDBLBjErlnTug8wkzj4GmSr7LrtQA75AFpjDho3ANxkhZjGyvZNS8qPcrUXDreNqr1pTA MyOJVV4UI1dyAqtqUzoTWXoLaSB8ATrUMUb5PdlWl1xD+g0ZJBLgmdRj6kTbNrIz4VZArWnirKka GTlYn4KneWpAvG5bez4Pvwec49mhrRutgGZu7l/jfDZXr1dcemnDncCKriAC1m8F3Zn6W040X2HM YMVi3F1v/QrUHerMAz0qHyNEUdbJUClD3rQlI4ukWqpxtSS5IEEOmVZaVydkwELcIFRU4kMl4mqp ZJ8WgREMt1/Ryb7eLOKFQ7Lu4UCcIh0x98Ql/l5aWTennlOduxW/UrK/xDFN28MOoeHtt4mxSZh5 akM55AZz6l4R5ZReObSHE04mI45ff1a7aQwHo8mZ1jhpEYESKu1usWE99AbsvJl/7ak3BLFRLiO6 3LC+31xs6BiWfsJtnIaOOqAOMl/QYkUcslKxVsad8pg2X3gnEXdGwb1lxeAd5/eX9JOVhA8WDdyT pcAVR02tyW5UN0ScqRFSusUtB4fj6JT0xB+dl4As4+j3NIdRbxMYw1QNfEHVRZZK3G0RZxzlrry+ 5XpQxAiKOcT1nVxG0TLNCbAq4GxbVgaf1Bha/qpagw92VaJELAKH1xMIXQqRzWC1Tll2GH/yvaOw DT6SKJHR18RKNt/2B47cSIHTRLFR3QGWMYYWv0bpsOShDWRYz1dmAzxZhUG2xB1ht8vM1eyAY4SS e48F0C1L+G6GrzYPcgs+Kg+lU4ihFf1PZEswpdOdlHwXT3+hQ+52QbhQe+hImPKcdbvgY3J/50Sh ANFPuzA+UMPcsYDruWScgUyzjMnA5yH+L2iFkbVm9WDAfGCMqW0HMCA9QxgJ9n/lh23JdbkhCE7p vI2n8S4KgeaBM0Ojg0Co1/DPd+Muk7Ksy9sJ1QRTaqAJpt5K8twNlQMDIGndJ9UOgTLHz2PtQz7B Kjnzef+swbH9vJdVCVPx2oCIyv0rZddL4N8O8sLf2EG3iV7UTGKn+xCCN6WNf2P8KXzvlFkGh+2K uuKMrEmNKLh8AGrI9r7EUjuPRB4quqSsk+j2yEGBySLUWiei7IdfhOZVEBU4T4VKs8hO7of/LLHF KA9QQEUMKg7ag6q8lnYQIUSdS+vBX79zhuppKew2hX1GfZf7fTFulx7wUiU3q7ns4YvOejqDEs3/ SHg7kQVKE6ZPW+3QqdAf0KprWUw5aa3vJ2rflhEHOnZF+5YYRiAOAW2+wllg6Kdn6i+yGQ/dzoMS L6O1AO1baNev4SIpISRvMQQBO0axwaX7SbEq4gpLzg/N2jbUTxDI5FYFfLjcFFuEO+qDu/OnzZ6i U3PvNiGCPn7s4Q++5bATHg7wiAXfcGrOjYZ4BpvV/BvRKYspHQd09bOZO1rYhNGMKhWD+Hir7Cup wZp21kEfhJ7mk7Z7EZmkyqP+FGA6043y1NWCPf8A/cATWE33fWPJN96O7ctcHM3yT2tvsEmLOkKY 4/Xb0G978YXMMLimjLdBUJ8YOBEa5EYSTbjtjhK91NKGhjbHl91Onr2aCYcLE+DW9P3i5h6NvB1J EbwZAf/LA41YT25LYvdN4QhgsRyweIT/71sRlTZBTL7iDcXyYfGe0dRc1GKavgWIzbWTmXx2HqFQ RL9ociLoXWk3pnWLjJmWCZqNaPwRqAcMpToUSEL0VJ03cepIJ1PPrgMFTdFlLjDsgDt55lWEOIjZ nR0U8XreouB3wec/21/BRNg8kwPEjk2HuWS9huWUn+t3YhVZyJB4oqaUdMVnK2VyQCiqQgirl+/S uMbe1Q1FaL/vJXhZTOB6jWCnf45RNTc5qS/s+4Lk5UKYLek4iBpex97FpEXa3p//p3JsL2OkI9hy IfyeKyFB38MkHR5fDPDlIRgWPxp435i1wPaLcKZ/npLM8qe60gRf1vIck43WxKiP4doqLon3NqWE K6YSh7HEbRJFpmXBGW/3J2KT7idAqOTEXjS7+QxtwaO/efUAk202AN9rsO78B8g2BifWAt/vXsJ9 qIa4NMUH2mkbIJWoiqShbcBMowX5ealf3imjSWl32jJxP8g3SGWlMuIou5T5dcI17NRFTp8bx6kK 12sFQKe8e698G0URTT0jdONjjDpyM2iun5e0MK5NkFrmPlq3Z9PcP4YNA1L/Za3KGuc7t9Hpvpxw BbUGf/rJ/s+HYGSSFGYLtTuinHoyWKGT6EDbdLcnDGYGRRseA2THgeNrbY6WWj9oTGkAHfIbLAvs 7OxMGsMD2oiqGVWWjujnUxW7J+E6Pnu4Lg9wWj/y03a/mvaoSexi0lwgxA8ZWjCxS0Xs4mUp0UqR FD5T1v2es+XXhodBnnAW2eOJDQ2upvd384qr+Gba7AzVpfa3nanK1/7wDrjaQcAIy1gC3DuI573S IsAuR4AzdeWfjau81ai3EbRBLBRs3HWEvcctlu/V+T6zwaTbT7w2SATfnIslzEVFXNFxlwCDWE3I UF3c0+5NgiIsmmzkbR8z+eKRyByDE0yE23JMPLA24lrkoa3eEoa2sIr70gFDC4iQ738XYYQqK/R6 AyKSmjo/vIUb5zCLfBEdb5TFJ8owJ516lyLZZgyyriHoQGqeZaUkAfoZ1rSHLsbJTKgUAqByIlWf 7pIWXkzsHs4wl036SvaesqQjwhTfZKEVS25ONrbcnf37T/0FapolR1xwllP6C5dbTDYRBehbqoXl +5AQAz5idZzFc98sUQSfzX3uJvGkmN+7l8l3m1O986NbLiWA9F5oCJCLyLnBsXMi1TFJMva74hnn Bhx2XSqRwikYbZK2iVL6suHxvyy1LL26ESL1Oh3JoQaQCVyI6SF9LcToyhO7eszytrXTY+SuM50Z UyilimUKCEZNqL0H2K8KQBbkH6nQuNf/haGzjIQPmam60gX/EuVEg8G5LopDLI2vQWhW7zITmdAC Ow49+tZUNG8smXsXG9WKHHKu65JoPfPoKazLr5XCky5YwuJmAZ0pJ8JqHAZDXMBwihBWVD8S/DSW +cZpwQKVWQOf+NwrG9jGbePmbA9vUNSIZUyxPCoGdKWiILVb7T6WVrAD8kWHs5cBuYlssJ7Z3d3Y gKDzsxNbGbIYWZg1ZuyjCkeypSUN8LSP6yYt4SLmOpaZVJqTMyj5GolkUfG7WE4H9WAY9kwsx4ha DZmvqQbP1WjmfioTsxbShq6w+Sz/WLOqc/KfTkyDdRcOHfFrmLi/N/zt/0OwQq1Daq4mWJjkzHUd GufbUQnvM0shnxm8rzzwhXWWTAUdI2niRm7MAgjavUVkpFAb24V7sdExgzPaUZbqtfBHyrJRGRds hhXtICI2QN5QmLlnjWwUqZBS0yzHY81CFGvpXlcCeVifO6jEiGHaUvV8S3Kv7xEZ7En7mR1iI5wQ bX/fb4TT98lrOG10alxzxF9AHJslaRjpN153JnP1i7EnkS/NK0Cfl8N0MEg7z0X6kQSjJL97a9bL FFzxOSwEuXe/tllMxLWFFW2x+UTWMpRc28vLb/NQQz8j2WYzc2WsT+aXeX+6tj8cteg4pdsxVFwO Rbu/dfdYYDsQ9SalwrHGPSi/pEwfPQI0LS9GYA4O53QiVzFIdjVQeS99NSLYfOFVZakNzm7qditl HmXZbWIX+xtvh33q07XEmoDt0K4jZEj2PXJHMUqOm2ujOnvTwRAqkyKJR9hiylcfaW6i3XPkK4uk qUn9vJv6vAiXYDgLOJwhHj8KGjuDFUXZ9WaO+Mizijk1q9lQQ0oj7agjzJpzLoMjRKcpUMdkWBN4 Z2BiQdLRGlNP9a8ywPV+8sOFZQvBsORDbRHbnrGeDX7V0Z3LTYj/0Q2HcWvkiODtd86DbBtO2bb8 UcVsk0mKdub35Bu8rQaCQKl2cpobpVgFngvAFfcJir6CHZAup6V8mC1O2o7Iy/SzaMDIGUo7mbMb hPFuoCGmueJkMtmel0IY0pM89Uhts42diZhatR3+HSnRnp9KffpwHcfFMhbSiHv2CbUJ+qoIlk/g Hut4Ys2NMtEIlPQqhIsL7ZSnJDoHv75rrL3Nq429/ha9a/BjHRNmGBvrXlcz0PDc4/w7GYbMVlII WHyvEj2XbCMKN+p1KKsHyr+VwMgpiDAvDlRQj0oWuZwYAK4AjZ+QndraQ/g6E/2XhmNJKcUpb9Ib XabVh1ta37CT5qXwCzKvftSLktnDvfUW5KYSy5KMYCjtzA94ldbB0JAyDE6jytc39OMBEaOOOX1j ZT5GFN5BcKaF3bgK1hS1numkK+hrpKahqlQTB7wKF0pN/Bak8EG5V80U80j4UxIL3c3a7XzOXiTW mBr0WGHN8UgLt0q/eIpZ6OAbJfO2IjuS8vNAL2UyIrSmO5r8PXFXC/pyXWMV9kO3qJrGNLMNh1O6 0XhT+ur6hjkGAlYWSCN/cP/PlOMRMj/KPjaggSdCg3Xynn6DF4/khWGMtzJknISp7QZpT77HYTv8 Q9oFYqWsqLoqicmsZGy3e5qolo9kOsqjT1QFYBq1cSQocYplm4xfPedll6ZELnlnT5czR9ngjZQx epenG4Am3XuUnhiomws947dJZ6Gb/Wfg3XGwUFL1RCWNScjIDIg4ajv8wcy0Xzp42Y4LuzjjwgHu ISdmg3CudARDrwrHxKpIfmneYpkBmniSbri8XL7qTh0uQzESwowKWuzCoE30jBX7prRCoyoFCgCi qAUU17WQWEZcyt7C7ioub/mTc5GvGsYFc6KqhRZbn6q1VfAh7CDf/HCzktWZy7KI2ptCHdICrj0M EN4SaEG7MYJbsNd2cuU+/2F1OvOJ8SBwUt2mTMPEQLfW5Zq6u/d3YTq0n3pJERY1WmeYOibJfXR5 ysDQqIb/cZFcNVJ3wZiiMiYTFmf4kRXNU+5kDGeq7BZBk9UaOgC0O4ukEIePSVLk/UwiAQa4gInV 1Sua/ADwpUWB1xc8tSnXxzeGT9QrKMSoIaoyjKYzDW1Ijcbtg9K4OmUfu8ReTw2I6/LDTXB5f06p lTB12dL8qcJFOee/WsjU/4t9oW5tS02auJirD1/Z7i1lYO6zYY8axP5769c7YiYuitD200KTcP5t fYQg6LxHrPgXW7qkidjFcBD/MBEUynKM1T5oeIZF3GmhMEukSWjLnDo+lXTOWZFvueNHc167yu4j ZtVTaTHSDp3lfb1Tjiu+2RVm5fZlnFcuBULFAawyHDydCUQvXpHmV5sYbYe1+N5pwXv7E8uFE13B g5B2TU0sCq4heZuJmmZvgGuHwIMHcCHuHfhAcU1awkEk3XPGiTZaqaSQqfohrJ05g//AhfcDMkph U+CO8lCNFkE/mA2llowAMSg+Zn5/DAbTVwm0onqepAshZIMe05YG5LXIueRj3thihxPlAu6wieOx 8OLZTtWWF/gmfPQEO8ckaPPgRejDyyUY048YDKiCt+Bt/FybPw4b+m7ixqoplcjYNPQc9rAdQTbV gmPr+S5XHf4HffBids/de31zTfHgl94mFNhlT9exiSSZHDL7U5XbMspe2eyGOdGGQaa+1XNocq9E /sWPakwJyc9DwZGFMaS4XiygVSa9aUotFhyJNuyv1gaO5Gu5zw5Sj5J+7Xdbu58GyoIReeXiutnM yQk+B7XGQ5JfekHwU3pW5ZkxP+YCosLnVePNXcXIAmwGPbNaHTemeF0buMRPBDxwxrFt1ll77OOP BkRRGgzsEorppkBUdn8/E0NQm4gPnZagFPxsqMdnbjiQ/zO18Aqaqgw0P/A++0vRlPhb3N4O5Rmj kn/wufZPWrv7E4vqBwkh9BUIRAPsMNrisRXt6eK0j11o2m9uRcMCN8mwqdg+fTOVmHrvlz7+wfmX gdyh8vtPJpyjk14FSiWGoiYOlY2i8/4AmqGLchhSvMHCyPwyrVgCX23ROqFb4uKwICKf52p3Ll1N eOWD4JKoMLOA7w++rp4y4RJ8YQr84T+T5AtBGZkGyi9jLDJDf34CKc3G1UDernbYOcNqTScwOQs1 l0i5LyQOK58MPdCNijguVyBqm4HArwk/1ts0OKTzPdiZ8c3iDQfHLJRATXmLomj2glMiTTk5AHPu uJ1tTnZsN8NAB8ICUa5fbrEYLAwb/kKyeIks13WnlAIGMdHSHY6Bm7Qbk8ZQBM90B/hPLKEgMucn O1EWlqhWunoDqI6dz+qQXvteHXvCIpg/7T1qpPYpSn0qAOnRMgBZY6neiyXdshqwQZitfViycpiV CEEx7PuLxqj/S4wim2evW3LvCKRGbomIun2Zg9p3GdHjGTtC7dbFOSxbOCzdjydKnxR1Gjn6+yJI I4DGiWl7c4+kLeqHKdIqIzwtZ7Rq0mscrsDvMzHHB2TqGKBSgwp06BvRtYYzREO3aJE9Nu0jzVWZ K6geyekwtvK0jbq9N0b3bneg3kCY4JBuMLsfR7cbZvjr3CcTPclaZWU6kRyFiRuw5JQ3FZyrVmTo QIyeS395+mnpBb9dF+PkJVLPyzLbPKavuQD1GXUhT9Y8QiORKCNtFQxyWUyEBTPb9WrTI2jh4/Z1 rxVxHpuKyaGOH60WaXtH6ZBdEY/7omeJPl2BZ1717sfqCMCsf0qsbW2fyV/OeY0O9ZEpWT1wdYuz VQZZzfcCFE4nUUG4Rp0KBPfEiSp+5ID/8TBnVOXAqww2n7LxAicdoEvPf3RuzgrZaZSHiBKXKDNA I1pki5+KwQLwPVEGxBXLsKGbjkCN5OlZVPwXDbAVoMwrCeNC/nsaLHRYIV/NoFy+lje6Vc4A+Lf0 yp8IqY3jypBOrBn5W2yg65I95KoTFGo6lT08Vda376zizJe15AnYURZMwToV4XoR3WvDzIrkq0Ll WXWyCJw8E/vainK2uoOfgCWqSfsB24pc/odIKqwFiy+AAF/FYBV5z/jFmG5k7Ckod3XW3JGkYYDe SlRL5ZXR55R7i0Z9Ig3EUCyyKuRCeOfiye0b4RmwVmeeyHrnMz/rnC1vER3lHOBkhutxhrzRtgc2 +AwwaCSH822RRrfByFbszI0QZ5CUbiFx1riPZ+OgREL+uiSxtIlcgApevyDvBNQFy7WoTdNhNew9 y3dHnnPJ4igGSSQrpoq0FWra67OiFalrUBd8+CXi8luOCO8LlpHSlp482Ld4NJIoOo+HN9XMVG+d xHlTj1wLXplfuSSfe/nXM8ITLHRQYLhcbQT56jM6wdEV44/sf/wWrfzZcZ5itwIbA/Rni7wRybOh J/5hydXTcObwQC6K+LbhkVfM1TCa7A2CDhx3ZA3t7eLU+P9ITibxHKLpWQsrUY09BCWmfdb/C/ZJ Wmie/2maPsvLLhTrBsx8KhiuR4D7VDLUq1gfkJLS6kQOrMCEddyDYorTWxWnrkr74tD9E3y6RlTb jfJFMpzUQfdBvZ0bfAm6QVbvReptAYLtgQkbFCDbyq1N+38E8sWM0airFjjbpFQFFvA4pIpfxifK c7l8MWnu/3lsGX7dO/fbgoyL1l3OCKnMfuJ6yVSuYP7OYpjlGimILDDk3EvqMNQwOvVS0Onnhh6A 11PQPhv6q+VJ+THkBt1QoBWRK83Wl9OQ2h8ZdeF9QTa/t13fENXCI+ULoDWPSfLZbXrbgANAhmJd kN+8UYpk1AajN5mNUEXI6jnb4d4DXVPKQKHE/LKj3FhZmz8s6mGjhbc4xywiwLWkbMFeoWwEmy46 hL7n0lU1eupPDkAVOdLJokCFmsZkgv+QpQYxFX0xNBoHoXzUbHWJyJZWphzeYvEY6sr4YVeC1eGw /bAsUSJzETYwLRcuIWLpLj+oOpjCbm3/HyfKmAcKDa9hPv75BDpk3cHJ/Gs3ZlSgxxkhjLu/B08L V3jyna2A3H9NtR0xrcw9ZI9HI2gDoCdGIQVU0akrO2CewhJg8/+KLbSGCcrfSbbg0TVT0YbaQoDq nePZutjHAZALIVMVQeLs8xXfK3+D1Tu3a/iwcxcr6Wi5n72grxdFML43tCVDFIECfHcj4R3dKNJo B0qWTIUoa5wwyauZSh7xrx0cSAbFsVLp7OHXF820dr4GIxnXjPcBdK0I1frG5YREcSi9VIRPn07H v98UGlNxS7PEHEaoMxGdpIiRt9u/WqKSuVRvgtVgwcxMX7dht1LcoulpNkuSl8CsdNfEBIaWiemk 4uE0WujPRjFDniqyMJ7WEwUlDfYQUYHsqvTUvpdGM4rI10Qm0QwpvVU6do85jz23wkmuJjRaoJWC hAB+Xi5lx60/3b5H5vF4gXGA8L7jZ1SQ6Xy7j8i93cfkEprFRf34bLiS9NrvXSbOVjaQ4Wvo1kwe BJl6wpg3JfKUHuzcOLtACOmUf0PzUAkPqbJMpk5pva/cnV6+JlwLweG50KFKMyrvxHyyVOiqsh0s XvkP70CBxBVK2fHcE7i53Y93bOOG5kf7sNqTziVFkn6YUeAHr/PVmcBfapyjppdyMIJqDFc9tl5O 8RW4oXd//QIAgQVKvh1jFkQzsTwNjS9uOD8z2FT8Px046GtjR4JPqZQX1v+ONmIqA5UMFNXh0139 sU5l5k5PHpModVpvfoF+nSpVpCqhtPFbMq8zBqykzHH9ttvGB3efkImvlsB7Txn57WdyyK5Ox+Fo wr7fG2693SFKdzpZ6lMhlUQeYD7ABoIiwYYa4DSH354153fxCIb0K552tDUYVpAuvPk3J4SfNxCh WUSlK2AcX29yOevNIJKfUpBeF3rb+EVscYBitCwLeITDkfiXDYlJBSY8Ts4EOrVQ0lduvwfrZ8ut IViPd9Bfv05ZSKtMjNy8X8EobKDmv7bJmwzCf8GtQNlVUQqbkS413i00LqmhgHNLVX5q7MgDDSGr XPPf3eKyBP7lMbSf/q3B+aT3Tv8sqZEWp2gHGhfuIxteO4Yhtcnk0fFm6y8s/M3RpIggHoD22V98 mYTZvGNSl1RXNNPtQ+7uuHgd2UQeLRKsNLSV9l/cKnALBUpCFYMa2KJM98xjxO9kr7KFAa1d0TkD 2VhVp/WZUFke+sdIT9W15rk7qsgHMVZa2UNPi2QcImOBq7K8xAKK+YUy74dlxeKKHN1vhDGzQSAp kr4rqmo7lo7uJ3LJdIK8DTngvBovU6Fl8mC+uQ6/PiPPQzfHylZpUTEqmLf+y7W5etGXbBtoRus2 BCRyyj9TDs8JNV8QPCuRsv4qIwLia2nijsED+97IIZLTVxkbbSn48NaJZm+8tCp+DfoBFfWnqU+A 46Oa4UP9IlFCT5MExKFlQWq0mkKwkBemPFF16rVr9RqrfiBqJshUXCM3XucyOr2T7L0378D7cVfo WeI3IbuQz8rSAw0181kgkQHBawGc9noH+93SHK5awJeu6naX15UZU1BijAOfsrDwqCoXawgm2dCy RRXHIE3dMEvZQRZ6SDoCDprRqfo+W2izFlyvDLSrnrUKkHyFXEHkiSHKkNDm1yqkHCnTf921+9G7 +nXPt1o0K2Egz0HdLZzcwGIWLMccEiO209RIzbAdTMGkUcveNlA1uuOkaAllvJfEXlUpMxB/VXhY AIo+kjwncFHKvB7fsEnvKoJ18QDRkwGL8zjGBjPZjOcJFD8aNHVoyA0FM28PgYIjG3XwJMfFYMfn U+Oui/3ql9T76aMgoAE2S24gyMVWn3ccq6sjv/7m2QPq/CGEmg5Q3gjj/NIeC9Jmb5A1lBC3XfSy t5gz7EIpwkMdKb9XN5u+ChR3ARDih5ugei7dPyurGKb91pNrRTJ2npXh4W0KyMgqrJ7Y19KWf5m0 q33b7HebmXbueFBWjt2uonA8eemU8F8MVEtuwD6UboWzFucWXoQ7opIwRJFkYV/QCJ07M/mEmBGg hQnA9n65+uzD4wJDWKGVOxjE4hlx1aYeCAl/1oIUX2ao8dDkFfp+HEqSDd2Yad/AIo9S6g6055oq 0XB33ApHaaGnNqpGRIc1eovn2a7lDcyHupZBzcUzdBMDITyO5Vr7S2Heqpe19imisdWXXwaYaQLQ mTAf+VpUba84X3DnmvWurif1UIzxSDQiVnk65JZYWNboLbGFfaRi8pwd8oXcmPBA4Nk5ISWLud/7 PI3n97uHLiAXH73p9C60bOqU/cOq3Z3bgdyAkyWa5TzSqSO01pyhxuF84iqsIbR06HcQogNoj+Ef CqO+rq7rn/TwKtTe8Q9k4flhzJ7DvRV2CdK7OK8eJiC2dNwFOda8xjgYkafTqxqbb3gctMVgnEh2 tWqxREWjnS0ZsB4uYbH3wsuJDNyPFsEma8stMXeAveskHjjZ9Olc5JZUPKa7Z1NifOb1dG4k7K1H 9IREgOAhUykbbSL1syV1U+SCYc4Rylafgpif4hqxQ3ey3SDXlyyP4YgMLsGe9TrCbS2u1jsl1WJG XC8yvIW/KYp6VvEZrNsS5wx484ZyI1XjHUIeXzR03/r2FkmHWPFnA0LogwGGXxgj0O3+XAIGPhED Zt40hYi3xpmqEPlf7ACH3kmlD3TjWbRT0ks3Y4aIkCJlm77Su2eaQ5rsrA4V+0r8RCkoDqNeFypq qt/oLcZSG5SFHXt7G9OaAGNZPpBdMZCeMfWamJd1449rKMazbcBqsSBKY+zuygHf/LTE0/3osMeW oJssvgUmbQCGfP6bWP7zKvhdQCsVnM9kMvBN6TPhie9AAnk0J6w9l6qcA4ebMIXc3CGHIbLkPu2o 3eIa84aXucTx1IWppqLSBo3ZXpNMZrIlPw3TgRAOutKNUNoC1lfLUvwEwBuQ7dzsX+GSMva1zwDl uBx243ZMow7wKAKiaoNuM+teSUNB09vP2oV1n11ySq5jkrpu6zTVvpiZLIBAya/DDWF6ZZOE0UPj gY6qtFlj3I/hXS/fFEi6q8l+nfJb0w/TaHNRSH0eYlFsf7Kl4hzCaM7nzHp8T2ZpIyjtI7AzgjGc vGySUlBCGAgV4HA5oJykBMqILt2m0HvyXeO4RCyt6HOpqeqpsuG5sDViD8CWWy4/EIdJYdAiZeek /Bezf/nR24Xh/BpdXATnUQ3tHe+fb0PMk+EF5AAsvzasq/OKzGo/YW/VjE1tav7kQcrRbpL5oVbx uOjqqW9lhvtj7/FtzjUALr7KKuJKtFuAwPaAUN98fYXwMWYVypvPCtVpMPy1kD86L73+jQ4VI12o YC/Zct3Ot+d5o6HiZ1azXDM//wLTKD1ujq8XaCw7f+sx5dP/OnQoDMMgdCrRlm4hwVRsAq3pESqB kDcYETrR3SnMnjz5+qTlNBu5NheP5f0DRNy2V4fGMgXwMLnZ3TZy79Rg2dNrUFpMmTL72YwXnIUK 78Z5OFAl6R6iUYnu5D7jd37zDA05b1OPIibz1sBC9gZMW1k8TOmxOwpD9oW77eEMRRJ2IpGZZu2X TBz1Saga2NBqJcJdDfSurcokXZ0QIt0yWF6q/ycKfZZCEMUzaHIa8RDpzlmpDTY2xnB7jRwOcq70 J2mkHWPjf8tc8/Bll5kRHPF7PtuCnAUTOrHGWHC9iRJFLKSB74MLABTxprxkQnZjLAF3Ji9HX7jQ +l1gVXmBhBZcU34IIrHSL65OdWu/gniSE+kyNRICzvgvb8sILEukkOiKDyw+ZW1xHTssajziYS0c C4D4p52N3X57cSAIveuu/Zu6iZm5eYiuDfWijCLRcm37xvDZBHH0tecIi5qENutBiI4Nm8C2g7vD +wKVU8Wf41rtNf5p5RcXKbOWaKdyy+/xFMhhd5oR6eFdM4f+RkB4RDlYPckNAF/z4DKoRlN0urpD 6bfs2tRBbHRsJ7AJqeDxR1epiR6NlAmQx5q2tMr8k5hzjrLj0EZ6WTYaC/82YObNxydvVJaXVkG4 93s3Hur/7sCTEMTVL9TiaOubiqdWkNTzb6m8w5Unyjml5gi9/egFolxvk2TO83D7Ee8rk8W9Ult3 4c4PSBh5u7g/fZeFzu42zU1Vrl+oIFgY0CqUkaM1QI1AgyjJLC8ts0AiD+T4JM9YGhYBtN1FGNkd 4SK1oI51x2ek99SevzRvtfXskK6yiyh4zN5dNScdEZi+FmiE9wWjwyxqL3Tsl32xzguLbXhuqGiI jF3WPFxhNE2DUSu9hYhwPsrlEAnU1R07A8X0hLXXOUQY5AI734aIlvVokRZP5iyCRttGTIibs2Kc aQFo+0aiu2YSox5mrVMAKK0fQH7zPITKnkYmRoY3xq0JzrnH4Kv8mutw57YtrmvrEuQ7JlPNid7h QcKlFXqYz55dc+5eEr6+gIEV0iS/4bK4RKsrrMKI4pHxq4etC/bLnkYAKC1vhQOEGAceB+HyEE9a wBCnB34DvnGHD2Lhd0wIcc/qdjoew4hnSYO+r2xKPgPqFAbcgmH/4NqsYpD6RB94lv5RUXx/E6ib pfxYXL8VAjFD9P7gFXAu385ufbmFEHIwni6YF7PpXmSfNfVBjoFymda4HIuEptls/h8uHPXFKNrk 9sWOq3poJ7Q44l4esNuFSCCCf4kYAcF1lap8XjpT+dc6VLiOlf/rFGiitvXkx9CzOqinBAJfvK3e ykJKn1CGgyZcm23iTivU3BUOeQ7uhA/+yyBGG3L/IVmNbU0tJ7iJ9pvVypFK+FsYlalsKiHxZNO0 xcsCl9h0NN2LmKqHhndedieskQ5Po3zGLr4JKvywL9+uf3J7r0zt8Hd+NRkB5mkUkDCm/GoBtkSZ sa5jrEU2CMhLNaG0VRyIIkTiEh/dZ3VXwr0+NLhgDbeMy/KBtdrTwHvwLwhAEQNYT1Xa/PBCnmlA ln848IQSkfeiDo99o6qEofLENBaZ/s3F7WyaxaTK3jKR2QA/GDvPtH5j/o8b90WcDGDq0p+QORbM FzlB4wj6lFYJO/Sqhdw3RkBkeD2fd4PGiv68MOFZULi1A7OuUabA9glBJ3zT8PskyXk2aaBb6ikX 16VLqs+RbtQsVc7V+Cfs9fzC7AwuY8a1nX1iU80zb1QheQkBpV/58GnayQrUTtYFOdaRo8/AzLg1 ZS0+XTNcbRIsy5HNeeTi9Hn8J0TDOAdgKrpc4hTFQvvaBsq0bpPWB+f23B59OclbY+5ZqajwN6gR BjcD7LPGXioi1fxHviha6DHtVuI9/K96LJ/84xE8U5ePj51+vlGWFoHqzy6xIFb7MJa7ZhwzZjRM ndrNW2ED7B1oEk42xsApsGMmU3vN8lTiSSHfjBzzfw+MnJyvkOndDDRpFR51Wf1fBOyk2a+SP3S9 QCkBTG6Wg23gG0/zpw9yoH7VgkcUGfkU4ZfIOL35i3YqkU2ZrL4WG1XDQXza9BynAwWqroYpPkPA HWn+g+36PFG+mhOLNyQboyhGYVtGlS+PXSu3MQhDiRVEtMinHADmbz39lWPwYZxG/zGWRs1MWIW7 GCBTeJ1qw60+ED6UqbVm1jSsl46i4Nr1Zzpu1FALW2BLpjoSHZ4QrasmLnE8ltuQEfkRWfV5vZ0o cP+HpCuKujtFTB4NYAU7GCV+KmVfUxGvEN5uSSo/hWSJ9ksDSrTJYonOEy56ve2Ads+lfH5PpfDp wItdQWSbAr8LPqGaOlpDwQ6shiR5XRwl1ri8puevJstHLXy97xNjDBxRS7I7S7I2VAUB+RQHYuhs qsU0wxHa3MzNURKRio7K6LFKF7lel9VF5fVxwTG4LTTwuABr2kv8ghsIUomBV9N9XomWaiodfEOZ BDgxzWTe0/15uwD46IBjuGj+MrpnZhz43+6Wb4sMhOOu5qgLi18XyLyLyIbHVr7gu/5TQIVCBL7b pbBnbUlsfywaEa9jPuedJdVW974Fqb8sXa4/bvvaEjEqXt5MHZSa8Sd7zEiXDPwNbemkwOfRk4V3 boo2RjirS0vL+UHFDegRZ9hysXPLHzWVHbBwM4XvkQGrd8vDZOPs4avelniozltQMhAahZBj7u1N B7dNcTO8fku5Lg76E8+PI0pD6B0BDIAYdCGSviYQrVDjTpXxbgkvyQDFKIHlgniJ6LKWia3wDMg4 fN/PECY1A1v9mhfYMTGMzldjt8AWtvxUnnT9oacS042bmHQbqx8kXs0FUT3IKllxInrbcevJ2t8n nHw71p/56UkIc1iYH2M6Vd0B78pLd+OloN8CyhHg/032eRDy2uLSUhF8tzqxPfnGX6U9QC7cYcyJ 6/4fQrlgzxQ24JHLfDKmSq5EfyZhgklnh6AAuxSDe3pELyBi+UGR1oka6PjcZsdq7a5sxA9v/frt dec4ShQNN6npqGKn3z7ah0LprRfcxg4bk7Lpg5ZMzix/JsHSh8iG2rapjkXD1KkQ75A3QbhU+ZwL fjb3l1ZRPWSamDeF8USP1FTfCsVUi2Pab/Av3W+u8ackOSWv+81f4YBgu91yYcJDQ1xoUf6fF6jH XJC7LtnNTitLgjpmMzAb2elpsz/6nY3utGkARKQz1nQf0GOLfPlwgIcO+HBYE7Llg7u39N1PIpD0 lgNcmCKRLbAbZD0FfrVzp6GJqKNYQMCnYL7grYGuTVJnZi7GytgC1/aqKknkJDo0bwC8lJTrz/YY vn5AIfWRSzvZSAQ45PlltAOmFvuYNPA5tapvzLLKg/9lFTNrGxCa5hSEkxz3672MtZ6FuV1sjoef bpftme7RY68cfGaUecyPbEQjxAdLOEHeiLs0RRtkR9Ym2CF7jZUNfmtxLtw9HAf2KgUxD0DzYs/C v7eyT8PaXFQm7gj7M3hkqRY9IzgUGImZia4oLiqTqjcjOwdLYWdukh0YoKsBoigzW+ldhvx3Tw/M qK7pkGZG+ctPW+C3ldH09CEF7rvspiBBsdd9PB7OWyznkWJhZFVgy04qXj+2kneztNbXgT3MkV5o fTAmkgNY6i078RWgvrERIYl11SGjmA0/CbQZUo/URCmC+ptN3NZ3kQI55uU9f8qm4tYiQCZVUGO8 Dq3X5axa1GiHr8UV2APdXgwFofteegoqybyHZY89YXZ3Xn/p4oBxVXWTTXfMRveooisqkGWB5HpQ q0W/T4jJJ2UVFNvgfp4wQ/WojopLDXpeNqw9d/63jRP2Dk1cVYvZfQMuTjXr0ZiQIF9jIMsnpl8P Cjm9OsdOWFzVrWrGNUnbaTT5h01ngu8z2z7UfD9oO1XxzUIR0ldGA4w6dt2BgyXEfMzGM2oWlxwD eERmL1X0kry9h95eIYaXIngnihFD3DkDrRvVNCjIQHqPVAl3tDLPtyMTPxmdXhKihlFIBH+VhjBk 6SZgo9UQ/d/qCoP7BcF8qvf5Hmd9VRNsF5qkDmwJg5hYhLJT9DmQeEPePMKnvboFe7p3x/peNNyi REWxoLiLkpakZ61mLmy+SGJCgJ4QcklTNgDp2bu49aXegtuGh8dUU+lCeEJjcyjxNCOGltUSjE8P 4eR9XGyUleydCY3ls2E0gXkNgzslHLemDA8y9+pkUzgs0oOPBanDYZlDB8SmLv20Va/ZcjpRPSEL SreBa+tWuZY1fRxI/LbsevPz3nDNe6rFeQLgViiw4MiKkiE0cpXbjJtGGy3+Ck7S0GdlFO2wpYdV YynNbq4BsI1C6KJ4DMFX45ZOIC5Ybu40ty2BOWTCGr2eoLI3r0yv8WZvJCEGFN+Fjdq26QzHZPf3 awBPRnDgOvz9F15DKsHsh9sbab14r8G2vR99f4yfWH7UIvGv7T9ho6qnIJkHfNnNXOh6RUJZQfDo Z0gZ5XAUOeWDVtJp/TMbRxgWWYIk8dmpUPZtV33WT/bqHB7f1n4l7lzra7VwJd+/y3Co1j77pTlW 5PVeTEMmMfqU55wI04618tW1LufaI0pg1KIEV9BpdA9aSzDKHpPGI8zftDhMRvt7B7gTfTBzXp9t fjLYYucTFkJW9vZWtBqSdWC85/ov2rW7FoWX7eFAtfqcjweKGBDdlrTMhkqOnWBnrdseWX/XO16e PVocqkOoE8UQUu34A1OAnZIFxn3Llw/X//qOuzezmHje1zDU3M6pXybXdlZ4ZwuZVi/VoZa6EuIP xvyIg7L8ogKwI2RK3GYAQ/NTQLm4ccpjmHH90FvBnds3IOhUXDLQYRZ92r8fO+jBLB8Ym84g08Db OmujSFDifCkGLygeteeGqGKyVN+VRXZoqKHfCK1gFI/iGA58renhpyo2Bau7noWMKNwAcJCJmQhu 4BSwtAq4L+35FNSbMWhtupUFCXT2xSBbcYLIGDoVlAlCNOM/+9cskv0r/RJ6Kjum45x53FZEBuj2 gf/U1E07tlPyA9QJFnzbqa9dk/WF//qItf/y8ruLgK8xqEBDCKRuBppZmtDx0wOgkHXjS8VjiziE SPIT/7FoC6Mm5yUCfCO6EyytwR0OJiJ2Nw+XTzB/NDyj7+AA27TQ72lJirPYhG3zgxzFzLghm0MD wn0w6A1vDumuz10uCrKzkemyOxi8ofK7PkCQ02XAkEUKUbL9bt2fK4eZLrdp4r/LwL2mRwllMJ5I HR1M0C70c8G3GuUjRHxGNAah/7VkDMYbtDB/hSgqP27vHuYuBm/ki/4igV1QcRKExEvzG7iNr3fb XY2+Gk1N/1ULQSP0TE3khAeFOBJUG2kFu5vplzM/NnWeweRPGYgjWBWPl2e3YxiqmphyvwMrCVym +E3G6GFMCl36+pQgZVwvz1ryJNsre4DQsy0zyxGVNHfgizKDVMUx2dhHz/5ACP9fn/LqXRw1yCI/ bGvvx4zB/7oqt8tmTk7WFRUYVrEktUUkoGLi80lGxt+BGqLJd1eldO5ZGZN0IF54ud+DVAFgeuS3 /HsPww+mz00WjXztH/v13bzjfSpjvFELjjfN2XGBKzjyByOSyL7RvCtHEmQxDY+Vio8nTDU2eja4 GxMJjG4t0pn+V3PzYuRQcQUABBfeLzgnMaqMmdsEMSMIHyfmdJiUIinBNmBChLqEGk8bcB8shO4S U7y5BeNePZP6/mrZoU704jfvYjYpcycUphKHssPYEGtNHA3CLj6i4hKJ3mWQ785gxSLyfCsQiy6j fm/7BYgLKb765BMexVx4AVR3H3/jz4HKcYLV/2PRBmuvCaGcXHY+k0DcVP44SdZm76rZg50B3JB7 9+s5m0yVWs7k+TjAyGKa1AMoKzYkGWh4n9CAiLbCqFLsGgVpVog2+gIEZVC9knO/hJw7Kd8Cu57L 7hrXcZwey3pKOYZEM2o5jEaUOHdCfefzX/JogwWIB2mBTYuE4ATa+AwxUrrHOxKDleCAbLq33I8K 6cGFCJt7a3eMuKfcYDn0wL9VXcvJgg2ImGLKRCD1epULykR79BPEEGX3A98jOe/LpmS42A21V095 ULJwMbUQdvm/oqAWKUX8kVW8l1z1DNdg8ls1t/Hm7nkGej++VpSbhnEjWLbp8jK8uoYsHiCuXJVI Cb16kzOo1vuMin1PqQUkDGY/bfh3if3BqgPE7oA4x0cai0QThucdHGh6cjs7V5fAPQ6dkujDoJqK J479G1+gdOJ+sOob0YGWwCH+2s7iRx7RfdxTGmMzgoDJvZMthWjWP+6ql05s9ylftwFP18zGIg+C 9h5FidmRX26Yx0Iru4eGS/nKhoN4weLPSBsXBKbNbblUZCe/GIvvAu7A3SZAKuYXpvMKYy8zA88J wqa2NGT5pybtR3p+4uCL1R8FoyZ5o5FCehLckxsSFGxlo+Vdo+ky50hU6xXYkDl/gGQdPXDXPmBh ywWumxQtx5ruEdy1MCE6KrgD1sBR+ep9KC6bbrxDWZhtOIuROdBelN7GTKt8YS4/tyEIquc6u5yG S64Em6I44Jnn+Xk1azaHZZMbdiUuyw9ZUIaetf+ekCl5RQ+AxNiOAuj+ADirbO8xs2GaoqOzzuOa ybehbU+g6NV9fH8loDBb5vaQIP2gk9HUjRzfSa2HGkn07sJiBIpHnYVmywOJV81icnh5F9BYW7Pd eytpIqWgkvaqSO3pKI4AsJAKR5/E/4SCOZMNex2pr8kq5Ox4jpcmq1+FEcSTi8lQl9L3BkPVlvbB 63dQQn2UskymlLus/pIo9b5fMh6OTc2qPJqvia5vGqODpetgZIjRpf9pdqh/EitRtC1deYMFj7i/ zh5QlcVQGzv51Z61GYEO3MQdBqLgXS3UjrU5pbcU33CpqD6WthwIsKCUOJV6StZHhoOk1RxQKHOx 9qoNNyz7tWw4pxnqX50liLhB5SK0kGcU51zuHK0VWnzXpiCdZ2H8PKxWW3y+SmS7jqSA9Yv6ZKH+ KOZ5X2oLLoWMtp/EHT5HmdRr4HlXn1sJ0diWE5a7X3IALelqDrn1977saIyeRa59Au7ZBsbdM44G QgRbbxEmD4y6eXezRrNkollOsNC1AYi1S6oWCHc58MQWYQ9iQ//vgISRuHEKwe4uBj/Db/dVzThh AV0LA4YIJ7lzHN8rYSqL4pnHyw7v6Bl1GMGjQkAROT7CXJS+F6K3994QDucfyQIDVBfE9Fix6J/X Z7VX8tY5bVgqoHyItY6op/IqXCCmP0XDfcOu/JXiarA9BCehxxKjxp0HQrifmvVcrW9viMt8hqIs yg2rqggTElNoolLgd5aM1IKaFHQAkleoyAmTI5OVjHmM8Uog/8Ej2AnKWvciszUw771kwJQEnkzG io5Q8fvCD53RBB8PBUJhrKGGBHXkWHwXJV5jhLlP7FCzlrSrITp9SVggePC+gqqWm89+xujsL9iC DOGgNxRjOFkrGleMTsMKkb8+qXaklk+uj+D0wanaC48lJUzCB590L4R/XRsZ6HpofWA9oCSDqOY2 e4yv3kTCbfFvn+HD5tKyWcnMO+d3eSltEk7Gc2d9mtHOHyJb1p9tYWohMEx95o4+l/YiDEuLOt2x GC8HH+sSTYIxQxnYwJD4Tz7BQJL8PbY30N60uzg6U4I5AWjuhAEc5xMuFwohdEHXGOEOvM2l0pe2 dyR/Q/oCPLyMzKI6pWzIQpAIcG5bcXZeMFSlCE/tBS31DhMY+DPTjjWn+6+rLRg7FR9Bsy5eQYWf 3qODGVzgJfc5vhpYIAtR6ubNfftdYkVVGwFeCWEjhF54X6JyXii6S0dXfE5fmJ/BAcpL3fCm2EOX us2YWYkKalc+pSGgFjRWK0S8MrceAGDd5x0CDYZ9EzmuXdNnmQui6h6TnKjBCVDsKax9EC+JXXkF FgpoDtlYQRmH+47jOBCWOaGPByy+woALj5Pq/2niB9FupnelaKUK8383c5kWD98wwJwtoE4zlkGr Q0ip6teTJf+P50Hr2B0DCbpluaRw2E+uWNx9kYr8gCA/mhZdqc53U2QV+V4Sr9Oqsp45SOmE/IzV L5b8L7Go0ztXDGIpw/56/hG62/N2B8YdmPDYdVIorOxVmv1F0nqVGFbFfV5D4FxqzE/i2is4VAXF 3BAp7VBBTjqhLKo6Hbs9Dmvb3JxOhn+HK48QrJna+Ix3xD7HfKDltOb1afcPVo5lEFPo5/5F9GUA CeJQsQa6Lx+1xcGjcObWf+3XFXOFijvq3U6YqGFv5jpx1IVCJ0MVrVBSdWm/EVdQ1QqVqKGMh3WM BE1Ts5cUvOdul3iTyCcHGe41Txh0pYPHeh2Zj4KeUVtCOoFvdWranDmli9f0N3cVZbkGVmOPi8Rl PyeUEkKkgwUZEwdTRi9lCzhEkeC9QzcgC0gGCzHwEMPA5I9So3V4lEgmsPQz0iiBJf2VsaGf0WfW iSBrlrGD2F0bZup4TDsN5rQA85+afmg3OMcw0uKJJMSpMKeyLFFt8DNENi8jmrnoGt8YI/8oxq/Z Gg31ACcK62qRWhF1U7+WfKEwc0bPyDRUwL16QCKN+o10FPGiNhQOVNiWCvKwUTnLlphk1mG8xinw +bv7YJkr04QvZylfmEaNNdMS4pZaWEt59/2arVbzLlBbVR5xbwBJp3gDycrQG9Mo+OqHEktDfvqM jGw+rcNtlehhFLGNrqePf38+gRJcwYbQTZzzrfz/EXwfT9ExF22ULnAUgMkMgMn/Ap9qVCLGq0XT A6j/EXJueEDfiBuYzVAu4voYWoVgb1elBYXTbm76NGAont/P/TtZR/SkM1l1in7UpuzgOtPncqgl JWzrB3vLjzvJseQqGGP5ouEdKkjTIQQ1y1XJLGeX1STNveOJtciVjgDiMOF2WbZArbq2f23eodVk 29udrf4i9klI4fw9p4gQgCUNtSX8nqUJothrwpleM7BtW+cJRWu3C8r0SoI7W6ySkicAso+MLTiL Ig4yaqrfboQUyuEi4imKrTZ8kXqSjfsrahRy9psft2EAmzb9C9HDdCOdJTcedEzj0A6K6YGKVM8R KgU9T0XqLc8D8pmKWPyxvdVhvtfS1o+LNpPnhGtD4sbKMhEs8nR3//9x1h3anwSxpOBux36Ku5sH 81ZX1/jz41iSDwNOswqvKuEw0IShVNBkd103K0V6ZmtMmvKPnHQPSgz2bQCBdYVhTB2xJfuHe2G2 +6kaCBN0aSEneRhYfMcEOAiMJmpwOjslR5OXeqA9FNelMbjIB8OeAfmQ15/HgJ9af40X93O77dKp JLIeV4r2TXdpETHk3554cKwtZed/G9jLdcUVaA08ZWnPYA87m2cwUncI6OREr0WdVyXsmVLd9Xtj JtbbCBGLDkaib9TvHLDcrdGZBI5Z/25DopNE3eFrFCBpyYcdHpppBK7q2MWZSJTONYxSmXEC3uDM NyIuYWunFFg0CPNCV8awN4IPiJQvBfgQPtF+tRXEAoKfkv7Hu4HlyPrmeY2/S/C5XPXXrGp/aZk6 vQZ9w4VsK3G6JjJzH/jQiy7+eICzB76w0CZcXst0v/yDjp8ext60FVwW1DqDm/ghb3ZV7lAd33pI 9YW2TCmNXUwLsOVQ1cGkX5LeBZymthtGi4zWJN1JNdA+2EDQgZTeP2g7/h1Cnr7n3/he2isC42x+ hpNhctygT/Tl244a0TgACMy5bU4dxFT4b/UUJ4u9Tlb75+4H91i120d8AqQWT9Duo4iFrsm85LNI jo2En9FfTMgHqzEgNilCufs+yHk9XJiN/gLemjqdps5oaEB4FKczLBwn9oydcg4pk+lxMpzoqdUT BHzn4/P/3oZUhRrPoKCaXbNCIVInDXXpaF8VWH2ijZ/Fg2Eq2m1EDWuxMaKryQE/4PjigiLTlVr2 xlCgRWdIxM6MFa+3X/ZAuAA9Q9aqa8elZ3RcwqYdsuVTTFRzBXEJ1qHeR/yGKR06m+Ot/y5V3JTZ vKttVAO/IeJbylClEOrC0H6evQCx1wPpyw8gk+MhxkdE2C0SfLva0IxjFSbBN1ayeRUQHIbFyUCy 1Ydgj2taD/SlgL7SvlOohbtKYKu5DrfJIfkDXfiaiza9SgyL+KrfdG8nJL2iZWiZts9rBavIZvdc xFwMy9xvBvZb9cAEkYyBxvendyOrseoFRmj2EwzL4crYcexmnyP2KS+8KpSDVDTq8HhbVzyoqlD5 Hy9GrFfsuIkuTtKwsbAZVj6Y3T5CrAvcRQOw/9tPYHkfAgJMse8Mm4gKkOM2WOqBOlPJlsJUdWlN QXobyjl8l4YUZzlIUULyW5S8tgScfmkolIQ2kzjlW6ZJk33CVWzmU8nWWbPvUK3CBOL8K035QcdM W9wmXq4YdJMDhAqWu8k9Y+4FsLASq7fhUpiKXXMzUdUeKfQMKjI+Pv9OpO0ysGoq+oWbd9/vUL+E iTuDCdi8t68EJFR5yuUhyRjfrMlklc2bcSROfAcE5wSt4pvN9rvxuBaIGCqzCKSDiVZdjZAKB06J TrmF9XKh7G79IpUmA0LIZmX3u+Fxw7y8RF1cu9N1ptxUuSKxHb8BExeID5XzRVL5nKWFDmUiwYVH BY4lfsPdUJ4UZGNARlIsTR4K7MmmlciRNYiuHhO3aMHk44u/aGFHxSYlB0q1FrfTANE7/mtpWMza 3jev9LUGD+Gr/Rso+CBtB6TP2h/+REoG0fXfSoWTj0MkhngexWYYvXMh7lQQ5WlFTzOBl4yJy2p0 zm5kXyCMc1tL/0NkzfGzslAcJ1GaFotS2xJOG3N+2SPIlGxKOkQhXW/UvhzjrOZ4WXGeWgbwvWr8 K9YT4XNYjiYYh99VRPWR81aweDVHISSLZKLwJHfnSDWURybx4HZ8QQbmBNOfntFtWSOvn7AmAYhF OxnfLIHmHFOd03SO+zMzC583Mo96+WF+xRVZLE40DudaOa3QgbMkFUoT4ggXdbZqZVzQnL6kXN21 7yu7QOrAe1BuV08TC8fInPMoTQKWc6bABh/FEMxBH7b/CX4y0k7JcOegu1vlnY+VA8FypOrBdwH6 uf3Z2isZLqbSsucdZ6NNyLobxsTNo0IYll1TVyyNi3cOfDt8tmh0d7krPPAZlJhaXKE3lOnwxAZt gmCjL3Pn82rS0/bvyZMRHCbwfEiAIA6lPKZcO0nGA404PmGGFLgUknYW5vW+ElMypHIGZkIh3W50 oli1eRleAjswYFiIa73Biq/5ZJzEdhD0J5qSmkNWbRtLCaUnjTB6P/nmVr+fT2il2wfKk/1unkp7 y5F/QTi/Cu64ObgoBQ8mNNpLW6FcuOencupQPBeiuh3EerTTljb82jUjeZ7zlk2QY6XfuwAmb66j 1xFjnv3fj0WJHfob83lSIyMbgSv7Kdp1ufX8oV7MLlQj3z06yKQ5eOXxmzus53bI2XSd1Wpnbe8H 5a+Q9X8SucAmGQB6TuCA+ieyoDzk5nfN3s+uCNMDuZ0KEAKayHCxvp0ZvJLEXgm20Y6YnqusHKAx j1o7O7jvcFazyIvzhiMX/jRk4vMB83rI5jCcsr6O9EkYSJ83NM4Mr8q0ZNiyjpc+Ni3QDodoiE/V cEHvDRr6T8ZZOGjsMjcSt5Jb0B8NsEph0ZBeCA8ZlChJEmS4qPogLBExjC6gQk2EIwGaZeYtA+h+ OJTdbyuuvR7vdtxvXpyZaKP1piXB4Dzw2E9aCjCDR8FDhSwHWAgURC5vmv27rGNM/PwHQsVUx76I Eie8xSJd1aY5KnqAzEypRRcfi/ENOCsRehYhHo46hw1d4IVVg921GxsYrNQiANQheLZpij26cDha GhmyXQf8zKPLinvDsdc2Uu4SymeXUVllJXbSGO9RkplSI6HUoRAOurzhj3IM4pNowHBgU8BXYSjM ENXJbUzRPWR0kfYUos15MYKQHDHLPqccsSSU/waDwJnRkY1eu0Ps6dh/7+wN49YwYJYRsJdoVPu+ i8JfeXLm9zSdJtiJogMPCiQQ070x2lXYxeKFnY9YDwD66MFodv1EGGVQc5wAQq6Iu2vD8FmyFXWa /TR+o6M5FskQlcoC4O0lkCY1b9SlznAC5OC55AE7BKPAa2RVQBVkaBjIqmZwxPw+EX0EME2KKAq7 7vBbxPlBLwdey/WFmpjdZAdCry8jEYe//s6sAAT5XVcySrcUB5BPhA8eZHYD6y6fE1m09qwxvhCy OIVF3fVS5bCdHVStQPcdZJyaaNkx1mkhkuI45T0w8tazVqDX7V/R8sLTk0Hom3fo50K5+WTx6Mak XqixAc2sG8y/uDjtQZ5HtnHVnurOq65tqpDTGlI7s4JHNJ+7gthJeq2vjBGS1FhF/fi/SfZApHUF me0k0pmE64bzCGNFMckTsfeo+yANY/1ysfHOTcbRTftVe8n4+DW6tKfVsr0YAal3vEaUaGKhM0nm Sh7p4OUGbFZft+jKFdoxhxXIY3doGWEsrxqjkamYmbwlL30GRX5iKy4vS0mJYBkrE30AkfcJBP2R QkNmLYHSj2bSQquHDXaJZ6oNyTMX1wiTsbdnG5FaGOC74tFZ9dfWwjU7Yz/tKGW2WT1SgG1DvmQo de39CaiO0OnkK0C5TlEVRVhdCVBq/WWe4GBtEj1lYgBi4eHKIRdimaGsXdY2ExpM2E0o4Za2nQzS fX27T+sX2KgVxKgYGIONQm9+SEDvRLbIpckPB4T7HiLb5pgATPLcf514tICLfxcr+ljoWV7ooAFu 2vKMUAosCTJrmtitlnUgPCo3jX7YpzeCB/uVIgIGNLZbw9EvoeqkS4CMfwbyPE4D5+ioh2fq/UBa 65S63YDxcV4sZW0zV9CyE9CIhFPpeMXWLfy60EWnmLqCGAEhSwBThdPaJ4vZpioWp4qWfUzUgjHb htUa1LGt55mCX2gP8TK7jXQRh6izE3GlE/s5iP6dHwT9gmNWc+HVRQC6c2dHL+LKB/N9cj9AJtFF Jts+rDnyVnJyX9ntPJTXd1DDgfeqeT6YXkiQkpaTC6A9mtVjYvKKwz9CZWE8t3MGFJlHisuBP4dZ 3/RoP7g/QBlL2V3PrMLC9yWJhP3WqNNCCNwolHu9Vqdoft1uHv/6AUTb2h28hytGwYdzs4TmpyXg PN3bQvEcRenicVUmLQVIduTBNXjrr9/bCmzepMS15J7mC5REbRLEI9KBl/mDGARUWVUdTNnftppY BkqrXaGQhtSPb8l+9t2hKJoKAL3Od9l4yX7IABqTICPJg9sg+p29hPa9/uquHjwHYtwXJLfAzUrj F27/4NQfI2Msq8NeOvRTNqU3Xsbl1dpYgzCcA11OQAOUEpmGV/iN16LW8dOctD2zd6h6b/h/ACOb U6wXhu3GqmFAmIujwIZ++jM36mAzV3R0tNfP7Us7Zn/tG6gZxXyh1OHpK0JVlKaUpKh3+XBbucl1 Ms8lt2eOaEIcFacn8cHN9u4gTECtTHx7+JKICnN9e2rOyl7EDp+6o6F2k87kZA+CgvN+osMgwUlw QPjL9xzpFNazBfrC+pLhIxQyvQVUExMhWnlHrcAtjJ4hdY+PxRlXQ+un26JNK3IHBgRbew1gg64y EY68elc0Vq+vWeChtunXfBQA6ERkyVTEYf6MvwUnM74A6mWDEHEJ8VvQvRgmP6AGnVaCu8L6po7J U43h6ef3P69rqQI9aIt/KQjBA6v/j6H5T6i2Fx7Rhgz5OlmIO9wyBzsUEQLYwONg1JtQrbQWsj38 JMTxIwIsoD+PdaaCr4QafW7WZ7+3uqK1qM8EBGSS2qfIYg6ig47xtDc/BCEdCFplaaBnrhwcihkv czJeJqcaWECpVKaPPXmKWzwq4b3xJyorfjDLdikw11YonQgfPvx2OEVyQMswPZcLg1Eg7xJBuJz3 p6DGlxMf4qeEy6U0REoELzQZbAVfUB6rvMoOfO8LawztAaeot8skOMrvwoHL6YI6QhJInDy/koPm Nz56uWa/A3o5n7++fPRYC8jk7b07plPWQvz1Xiq7FgX+F6J1p+P81h/WV9L8atss3fy4yVnUhBVV CZW1JzdCC0d1hLA63zYo9g+DZhU+OAEMxjrs7zew36VvGn9buTFp7CfiQLsg/LYXFOKfmGgWRF7I ePKaGD0xoiZKA1+EC8ORGmQC68IZYToPlaQGAavx6Fqh7cBjOHU1w2n3+0+hTd/WWVf6Ig6yT/K3 WdLRqNx72zSHgpRxRqusKB2AiGatCONXFeJt9B1HFhHL28oeO5to7/jt2CtmlxtnwHSC5bjCwD6X VcJMjpZN+Uth6RgnTQr++y8I3Nn2rtdO0xH38UvON27D6ADlT1kVoTUseU+G8v4pqbuJJfN5UoZx efXV0m4euZgk4nhzDGvFFoyiLtN6V8kotGQMRc0JEHgOH/gYevjovb9BNOotEuK8W2+8i5dxSuVL wRC8avUtJPe0xS0oQfPYSvTRoCZNtCEODOlswSkA/yApNtyadS2cTCHW08jjGdB7xvtwwR5JFTC2 +DYS7ozYI3wt2QQ21CgeFzBZ4yGtDNRwssbyXWQkHhwRG07FkicEwueOhNBH5suOoaE15XY9g+Y+ X8Bt+ZXQhC1DM1ec8A9uAruDXw0Mr7KGyrgxu2N/Zx4qUrpBtoz5NSBkJzdNhaAYwWMNH8H+Pf2g w6uydlFW7892OJTUsqcBPeDXaQPlaw0mDRnedSI23cfb21V2Bnm0tj958jXkA1oEWFnfmdTu27RJ p0vbUJIufqMmnqzCKfNWtzeRGY5sIYcP+9litKjzsRRSpAHg5xDHajuHnYa+fjq6/PizNnwe0djz ukYP9AAnD0cL7MUFbtSvaTOVQr7i47hyvlOI8+KAdR9GnzQRwxGazVmVkC5Elf0jHhh3usmPvkPS CaNnV4Px0hnDkfYgJKj524u/Ka9At9zIgw9WB7ldjV1BEe40xDD0gEf/CMgf+OWkhcrfeZZ/FDAv 1z/dKl3V5VPtl2E10JKr/5HB6hB23kRimIwB7nYvNQfNQyvFWuerQIc2CijyHgdN6iLZkdVFDLlM FZ4G6rswtlWM37Qx9IkVNOGy5OptN7OA4sjB8AYnT2DRrdcmZjgojBtwsAAwxWxRGIcrxNndZOu0 pHVJ4v4SsgbDptq1eeXaSkHmlg5xYIPTAz1YQlaaradiFb9vDOKLV054Byt5QKPbOSmeV3bIR+Mz zCHX5LHgq+4Vo3tgwZkDA/zn+GPwScWn7jY/2G639+5ovdX0a/T2nyvRcG3/whljrcjAm/SJJ3ZL UFWgSwwwRrcrKWcBGapnWoeHTNjqUwe+n+8ebw7wxBtbUFysDXrK8OYU5mRbbMpW60Qioap0KVPT RAD6uZIhRxHv3iWGnp9LBYuc2E2ApMk2+1Vh8KZMSixv4la9bqu/FHgbDuYdMLUMbD/wX7+ZEFjC W+F/3H+HZ5tQmDu6CLxJ6a5EWJbsJphOikYCXaPeKhqq5rtMsw0Wwa24xfIG3jjKJmrTYd4OaT+5 FkI/MOSihSClrjv10ShdfZ9rtY8fckibXv1uj+6HizhwCcY6wfgZC+3efrl3vVjvBuxO5BszyXl5 JOAlO79VZHfuXo+iNzYAcpkIZ+tswVHu2+SGF2QwwloeTr3bgeBv5CshDYTxikR97jgHRa0wwC57 tZxsPIdDX8Z40IhFjwk7Nu/8Ut5lKCbr5Qnl+M49wZy/bLvIQFp3mt5LQsHNIH9+YpUTOJ4to9N6 ylCvxUbyJjAy5NOdropoTAsFgTvxZ+sE7aOTHX1pushejA2nVHkqXGbbfo12Pa8XTUkecyIaudru pBmy9OP0pxx0uJcOrgVvsJQUlGlvm2M3UDpzc9FcXFJ4LMVRafJXKX/jurIl6VZN0SUwBptZrw55 5YKTmfowlLYDu+saLy5Nu2j1QljpyxL846zzYsBzI8qCe1GS1plOmuSYXVGVHj/gzs71rEwMJLUa WJWPWKAGM5V85BdTEnVF2xRdhmAYxRoD/dG+5Y1HO3Lm+9Mfc58uQvaxUjKQaGSG+XDiOGq4ixnq F7HbbduGm49moossVXutDtdq+3niz5HNfaega9FGIVZG6VwpLRg+1fyNP6b6R0AMkw3nKkFHVNVi zOcVf8BNyzDSCRxDVhfo6v2j/NJkGl8pWLCB6G/FgQKOfmPphA9qCyt7F2Q5ewv4wobj5zZSRceA HMp6crt0zPkBGdEmXN4doug7wOv0IJ/AwByeMvE9jc6KlvSgBPV2y4sC+wPMz7pw2yxl7+MOQeeY SyOCNspT37GHhsgqgQce3BNA6KV+DEXtAhIuBMp0zDwYHQDaO8P+RljzUFRhrOA4ai8nkiuh0U4+ 2wRyamtZfSbAhPlu+6H7RYVKTQlJUTUrJ4lKUOTC5+BydLTCdJwUqSUYLnZHvxjGAXM5aiWLWTXr MdPTslph9E+4AsV4aaIzgMDvybOVJ7VD78q4hQ+pO2InMZGxN7vqQKkM0plZ+08eQDuUjNqoXCo0 lPTkZnR5qxjzAgHZCjhWDPR+gpW3Ehxv/0oIdgFrCQH4fSNjPRTvbUclB8hus0v5Z9K1Nhwbym5D E+2ntzgAP5aBkrz8lXEH+2sngHnVMjYTwWptp66snNbhKKaw9HcZiqd1LoIorrgmEaFnHwav7ecC 51vweb3l+sheuV1b2EJwd5W+FyYHU5ImecCKBCZlyPPwwFzmibGiBlZUk5X5l9JqMa5CMkDnroi2 qJT457nzrHqf5SXUwf2Ia+7PQO/oKYepxF1Lc0bpG6P/VJhGZIZwwtsQl4SMYjyRA0vfzeBtsrO+ /SsXxbxGBiI/prlCSVLAPv39LyaZdsrkkeOBGRlWIzJiMG2lBIvNJ1EELyEWxLV5SVoBMG1UL3gl Km8u5tjEVkp+EOgsTBbTEi8hjUDg/Ng7fd/lmGWU0sToholw1CHVuHlhQxf3x5ZXrhrrFTpIgOA+ Ia7hk/jCC993v3LJlRWrukFcWjYeWOW4g1xh7GPurNy2gb55rdrM94D+9I7CAcdgl6PmalQF8AIj 4CIt3d71sKX2vN58I2Af4R+Z41qtdj5IcI+fjP2jF7onz8FOeIhC6d9ESQTBrAMIpaGOHPe3XjIh sGtKuOuHabrIKmjSw7N2+jOrrNikQ45h7doIlXOfjP8FfJVRM3P3iILCcpR4bmKhIV/3A6lZPqVD hrLmjkTrNk+aHi0xKTQMd0iCwMBqxBRzYxs2HPfVCOFILeub/r06Ic52jiuBOl8cGMOIcjD1bhkW 6SQXrlRDm/Q7AtFctYm13pS97kR05v+EBeaDPBi5EcyNTZfRAyafnHVn4mfKDixLPHZHO7dvLVKP LIndrnjPR2NbRHRES3hUV8BAri6/UkYTbx2O99FnKe1L/f0o9WfOCdcQRPyrHe7lHjq39jZM5u61 Fq5IXw15aI8sj/lUraaTxEhYGMX9H6QAbCDEWqedidK4WvuXz5Q1nojYSZ3YDBVMLKQuphIpkUZ6 iiCHSHfVpLAf07mtZWD+Q/teSQEn/I7bew1e2+SFDBHDtC9r/HJTJtf3I8EYcg0LNsJJjra1hl0/ 7oVvhZWXhQJiJ89j+JWCPIjyGCDlED7H7HqF/DZKcJkziK7VOv91YuWjT94oD9EwCNx3BSP2yGJ/ n0f0dnqj1qxbWZRu20/LaLvxilsLT+S0bHq6Y6QS4qlHguU+iWRHFsT4xXDCjkFNihhftW2soEHE fzPIKxGsz25EK/c3HkCidM9Oz/rexahllf88BSC+uVclozpjWjDNYJZ5RYpeOuo81ecIKT3CvHNx HYIoz1z3H2YMfVIl46ZpTqxgx8MW4OSLFc6on83/4APxWmtrCW67YxMk39z8K8OrKvbzqR0LSG73 hAGxjzylUuOmRo8GZcZClLo/24/zOqbAN7PFGtfUgvJLGlkURT7iQCzMpsGT1pARV5n2/v/qFuUK 9i7qsfSQRs3x/0vy57TY4Of0JWIrV8bQlXlWsdgJckW/bIeWdl5fwBwzSWYe1+82ug6C07F3w7Wh sWBKgrLI2FJHxjx38OsUjDu9ywZUXZoXULJmMRCmYc79feJHT9EWAO84AnBrBS37Inhpnjt9XOvd I2HqhFkdKhGwUiWN4XT7L0gGq3jFX9FupLdgySlGq59en332oi/KKRCwkIeFUd5EF9wBXIqgTvOJ TWcEseAJHdKwUzmCy8JNgu3ZIK/fNOxQ0wOQXEsSPGQslnPYBNI4yhNyg6N18pDwzjemx8FBo4LX I1ccG7KVKMZtiGl2i3+Pf12ozU3WhQub70h7n1MN77EdjdZoz6kpJAVWvvP/iRV9EKJ2mBLXtVpR OKrt3koS1t2I9B2nqT9O4A1y/l/XqNjOf5jDlQxbiRZune/tTzSFjxhEFXO4zPz1JGrdslx/++f0 3lSLu5emSTfHN+altvTq8Tct9RYcOU976zaB8OIIv+/AMAoTgtTTEZUuXcZY9KRikeZz+cXAQIRK Vz5ibx3IyUlzKvsF3wtVzstbczFrFMIXDILezMY84+q1C3FP9qq+ZW2dmiBybqhcL9Ippt7giA3e 4rsVKmc0e7W7lhbf9/uAkgbR7q9WLD415y1XaXGtsjkXk4pICk+MMFKKjhJ5N2WYywsCT5wiKU5m cuJi5oipVhvh+B093i8GoJoKe1EyVVi3C//8xIKoCSVUQsgUOBhfmIn70+Hh3wtTmOgW6nL/56Vn TPwn8DNRwdWU06kGjBgAJHD4qhKUfIKSVj+MLM7bfL2ZC8ijtc3tIc0h5KJCF8WBLgk2BOTz6ICr 2HmxvJ3+x/XNbPIbs4bg1zrVxtJmfR5zPpCQnQwVOdFOdV0GBloE1VYnzyIe5PRC10wcenqq99FV nUzQbmKS4de4v7q4UNcGa+ctSYiMiIgTt4E16KxRMho8MFoq+YI6djOo+Npjxbs8s+/ST+CTGt7E IxJUnTQDOmsDMM2uu8K+KAF0jIo+2uA/lcFQv3ezdR9dcQA5Abpl6KUx1FhGkqEJOSAgWl2RAYal t52tnHLur+Wj7zgybzU1R/6LVW7GNU/wsvQQr4FAhrpgrHcnOk58EB34VLCyUVsY3SDIkrTyIGh/ S2NnPDQvHEmkFfeBmWk2rWOnVrXLcWfHASFfQdfhv/U/6/MQahdBIUHp6DNKVgYEo56MxYvavXEU PaC5l7KwW8r3aa95UCMGia38H3mMjcqUjYWNdKDbMyFSv57m3IOuwDVILiGjfduZ0BBxNOZGF0gy cwFrc90ndHM0HHvbE0Pgl9gyErsD7eq/nDeVajS+9u1CK0Mc6k9Es+ykRxD36BWpfQfGMuttn9UF 8/rR3NGbAL/Vw/bV8fo62vSC7It2T4qAZ76t+4/TqoEeC+7KktNTFX89x8A6jL6OkPNkaW+QyicJ RMX70byK0O5aBCj0iiLv17wIiVUGoNy2RggtKXM2Z8WMOIhy3fCUhXtmwrT5fl373YgawRX7bJt/ A3blgyhyH+nTcRQ+7+mZt/XYbnnKDaFWQTV6wHane7EJq83Z4huJX0X99SCPADQzHjesUw9ON5Uf YNDOYBv8l5/2hJ/d/KnC9erNxCpMALxu4h5P1BKJymApbucudjssrEsFkYVSIPsGe1R/EsTD1RVa NQA2h5Jyckr19sUlr3j6nJwh1S0X6KyVQT5HEWtx1LHz8FDTfzmimpbMADykRxbcof8PAB/OY8LC 7AYje9ix+IKQ+27VW6vHxRdlpvP4vACHNoJVV/dmQMxPnK1awTuomVZIfU97KWJ2UnmTXYz61oSw sNl9+t0G+dl5qJ+bBmPvndDtHcIldv9cvZsdd6XmYQDRPCQl/H5tM+DJ8WK/mylo8oKZ7dGV3FrS Xf6nYsaxUY74IqnBvH6PS468U2PkO887ROj+6mMSHJigSn4BnqtBgYwqAsfa0iab9jd8bG5qw99c vOLUzZLzWQ0Ckc22vvapGOHlZUHG0tXZmyN3qEQifaXpZM0MHp/VLibFg97sorSiwPRvW/Xr+a3R zEo7krjpAfk/bvJbZRLxS1oBakd/aQxdG8mlI/K7vV/VNOVpwJl2/aAq2v5Zn2B9PuVrLkkRg2xd 2wBhOHxi7PBaBFR/+6jFjTvFwAtxdPS2lWK80Z4OUAc5mRCkPPIuj4PbnfRp6THaqyle4sJKUEXU XBimkBraQzdoA3I5SuZfwywy19nmc+8TTT8DfvodyGoy0DCb/L4GxYd9jZalTxVr1nUSqnwKXZ6P 1cClWVTkPcIN/d9lL0aiQAplkNce4vIKUFh+eVR7+39zaguRuzMcHkhgM2a7ieahfNvNoKH8zyJw Pwu1p369WqAF4DJ0omtwQEmflOvG4UXkT5sruKrKUxY+qJEc6lkQSxm6BCV2Vv2De+wj+/gWZcMt EuhSr6SD2IZ2pwXXDzSPkqSkyF6Xu01JG7+46JiZIbsgMI4muTf89EsOOyNtOb0j/6AcH2Vfid4n asauLY7xWL/1Z+mNvSWZZJ0gQ/OAVqbfSXz695NofY+cVibN74V1SEHPGbbJT/Z4ZhKahhlQZFTW sYHBk1npFbzNZZnQtmZek+P4YpMYVQ7Hip/I7cfOpgzz9uCzcQRcnFo7qFngpGVxMv/shYDYCzKy dXZ1x3Zot5RGf4AwMl2aOPBNhIbpein78hqqkhSeNdeYGapRy58xtdp6N9ZQk0Gj4vpVg0F64eTl viMaygSdbiZPyqE97kRFsqMifTs6guJhq28TB7ptKKKMQuNyuX2bS/uuCr+zglzo5RvNiH8ZcPfM 3hvjLIeEWtOd+s+x2FXRhv+WhzSvcfrbbR3qDHwsW7rKkcEca99NDH/vfTf4oEWKz9O7tuyIm0qx rw438l6xTjTrqVcJNEJk1jmk4zYc/G+t0BonS8D/8ORClBUQY+127YOvgskwc0oqhTUAn0RaW4A/ oW38Ojjz/5Rl5DybuFRGMvzOkdeO+wklkCliDQOet3mqqh0LAZwkljOXXVPWYWBn1+ru3L70VPSv DHUeIgzKyQfW6CD6hB9golwIq7SO2asH6quO3uzAMnSUZy0FQVk+HYD1fWFunH3XRV99aTXCTu/F zfF0bj0bljTqbuXbecj8pxsC96HLo7i31RrKQx745O+TOXycSyu7Xi/2/+Uwa7IoDNOwb6T03NvP O1hO8aXAep6e+UsNu3RHystzneU9c+jhK0UZGdW9g8pI/lHgq0UyKgCiDAcmnor0xDutnNHs5Rlg 4JaPf/Oy7ZB55gfw4o22OBd9yT1qwBulPznjS0dylrKbi1t/5RwjF7CdFaywLp1DVlt4TlEZBNdQ uNVCzqtvFQWy0cU7qu13vA6w5tI9OCvWtmenFE2f2kgxvRaG51wSkZH9d8MlGgo3wjDglPJR1rev HQd43zAAUoNJonPAZ5ciwQEkw889M+ufqY8gDTUedQuTREqPP1T+0tkAV4oyI9gEF7r5gqV5kkQV Rhv3gs1HlohfY50H5jNvRmNV8so8Fb9BtY2joHAnM7vakd7DUqNfIcaOLhCGGx4ZdJ18R92N54Ov PE3OsYxQjN6CAIWhJlGyZJMc/rbPpWLtbhFtpRUTl0uivo+kpISXhbPNXhRoa6oiVHsSupZuRNcv j45Ed3vBvypuUTrMwqpy5KFHGk5T0d1FqAG6DMpZHB2mOJyrVDUwcgjw2PRAo+LL5nbFBL/RDRpq 8aCa2rAtd6nTUPwqrJEVkFeumUOPE7BVSTzuKcL9YcM445MKGlLlpkARMgzHh5hgvjUDnbDetQPP lsAEi3DKk0L/3DAQ0iK8LDxYOvIxAzXtj6J0vTIjCHPGrTDQzSPkvEAEjF4HnEGiZM6WLnnmkM/O 4NpJZDTcG9VgwYsn99eh6Kc8Mlr8s3J444U5dxN+jdpwV3COJfAB6ClmYAIFyyLeHwycVmLC4uNZ H/APncnEVXN/i1zbyTTcoJV6dIgWjrCcYagXLfm9SLAwMJhvjg+HDDFK5FErsEZhKZ3LaBQ4sddd eBKjuf+rSP8gXQmrXV7gFm385nn+uNldsBM6PhgMjZgCtqh3ugrEvmW8hdwptWrU577rvLuSUTCF Hnvdf+vgPQlOI43GQ9sKgoUVlcoq7bn8zi8wKNlFKa0la8fwAP1k7qHHc1ffONjzrI5qXkjoOTf1 DWsjfwEKuQ9a5ols9DqDpuU2X/w7VKd/eb5yPtNDDLV7yz64WhBoPRa+ESAGo+7nvuTISztg3vc0 kF1N897DVCMM9i9LrvDofXFRQquRe4lT2QV2Ck1I/ThkfThmXLwsR8n3/EjH4V79+v5o0pbbk6EO UQfHKuUPsfYvpDIpYhPA7Kq3CGIdIs0PkCIWnghviUsBnvgHfXAtiGztQNC5JKFAs8QEkHRwvgBd liwg+kwEQO1JWbd3xUOUXGTWXr8dY4bo2/W6+43u6RcBT8VlSimrq771G7OvslqwsUdLiuNqSlXV y5FJdHVsQJvYUhlpSoHwb8ZL+IUORHOLwP+Kr3jhg+d2iOLVVyJkhINMip2Afo3jrURZkUaremU+ Im8o3hgBWhkZ2R8oGx5V9VVJlCsGMQDaRY3ylrwsbFiQTgMQw0CY+EgYRrUPjGMtNoReV50XsCvK t98DE2rf8Q07O2u6eti6IwQzX7WT1aY0QYU2AIwziEfKcBgGJ6WeCmebQQnqFOsQJOCmvsrMiLCM pEFWB5J1OWHyxdZx0XnnynA3mWK7kaZxYonwApZ4ZZdniul0boJ8FGawV1PjvTiiszWzK854z+pX 4mJzOxBusaOY55TKRriEEGv54IJgx0jmd1mA3jvgsk2Tqyvvdpy82+bOzLgWCWMHpUeaVr5KUEAP 1RHbA6Fjbej0SOaD/ghFYZJhHEJ0yODgY8yUU64/aXtRxYzoUeddIzuoAyCdi7sEESMu3LEKzsRy yrIlAlxuecPBvIZgB/+WtToxAph+x4cgOZMlKvkjzvLxvr3NxDRrjM+0CYPBpDMrgSDOOCiGkIl1 zArL4Wp5vXcioZjH00AbzMeRKl97bA05dwxafLHEJ6nicDmdodduIfJRmMNgZ7GJpK+tCEIJBd1X u7JQlMwDOEvxrRD9tIx2QClcgwpJnXUcTlZ4DvWJZ/XLTPwaAq/JDP3Z/2Ria46+X9bizkdly7ao fE9YulN6pcr+ZOZg6LsUGIHRqSVvJHBbrT30lE9SZm7HzwAQ/ZvRVLX8Wz2SoP8TzhfQkZg7dwnQ 7xPMG1w+x2gkBVLqSnVmLQa0Mp/IdI1SZ6R5qO8fHMc46FcuVmAqH0Y7xJI3qXKKGTANwVW/miK8 Kj33J/QuLYud6aCNTSL1QRU3JV5TvgKC7B+HIsgkCAUAAQhSiYWGEY2nINWFS1m/3HTeBxMsVrf4 V8B3HZ83WMarEjL15OXE+t2w2MGOQrkVJmRH8RLNMVJjRqDEmR80yXnx/7DV1NZmhzZLXm4CW5AJ 4J8BGDwXuKhuvyNJqNd3uSAIIaU6j2a7FN0+W5RezTeUXdEWhf7Ks6iUqnVGIXO2N7VpyTIAcqMs bMUu4ZxGXv79qrX/rgzoFz7xkMP5VXE5OwXo4V0MYZnnlnbN9oL7AtxWvib3AP4ByHX977yfb4lS a/R+cz3BY0kR4MmPIOPPebj0q+zODJ+1A4OirAhtReLL4DKngVkiWvLSPl4XYLcySH0VA4jvcNrB iiKV4qI5wCvu+O9tM/nhJNqyiRfU8bPBaUlLYI76HeNXCrP4zma7qH7nBn2mqh2lfrBLYtD1xapy LyfJQUJcDrb/61z5gwsdcUVIfi9br3IjNDu7Qn0QAXoYExBgskBnSdCUYDftcXAb9L+O1J0aQBXm dVBWnrfEz5tTVoCWNFB7A+k/k7B7yddpNIB1Eqq10rID8fr87o8kQcoUi+5uezKsAQ5LciWdZ5jh UPwsdmPilnyn9k0ROxV4aTUPq/PLKNBr5Gzqbqg/zadGT6N/1K5oDsANyaH0YPxY5jAKbXrzCmmf xumUwWHTsKEquGW0mMpGkj+i9CQC1JPp2XM45dTqwyw5V9TzOiUJ7e5xr6yTKgifA3CMEDy1jWx+ 3iI/b/wAQipnqkbrUp2H/P3ibA3Vl5K09Tgil3G38FAKLgOvVeSbS7KCAdxaHg94MRzqFgjCiEz/ jv4wMjVUoN8FxEVzsenb0AjUH8ENu4ANSVHgf8swNk3Y8+049Qp2dDuV1QuP4q3P89CrGwSlH6Fr bAnQbe/c1lv54j+hfkGy2SAgu6sZgJ4G+ZJ3aQvdT+XZUdH9RvCVRlWfFzeYvIu6GHqTzce4N7D1 Vs63jf3M0Z5SHFfwxAZOqdWIf9dmQgmc+YPCgll8uczrQSZw6u3W3e3WgbjCu1UUbWYFQuKYlzhy 8F2VadrqdrfZMZGvmuJUkmRc2iHPdMaXg6Xqy587jTp7moI3E9jEg3XmGmuUc81cBf+es3omOB9D EAGWX0AH6TnLBZMihZ3ifKz+IAB9+0jXtyF3i1pUxuWp/kyff7QSWPDm3ALvJgFKvfnToaFwft+t o+MgGb6rdjJUNnhaF6ZkSSoI/z11k11uxBQKhi3ByIgslaz7OI2kshTQZuX+bA2zeoiCOrvJNYQM ZWsB4GeG+7gNfG5V/PYrXgS0EJjbnLxWR+yjCqVoumvSu+WrSHdkRSgXx8+iN/fka/t4LCCxtNl5 jQFbdjZUGMKXZbk6trZdxlRSdv9pq05cBNyPQIwlD9UWKCh3Au0Apyjf1UfKuNoD8LrlW/u0rhQm 44RfdKgb/YopMxdeGZfQslnbM23m2PzOpFRWPgr8JPAfrZebpWunrQduvz9d/WXWneXufOR5y6Gj Mnjy5XYhQFI59o+lE5A5lap/x3LZeTqLJ7ZMD2bThXURXufHhLXZPrpKJbCpAg5DUcb5CUHVvN8/ GYrhmvi9w5mzYAKUNRv19CnUrYVKVo+p6ebEuEl/7U6kvueF5+unAEJdlZYHVGIJYmzqM/Bycmep Wk1T5QMf6sJj8FTXMl2rBeCBbMEes5kEbTEjBPsVjYrSj7ZWOVLqeR8Vp4gIAxSndEeG2BPdnSAq B4tE7SJ27fCbC9ED+Sgklvt2QzhtBWCfFZ1Z2L8KlMmnE5LgM+i6xlIbG7OHQ+a/zsaq95CsoOCV /EBiADMF7F7Qp1HyPAR0Nk/ZhOMcR5jPOa+5ZoUrHyPUqi4ASgYhwj44QBiH4QY8FfAHfVdyHUcQ GJFjwR87EEug2ET7YbwRIjOz8H+D+wCt4+7p0NMVu8DEK+SvoXi5rCqCcVF4chjJN+IcxKO/RLn1 uWIfnU5+ADOcEdlqw2zlkgLi2bjD95BYIV8EtZU8UGlbtPrccmfU59N+jr5Qw6/sxAsC9WatXIRu s+2WhaTmcewEat5dfFxW22W63Ht9CFsNOOYUnTXTpPbJxV401KYBAyg2XLbUobs7f44fK1vX18Lp WeT0MQVcelUpHh8wYUWIC+T3e76a6fcbxU4In0dvEWOlDAftQWEUKbZ4aBlQy0gL0hF5NLH573jS JC/l3l01aZsJ0HjvotzVi+SeKjZMbQB3HRQArkf9k4VcqihYI38AVOfIaUHfA+A2EHTeNe1zKkKZ oYaaZiI3DJScrdkWkAXFKaVzFahIwtNWan0y4a8O+SLIGVELqJ+QIcD4HlLy9dWtdZhh9bCpkX3r EuBlFinFeu63ikoEaneJp5QLJS+E6hTC8+Cm5Y9Wds1YegQL9o9ajRlSL7+YiTvDWZYRkDEPqNUK beksgfboc9bffeaW12I6JcsX0TRr1y4M2umMSdwEq62jBNw2Bl6j/bz2PQz2bSj1+9M+DiwUzq32 lqSyhzFcSAYCkxSShu/Fc3gNh9dkmF2+gRRZ/iXEPxtCbowOBSwMZqB8ZiMK0rELUszkV76rTxd3 Lcgfx0zMJ4qa62v0Jv2oQdP73cAD6+BAtaEAk7M1t5GV9mq6rAoEnb7bbGTWd042khtsP/G7MR5w kgTZs4U1Wf9U3iCl1mGKQZIBH+401UTK91JzlNjB+QcMXZXDevB+hAGmYfyIiceiqJwjxbnAxYMP 8EOGTMmDSYti5gx0xCLi+3YmJpzHLskdBtRzi2p/H0oBxjSBqGOOlCojezyUSPDOGjb+hxPahhDP J0UiiEfX7TiDy9/D/tfw1oyit4Ie6EVesdRnmpspEx39OohY1BpK06LF5VIvLqmIh1VWJLWJyI2q U4zp5x1/hiLKY58wyZ9hsvmLZkmLa4MEpTpaVNKnVyJIMidJNsTt6PFE7f+jbPVcFlpsTsAzjJ+s mUAwK18foGNPU8DcrYNA/3lEzrAYa8uf1+kFzvqz5bJn4uCT/HE7yLww7PNT6FBaoyXcA0AkQerx DiN5etS/P9/ED+G1g8rDoZrkfnYtsCqHAI3mOyGAez70ptanWayKYF+00hWhd1GCNJW5ez0+jJ7x abCr31xO+7aGgdGL/2OAkNCpzpv9sDL+bRsOh23bIN6GOSogvxOKGHpnF1d9ziWH98uboBbHxeLh PnCktnmOsLMe1kY7jS7/HQC2hA5HeQFmVmMDAkh9grgzmDk+lDcLC4X5o84Q4KQBPz0M2T/fuAAv BkM7FNgAyad+nriH+Dk2dKW0Zj2Py9R+beKvsuQweVkE8wlBM6nCgFNqPjqHBdWObtI229lI8Pve mqW8vQIx0pUoPI7dZA6RbUqaNfQKQEJ9w4Bg8QMCGlRxiNTn4dg5e2sbbuglJKjt3+p5okAzUkel MBvYgjmt02YXPoWjvzfTALFe96UR5pyuvjPRJKI/aYQhCUgoKnfVTYAPK/9cxKikrqKCnjjGgH1Z 9wlT+m815bEloxTgLDMA45A7cDsNxaWelrZH28UOz/V4YhH/Skm31hk5lXw1ZpJ5loirjiPKOWyN Rkl5qaWoSIAiYv7kQ/JymUiDp5vGLfbd4W88p0aqia088/oa95qTh7MV5Y4/pYoXJe8ZxNow+hbT aNd7EmQP3p+GhjvMlBgm/EH103syTKGBlSY1WuKf2eQsqx8Bse4Ks9g3IfMbQ1WJgurYktzrB64Z L+zIvPWGLslsanNRwBsOT/FHTgLd9YDKpHw59uccM89OKwbFWTLZQa0g9wQRnk05/pZJsp1gqPSJ rAAuAmXUB5RAeYeIiZHeUPqy4YXQY/5PTIRJVIEFcImVJGvAlhIkKGaVFZu9ulw/obyNoGyrBSHW 0uIfWnCho5OuXeTtnfLXUjD56mBCuj9+6PDCt2OaMlEIWPxEnPsQSbR3vT2hRuTp7EOtcMo4TOh+ pJe5oUGMT87AX8TN9pIj5m0geAuviRYOThvHoTr9SiH+BTg6DFgg7w9l7j8vvvIXW1aCvlsvpcQn 6Z7Bw7R2u8RhplZjVmL824sIhlVR7sQehLeSNubPe39+bkkP/qY+2DbbmfCbuiYAqdt7X7rh4f3x uIf/fFEO8q95WTVF4uvh6IErWWGRdM7Enml4d3hG/Id5BTVuBI9g9kho8oTny6R4GlMBnjh3MSpM itVnMKv2Rs/O3NcdlAVJUvc88GSfIG4Tl7bFM3Hi/DFsQSZM9oT4mtWRijbFJJs8JjYEhZtp0s/D D6YEz1fToU4R7ROTAjYUKXVjjf9VfLNXUIbYrcIIbie4YCVKnw0O+obbPH0UXDMRZr8NgMgMDHKA P6w0Uj8jnVHWUcH22XklfsAR19kjIWvtzptvvrx1QKnncW3zlS0/tVdIwvFOLYJfWz6TQoCKNzOP P77E5uFz6mNHvk+0yx84ySnakDMWvphba9VmxcDfRteS1Bpx9vZ7LHbCPk4rIe9/JUiUCQyt76CY SOdZCHygOSwAdGzone5+l2f18G8kNEqwy0FresC5ARMEwF25dRdSs9DzTuWR3ZKKZJcFkUBkfCSm 9umH8akkvlj1w5sl79SwdTMYzGfSUvDAHIFFUgZzGXJgEeL9T9MbkB2S4ifOuxHl/d5jCD5PI+xC 2ZNTPnQbxN7mZ72cgE9kQqyy4LvGq2LuNlbF6FWVvFw9UCcCyUHRSrCvJAJHt+30j31zTxLvDEf1 3T6dW+aGwBozQRNEubhfwhVfF4wpiR02haYKhJRvEtAPQ+qsFmS2aiKSiO+fyMKF3UIOvf/YoA/i U6tim9CAzNEUfDSU6PBD4boYm1kDSmeOy2rb76TR2FeUXkKZTkmGszJuw9N7po+ssgM4RuOBYAMW uXDnMJMxJylJIixWuIdiP8YkxZd36Oy3p7AzBx86gHL4us5EgDf2iyRykqsYz6uQbE2Jk4IcZ7jy xklIgvowo8ITZMdcK2r6z+fUxQ5Mro8+1R47FyIdBYAHPgcsPaavZdJ4vypQ3Bc/XisPY8br5ERr f5lTPEqE0vh2CTebHepko446GZtNvsjJ1dIRBp5wJk8m6a5ynfZLoYQ42+1QPakwCksLwq1woSb+ bf/Qi2Cbn4WsCVBKc+hKw60KETToVj4vKFlMBBhPgxUMgg4Dzc0VneDRHNacGii7db/EOtkOa7OA Sg1LIRgXzQjHnRNgref9daxwJBfoXJQIuLN27G6jXkrlVH2Yq/QDUfJomSlhRnmvM16LllpgkibL p41+2b4Ro9BKNd9l8EbFTi5qcgTZb7r6K+QSluZQ5kk1LAp4+Qz8WKAeE+AG32+gwl5GPFPHomgm xi1fWhVjY6vo5AZXdxhlbfHPNTcHHnZGPHa5diWvYUdpgz4sMUrl/VsN/F/HhMmV9A9TjrmY9wCd kUj3kP1mvMgywps+Dzp6enApMgd02rKz2tKTvLLkfcofUT9V2cCt59ws9pRZZsmanbW8QZ4/Vge5 Y8DTu3KX2cBEUKbTKpSqffUNK9VFinqYbpsysMlZAFKN4rGuJvVLeySYDxuXFdojDI/J57LVZLcX uIkJVtIst8LoNLcijVsB49138gc9//2Nhi3UUgx0A1HeM5fbX1EceB7NGwLiiZ9JmY59Jou6DPk4 0ykzjImH5GYBGbn8ogjuXXiI6V/V3mpUT3+qI4HO7AtZkRolzZMy1TM0Oe56fYjZL3QRaDlF4zbS t0pIdKxoc2DfLnQKxFMdMp3IbzZ6HxMTbjP60clZ9kueRxvRnI2naEY6tbncr5bXcQixtHGTij3R MhIb4jKNoptisLPRGn2xDjHvjbxQTLLTsP2bv8RZaUOwySXfArjpo/img06qPTdAORPk8iW5GTAv 9G+32ZA8v2C8Ni8x3bYvkQM7F6fLn7DQVRwHF8W3JxQAUGjrYmGfLrv1zhBwXrv/tfixKyvBu57J 633sBNP0cjDnuuTZvAI1OtKVKHPciuUj6EkKxxnFHRAbA7GMj2n4GXLqnqC3Ggc61C6Ugxv8+zbJ DWN6bbncofoiSea7AVan2SlCm32AFeHNWi1GHfTEEFPUgIOp/fiC9NPxpKC56Cczh40DNiqXbL0m VnJxncs5rbSoa13hOjA/9xYxTQEd7vwLjgySH3Suo1GuFKmCCUezEPYf37S7mNIyaPIkPaBigMla veSU5PeZZdpTUVmGNqHBhwhk57hTkbjiJZ/QFsB2LQYArcSjHLdGuJf1dSoQnKjBblxXmoCwFZ+p hzv9vFZ78fiDLrxRbLkt6B/3TgINzWHaEu1xwBj3elAUlzjIfXJSQQeT5zqs5rIfWlyy90aca9Hs CEDl5uB3agLTo1OXTq8aFOONslWbyl5voOcVsJ2jlE0J6g2xljZTCZfGHArB5IxflhzvZGsyCbqk bCDqeud1sYUMPE1Nm/sbN4RrYvPsvPfQj9JTXLJjKMte4n20E8q/lrZOCJ3pPB6vTz06ZN1MVDwa 904yFczexOWituu97t/RcSRFRn792qbu0R+1SETnbWHSmlRgUx0ELVO6hSqAdh34C2+WykTrOBzJ oRCC8Jy47b+CcnujdbBOUyd7SbqzBM+Esmxn6bsIQc30y2/C403MubcN7n9Ed1xPHk2LhF540pZv 4EX/phj7Mr1QA/I6bvhm3bi5UR7hqbkasSxwAxFWuhFJd46mYIey+5/te6p4HlMAaCnWD94VKDAa /QJWQk7u6qLNfsLPSW/bUWt043fM7onhy3U5D0so0d7iQJVAJmC7/gruqKqIflj9z7w6gwULk8ro X0cj1EjlrvP51IrMGG07djJT9XVorvxdM8YWcKTaEZsksj+1rLd1A6+/5m29wb7uJiiVmj2u/ofM UYyKXsxY76o9qyX68MVHA8sNnjNrGRi0YgCf7xCj5eymPhJ0wlx2PfsyqvWcnaaT5eBdZ6Jl910J uwYf9DbI047QQskLxNKRXMlrlpUj1fYAYk6hbZ6f0q5TzoxfsaAPQNkl0zfShQV1oAmKGUMOTz6W WzVgDkj60LT63q61/Jr6sFE8U8nuEF11+jURvVnSnW15PrommwPylJp/1BKv/acWvMJ0IVcNgg2i q8gAmcUXd4UV23C+rHy8weNghXvcn11piX3M7GXZ57tw1pVkGUdcx6AS8MB7s5BO51VsBJJNgoWr 5qUIlkztOmEcV9zRZDP0ZFbw1gdf3J8UxzBQvYJJq1q6LxaW5gcmcaaVlYOHlo+whEnL+Bthr/X3 UIOlCgYK7PothK7e6p1EW4AXOrwY3BE+5wqtxYGtzc5IKOes33mvHFGGMvbaTNG0K/nPk0NkzKpG d1Z44qPhkb6qEb0TbrnDC2itkE14zxrdJKoy7+27OtsdUrFcXZjatFZNEMbDu4wDnxCvK+WI2Ndz dRqVV0QlfbHwc+fzf5bGVgjBsqxm4gD/qCuqaBu9rh0IUUSCzoxv0PxtSN61yugLgFbIFlntHimD JODOnAc+wM+7vpBv+cPuw5Tq+ukkNxC/Zx9cwTGhBBu8jTR1uZNS7ASuHvCCeE0XzNvRoeIgdy2c Ct5noSHUfYusJjZHlpFZI+/Qo6LTihwYLAYja5nAlPBZ2b7YogzCsbv44uxzcKj8yiVVi0Fi8s+2 OusdWQmGB80mZeO1XN7NOHmwEQ0NGQyMcormtEeKCE0gG7AJXaHgmZIWUJOQP1puB98aXoAZ561C lj/Dl136qFypFal6h2858Ew+nnv4L3TSLglfuQWYQJ9SlBx53U0ZhXxWUwb3D1rtNrtcGosdnvdb yaTuMhNRUVNcL4r3pc1i7sb8x/I+9cf7ojNcJSPbG/LXSKA5I5C+B6u0fyIf+RaMg3yVgnWWXOS4 0pdUPDKg/XUV3ih88X3cOJ6j2Xc17D7jUqy/hAQPahBb+DRAm84FUTa1g8kfqFTKwXOnjG8eN+h/ D/H4HeklTGe/oYvUnqFwgXBxQEI2qnW9RDd5wdM3e8e657kHLFXSDYgAWrHuH8vtcnsr1NeTTh+8 jmKlX4Og+dm650RgQDsa3xaK8CHtE8fFOYOAsEfNJgfb3RiSBGRYmQKFgz6PbX2ywpA0FhwYXEt7 OjKIf2T8G15iTDz9jnJnu8qy6klrtDvKMvfn6Yt3tAs3BQVPWoYHJoAy2YAmWJzCmT+4fVG+2t9t vLbYVNbC8ezWaDca77qrZmX8WwKmxmCTtY/H5dhnGhZDpp79C7Digv6/1RLj2xmLt+AnY8E0nS8C gwQlgDOHrVPUM8cAXrsj14zVxV18FlHVRP3QBWv/U6kl4fzKczrkQ+DI6ri2u1N+5FSzGHYsORz2 +T7Ed/al0dbTXkfHrUUv0nKUHy7W3NwE3ExObMvvL/yiIe8FNcTGIpC5fzL+rKJmmZ3i0+JYPik4 yiyK6qKOxZA7CKaEvBPhmmuN7xaJOaQEhy8Yx7LBdB3NG22K0aKK+VNaYEQD8sjwKDoeH+KodAhQ N8jUpEBigEPtnkAtTOSTdaXA5sIvOSMo7uDGowpOz66FiVo5Lapv8JKp/vPU7fiskjd+HQuE8W/i yuqKnSlErzoXcEB1IvYMlkop/QQ17AYJ/Xqs9QMtGEgXRl+LwaTA8pD67Ra/SBU6se1kUN6HbWuw 2327gQtid35mMBG2dOq88LvmYiTmltICswMtYo2qIzJ96OdyAL+deXaE8f2v+7VbcrO3W9+tqzGX 8CD1j4mi3e9r99mDafhY1AXYb52ZDAtOIlBU1df6tD4B8J1VpHMwEcF16Qt8q4afPk9vJ4j+8aFe 3BeJrjrTYBQa/rkzwT6mYZBI/cg0mWEq7uYroiW3iTYi4HAcZKKb5GQzJnvartWuepavHSA7mXGA +pzdogexTIK8nHsvX7Qek9VyJwLkHr4z3xfbMb/E6RhR4vZ4W3O6XpppLz2Jke3dHJwyUzZz0Df1 xvwemhUnTpg5IYcrvWJXb7rBqlEfI2pbjJ6vOarUYRoGgfKHNTM6GivZnAcFlOnwXJI6GPwiJ0D/ sgvhnysDCK9RrczmuwkhMf0qIkJt1rZ7NKbJ62qvpoRZcyZYbOz4npqTWze6wZOi8oTLVx1c `protect end_protected
gpl-2.0
ba3328d8fdac81d229e953650102eaf2
0.954046
1.812302
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/bd/system/ip/system_axi_dma_0_0/sim/system_axi_dma_0_0.vhd
1
25,262
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_dma:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_dma_v7_1; USE axi_dma_v7_1.axi_dma; ENTITY system_axi_dma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_axi_dma_0_0; ARCHITECTURE system_axi_dma_0_0_arch OF system_axi_dma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_dma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_dma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_MULTI_CHANNEL : INTEGER; C_NUM_MM2S_CHANNELS : INTEGER; C_NUM_S2MM_CHANNELS : INTEGER; C_INCLUDE_SG : INTEGER; C_SG_INCLUDE_STSCNTRL_STRM : INTEGER; C_SG_USE_STSAPP_LENGTH : INTEGER; C_SG_LENGTH_WIDTH : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER; C_MICRO_DMA : INTEGER; C_INCLUDE_MM2S : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_BURST_SIZE : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_S2MM : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_BURST_SIZE : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mm2s_cntrl_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC; m_axis_mm2s_cntrl_tready : IN STD_LOGIC; m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s2mm_sts_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_sts_tvalid : IN STD_LOGIC; s_axis_s2mm_sts_tready : OUT STD_LOGIC; s_axis_s2mm_sts_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_dma; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 MM2S_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_dma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 10, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_MULTI_CHANNEL => 0, C_NUM_MM2S_CHANNELS => 1, C_NUM_S2MM_CHANNELS => 1, C_INCLUDE_SG => 0, C_SG_INCLUDE_STSCNTRL_STRM => 0, C_SG_USE_STSAPP_LENGTH => 0, C_SG_LENGTH_WIDTH => 23, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32, C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32, C_MICRO_DMA => 0, C_INCLUDE_MM2S => 1, C_INCLUDE_MM2S_SF => 1, C_MM2S_BURST_SIZE => 16, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 32, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_S2MM => 1, C_INCLUDE_S2MM_SF => 1, C_S2MM_BURST_SIZE => 16, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_INCLUDE_S2MM_DRE => 0, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_awready => '0', m_axi_sg_wready => '0', m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_bvalid => '0', m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axis_mm2s_cntrl_tready => '0', m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_sts_tkeep => X"F", s_axis_s2mm_sts_tvalid => '0', s_axis_s2mm_sts_tlast => '0', mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut, axi_dma_tstvec => axi_dma_tstvec ); END system_axi_dma_0_0_arch;
mit
516bdf547361d8acf362acab0bf4e221
0.661428
2.820994
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_util/src/methods_pkg.vhd
1
259,189
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; use std.textio.all; use work.types_pkg.all; use work.string_methods_pkg.all; use work.adaptations_pkg.all; use work.license_pkg.all; use work.global_signals_and_shared_variables_pkg.all; use work.alert_hierarchy_pkg.all; use work.protected_types_pkg.all; use std.env.all; package methods_pkg is -- -- ============================================================================ -- -- Initialisation and license -- -- ============================================================================ -- procedure initialise_util( -- constant dummy : in t_void -- ); -- -- ============================================================================ -- File handling (that needs to use other utility methods) -- ============================================================================ procedure check_file_open_status( constant status : in file_open_status; constant file_name : in string ); procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME ); -- msg_id is unused. This is a deprecated overload procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME; constant msg_id : t_msg_id ); procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME ); -- msg_id is unused. This is a deprecated overload procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME; constant msg_id : t_msg_id ); -- ============================================================================ -- Log-related -- ============================================================================ procedure log( msg_id : t_msg_id; msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ); procedure log( msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ); procedure log_text_block( msg_id : t_msg_id; variable text_block : inout line; formatting : t_log_format; -- FORMATTED or UNFORMATTED msg_header : string := ""; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_if_block_empty : t_log_if_block_empty := WRITE_HDR_IF_BLOCK_EMPTY; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ); procedure write_to_file ( file_name : string; open_mode : file_open_kind; variable my_line : inout line ); -- Enable and Disable do not have a Scope parameter as they are only allowed from main test sequencer procedure enable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ); procedure enable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET ) ; procedure enable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET ) ; procedure disable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ); procedure disable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET ); procedure disable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET ); impure function is_log_msg_enabled( msg_id : t_msg_id; msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) return boolean; procedure set_log_destination( constant log_destination : t_log_destination; constant quietness : t_quietness := NON_QUIET ); -- ============================================================================ -- Alert-related -- ============================================================================ procedure alert( constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); -- Dedicated alert-procedures all alert levels (less verbose - as 2 rather than 3 parameters...) procedure note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure manual_check( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure increment_expected_alerts( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure report_alert_counters( constant order : in t_order ); procedure report_alert_counters( constant dummy : in t_void ); procedure report_global_ctrl( constant dummy : in t_void ); procedure report_msg_id_panel( constant dummy : in t_void ); procedure set_alert_attention( alert_level : t_alert_level; attention : t_attention; msg : string := "" ); impure function get_alert_attention( alert_level : t_alert_level ) return t_attention; procedure set_alert_stop_limit( alert_level : t_alert_level; value : natural ); impure function get_alert_stop_limit( alert_level : t_alert_level ) return natural; impure function get_alert_counter( alert_level: t_alert_level; attention : t_attention := REGARD ) return natural; procedure increment_alert_counter( alert_level: t_alert_level; attention : t_attention := REGARD; -- regard, expect, ignore number : natural := 1 ); procedure increment_expected_alerts_and_stop_limit( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ); -- ============================================================================ -- Deprecate message -- ============================================================================ procedure deprecate( caller_name : string; constant msg : string := "" ); -- ============================================================================ -- Non time consuming checks -- ============================================================================ -- Matching if same width or only zeros in "extended width" function matching_widths( value1: std_logic_vector; value2: std_logic_vector ) return boolean; function matching_widths( value1: unsigned; value2: unsigned ) return boolean; function matching_widths( value1: signed; value2: signed ) return boolean; -- function version of check_value (with return value) impure function check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean ; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean ; impure function check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean ; impure function check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean ; impure function check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean ; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean ; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean ; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean ; -- procedure version of check_value (no return value) procedure check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ); procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ); procedure check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ); procedure check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ); procedure check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ); procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ); procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ); -- Check_value_in_range impure function check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "integer" ) return boolean; impure function check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "signed" ) return boolean; impure function check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean; impure function check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean; -- Procedure overloads for check_value_in_range procedure check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); -- Check_stable procedure check_stable( signal target : boolean; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "boolean" ); procedure check_stable( signal target : std_logic_vector; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "slv" ); procedure check_stable( signal target : unsigned; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "unsigned" ); procedure check_stable( signal target : signed; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "signed" ); procedure check_stable( signal target : std_logic; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "std_logic" ); procedure check_stable( signal target : integer; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "integer" ); procedure check_stable( signal target : real; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "real" ); impure function random ( constant length : integer ) return std_logic_vector; impure function random ( constant VOID : t_void ) return std_logic; impure function random ( constant min_value : integer; constant max_value : integer ) return integer; impure function random ( constant min_value : real; constant max_value : real ) return real; impure function random ( constant min_value : time; constant max_value : time ) return time; procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic_vector ); procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic ); procedure random ( constant min_value : integer; constant max_value : integer; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout integer ); procedure random ( constant min_value : real; constant max_value : real; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout real ); procedure random ( constant min_value : time; constant max_value : time; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout time ); procedure randomize ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomizing seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure randomise ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomising seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ); function convert_byte_array_to_slv_array( constant byte_array : t_byte_array; constant bytes_in_word : natural; constant byte_endianness : t_byte_endianness := FIRST_BYTE_LEFT ) return t_slv_array; function convert_slv_array_to_byte_array( constant slv_array : t_slv_array; constant ascending : boolean := false; constant byte_endianness : t_byte_endianness := FIRST_BYTE_LEFT ) return t_byte_array; -- Warning! This function should NOT be used outside the UVVM library. -- Function is only included to support internal functionality. -- The function can be removed without notification. function matching_values( constant value1 : in std_logic_vector; constant value2 : in std_logic_vector; constant match_strictness : in t_match_strictness := MATCH_STD ) return boolean; -- ============================================================================ -- Time consuming checks -- ============================================================================ procedure await_change( signal target : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "boolean" ); procedure await_change( signal target : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "std_logic" ); procedure await_change( signal target : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "slv" ); procedure await_change( signal target : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "unsigned" ); procedure await_change( signal target : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "signed" ); procedure await_change( signal target : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "integer" ); procedure await_change( signal target : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "real" ); procedure await_value ( signal target : boolean; constant exp : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic; constant exp : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : unsigned; constant exp : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : signed; constant exp : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : integer; constant exp : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : real; constant exp : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : boolean; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : std_logic; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : std_logic_vector; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : unsigned; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : signed; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : integer; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : real; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with duty cycle in time procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_time : in time ); -- Overloaded version with clock count procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with clock count and duty cycle in time procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_time : in time ); -- Overloaded version with clock enable and clock name procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with clock enable, clock name -- and duty cycle in time. procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ); -- Overloaded version with clock enable, clock name -- and clock count procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with clock enable, clock name, -- clock count and duty cycle in time. procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ); -- Adjustable clock generators procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; signal clock_high_percentage : in natural range 0 to 100 ); procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ); -- Overloaded version with clock enable, clock name -- and clock count procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ); procedure deallocate_line_if_exists( variable line_to_be_deallocated : inout line ); -- ============================================================================ -- Synchronisation methods -- ============================================================================ -- method to block a global flag with the name flag_name procedure block_flag( constant flag_name : in string; constant msg : in string ); -- method to unblock a global flag with the name flag_name procedure unblock_flag( constant flag_name : in string; constant msg : in string; signal trigger : inout std_logic ); -- method to wait for the global flag with the name flag_name procedure await_unblock_flag( constant flag_name : in string; constant timeout : in time; constant msg : in string; constant flag_returning : in t_flag_returning := KEEP_UNBLOCKED; constant timeout_severity : in t_alert_level := ERROR ); procedure await_barrier( signal barrier_signal : inout std_logic; constant timeout : in time; constant msg : in string; constant timeout_severity : in t_alert_level := ERROR ); ------------------------------------------- -- await_semaphore_in_delta_cycles ------------------------------------------- -- tries to lock the semaphore for C_NUM_SEMAPHORE_LOCK_TRIES in adaptations_pkg procedure await_semaphore_in_delta_cycles( variable semaphore : inout t_protected_semaphore ); ------------------------------------------- -- release_semaphore ------------------------------------------- -- releases the semaphore procedure release_semaphore( variable semaphore : inout t_protected_semaphore ); end package methods_pkg; --================================================================================================= --================================================================================================= --================================================================================================= package body methods_pkg is constant C_BURIED_SCOPE : string := "(Util buried)"; -- The following constants are not used. Report statements in the given functions allow elaboration time messages constant C_BITVIS_LICENSE_INITIALISED : boolean := show_license(VOID); constant C_BITVIS_LIBRARY_INFO_SHOWN : boolean := show_uvvm_utility_library_info(VOID); constant C_BITVIS_LIBRARY_RELEASE_INFO_SHOWN : boolean := show_uvvm_utility_library_release_info(VOID); -- ============================================================================ -- Initialisation and license -- ============================================================================ -- -- Executed a single time ONLY -- procedure pot_show_license( -- constant dummy : in t_void -- ) is -- begin -- if not shared_license_shown then -- show_license(v_trial_license); -- shared_license_shown := true; -- end if; -- end; -- -- Executed a single time ONLY -- procedure initialise_util( -- constant dummy : in t_void -- ) is -- begin -- set_log_file_name(C_LOG_FILE_NAME); -- set_alert_file_name(C_ALERT_FILE_NAME); -- shared_license_shown.set(1); -- shared_initialised_util.set(true); -- end; procedure pot_initialise_util( constant dummy : in t_void ) is variable v_minimum_log_line_width : natural := 0; begin if not shared_initialised_util then shared_initialised_util := true; if not shared_log_file_name_is_set then set_log_file_name(C_LOG_FILE_NAME); end if; if not shared_alert_file_name_is_set then set_alert_file_name(C_ALERT_FILE_NAME); end if; if C_ENABLE_HIERARCHICAL_ALERTS then initialize_hierarchy; end if; -- Check that all log widths are valid v_minimum_log_line_width := v_minimum_log_line_width + C_LOG_PREFIX_WIDTH + C_LOG_TIME_WIDTH + 5; -- Add 5 for spaces if not (C_SHOW_LOG_ID or C_SHOW_LOG_SCOPE) then v_minimum_log_line_width := v_minimum_log_line_width + 10; -- Minimum length in order to wrap lines properly else if C_SHOW_LOG_ID then v_minimum_log_line_width := v_minimum_log_line_width + C_LOG_MSG_ID_WIDTH; end if; if C_SHOW_LOG_SCOPE then v_minimum_log_line_width := v_minimum_log_line_width + C_LOG_SCOPE_WIDTH; end if; end if; bitvis_assert(C_LOG_LINE_WIDTH >= v_minimum_log_line_width, failure, "C_LOG_LINE_WIDTH is too low. Needs to higher than " & to_string(v_minimum_log_line_width) & ". ", C_SCOPE); --show_license(VOID); -- if C_SHOW_uvvm_utilITY_LIBRARY_INFO then -- show_uvvm_utility_library_info(VOID); -- end if; -- if C_SHOW_uvvm_utilITY_LIBRARY_RELEASE_INFO then -- show_uvvm_utility_library_release_info(VOID); -- end if; end if; end; procedure deallocate_line_if_exists( variable line_to_be_deallocated : inout line ) is begin if line_to_be_deallocated /= NULL then deallocate(line_to_be_deallocated); end if; end procedure deallocate_line_if_exists; -- ============================================================================ -- File handling (that needs to use other utility methods) -- ============================================================================ procedure check_file_open_status( constant status : in file_open_status; constant file_name : in string ) is begin case status is when open_ok => null; --**** logmsg (if log is open for write) when status_error => alert(tb_warning, "File: " & file_name & " is already open", "SCOPE_TBD"); when name_error => alert(tb_error, "Cannot create file: " & file_name, "SCOPE TBD"); when mode_error => alert(tb_error, "File: " & file_name & " exists, but cannot be opened in write mode", "SCOPE TBD"); end case; end; procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME ) is variable v_file_open_status: file_open_status; begin if C_WARNING_ON_LOG_ALERT_FILE_RUNTIME_RENAME and shared_alert_file_name_is_set then warning("alert file name already set. Setting new alert file " & file_name); end if; shared_alert_file_name_is_set := true; file_close(ALERT_FILE); file_open(v_file_open_status, ALERT_FILE, file_name, write_mode); check_file_open_status(v_file_open_status, file_name); if now > 0 ns then -- Do not show note if set at the very start. -- NOTE: We should usually use log() instead of report. However, -- in this case, there is an issue with log() initialising -- the log file and therefore blocking subsequent set_log_file_name(). report "alert file name set: " & file_name; end if; end; procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME; constant msg_id : t_msg_id ) is variable v_file_open_status: file_open_status; begin deprecate(get_procedure_name_from_instance_name(file_name'instance_name), "msg_id parameter is no longer in use. Please call this procedure without the msg_id parameter."); set_alert_file_name(file_name); end; procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME ) is variable v_file_open_status: file_open_status; begin if C_WARNING_ON_LOG_ALERT_FILE_RUNTIME_RENAME and shared_log_file_name_is_set then warning("log file name already set. Setting new log file " & file_name); end if; shared_log_file_name_is_set := true; file_close(LOG_FILE); file_open(v_file_open_status, LOG_FILE, file_name, write_mode); check_file_open_status(v_file_open_status, file_name); if now > 0 ns then -- Do not show note if set at the very start. -- NOTE: We should usually use log() instead of report. However, -- in this case, there is an issue with log() initialising -- the alert file and therefore blocking subsequent set_alert_file_name(). report "log file name set: " & file_name; end if; end; procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME; constant msg_id : t_msg_id ) is begin -- msg_id is no longer in use. However, can not call deprecate() since Util may not -- have opened a log file yet. Attempting to call deprecate() when there is no open -- log file will cause a fatal error. Leaving this alone with no message. set_log_file_name(file_name); end; -- ============================================================================ -- Log-related -- ============================================================================ impure function align_log_time( value : time ) return string is variable v_line : line; variable v_value_width : natural; variable v_result : string(1 to 50); -- sufficient for any relevant time value variable v_result_width : natural; variable v_delimeter_pos : natural; variable v_time_number_width : natural; variable v_time_width : natural; variable v_num_initial_blanks : integer; variable v_found_decimal_point : boolean; begin -- 1. Store normal write (to string) and note width write(v_line, value, LEFT, 0, C_LOG_TIME_BASE); -- required as width is unknown v_value_width := v_line'length; v_result(1 to v_value_width) := v_line.all; deallocate(v_line); -- 2. Search for decimal point or space between number and unit v_found_decimal_point := true; -- default v_delimeter_pos := pos_of_leftmost('.', v_result(1 to v_value_width), 0); if v_delimeter_pos = 0 then -- No decimal point found v_found_decimal_point := false; v_delimeter_pos := pos_of_leftmost(' ', v_result(1 to v_value_width), 0); end if; -- Potentially alert if time stamp is truncated. if C_LOG_TIME_TRUNC_WARNING then if not shared_warned_time_stamp_trunc then if (C_LOG_TIME_DECIMALS < (v_value_width - 3 - v_delimeter_pos)) THEN alert(TB_WARNING, "Time stamp has been truncated to " & to_string(C_LOG_TIME_DECIMALS) & " decimal(s) in the next log message - settable in adaptations_pkg." & " (Actual time stamp has more decimals than displayed) " & "\nThis alert is shown once only.", C_BURIED_SCOPE); shared_warned_time_stamp_trunc := true; end if; end if; end if; -- 3. Derive Time number (integer or real) if C_LOG_TIME_DECIMALS = 0 then v_time_number_width := v_delimeter_pos - 1; -- v_result as is else -- i.e. a decimal value is required if v_found_decimal_point then v_result(v_value_width - 2 to v_result'right) := (others => '0'); -- Zero extend else -- Shift right after integer part and add point v_result(v_delimeter_pos + 1 to v_result'right) := v_result(v_delimeter_pos to v_result'right - 1); v_result(v_delimeter_pos) := '.'; v_result(v_value_width - 1 to v_result'right) := (others => '0'); -- Zero extend end if; v_time_number_width := v_delimeter_pos + C_LOG_TIME_DECIMALS; end if; -- 4. Add time unit for full time specification v_time_width := v_time_number_width + 3; if C_LOG_TIME_BASE = ns then v_result(v_time_number_width + 1 to v_time_width) := " ns"; else v_result(v_time_number_width + 1 to v_time_width) := " ps"; end if; -- 5. Prefix v_num_initial_blanks := maximum(0, (C_LOG_TIME_WIDTH - v_time_width)); if v_num_initial_blanks > 0 then v_result(v_num_initial_blanks + 1 to v_result'right) := v_result(1 to v_result'right - v_num_initial_blanks); v_result(1 to v_num_initial_blanks) := fill_string(' ', v_num_initial_blanks); v_result_width := C_LOG_TIME_WIDTH; else -- v_result as is v_result_width := v_time_width; end if; return v_result(1 to v_result_width); end function align_log_time; -- Writes Line to a file without modifying the contents of the line -- Not yet available in VHDL procedure tee ( file file_handle : text; variable my_line : inout line ) is variable v_line : line; begin write (v_line, my_line.all); writeline(file_handle, v_line); end procedure tee; -- Open, append/write to and close file. Also deallocates contents of the line procedure write_to_file ( file_name : string; open_mode : file_open_kind; variable my_line : inout line ) is file v_specified_file_pointer : text; begin file_open(v_specified_file_pointer, file_name, open_mode); writeline(v_specified_file_pointer, my_line); file_close(v_specified_file_pointer); end procedure write_to_file; procedure log( msg_id : t_msg_id; msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; -- compatible with old code log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ) is variable v_msg : line; variable v_msg_indent : line; variable v_msg_indent_width : natural; variable v_info : line; variable v_info_final : line; variable v_log_msg_id : string(1 to C_LOG_MSG_ID_WIDTH); variable v_log_scope : string(1 to C_LOG_SCOPE_WIDTH); variable v_log_pre_msg_width : natural; begin -- Check if message ID is enabled if (msg_id_panel(msg_id) = ENABLED) then pot_initialise_util(VOID); -- Only executed the first time called -- Prepare strings for msg_id and scope v_log_msg_id := to_upper(justify(to_string(msg_id), LEFT, C_LOG_MSG_ID_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE)); if (scope = "") then v_log_scope := justify("(non scoped)", LEFT, C_LOG_SCOPE_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); else v_log_scope := justify(to_string(scope), LEFT, C_LOG_SCOPE_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); end if; -- Handle actual log info line -- First write all fields preceeding the actual message - in order to measure their width -- (Prefix is taken care of later) write(v_info, return_string_if_true(v_log_msg_id, C_SHOW_LOG_ID) & -- Optional " " & align_log_time(now) & " " & return_string_if_true(v_log_scope, C_SHOW_LOG_SCOPE) & " "); -- Optional v_log_pre_msg_width := v_info'length; -- Width of string preceeding the actual message -- Handle \r as potential initial open line if msg'length > 1 then if C_USE_BACKSLASH_R_AS_LF and (msg(1 to 2) = "\r") then write(v_info_final, LF); -- Start transcript with an empty line write(v_msg, remove_initial_chars(msg, 2)); else write(v_msg, msg); end if; end if; -- Handle dedicated ID indentation. write(v_msg_indent, to_string(C_MSG_ID_INDENT(msg_id))); v_msg_indent_width := v_msg_indent'length; write(v_info, v_msg_indent.all); deallocate_line_if_exists(v_msg_indent); -- Then add the message it self (after replacing \n with LF if msg'length > 1 then write(v_info, to_string(replace_backslash_n_with_lf(v_msg.all))); end if; deallocate_line_if_exists(v_msg); if not C_SINGLE_LINE_LOG then -- Modify and align info-string if additional lines are required (after wrapping lines) wrap_lines(v_info, 1, v_log_pre_msg_width + v_msg_indent_width + 1, C_LOG_LINE_WIDTH-C_LOG_PREFIX_WIDTH); else -- Remove line feed character if -- single line log/alert enabled replace(v_info, LF, ' '); end if; -- Handle potential log header by including info-lines inside the log header format and update of waveview header. if (msg_id = ID_LOG_HDR) then write(v_info_final, LF & LF); -- also update the Log header string shared_current_log_hdr.normal := justify(msg, LEFT, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); shared_log_hdr_for_waveview := justify(msg, LEFT, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); elsif (msg_id = ID_LOG_HDR_LARGE) then write(v_info_final, LF & LF); shared_current_log_hdr.large := justify(msg, LEFT, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); write(v_info_final, fill_string('=', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF); elsif (msg_id = ID_LOG_HDR_XL) then write(v_info_final, LF & LF); shared_current_log_hdr.xl := justify(msg, LEFT, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); write(v_info_final, LF & fill_string('#', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))& LF & LF); end if; write(v_info_final, v_info.all); -- include actual info deallocate_line_if_exists(v_info); -- Handle rest of potential log header if (msg_id = ID_LOG_HDR) then write(v_info_final, LF & fill_string('-', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))); elsif (msg_id = ID_LOG_HDR_LARGE) then write(v_info_final, LF & fill_string('=', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))); elsif (msg_id = ID_LOG_HDR_XL) then write(v_info_final, LF & LF & fill_string('#', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF & LF); end if; -- Add prefix to all lines prefix_lines(v_info_final); -- Write the info string to the target file if log_file_name = "" and (log_destination = LOG_ONLY or log_destination = CONSOLE_AND_LOG) then -- Output file specified, but file name was invalid. alert(TB_ERROR, "log called with log_destination " & to_upper(to_string(log_destination)) & ", but log file name was empty."); else case log_destination is when CONSOLE_AND_LOG => tee(OUTPUT, v_info_final); -- write to transcript, while keeping the line contents -- write to file if log_file_name = C_LOG_FILE_NAME then -- If the log file is the default file, it is not necessary to open and close it again writeline(LOG_FILE, v_info_final); else -- If the log file is a custom file name, the file will have to be opened. write_to_file(log_file_name, open_mode, v_info_final); end if; when CONSOLE_ONLY => writeline(OUTPUT, v_info_final); -- Write to console and deallocate line when LOG_ONLY => if log_file_name = C_LOG_FILE_NAME then -- If the log file is the default file, it is not necessary to open and close it again writeline(LOG_FILE, v_info_final); else -- If the log file is a custom file name, the file will have to be opened. write_to_file(log_file_name, open_mode, v_info_final); end if; end case; end if; end if; end; -- Calls overloaded log procedure with default msg_id procedure log( msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; -- compatible with old code log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ) is begin log(C_TB_MSG_ID_DEFAULT, msg, scope, msg_id_panel, log_destination, log_file_name, open_mode); end procedure log; -- Logging for multi line text. Also deallocates the text_block, for consistency. procedure log_text_block( msg_id : t_msg_id; variable text_block : inout line; formatting : t_log_format; -- FORMATTED or UNFORMATTED msg_header : string := ""; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_if_block_empty : t_log_if_block_empty := WRITE_HDR_IF_BLOCK_EMPTY; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ) is variable v_text_block_empty_note : string(1 to 26) := "Note: Text block was empty"; variable v_header_line : line; variable v_log_body : line; variable v_text_block_is_empty : boolean; begin if ((log_file_name = "") and ((log_destination = CONSOLE_AND_LOG) or (log_destination = LOG_ONLY))) then alert(TB_ERROR, "log_text_block called with log_destination " & to_upper(to_string(log_destination)) & ", but log file name was empty."); -- Check if message ID is enabled elsif (msg_id_panel(msg_id) = ENABLED) then pot_initialise_util(VOID); -- Only executed the first time called v_text_block_is_empty := (text_block = NULL); if(formatting = UNFORMATTED) then if(not v_text_block_is_empty) then -- Write the info string to the target file without any header, footer or indentation case log_destination is when CONSOLE_AND_LOG => tee(OUTPUT, text_block); -- Write to console, but keep text_block -- Write to log and deallocate text_block. Open specified file if not open. if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, text_block); else write_to_file(log_file_name, open_mode, text_block); end if; when CONSOLE_ONLY => writeline(OUTPUT, text_block); -- Write to console and deallocate text_block when LOG_ONLY => -- Write to log and deallocate text_block. Open specified file if not open. if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, text_block); else write_to_file(log_file_name, open_mode, text_block); end if; end case; end if; elsif not (v_text_block_is_empty and (log_if_block_empty = SKIP_LOG_IF_BLOCK_EMPTY)) then -- Add and print header write(v_header_line, LF & LF & fill_string('*', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))); prefix_lines(v_header_line); -- Add header underline, body and footer write(v_log_body, fill_string('-', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF); if v_text_block_is_empty then if log_if_block_empty = NOTIFY_IF_BLOCK_EMPTY then write(v_log_body, v_text_block_empty_note); -- Notify that the text block was empty end if; else write(v_log_body, text_block.all); -- include input text end if; write(v_log_body, LF & fill_string('*', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF); prefix_lines(v_log_body); case log_destination is when CONSOLE_AND_LOG => -- Write header to console tee(OUTPUT, v_header_line); -- Write header to file, and open/close if not default log file if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, v_header_line); else write_to_file(log_file_name, open_mode, v_header_line); end if; -- Write header message to specified destination log(msg_id, msg_header, scope, msg_id_panel, CONSOLE_AND_LOG, log_file_name, append_mode); -- Write log body to console tee(OUTPUT, v_log_body); -- Write log body to specified file if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, v_log_body); else write_to_file(log_file_name, append_mode, v_log_body); end if; when CONSOLE_ONLY => -- Write to console and deallocate all lines writeline(OUTPUT, v_header_line); log(msg_id, msg_header, scope, msg_id_panel, CONSOLE_ONLY); writeline(OUTPUT, v_log_body); when LOG_ONLY => -- Write to log and deallocate text_block. Open specified file if not open. if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, v_header_line); log(msg_id, msg_header, scope, msg_id_panel, LOG_ONLY); writeline(LOG_FILE, v_log_body); else write_to_file(log_file_name, open_mode, v_header_line); log(msg_id, msg_header, scope, msg_id_panel, LOG_ONLY, log_file_name, append_mode); write_to_file(log_file_name, append_mode, v_log_body); end if; end case; -- Deallocate text block to give writeline()-like behaviour -- for formatted output deallocate(text_block); end if; end if; end; procedure enable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ) is begin case msg_id is when ID_NEVER => null; -- Shall not be possible to enable tb_warning("enable_log_msg() ignored for " & to_upper(to_string(msg_id)) & " (not allowed). " & add_msg_delimiter(msg), scope); when ALL_MESSAGES => for i in t_msg_id'left to t_msg_id'right loop msg_id_panel(i) := ENABLED; end loop; msg_id_panel(ID_NEVER) := DISABLED; msg_id_panel(ID_BITVIS_DEBUG) := DISABLED; if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "enable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; when others => msg_id_panel(msg_id) := ENABLED; if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "enable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; end case; end; procedure enable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET ) is begin enable_log_msg(msg_id, shared_msg_id_panel, msg, C_TB_SCOPE_DEFAULT, quietness); end; procedure enable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET ) is begin enable_log_msg(msg_id, shared_msg_id_panel, "", C_TB_SCOPE_DEFAULT, quietness); end; procedure disable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ) is begin case msg_id is when ALL_MESSAGES => if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "disable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; for i in t_msg_id'left to t_msg_id'right loop msg_id_panel(i) := DISABLED; end loop; msg_id_panel(ID_LOG_MSG_CTRL) := ENABLED; -- keep when others => msg_id_panel(msg_id) := DISABLED; if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "disable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; end case; end; procedure disable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET ) is begin disable_log_msg(msg_id, shared_msg_id_panel, msg, C_TB_SCOPE_DEFAULT, quietness); end; procedure disable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET ) is begin disable_log_msg(msg_id, shared_msg_id_panel, "", C_TB_SCOPE_DEFAULT, quietness); end; impure function is_log_msg_enabled( msg_id : t_msg_id; msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) return boolean is begin if msg_id_panel(msg_id) = ENABLED then return true; else return false; end if; end; procedure set_log_destination( constant log_destination : t_log_destination; constant quietness : t_quietness := NON_QUIET ) is begin if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "Changing log destination to " & to_string(log_destination) & ". Was " & to_string(shared_default_log_destination) & ". ", C_TB_SCOPE_DEFAULT); end if; shared_default_log_destination := log_destination; end; -- ============================================================================ -- Alert-related -- ============================================================================ -- Shared variable for all the alert counters for different attention shared variable protected_alert_attention_counters : t_protected_alert_attention_counters; procedure alert( constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is variable v_msg : line; -- msg after pot. replacement of \n variable v_info : line; constant C_ATTENTION : t_attention := get_alert_attention(alert_level); begin if alert_level /= NO_ALERT then pot_initialise_util(VOID); -- Only executed the first time called if C_ENABLE_HIERARCHICAL_ALERTS then -- Call the hierarchical alert function hierarchical_alert(alert_level, to_string(msg), to_string(scope), C_ATTENTION); else -- Perform the non-hierarchical alert function write(v_msg, replace_backslash_n_with_lf(to_string(msg))); -- 1. Increase relevant alert counter. Exit if ignore is set for this alert type. if get_alert_attention(alert_level) = IGNORE then -- protected_alert_counters.increment(alert_level, IGNORE); increment_alert_counter(alert_level, IGNORE); else --protected_alert_counters.increment(alert_level, REGARD); increment_alert_counter(alert_level, REGARD); -- 2. Write first part of alert message -- Serious alerts need more attention - thus more space and lines if (alert_level > MANUAL_CHECK) then write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH)); end if; write(v_info, LF & "*** "); -- 3. Remove line feed character (LF) -- if single line alert enabled. if not C_SINGLE_LINE_ALERT then write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(get_alert_counter(alert_level)) & " ***" & LF & justify( to_string(now, C_LOG_TIME_BASE), RIGHT, C_LOG_TIME_WIDTH) & " " & to_string(scope) & LF & wrap_lines(v_msg.all, C_LOG_TIME_WIDTH + 4, C_LOG_TIME_WIDTH + 4, C_LOG_INFO_WIDTH)); else replace(v_msg, LF, ' '); write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(get_alert_counter(alert_level)) & " ***" & justify( to_string(now, C_LOG_TIME_BASE), RIGHT, C_LOG_TIME_WIDTH) & " " & to_string(scope) & " " & v_msg.all); end if; deallocate_line_if_exists(v_msg); -- 4. Write stop message if stop-limit is reached for number of this alert if (get_alert_stop_limit(alert_level) /= 0) and (get_alert_counter(alert_level) >= get_alert_stop_limit(alert_level)) then write(v_info, LF & LF & "Simulator has been paused as requested after " & to_string(get_alert_counter(alert_level)) & " " & to_upper(to_string(alert_level)) & LF); if (alert_level = MANUAL_CHECK) then write(v_info, "Carry out above check." & LF & "Then continue simulation from within simulator." & LF); else write(v_info, string'("*** To find the root cause of this alert, " & "step out the HDL calling stack in your simulator. ***" & LF & "*** For example, step out until you reach the call from the test sequencer. ***")); end if; end if; -- 5. Write last part of alert message if (alert_level > MANUAL_CHECK) then write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH) & LF & LF); else write(v_info, LF); end if; prefix_lines(v_info); tee(OUTPUT, v_info); tee(ALERT_FILE, v_info); writeline(LOG_FILE, v_info); -- 6. Stop simulation if stop-limit is reached for number of this alert if (get_alert_stop_limit(alert_level) /= 0) then if (get_alert_counter(alert_level) >= get_alert_stop_limit(alert_level)) then if C_USE_STD_STOP_ON_ALERT_STOP_LIMIT then std.env.stop; else assert false report "This single Failure line has been provoked to stop the simulation. See alert-message above" severity failure; end if; end if; end if; end if; end if; end if; end; -- Dedicated alert-procedures all alert levels (less verbose - as 2 rather than 3 parameters...) procedure note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(note, msg, scope); end; procedure tb_note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_note, msg, scope); end; procedure warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(warning, msg, scope); end; procedure tb_warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_warning, msg, scope); end; procedure manual_check( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(manual_check, msg, scope); end; procedure error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(error, msg, scope); end; procedure tb_error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_error, msg, scope); end; procedure failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(failure, msg, scope); end; procedure tb_failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_failure, msg, scope); end; procedure increment_expected_alerts( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin if alert_level = NO_ALERT then alert(TB_WARNING, "increment_expected_alerts not allowed for alert_level NO_ALERT. " & add_msg_delimiter(msg), scope); else if not C_ENABLE_HIERARCHICAL_ALERTS then increment_alert_counter(alert_level, EXPECT, number); log(ID_UTIL_SETUP, "incremented expected " & to_upper(to_string(alert_level)) & "s by " & to_string(number) & ". " & add_msg_delimiter(msg), scope); else increment_expected_alerts(C_BASE_HIERARCHY_LEVEL, alert_level, number); end if; end if; end; -- Arguments: -- - order = FINAL : print out Simulation Success/Fail procedure report_alert_counters( constant order : in t_order ) is begin pot_initialise_util(VOID); -- Only executed the first time called if not C_ENABLE_HIERARCHICAL_ALERTS then protected_alert_attention_counters.to_string(order); else print_hierarchical_log(order); end if; end; -- This version (with the t_void argument) is kept for backwards compatibility procedure report_alert_counters( constant dummy : in t_void ) is begin report_alert_counters(FINAL); -- Default when calling this old method is order=FINAL end; procedure report_global_ctrl( constant dummy : in t_void ) is constant prefix : string := C_LOG_PREFIX & " "; variable v_line : line; begin pot_initialise_util(VOID); -- Only executed the first time called write(v_line, LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** REPORT OF GLOBAL CTRL ***" & LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " IGNORE STOP_LIMIT " & LF); for i in NOTE to t_alert_level'right loop write(v_line, " " & to_upper(to_string(i, 13, LEFT)) & ": "); -- Severity write(v_line, to_string(get_alert_attention(i), 7, RIGHT) & " "); -- column 1 write(v_line, to_string(integer'(get_alert_stop_limit(i)), 6, RIGHT, KEEP_LEADING_SPACE) & " " & LF); -- column 2 end loop; write(v_line, fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file tee(OUTPUT, v_line); writeline(LOG_FILE, v_line); end; procedure report_msg_id_panel( constant dummy : in t_void ) is constant prefix : string := C_LOG_PREFIX & " "; variable v_line : line; begin pot_initialise_util(VOID); -- Only executed the first time called write(v_line, LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** REPORT OF MSG ID PANEL ***" & LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " " & justify("ID", LEFT, C_LOG_MSG_ID_WIDTH) & " Status" & LF & " " & fill_string('-', C_LOG_MSG_ID_WIDTH) & " ------" & LF); for i in t_msg_id'left to t_msg_id'right loop if ((i /= ALL_MESSAGES) and ((i /= NO_ID) and (i /= ID_NEVER))) then -- report all but ID_NEVER, NO_ID and ALL_MESSAGES write(v_line, " " & to_upper(to_string(i, C_LOG_MSG_ID_WIDTH+5, LEFT)) & ": "); -- MSG_ID write(v_line,to_upper(to_string(shared_msg_id_panel(i))) & " " & LF); -- Enabled/disabled end if; end loop; write(v_line, fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file tee(OUTPUT, v_line); writeline(LOG_FILE, v_line); end; procedure set_alert_attention( alert_level : t_alert_level; attention : t_attention; msg : string := "" ) is begin if alert_level = NO_ALERT then tb_warning("set_alert_attention not allowed for alert_level NO_ALERT (always IGNORE)."); else check_value(attention = IGNORE or attention = REGARD, TB_ERROR, "set_alert_attention only supported for IGNORE and REGARD", C_BURIED_SCOPE, ID_NEVER); shared_alert_attention(alert_level) := attention; log(ID_ALERT_CTRL, "set_alert_attention(" & to_upper(to_string(alert_level)) & ", " & to_string(attention) & "). " & add_msg_delimiter(msg)); end if; end; impure function get_alert_attention( alert_level : t_alert_level ) return t_attention is begin if alert_level = NO_ALERT then return IGNORE; else return shared_alert_attention(alert_level); end if; end; procedure set_alert_stop_limit( alert_level : t_alert_level; value : natural ) is begin if alert_level = NO_ALERT then tb_warning("set_alert_stop_limit not allowed for alert_level NO_ALERT (stop limit always 0)."); else if not C_ENABLE_HIERARCHICAL_ALERTS then shared_stop_limit(alert_level) := value; -- Evaluate new stop limit in case it is less than or equal to the current alert counter for this alert level -- If that is the case, a new alert with the same alert level shall be triggered. if (get_alert_stop_limit(alert_level) /= 0) and (get_alert_counter(alert_level) >= get_alert_stop_limit(alert_level)) then alert(alert_level, "Alert stop limit for " & to_upper(to_string(alert_level)) & " set to " & to_string(value) & ", which is lower than the current " & to_upper(to_string(alert_level)) & " count (" & to_string(get_alert_counter(alert_level)) & ")."); end if; else -- If hierarchical alerts enabled, update top level -- alert stop limit. set_hierarchical_alert_top_level_stop_limit(alert_level, value); end if; end if; end; impure function get_alert_stop_limit( alert_level : t_alert_level ) return natural is begin if alert_level = NO_ALERT then return 0; else if not C_ENABLE_HIERARCHICAL_ALERTS then return shared_stop_limit(alert_level); else return get_hierarchical_alert_top_level_stop_limit(alert_level); end if; end if; end; impure function get_alert_counter( alert_level: t_alert_level; attention : t_attention := REGARD ) return natural is begin return protected_alert_attention_counters.get(alert_level, attention); end; procedure increment_alert_counter( alert_level : t_alert_level; attention : t_attention := REGARD; -- regard, expect, ignore number : natural := 1 ) is type alert_array is array (1 to 6) of t_alert_level; constant alert_check_array : alert_array := (WARNING, TB_WARNING, ERROR, TB_ERROR, FAILURE, TB_FAILURE); alias found_unexpected_simulation_warnings_or_worse is shared_uvvm_status.found_unexpected_simulation_warnings_or_worse; alias found_unexpected_simulation_errors_or_worse is shared_uvvm_status.found_unexpected_simulation_errors_or_worse; alias mismatch_on_expected_simulation_warnings_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_warnings_or_worse; alias mismatch_on_expected_simulation_errors_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_errors_or_worse; begin protected_alert_attention_counters.increment(alert_level, attention, number); -- Update simulation status if (attention = REGARD) or (attention = EXPECT) then if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then found_unexpected_simulation_warnings_or_worse := 0; -- default found_unexpected_simulation_errors_or_worse := 0; -- default mismatch_on_expected_simulation_warnings_or_worse := 0; -- default mismatch_on_expected_simulation_errors_or_worse := 0; -- default -- Compare expected and current allerts for i in 1 to alert_check_array'high loop if (get_alert_counter(alert_check_array(i), REGARD) /= get_alert_counter(alert_check_array(i), EXPECT)) then -- MISMATCH -- warning or worse mismatch_on_expected_simulation_warnings_or_worse := 1; -- error or worse if not(alert_check_array(i) = WARNING) and not(alert_check_array(i) = TB_WARNING) then mismatch_on_expected_simulation_errors_or_worse := 1; end if; -- FOUND UNEXPECTED ALERT if (get_alert_counter(alert_check_array(i), REGARD) > get_alert_counter(alert_check_array(i), EXPECT)) then -- warning and worse found_unexpected_simulation_warnings_or_worse := 1; -- error and worse if not(alert_check_array(i) = WARNING) and not(alert_check_array(i) = TB_WARNING) then found_unexpected_simulation_errors_or_worse := 1; end if; end if; end if; end loop; end if; end if; end; procedure increment_expected_alerts_and_stop_limit( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ) is variable v_alert_stop_limit : natural := get_alert_stop_limit(alert_level); begin increment_expected_alerts(alert_level, number, msg, scope); set_alert_stop_limit(alert_level, v_alert_stop_limit + number); end ; -- ============================================================================ -- Deprecation message -- ============================================================================ procedure deprecate( caller_name : string; constant msg : string := "" ) is variable v_found : boolean; begin v_found := false; if C_DEPRECATE_SETTING /= NO_DEPRECATE then -- only perform if deprecation enabled l_find_caller_name_in_list: for i in deprecated_subprogram_list'range loop if deprecated_subprogram_list(i) = justify(caller_name, RIGHT, 100) then v_found := true; exit l_find_caller_name_in_list; end if; end loop; if v_found then -- Has already been printed. if C_DEPRECATE_SETTING = ALWAYS_DEPRECATE then log(ID_UTIL_SETUP, "Sub-program " & caller_name & " is outdated and has been replaced by another sub-program." & LF & msg); else -- C_DEPRECATE_SETTING = DEPRECATE_ONCE null; end if; else -- Has not been printed yet. l_insert_caller_name_in_first_available: for i in deprecated_subprogram_list'range loop if deprecated_subprogram_list(i) = justify("", RIGHT, 100) then deprecated_subprogram_list(i) := justify(caller_name, RIGHT, 100); exit l_insert_caller_name_in_first_available; end if; end loop; log(ID_UTIL_SETUP, "Sub-program " & caller_name & " is outdated and has been replaced by another sub-program." & LF & msg); end if; end if; end; -- ============================================================================ -- Non time consuming checks -- ============================================================================ -- NOTE: Index in range N downto 0, with -1 meaning not found function idx_leftmost_p1_in_p2( target : std_logic; vector : std_logic_vector ) return integer is alias a_vector : std_logic_vector(vector'length - 1 downto 0) is vector; constant result_if_not_found : integer := -1; -- To indicate not found begin bitvis_assert(vector'length > 0, ERROR, "idx_leftmost_p1_in_p2()", "String input is empty"); for i in a_vector'left downto a_vector'right loop if (a_vector(i) = target) then return i; end if; end loop; return result_if_not_found; end; -- Matching if same width or only zeros in "extended width" function matching_widths( value1 : std_logic_vector; value2 : std_logic_vector ) return boolean is -- Normalize vectors to (N downto 0) alias a_value1: std_logic_vector(value1'length - 1 downto 0) is value1; alias a_value2: std_logic_vector(value2'length - 1 downto 0) is value2; begin if (a_value1'left >= maximum( idx_leftmost_p1_in_p2('1', a_value2), 0) and a_value1'left >= maximum( idx_leftmost_p1_in_p2('H', a_value2), 0) and a_value1'left >= maximum( idx_leftmost_p1_in_p2('Z', a_value2), 0)) and (a_value2'left >= maximum( idx_leftmost_p1_in_p2('1', a_value1), 0) and a_value2'left >= maximum( idx_leftmost_p1_in_p2('H', a_value1), 0) and a_value2'left >= maximum( idx_leftmost_p1_in_p2('Z', a_value1), 0)) then return true; else return false; end if; end; function matching_widths( value1: unsigned; value2: unsigned ) return boolean is begin return matching_widths(std_logic_vector(value1), std_logic_vector(value2)); end; function matching_widths( value1: signed; value2: signed ) return boolean is begin return matching_widths(std_logic_vector(value1), std_logic_vector(value2)); end; -- Compare values, but ignore any leading zero's at higher indexes than v_min_length-1. function matching_values( constant value1 : in std_logic_vector; constant value2 : in std_logic_vector; constant match_strictness : in t_match_strictness := MATCH_STD ) return boolean is -- Normalize vectors to (N downto 0) alias a_value1 : std_logic_vector(value1'length - 1 downto 0) is value1; alias a_value2 : std_logic_vector(value2'length - 1 downto 0) is value2; variable v_min_length : natural := minimum(a_value1'length, a_value2'length); variable v_match : boolean := true; -- as default prior to checking begin if matching_widths(a_value1, a_value2) then case match_strictness is when MATCH_STD => if not std_match( a_value1(v_min_length-1 downto 0), a_value2(v_min_length-1 downto 0) ) then v_match := false; end if; when MATCH_STD_INCL_Z => for i in v_min_length-1 downto 0 loop if not(std_match(a_value1(i), a_value2(i)) or (a_value1(i) = 'Z' and a_value2(i) = 'Z')) then v_match := false; exit; end if; end loop; when others => if a_value1(v_min_length-1 downto 0) /= a_value2(v_min_length-1 downto 0) then v_match := false; end if; end case; else v_match := false; end if; return v_match; end; function matching_values( value1: unsigned; value2: unsigned ) return boolean is begin return matching_values(std_logic_vector(value1),std_logic_vector(value2)); end; function matching_values( value1: signed; value2: signed ) return boolean is begin return matching_values(std_logic_vector(value1),std_logic_vector(value2)); end; -- Function check_value, -- returning 'true' if OK impure function check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is begin if value then log(msg_id, caller_name & " => OK, for boolean true. " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Boolean was false. " & add_msg_delimiter(msg), scope); end if; return value; end; impure function check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin if value = exp then log(msg_id, caller_name & " => OK, for boolean " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. Boolean was " & v_value_str & ". Expected " & v_exp_str & ". " & LF & msg, scope); return false; end if; end; impure function check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "std_logic"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); variable v_failed : boolean := false; begin case match_strictness is when MATCH_STD => if std_match(value, exp) then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "' (exp: '" & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; when MATCH_STD_INCL_Z => if (value = 'Z' and exp = 'Z') or std_match(value, exp) then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "' (exp: '" & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; when others => if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "'. " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; end case; if v_failed = true then alert(alert_level, caller_name & " => Failed. " & value_type & " Was '" & v_value_str & "'. Expected '" & v_exp_str & "'" & LF & msg, scope); return false; else return true; end if; end; impure function check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "std_logic"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin return check_value(value, exp, MATCH_STD, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean is -- Normalise vectors to (N downto 0) alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_exp : std_logic_vector(exp'length - 1 downto 0) is exp; constant v_value_str : string := to_string(a_value, radix, format,INCL_RADIX); constant v_exp_str : string := to_string(a_exp, radix, format,INCL_RADIX); variable v_check_ok : boolean := true; -- as default prior to checking variable v_trigger_alert : boolean := false; -- trigger alert and log message -- Match length of short string with long string function pad_short_string(short, long : string) return string is variable v_padding : string(1 to (long'length - short'length)) := (others => '0'); begin -- Include leading 'x"' return short(1 to 2) & v_padding & short(3 to short'length); end function pad_short_string; begin -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(value'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; v_check_ok := matching_values(a_value, a_exp, match_strictness); if v_check_ok then if v_value_str = v_exp_str then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & "'. " & add_msg_delimiter(msg), scope, msg_id_panel); else -- H,L or - is present in v_exp_str if match_strictness = MATCH_STD then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & "' (exp: " & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_trigger_alert := true; -- alert and log end if; end if; else v_trigger_alert := true; -- alert and log end if; -- trigger alert and log message if v_trigger_alert then if v_value_str'length > v_exp_str'length then alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & pad_short_string(v_exp_str,v_value_str) & "." & LF & msg, scope); elsif v_value_str'length < v_exp_str'length then alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & pad_short_string(v_value_str,v_exp_str) & ". Expected " & v_exp_str & "." & LF & msg, scope); else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & "." & LF & msg, scope); end if; end if; return v_check_ok; end; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean is -- Normalise vectors to (N downto 0) alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_exp : std_logic_vector(exp'length - 1 downto 0) is exp; constant v_value_str : string := to_string(a_value, radix, format); constant v_exp_str : string := to_string(a_exp, radix, format); variable v_check_ok : boolean := true; -- as default prior to checking begin return check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; impure function check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "int"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & LF & msg, scope); return false; end if; end; impure function check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "real"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & LF & msg, scope); return false; end if; end; impure function check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "time"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & LF & msg, scope); return false; end if; end; impure function check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "string"; begin if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " '" & value & "'. " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was '" & value & "'. Expected '" & exp & "'" & LF & msg, scope); return false; end if; end; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean is begin for idx in exp'range loop if not(check_value(value(idx), exp(idx), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type)) then return false; end if; end loop; return true; end; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean is begin for idx in exp'range loop if not(check_value(std_logic_vector(value(idx)), std_logic_vector(exp(idx)), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type)) then return false; end if; end loop; return true; end; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean is begin for idx in exp'range loop if not(check_value(std_logic_vector(value(idx)), std_logic_vector(exp(idx)), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type)) then return false; end if; end loop; return true; end; ---------------------------------------------------------------------- -- Overloads for check_value functions, -- to allow for no return value ---------------------------------------------------------------------- procedure check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, match_strictness, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) is variable v_check_ok : boolean; begin for idx in exp'range loop v_check_ok := check_value(value(idx), exp(idx), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end loop; end; procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) is variable v_check_ok : boolean; begin for idx in exp'range loop v_check_ok := check_value(std_logic_vector(value(idx)), std_logic_vector(exp(idx)), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end loop; end; procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) is variable v_check_ok : boolean; begin for idx in exp'range loop v_check_ok := check_value(std_logic_vector(value(idx)), std_logic_vector(exp(idx)), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end loop; end; ------------------------------------------------------------------------ -- check_value_in_range ------------------------------------------------------------------------ impure function check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "integer" ) return boolean is constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); variable v_check_ok : boolean; begin -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "unsigned" ) return boolean is constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); begin -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "signed" ) return boolean is constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); begin -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean is constant value_type : string := "time"; constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); variable v_check_ok : boolean; begin -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean is constant value_type : string := "real"; constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); variable v_check_ok : boolean; begin -- Sanity check check_value(max_value >= min_value, TB_ERROR, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, scope, ID_NEVER, msg_id_panel, caller_name); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; -------------------------------------------------------------------------------- -- check_value_in_range procedures : -- Call the corresponding function and discard the return value -------------------------------------------------------------------------------- procedure check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; -------------------------------------------------------------------------------- -- check_stable -------------------------------------------------------------------------------- procedure check_stable( signal target : boolean; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "boolean" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : std_logic_vector; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "slv" ) is constant value_string : string := 'x' & to_string(target, HEX); constant last_value_string : string := 'x' & to_string(target'last_value, HEX); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : unsigned; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "unsigned" ) is constant value_string : string := 'x' & to_string(target, HEX); constant last_value_string : string := 'x' & to_string(target'last_value, HEX); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : signed; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "signed" ) is constant value_string : string := 'x' & to_string(target, HEX); constant last_value_string : string := 'x' & to_string(target'last_value, HEX); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : std_logic; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "std_logic" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : integer; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "integer" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK." & value_string & " stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : real; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "real" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin if (last_change >= stable_req) then log(msg_id, caller_name & " => OK." & value_string & " stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; -- check_time_window is used to check if a given condition occurred between -- min_time and max_time -- Usage: wait for requested condition until max_time is reached, then call check_time_window(). -- The input 'success' is needed to distinguish between the following cases: -- - the signal reached success condition at max_time, -- - max_time was reached with no success condition procedure check_time_window( constant success : boolean; -- F.ex target'event, or target=exp constant elapsed_time : time; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant name : string; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin -- Sanity check check_value(max_time >= min_time, TB_ERROR, name & " => min_time must be less than max_time." & LF & msg, scope, ID_NEVER, msg_id_panel, name); if elapsed_time < min_time then alert(alert_level, name & " => Failed. Condition occurred too early, after " & to_string(elapsed_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope); elsif success then log(msg_id, name & " => OK. Condition occurred after " & to_string(elapsed_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else -- max_time reached with no success alert(alert_level, name & " => Failed. Timed out after " & to_string(max_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope); end if; end; ---------------------------------------------------------------------------- -- Random functions ---------------------------------------------------------------------------- -- Return a random std_logic_vector, using overload for the integer version of random() impure function random ( constant length : integer ) return std_logic_vector is variable random_vec : std_logic_vector(length-1 downto 0); begin -- Iterate through each bit and randomly set to 0 or 1 for i in 0 to length-1 loop random_vec(i downto i) := std_logic_vector(to_unsigned(random(0,1), 1)); end loop; return random_vec; end; -- Return a random std_logic, using overload for the SLV version of random() impure function random ( constant VOID : t_void ) return std_logic is variable v_random_bit : std_logic_vector(0 downto 0); begin -- randomly set bit to 0 or 1 v_random_bit := random(1); return v_random_bit(0); end; -- Return a random integer between min_value and max_value -- Use global seeds impure function random ( constant min_value : integer; constant max_value : integer ) return integer is variable v_rand_scaled : integer; variable v_seed1 : positive := shared_seed1; variable v_seed2 : positive := shared_seed2; begin random(min_value, max_value, v_seed1, v_seed2, v_rand_scaled); -- Write back seeds shared_seed1 := v_seed1; shared_seed2 := v_seed2; return v_rand_scaled; end; -- Return a random real between min_value and max_value -- Use global seeds impure function random ( constant min_value : real; constant max_value : real ) return real is variable v_rand_scaled : real; variable v_seed1 : positive := shared_seed1; variable v_seed2 : positive := shared_seed2; begin random(min_value, max_value, v_seed1, v_seed2, v_rand_scaled); -- Write back seeds shared_seed1 := v_seed1; shared_seed2 := v_seed2; return v_rand_scaled; end; -- Return a random time between min time and max time, using overload for the integer version of random() impure function random ( constant min_value : time; constant max_value : time ) return time is begin return random(min_value/1 ns, max_value/1 ns) * 1 ns; end; -- -- Procedure versions of random(), where seeds can be specified -- -- Set target to a random SLV, using overload for the integer version of random(). procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic_vector ) is variable v_length : integer := v_target'length; variable v_rand : integer; begin -- Iterate through each bit and randomly set to 0 or 1 for i in 0 to v_length-1 loop random(0,1, v_seed1, v_seed2, v_rand); v_target(i downto i) := std_logic_vector(to_unsigned(v_rand,1)); end loop; end; -- Set target to a random SL, using overload for the SLV version of random(). procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic ) is variable v_random_slv : std_logic_vector(0 downto 0); begin random(v_seed1, v_seed2, v_random_slv); v_target := v_random_slv(0); end; -- Set target to a random integer between min_value and max_value procedure random ( constant min_value : integer; constant max_value : integer; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout integer ) is variable v_rand : real; begin -- Random real-number value in range 0 to 1.0 uniform(v_seed1, v_seed2, v_rand); -- Scale to a random integer between min_value and max_value v_target := min_value + integer(trunc(v_rand*real(1+max_value-min_value))); end; -- Set target to a random integer between min_value and max_value procedure random ( constant min_value : real; constant max_value : real; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout real ) is variable v_rand : real; begin -- Random real-number value in range 0 to 1.0 uniform(v_seed1, v_seed2, v_rand); -- Scale to a random integer between min_value and max_value v_target := min_value + v_rand*(max_value-min_value); end; -- Set target to a random integer between min_value and max_value procedure random ( constant min_value : time; constant max_value : time; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout time ) is variable v_rand : real; variable v_rand_int : integer; begin -- Random real-number value in range 0 to 1.0 uniform(v_seed1, v_seed2, v_rand); -- Scale to a random integer between min_value and max_value v_rand_int := min_value/1 ns + integer(trunc(v_rand*real(1 + max_value/1 ns - min_value / 1 ns))); v_target := v_rand_int * 1 ns; end; -- Set global seeds procedure randomize ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomizing seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin log(ID_UTIL_SETUP, "Setting global seeds to " & to_string(seed1) & ", " & to_string(seed2), scope); shared_seed1 := seed1; shared_seed2 := seed2; end; -- Set global seeds procedure randomise ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomising seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin deprecate(get_procedure_name_from_instance_name(seed1'instance_name), "Use randomize()."); log(ID_UTIL_SETUP, "Setting global seeds to " & to_string(seed1) & ", " & to_string(seed2), scope); shared_seed1 := seed1; shared_seed2 := seed2; end; function convert_byte_array_to_slv_array( constant byte_array : t_byte_array; constant bytes_in_word : natural; constant byte_endianness : t_byte_endianness := FIRST_BYTE_LEFT ) return t_slv_array is variable v_slv_array : t_slv_array(0 to (byte_array'length/bytes_in_word)-1)((8*bytes_in_word)-1 downto 0); variable v_byte_idx : integer := 0; variable v_num_bytes : integer := byte_array'length/bytes_in_word; begin for idx in 0 to v_num_bytes-1 loop if byte_endianness = FIRST_BYTE_LEFT then for byte_in_word in bytes_in_word downto 1 loop v_slv_array(idx)((8*byte_in_word)-1 downto (byte_in_word-1)*8) := byte_array(v_byte_idx); v_byte_idx := v_byte_idx + 1; end loop; else -- FIRST_BYTE_RIGHT for byte_in_word in 1 to bytes_in_word loop v_slv_array(idx)((8*byte_in_word)-1 downto (byte_in_word-1)*8) := byte_array(v_byte_idx); v_byte_idx := v_byte_idx + 1; end loop; end if; end loop; return v_slv_array; end function; function convert_slv_array_to_byte_array( constant slv_array : t_slv_array; constant ascending : boolean := false; constant byte_endianness : t_byte_endianness := FIRST_BYTE_LEFT ) return t_byte_array is variable v_bytes_in_word : integer := (slv_array(0)'length/8); variable v_byte_array_length : integer := (slv_array'length * v_bytes_in_word); variable v_ascending_array : t_byte_array(0 to v_byte_array_length-1); variable v_descending_array : t_byte_array(v_byte_array_length-1 downto 0); variable v_byte_number : integer := 0; begin if byte_endianness = FIRST_BYTE_LEFT then for slv_idx in 0 to slv_array'length-1 loop for byte in v_bytes_in_word downto 1 loop v_ascending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); v_descending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); v_byte_number := v_byte_number + 1; end loop; end loop; else -- FIRST_BYTE_RIGHT for slv_idx in 0 to slv_array'length-1 loop for byte in 1 to v_bytes_in_word loop v_ascending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); v_descending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); v_byte_number := v_byte_number + 1; end loop; end loop; end if; if ascending then return v_ascending_array; else -- descending return v_descending_array; end if; end function; -- ============================================================================ -- Time consuming checks -- ============================================================================ -------------------------------------------------------------------------------- -- await_change -- A signal change is required, but may happen already after 1 delta if min_time = 0 ns -------------------------------------------------------------------------------- procedure await_change( signal target : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "boolean" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "std_logic" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "slv" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "unsigned" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin -- Note that overloading by casting target to slv without creating a new signal doesn't work wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "signed" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "integer" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel: t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "real" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; -------------------------------------------------------------------------------- -- await_value -------------------------------------------------------------------------------- -- Potential improvements -- - Adding an option that the signal must last for more than one delta cycle -- or a specified time -- - Adding an "AS_IS" option that does not allow the signal to change to other values -- before it changes to the expected value -- -- The input signal is allowed to change to other values before ending up on the expected value, -- as long as it changes to the expected value within the time window (min_time to max_time). -- Wait for target = expected or timeout after max_time. -- Then check if (and when) the value changed to the expected procedure await_value ( signal target : boolean; constant exp : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "boolean"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; variable success : boolean := false; begin success := false; if match_strictness = MATCH_EXACT then if (target /= exp) then wait until (target = exp) for max_time; end if; if (target = exp) then success := true; end if; else if ((exp = '1' or exp = 'H') and (target /= '1') and (target /= 'H')) then wait until (target = '1' or target = 'H') for max_time; elsif ((exp = '0' or exp = 'L') and (target /= '0') and (target /= 'L')) then wait until (target = '0' or target = 'L') for max_time; end if; if ((exp = '1' or exp = 'H') and (target = '1' or target = 'H')) then success := true; elsif ((exp = '0' or exp = 'L') and (target = '0' or target = 'L')) then success := true; end if; end if; check_time_window(success, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic; constant exp : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin await_value(target, exp, MATCH_EXACT, min_time, max_time, alert_level, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "slv"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(target'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; if matching_widths(target, exp) then if match_strictness = MATCH_STD then if not matching_values(target, exp) then wait until matching_values(target, exp) for max_time; end if; check_time_window(matching_values(target, exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); else if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end if; else alert(alert_level, name & " => Failed. Widths did not match. " & add_msg_delimiter(msg), scope); end if; end; procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "slv"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin await_value(target, exp, MATCH_STD, min_time, max_time, alert_level, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : unsigned; constant exp : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "unsigned"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(target'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; if matching_widths(target, exp) then if not matching_values(target, exp) then wait until matching_values(target, exp) for max_time; end if; check_time_window(matching_values(target, exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); else alert(alert_level, name & " => Failed. Widths did not match. " & add_msg_delimiter(msg), scope); end if; end; procedure await_value ( signal target : signed; constant exp : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "signed"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(target'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; if matching_widths(target, exp) then if not matching_values(target, exp) then wait until matching_values(target, exp) for max_time; end if; check_time_window(matching_values(target, exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); else alert(alert_level, name & " => Failed. Widths did not match. " & add_msg_delimiter(msg), scope); end if; end; procedure await_value ( signal target : integer; constant exp : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "integer"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : real; constant exp : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "real"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; -- Helper procedure: -- Convert time from 'FROM_LAST_EVENT' to 'FROM_NOW' procedure await_stable_calc_time ( constant target_last_event : time; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts variable stable_req_from_now : inout time; -- Calculated stable requirement from now variable timeout_from_await_stable_entry : inout time; -- Calculated timeout from procedure entry constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "await_stable_calc_time()"; variable stable_req_met : inout boolean -- When true, the stable requirement is satisfied ) is begin stable_req_met := false; -- Convert stable_req so that it points to "time_from_now" if stable_req_from = FROM_NOW then stable_req_from_now := stable_req; elsif stable_req_from = FROM_LAST_EVENT then -- Signal has already been stable for target'last_event, -- so we can subtract this in the FROM_NOW version. stable_req_from_now := stable_req - target_last_event; else alert(tb_error, caller_name & " => Unknown stable_req_from. " & add_msg_delimiter(msg), scope); end if; -- Convert timeout so that it points to "time_from_now" if timeout_from = FROM_NOW then timeout_from_await_stable_entry := timeout; elsif timeout_from = FROM_LAST_EVENT then timeout_from_await_stable_entry := timeout - target_last_event; else alert(tb_error, caller_name & " => Unknown timeout_from. " & add_msg_delimiter(msg), scope); end if; -- Check if requirement is already OK if (stable_req_from_now <= 0 ns) then log(msg_id, caller_name & " => OK. Condition occurred immediately. " & add_msg_delimiter(msg), scope, msg_id_panel); stable_req_met := true; end if; -- Check if it is impossible to achieve stable_req before timeout if (stable_req_from_now > timeout_from_await_stable_entry) then alert(alert_level, caller_name & " => Failed immediately: Stable for stable_req = " & to_string(stable_req_from_now, ns) & " is not possible before timeout = " & to_string(timeout_from_await_stable_entry, ns) & ". " & add_msg_delimiter(msg), scope); stable_req_met := true; end if; end; -- Helper procedure: procedure await_stable_checks ( constant start_time : time; -- Time at await_stable() procedure entry constant stable_req : time; -- Minimum stable requirement variable stable_req_from_now : inout time; -- Minimum stable requirement from now variable timeout_from_await_stable_entry : inout time; -- Timeout value converted to FROM_NOW constant time_since_last_event : time; -- Time since previous event constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "await_stable_checks()"; variable stable_req_met : inout boolean -- When true, the stable requirement is satisfied ) is variable v_time_left : time; -- Remaining time until timeout variable v_elapsed_time : time := 0 ns; -- Time since procedure entry begin stable_req_met := false; v_elapsed_time := now - start_time; v_time_left := timeout_from_await_stable_entry - v_elapsed_time; -- Check if target has been stable for stable_req if (time_since_last_event >= stable_req_from_now) then log(msg_id, caller_name & " => OK. Condition occurred after " & to_string(v_elapsed_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); stable_req_met := true; end if; -- -- Prepare for the next iteration in the loop in await_stable() procedure: -- if not stable_req_met then -- Now that an event has occurred, the stable requirement is stable_req from now (regardless of stable_req_from) stable_req_from_now := stable_req; -- Check if it is impossible to achieve stable_req before timeout if (stable_req_from_now > v_time_left) then alert(alert_level, caller_name & " => Failed. After " & to_string(v_elapsed_time, C_LOG_TIME_BASE) & ", stable for stable_req = " & to_string(stable_req_from_now, ns) & " is not possible before timeout = " & to_string(timeout_from_await_stable_entry, ns) & "(time since last event = " & to_string(time_since_last_event, ns) & ". " & add_msg_delimiter(msg), scope); stable_req_met := true; end if; end if; end; -- Wait until the target signal has been stable for at least 'stable_req' -- Report an error if this does not occurr within the time specified by 'timeout'. -- Note : 'Stable' refers to that the signal has not had an event (i.e. not changed value). -- Description of arguments: -- stable_req_from = FROM_NOW : Target must be stable 'stable_req' from now -- stable_req_from = FROM_LAST_EVENT : Target must be stable 'stable_req' from the last event of target. -- timeout_from = FROM_NOW : The timeout argument is given in time from now -- timeout_from = FROM_LAST_EVENT : The timeout argument is given in time the last event of target. procedure await_stable ( signal target : boolean; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "boolean"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; -- Note that the waiting for target'event can't be called from overloaded procedures where 'target' is a different type. -- Instead, the common code is put in helper procedures procedure await_stable ( signal target : std_logic; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : std_logic_vector; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic_vector"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : unsigned; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "unsigned"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : signed; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "signed"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : integer; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "integer"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occur while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : real; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "real"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occur while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; ----------------------------------------------------------------------------------- -- gen_pulse(sl) -- Generate a pulse on a std_logic for a certain amount of time -- -- If blocking_mode = BLOCKING : Procedure waits until the pulse is done before returning to the caller. -- If blocking_mode = NON_BLOCKING : Procedure starts the pulse, schedules the end of the pulse, then returns to the caller immediately. -- procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic := target; begin check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (blocking_mode = BLOCKING) then wait for pulse_duration; target <= init_value; else target <= transport init_value after pulse_duration; end if; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(pulse_duration) & ". " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = '1' by default procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, '1', pulse_duration, blocking_mode, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode and pulse_value arguments: -- Make blocking_mode = BLOCKING and pulse_value = '1' by default procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, '1', pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode argument: -- Make blocking_mode = BLOCKING by default procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- gen_pulse(sl) -- Generate a pulse on a std_logic for a certain number of clock cycles procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic := target; begin wait until falling_edge(clock_signal); check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (num_periods > 0) then for i in 1 to num_periods loop wait until falling_edge(clock_signal); end loop; end if; target <= init_value; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(num_periods) & " clk cycles. " & add_msg_delimiter(msg), scope); end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = '1' by default procedure gen_pulse( signal target : inout std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, '1', clock_signal, num_periods, msg, scope, msg_id, msg_id_panel); -- pulse_value = '1' by default end; procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : boolean := target; begin check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (blocking_mode = BLOCKING) then wait for pulse_duration; target <= init_value; else target <= transport init_value after pulse_duration; end if; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(pulse_duration) & ". " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = true by default procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, true, pulse_duration, blocking_mode, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode and pulse_value arguments: -- Make blocking_mode = BLOCKING and pulse_value = true by default procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, true, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode argument: -- Make blocking_mode = BLOCKING by default procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Generate a pulse on a boolean for a certain number of clock cycles procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : boolean := target; begin wait until falling_edge(clock_signal); check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (num_periods > 0) then for i in 1 to num_periods loop wait until falling_edge(clock_signal); end loop; end if; target <= init_value; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(num_periods) & " clk cycles. " & add_msg_delimiter(msg), scope); end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = true by default procedure gen_pulse( signal target : inout boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, true, clock_signal, num_periods, msg, scope, msg_id, msg_id_panel); -- pulse_value = '1' by default end; -- gen_pulse(slv) -- Generate a pulse on a std_logic_vector for a certain amount of time -- -- If blocking_mode = BLOCKING : Procedure waits until the pulse is done before returning to the caller. -- If blocking_mode = NON_BLOCKING : Procedure starts the pulse, schedules the end of the pulse, then returns to the caller immediately. -- procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic_vector(target'range) := target; variable v_target : std_logic_vector(target'length-1 downto 0) := target; variable v_pulse : std_logic_vector(pulse_value'length-1 downto 0) := pulse_value; begin check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); for i in 0 to (v_target'length-1) loop if pulse_value(i) /= '-' then v_target(i) := v_pulse(i); -- Generate pulse end if; end loop; target <= v_target; if (blocking_mode = BLOCKING) then wait for pulse_duration; target <= init_value; else target <= transport init_value after pulse_duration; end if; log(msg_id, "Pulsed to " & to_string(pulse_value, HEX, AS_IS, INCL_RADIX) & " for " & to_string(pulse_duration) & ". " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = (others => '1') by default procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant pulse_value : std_logic_vector(target'range) := (others => '1'); begin gen_pulse(target, pulse_value, pulse_duration, blocking_mode, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode and pulse_value arguments: -- Make blocking_mode = BLOCKING and pulse_value = (others => '1') by default procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant pulse_value : std_logic_vector(target'range) := (others => '1'); begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode argument: -- Make blocking_mode = BLOCKING by default procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- gen_pulse(slv) -- Generate a pulse on a std_logic_vector for a certain number of clock cycles procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic_vector(target'range) := target; constant v_pulse : std_logic_vector(pulse_value'length-1 downto 0) := pulse_value; variable v_target : std_logic_vector(target'length-1 downto 0) := target; begin wait until falling_edge(clock_signal); check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); for i in 0 to (v_target'length-1) loop if v_pulse(i) /= '-' then v_target(i) := v_pulse(i); -- Generate pulse end if; end loop; target <= v_target; if (num_periods > 0) then for i in 1 to num_periods loop wait until falling_edge(clock_signal); end loop; end if; target <= init_value; log(msg_id, "Pulsed to " & to_string(pulse_value, HEX, AS_IS, INCL_RADIX) & " for " & to_string(num_periods) & " clk cycles. " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = (others => '1') by default procedure gen_pulse( signal target : inout std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant pulse_value : std_logic_vector(target'range) := (others => '1'); begin gen_pulse(target, pulse_value, clock_signal, num_periods, msg, scope, msg_id, msg_id_panel); -- pulse_value = (others => '1') by default end; -------------------------------------------- -- Clock generators : -- Include this as a concurrent procedure from your test bench. -- ( Including this procedure call as a concurrent statement directly in your architecture -- is in fact identical to a process, where the procedure parameters is the sensitivity list ) -- Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; begin loop clock_signal <= '1'; wait for C_FIRST_HALF_CLK_PERIOD; clock_signal <= '0'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); end loop; end; -------------------------------------------- -- Clock generator overload: -- Include this as a concurrent procedure from your test bench. -- ( Including this procedure call as a concurrent statement directly in your architecture -- is in fact identical to a process, where the procedure parameters is the sensitivity list ) -- Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_time : in time ) is begin check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop clock_signal <= '1'; wait for clock_high_time; clock_signal <= '0'; wait for (clock_period - clock_high_time); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Count variable (clock_count) is added as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; begin clock_count <= 0; loop clock_signal <= '0'; -- Should start on 0 wait for C_FIRST_HALF_CLK_PERIOD; -- Update clock_count when clock_signal is set to '1' if clock_count < natural'right then clock_count <= clock_count + 1; else -- Wrap when reached max value of natural clock_count <= 0; end if; clock_signal <= '1'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Counter clock_count is given as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_time : in time ) is begin clock_count <= 0; check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop clock_signal <= '0'; wait for (clock_period - clock_high_time); if clock_count < natural'right then clock_count <= clock_count + 1; else -- Wrap when reached max value of natural clock_count <= 0; end if; clock_signal <= '1'; wait for clock_high_time; end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- - Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; begin loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for C_FIRST_HALF_CLK_PERIOD; clock_signal <= '0'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- inferred to be low time. -- - Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ) is begin check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for clock_high_time; clock_signal <= '0'; wait for (clock_period - clock_high_time); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- - Count variable (clock_count) is added as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; variable v_clock_count : natural := 0; begin clock_count <= v_clock_count; loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for C_FIRST_HALF_CLK_PERIOD; clock_signal <= '0'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); if v_clock_count < natural'right then v_clock_count := v_clock_count + 1; else -- Wrap when reached max value of natural v_clock_count := 0; end if; clock_count <= v_clock_count; end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- inferred to be low time. -- - Count variable (clock_count) is added as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ) is variable v_clock_count : natural := 0; begin clock_count <= v_clock_count; check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for clock_high_time; clock_signal <= '0'; wait for (clock_period - clock_high_time); if v_clock_count < natural'right then v_clock_count := v_clock_count + 1; else -- Wrap when reached max value of natural v_clock_count := 0; end if; clock_count <= v_clock_count; end loop; end; -------------------------------------------- -- Adjustable clock generators : -- Include this as a concurrent procedure from your test bench. -- ( Including this procedure call as a concurrent statement directly in your architecture -- is in fact identical to a process, where the procedure parameters is the sensitivity list ) -- Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. variable v_first_half_clk_period : time := clock_period * clock_high_percentage/100; begin -- alert if init value is not set check_value(clock_high_percentage /= 0, TB_ERROR, "clock_generator: parameter clock_high_percentage must be set!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock: " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock: " & clock_name); -- alert if unvalid value is set check_value_in_range(clock_high_percentage, 1, 99, TB_ERROR, "adjustable_clock_generator: parameter clock_high_percentage must be in range 1 to 99!", C_TB_SCOPE_DEFAULT, ID_NEVER); end if; v_first_half_clk_period := clock_period * clock_high_percentage/100; clock_signal <= '1'; wait for v_first_half_clk_period; clock_signal <= '0'; wait for (clock_period - v_first_half_clk_period); end loop; end procedure; procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; signal clock_high_percentage : in natural range 0 to 100 ) is constant v_clock_name : string := ""; begin adjustable_clock_generator(clock_signal, clock_ena, clock_period, v_clock_name, clock_high_percentage); end procedure; -- Overloaded version with clock enable, clock name -- and clock count procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. variable v_first_half_clk_period : time := clock_period * clock_high_percentage/100; variable v_clock_count : natural := 0; begin -- alert if init value is not set check_value(clock_high_percentage /= 0, TB_ERROR, "clock_generator: parameter clock_high_percentage must be set!", C_TB_SCOPE_DEFAULT, ID_NEVER); clock_count <= v_clock_count; loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock: " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock: " & clock_name); -- alert if unvalid value is set check_value_in_range(clock_high_percentage, 1, 99, TB_ERROR, "adjustable_clock_generator: parameter clock_high_percentage must be in range 1 to 99!", C_TB_SCOPE_DEFAULT, ID_NEVER); end if; v_first_half_clk_period := clock_period * clock_high_percentage/100; clock_signal <= '1'; wait for v_first_half_clk_period; clock_signal <= '0'; wait for (clock_period - v_first_half_clk_period); if v_clock_count < natural'right then v_clock_count := v_clock_count + 1; else -- Wrap when reached max value of natural v_clock_count := 0; end if; clock_count <= v_clock_count; end loop; end procedure; -- ============================================================================ -- Synchronisation methods -- ============================================================================ procedure block_flag( constant flag_name : in string; constant msg : in string ) is begin -- Block the flag if it was used before for i in shared_flag_array'range loop if shared_flag_array(i).flag_name(flag_name'range) = flag_name or shared_flag_array(i).flag_name = (shared_flag_array(i).flag_name'range => ' ') then shared_flag_array(i).flag_name(flag_name'range) := flag_name; shared_flag_array(i).is_active := true; exit; end if; end loop; log(ID_BLOCKING, "Blocking " & flag_name & ". " & add_msg_delimiter(msg), C_SCOPE); end procedure; procedure unblock_flag( constant flag_name : in string; constant msg : in string; signal trigger : inout std_logic ) is variable found : boolean := false; begin -- check if the flag has already been added. If not add it. for i in shared_flag_array'range loop if shared_flag_array(i).flag_name(flag_name'range) = flag_name or shared_flag_array(i).flag_name = (shared_flag_array(i).flag_name'range => ' ') then shared_flag_array(i).flag_name(flag_name'range) := flag_name; shared_flag_array(i).is_active := false; found := true; log(ID_BLOCKING, "Unblocking " & flag_name & ". " & add_msg_delimiter(msg), C_SCOPE); gen_pulse(trigger, 0 ns, "pulsing global_trigger. " & add_msg_delimiter(msg), C_TB_SCOPE_DEFAULT, ID_NEVER); exit; end if; end loop; if found = false then log(ID_BLOCKING, "The flag " & flag_name & " was not found and the maximum of flags were used. Configure in adaptations_pkg. " & add_msg_delimiter(msg), C_SCOPE); end if; end procedure; procedure await_unblock_flag( constant flag_name : in string; constant timeout : in time; constant msg : in string; constant flag_returning : in t_flag_returning := KEEP_UNBLOCKED; constant timeout_severity : in t_alert_level := ERROR ) is variable v_flag_is_active : boolean := true; constant start_time : time := now; begin -- check if flag was not unblocked before for i in shared_flag_array'range loop -- check if the flag was already in the global_flag array. If it was not -> add it to the first free space if shared_flag_array(i).flag_name(flag_name'range) = flag_name or shared_flag_array(i).flag_name = (shared_flag_array(i).flag_name'range => ' ') then shared_flag_array(i).flag_name(flag_name'range) := flag_name; v_flag_is_active := shared_flag_array(i).is_active; if v_flag_is_active = false then log(ID_BLOCKING, flag_name & " was not blocked. " & add_msg_delimiter(msg), C_SCOPE); if flag_returning = RETURN_TO_BLOCK then -- wait for all sequencer that are waiting for that flag before reseting it wait for 0 ns; shared_flag_array(i).is_active := true; end if; end if; exit; end if; end loop; if v_flag_is_active = true then -- log before while loop. Otherwise the message will be printed everytime the global_trigger was triggered. log(ID_BLOCKING, "Waiting for " & flag_name & " to be unblocked. " & add_msg_delimiter(msg), C_SCOPE); end if; while v_flag_is_active = true loop if timeout /= 0 ns then wait until rising_edge(global_trigger) for ((start_time + timeout) - now); check_value(global_trigger = '1', timeout_severity, flag_name & " timed out" & add_msg_delimiter(msg), C_SCOPE, ID_NEVER); if global_trigger /= '1' then exit; end if; else wait until rising_edge(global_trigger); end if; for i in shared_flag_array'range loop if shared_flag_array(i).flag_name(flag_name'range) = flag_name then v_flag_is_active := shared_flag_array(i).is_active; if v_flag_is_active = false then log(ID_BLOCKING, flag_name & " was unblocked. " & add_msg_delimiter(msg), C_SCOPE); if flag_returning = RETURN_TO_BLOCK then -- wait for all sequencer that are waiting for that flag before reseting it wait for 0 ns; shared_flag_array(i).is_active := true; end if; end if; end if; end loop; end loop; end procedure; procedure await_barrier( signal barrier_signal : inout std_logic; constant timeout : in time; constant msg : in string; constant timeout_severity : in t_alert_level := ERROR )is begin -- set barrier signal to 0 barrier_signal <= '0'; log(ID_BLOCKING, "Waiting for barrier. " & add_msg_delimiter(msg), C_SCOPE); -- wait until all sequencer using that barrier_signal wait for it if timeout = 0 ns then wait until barrier_signal = '0'; else wait until barrier_signal = '0' for timeout; end if; if barrier_signal /= '0' then -- timeout alert(timeout_severity, "Timeout while waiting for barrier signal. " & add_msg_delimiter(msg), C_SCOPE); else log(ID_BLOCKING, "Barrier received. " & add_msg_delimiter(msg), C_SCOPE); end if; barrier_signal <= '1'; end procedure; procedure await_semaphore_in_delta_cycles( variable semaphore : inout t_protected_semaphore ) is variable v_cnt_lock_tries : natural := 0; begin while semaphore.get_semaphore = false and v_cnt_lock_tries < C_NUM_SEMAPHORE_LOCK_TRIES loop wait for 0 ns; v_cnt_lock_tries := v_cnt_lock_tries + 1; end loop; if v_cnt_lock_tries = C_NUM_SEMAPHORE_LOCK_TRIES then tb_error("Failed to acquire semaphore when sending command to VVC", C_SCOPE); end if; end procedure; procedure release_semaphore( variable semaphore : inout t_protected_semaphore ) is begin semaphore.release_semaphore; end procedure; end package body methods_pkg;
mit
9fe85caf93648061997183ba2f1ea9ae
0.570452
3.76389
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/butterfly_dsp48e_simd.vhd
2
22,539
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l0tkuyclGcAy00FNOTTR9ZER3+E45hh9lIoAJtEKqbZ8qm65u3RwsHDRH8CIgj9/LUGS9CIbSF9i Ykf2wKPo4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block g55Dqlegs8+5ce+vF5HvJysayUuXZm6FTmPOzJJFeWCnwpeNDsI5ToOpFPlEIxQl27YJU9pNGzrs UbiMnXFWue/bF2QDVdj8eLBWryheL2E820kieA1pWt8SIpb2w1Gu3hoeXEtGp+JimvL98xUgxvCa EAreT+xY2pw0TmS05cA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5QwvmvEoiqtVhQa+cuFJUZswBPYAhTcOLoe3G3zWOzw3sEQ9HrPnLk2KwHunV0OoHhUCj7UHF2NA pKTOe35Xekpq84EkKU/wITVvJQ6IDiQcNnXsS4xEn1HrYN/kklmowu/uNoEP4DJh78BmZRxhQ5JI 80a8Adq5bnOdQEG2DzonhX4bQIfXkdNlNEclTIY1V0kk+bF+WMTIQOIHeBvMh3P9a/pcCHsY+p1v Pyk9S2S5cndinRzGQhKm2CW65ZNvgDLu+WxjLOc/FZsG8YcKHe1S40BssHeCLvWqhisUSQPs6zQv LzGqcBwfqNBxayH6gQSGON1J0UPd/eIx39UeGw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EyQYTrUEXwK6VoWPmvHB38KhwGber0eccGGhTl1Davy+4r4M2HYHKjVdjxcovmnSpRBGLLr7QPBp GRfeQ3UOUSNDiH+UXn8QO2lt1CFcNdxL/adPzunVePysVF42zWj4JiuLJzHn9ae0Dr7z2XH5p9Tk ZbOfJPnSjRcuKShPgpc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rVlKayN6Hcutp7V7Dg43i1JcZL+8mI6HVyDfPeezg7v1i3wHE0/9E+ZmJb3t1EHUXMw3cPkpUxP7 aGytltnbQwSx2dKMZ3jQDHG2uCdOZWOzFNedHWkmnH1nRVqClW5kBi52ouR7dgTwCOWXtHSBsBeA S5aOLWsuUAHHtoYeFDJt/eRyEnMjNyPC2mt9I3jVS4RuFmGhmpRpu2FxeZgNWd3dAupyt2A7KQXk E351wR2Ql7ofbG4p1m9aPaPFnjZE8PZelR4XrZEdptQyWn8WNDZnLsECWSPHB/ywqMI4/oj7kScs 9o4yVmDxv91p6uRxCci2KnaPkQchpc2XiHpvoQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14944) `protect data_block eSBW5umlNq4JwJXts1nuH2atMXPMcBbKcYFUoqKbBqivWiJB4OE7oBAvTdlwjZcDgJID4gKeTZHH HiOxO9FI2RWtzlw005OdP4AlJnLivhpKAlWQEykYR5bTauc2NYh7KbNz/aai2doct+Q3NvlWap4N Pf1ZaemPA5eI8a+mDqVkgdVImReA+yE777BYHNowoAGVc1RoNzc60gJrjRjbGrPE3+l529uIICW+ 43i8Rsorzjd1uXqJSQ3d+JIUH+Q2MMcWGHJBt7Q7JMBiOPT+iX/bdvFt2cTL3f0bguUIF02Nv4LL oNkt5zNKAS16asVnc9Bdy1PZ8g1JA96VNqIgkKW7/c35+e+2KBlPnNhPJKZ2VbL+pD2zAMFqkAlE JXjKTyxQpf1IcY4KZrfGvIa3vt1jpHjWy0l2h6qcOXv0roa8oyFGtRoJpvzc6PcuKpdxSAPOv3Y/ g6jp2O3HMBNHGrJNubSveFlRgj9EcIbDHBWP0neYfTpVxsV7x6vYzKfQrEQ7yEJ/IQw9BKDaRqI9 GtVgrNkqi0/EIOEfxMdRZAW5knvRSTbKfoAvBQ7bz6xzZ6KseSf62KzCC4kH7WRzrA+Ip71p/gOM kSUDeTzdxopHJE9tf+oSJfFj/j8AX5+1KOQw5WPIIpNjg5VHtp4QyZfKtn+DXUkN9a1EbBVeuABf 87anamPviu1h4UDusDNen0N9helEps2QQARtVexwQuGk4kqMHMCJyolCmGRmEknTX9Berj4vWcnb Ej4htSkbvHCgh4COtrTFVCmJTCdRsVXHUZWkjd6QLyuaxdL8cxQET5RC7E+oOoILcUwCVbeF8FGx +tQ8LIA8km0vL5bHf+NJIoQQ8g2JRKx36+6BO5DlTB9bgcqjPs4tX1ItW9ywnX5fBhUhAa4fVGKn UdUkEBBeYPd1h1o9NXhnhrGo+mIDYcWauJi8ynVYAQ7NKYlN2pEwMmL9tGNe5XTtU5RJ8vic/MSV cYC5vFs63uu5cxAoWFEcIuNNCi15q1s6jKKCoyAzxSUtuYrQPkaN1QTgpJQXiRMmiJ1iSlifxRyM XO46x3oEonwKdOuJwUgXCBaXvel1j46kWaeXAAmeaIJw1wDjEP4WCpTAANuoOhnqahLj2WIii/Jq JXMb/Zj4dRhIIK4fIknAzzKaDo+HMHBm8yJ/IhTw/MOgA/2IZ99yIrPfe1BEhmb0J9U50IU+fTnf n85eLOUZgFFPv4dswWXtiRQOSluuAhGInE6LIsbg33DOFnMLMp4ZDKfbB1MkEXV5hKO6PWGci+nl M2LNckjWAJHtZnx2tAhvn8g4Qpgpv0DdLkEfe6Nel1vyDbrCtxA5pdQUlz/a0pDrhOi3lw+f7c9Q T2WHTmXrN0p3+Snp8FOXRB6HXzr2Fq3T09ypcRqhDwq4kuxcu4rHUqSxUldcUB+V4tvGbhEc5t1q xZDlvNwuV5VB2iEP0OdZX3Zn6ZOHBnOfOY9Gq1ZxbjTRGkExnORkJaR368P0y3MTCjnsUTKY0F+h Dse2srcLakyJUN30uiKxGlNg60plxxZXxCimCESkkIfEoH4JTe8uCqtzJ+KHG6BjGLw2KiQsLfDt GBxs+50yA3BAH1pxWb54nwhP2pkmZ9LXBhzWW1tnVJFSzJWVx1zGu4OKBW9DJPWLlIt/wOJTBP+V 6bzoR9sIKmhb8VjnMqKuGz1RPMCXLPFDADXse54vBncHoTuZBSHBpwbtPYcfIluyb2EKN8wBZOvb PmzoUYOAwo5ng0dYJqpOygfb/N4e+JMuRE+Baj1nKxYca8fyA2uNbZfA+gJi84fgfovTvN2iTDvK UUROU9+wjJmAktozwGjJNsJbKVAv8txxJhrCGXq8HCC6+Zgtrla+RXHh2pRf1iwS4SNYQAlUHKCb YcJVprVex4Clvtk6Dtx0AtR5boukOSoRaAYEEAcF/HCmxMTl9ILAzoEwZccJByAyCAjoyBHvoHaD DjOyPj2Hp+jJLgRA+/sZNH9VNbZv/gNxJzKYfxpbFrmo5mWSKY/2t5RFzSaNglAW9cUWCdSyVZWA LftXzP9sJMleTrv8+ft39LKwUEFYx3XGIKNBU6o2h0+OB/T2JE4gxNr59KhnSyph/3rAw73NO23c XgtaqdrRxKqAtD889QX15cNJCfe8/FikDdSOl6yZGQ376c8+dmZezF+XTd+zUP3d+yfxmAYoGv8r O5DgGzcBfzGmkclrFzW7M/qfYRgQ5M5e/wVb0YllnJ7WYzbcYNaGB8MRkzv1SkfQkzTmvm8aa0X3 VHDkuf+Sa3nNubXYIO1RTYEget1W/YopoL/r3an7C8nNTdHXASKlqrr8sVcSo6rER78+2iX91apT OWqqsXrMXj3TDTkoR4NY7o+7WS3KH6bwSUhZm+/gAnbjjUfGJnhsnQOYf9ik8HSg+2KQqaU7emtg p0LnsYiRO8KzXpZcE66nNW02ppwBWb3kaI4PuJC2ZU85eOvoUlzZxQjHv3Ruqi6kSJ6PS3XkUHWw XcA6/YrAMbcapOiYkEPw/661NYemnKzvuudH8ob5txi1O1yTh6OklpQ1yY1KIIsg8y2VMPEeDR73 Z9DtIxC3uyLfo4WvxSGR3y6yN2eD8ScJV55U0BEBwUZwNlfnop+e5mi2OneVD+guwkrtm6yBcZln SCWGUMCxIzm+AdbIth2TQYn1vycA7XOzqv9FH4Af+kqcDC3IWXVcjroLy0XTYJi1jluQ8drGsBFl xSYoyCk9dHwHDBdnqDqzQ3Le55ZE5oRSEJamsNPDPehDf8IQxTvS7149hHSk2bqdn6KOlqd4c0Tj NBImGNN68srjM4Y5FYZcCu+nawikLJuIvOUEyjJ13iy55abXLhSEJdQi3H0GMrUrAweEgmu31W+v /h8W6pt3fuzqn7195VwATZmi5P24Gt0zZVep/8PFnjduM9heeqFZrUHGLj3s7xgi3H9No4GRkgw5 nTQAj2D5Iuuc7gGbji4ST/ll0agYpVw1oXJNQotlJhTYgIhxJwo5WMvUVCKnmcTSJHTWMrI58elb XkeOOoNYGG571K039n+qDUf5tZREFFiS7z/E/sOjc64Jl0gWI8wKKHGT50sTIQr6nL9hTDO67k6U D+UjpIiHMDR9g5PQZ6FnIwhY8XS2zYj+DqCsoeFL1Wrgcn+4+OHL9lBM+xhpThavQzt1UO2VCkrz 5yTFPbgoONlbuWgf7YmBumir6vgSBI1djc+9HEmzpnnGh+Gv9L3X4n033gn6pvLQOOKFuSokvMSe mzzgE6xNktKiUtNUBmIeiofOHO6ubHCYyXwnigJp0OsQQ0HITTsqrvuTx0SGNXBj+OA9RO6tm7yN gYXWuggsdJbBnreFTmiZe841hSupDlTvFekSdp5JE+mxH4bFnjIsIWLPHTsiutjargxpSold+g1H W/O9BBMDlDBkUoerfkbrlbTND1/fSDuDGhlzyjuDMXg6BrNTNCaj56SAdwJMoSzgwt8eNwUAA+bC XvBLtFc4dFq8+0xy3TaMV+/KXWQGgOqtaTE5A5Dn98l2eT0LMO0mVBFBSM3vf5uOCIghhKWEiZG4 Wx1SinMkHYSnR7/OBh8aV3ZDeMehy6z9s9vnMkv+DX+EtHxX/U4aFYkmQM+VVKLX0OeZaeEnKkoU cnH8EjiP+Y58pnQRKXf7RKUcycqh4FewgfDGi8HLlYAEQBxuejkiyVxeDdZUzXFhyu0DJcComP/j MIFSX3AyVZW+E+SBQmpv4Sf/8F93ZFXyAxTFJcMAxLVFI2/UgzLa9bGnPbhxt1XvCLyiIruylZfG Uzt1EP/TWVozcLyIkkvOmUALKlVIa4asG4J4fC/JzEGA73J+lbkv5rKNt0RwxxppYT2iOTJVxOfJ B4BhcU2x2beSfhP6s6pgVgsIz7aP7Sn3Vqakajj3z61zb5cAM0D+pgnE+o151L2AMQKPvrImhe/r W122QqOiUDHtPV9nrcfHFBA/cnejLUyXi+hAqiYQHi6kA43UZI+i/S2DRDp2fkFOtnpsjsUbq0EP tV5P1f/jSqGxH/aoxAVn1tRNf0jKP4WnjJrZh6OI2xB7jg7C80IAlJ+iAxvgNEOZWhfvSCkxNW4/ 8bCi056zJKZEF+8LwPKtFDc/YpvLTSLZPNTStnZFTyJSGckqSFr2elan+fMWLc9T9ZbHmd3KP+1r ieOk2dsENuPp2pWeOefuQXnXIcfiJnQxAiWhbHN4BCK/3/JPLgADkdWqRJrgLP98ohDp5MEA4li8 XN8ibwVR9NVVJos5t6LdNXm32BEyme904VNWdWkKmaytuOKRevfOvts+J1pSMY4nXi/gy24hodZg ZknPR0qASKb3lNWoPGBB0AlG8MRAmC+xCTANVsBA0mcK4uOjQFohzWz6qKYDx5dng8FDhDft2JUU OxPW9FvLn2rXyQMcXsjHephWe0NdiNljmIwP4sqQEYloRN8V8rGkfHkpvLcnoaaxlWh3Fj4SxYp5 oeKp/qGdYP7s7eeLU7Mu9v7+wPtex5OY0GZO8b8t5eXm8kzx2xBI43UPQ/TGNUli8ILyx+KQ6DP4 LPtHsZpYdjAs0mElL0hDqbXdnpfQ83G8lq/nQcU7js1kP9N/cp/vUTeWCLCm5u3406ZWjTC1bvBS vUB2O9+UoH/E96Nx40w5ogPUyoXIexravuDoXApdVSJkkTX3JwU/gmfHmZsHJEhryVS8QyKaZuiU bJr/9yjZZLoKtjBy2QJGCHqNL8TScNXM7TdOXg9YY8NK6KRwyfMu7dziJQnVAAIq5dS4E8UH/P3r DyDmRO3eiioRWY6A+cgRJY5HLiUf94Ui39VkycrrIwNgBeSiNHbDBL/Jpy0w2QqvYteQeIgojtnI CeAqg0/uBEvsVspcf1Dh2GjZbNmuMSlvV0DEnOceDDXSGpMDChKWETcF9dOAEy4WgLnVJy3r889g zUCEuQ72ZGUnSBgsLfnG4G+ccaruzoiSSKhemctC60zIVALEaXKNXwm90WKDPTf/59QdosaVh+9H /aLs+mFlyuswnTo6EKCYBaDd40lNEcNuDnUVnmtKYP3rJiMwSUGAoJpeXLbaif0NjvM52WoPVMsc kyETDf8Op9iTS0xJArJD/KqEd+GHtjAEDbCS/TxdU8D81dnMtyb/0To1wTRgCBnklxpLcMnRmaok gQ+hAGdpk/1IO8l1UKjJtW+pEi3rhgmZxN8UX81rSqc5LnQPlRfHfl9gnC41cxdDzBpcLYvqWy5+ QwgXfSiTJXAhK2zrd9E37jc4jJBoQyhm+Ok2p3IhtlM4Dio4EPt8n2M49QZHpReu32NQgtjeVS55 eXQ0k+Ub78t2rNsGub1FtHEgPjnD3xI19N7u6YKcxOprlHF2yKGApLYPhUyK+cw3UH5xUpH02fV0 0S44nm9so9uSzgLWhSL011jh04saPLy+ZMUs/zNx1UN0EXtT1+EXEN6ztUbiOdDjvtaSmitPXJN+ Sm89rSu3ivEzI979evGLT7zeD16qKgg7GdM+uYXnN/MdxfizjnGZdtAF7RzW86q4wXLQHIq61KBL 2k/nVB2WC0oUCZ+2LvIftkKH+Vjtv3WBSCIZCyIdLTCT2HdCynstkKvjRBzO/s6kmk7Y1a+w6Y2z jo9QB0jCotqDHDjq2B5Prz+YQp/uSuwz1rvy/gF4t/oyAotgSDnq/n+/UBzBRmDqq+I7pPXhHaXc k8XNQmrpaIg9h0zHCMzDxAE71y2lYP6sTqxAFHX3xSNuTNzKwBmSRVyYq1cdrzwfRBh4fipqkfGJ 1e8PfyP+L3NgOSEUO1cwZNpjA7DGl8y/ZzXxGVokgmyOLJug48PkVab4x8OSlqnwwpgHljugBKwS aqS+p2Ku0sFIIkol/cbiHc6cq4elzdfrUzQkjyucbCrThvArsgqmvmaCLWA7i8mGq50u3Wf41x4U jYhaqWg6L+UJSTn5rtQKI197C6Aw6gkJnzb0yslFtW+SrDMdEfgs0dJkVeR+KrGYy4xcOAgrMnAe Ln2MRmW+SX5RWc5MSCs3WBdGMaz+LvEPGzQ6y7ZTXDOYQ2UEOeAqxN4psAfwYRhSWXqq2AS55y89 C0dsjeoYOm5Bke/AGWPe3zc3neT3JIsjXA3uMfXuAhkxSmV3XX+WVaG/KpwIlAbZ5E9ZZXReZeAV qNyK3QZRbrz7B19y3aWYFWj/fFB728PwhgE8TAcuS8Z33fzpvGiDmbcIPi42CbPt0Kj0lh8Op/dO hEnlYofFRjcYnE3NSjPS3g37zne19+UuJIcTPZbfkK3PiTVRJhhdS8/8lMyKCbejT+kJfP5+PqYh V5SrF651sSLwVZ+zqnWocjfVaWiwRtVsB4d1TG5N8v+M2U4CA9FsXFSIb6GQqsglGc0b/AKxQ0Ka H4e2HVmijL/FWtzYdBopRvHJ58FUW8ZiEJox+WOAtccaT04bSSFq8zGHJa13QvOrmMsHF+1Mjusw BofZnRnS3ZWG9rJeuGGpJV6E40zsFfjUMqe8DC/qoY36TECa2m636fOu6CsplNBo6qMyiGQ10L7p fFNDNdIxSJZvt+Nd0yTz++oPghg0PfraIHCHcg/Wz0TDzrYfmchW8wRak++HfYeJqshuCXw1OrZh /ZQP8erWEBs9GvVbwfLeUn4OudKGj0esYrkUzdIM57O7jwQ1uxFzaspoKFBypojqnWJCvz+18GrK I+tmmREFRefRBXZKFW9JTrM7xQxR8Lch62Cn5UDYjVIq6lhhU1seLo5Uyhf3b76hX7xGvBWYaL5y gxIwsE9p3KGdPIlCAQkPH8ODXziQh6y8OT1GpkXOdm1k/mPlMkz2IJBs9iWVc3saxfEjDxsoUe7m iN0/Hnbl2GDGJIdhAK4BfiHUZMEjjg12w0GhqG5LHaHAJWofzlAlw5rGQEYFK2LD5I0nzkfs0dQL nfqZ/fzWFnvY7aNHhKtOPVCxigUggrjnS+K0KlWAHbckwq4DCvquEaskmTKXPiNxiaJgA2t2Vld8 i36Ivr+vPybUrqdJohYtJso958hUJ0uOGYUtn+pI8P0/lyY4yuS36xoiiOs6b4DWfCyBEo0x7VA8 wV3cu4+4oY0uCMbp/uHn+xWU5/Zu5tq2Gq0tYZGy6q6COb0LC/Mxg4cgjfNKRvetjzRFlAY5EXGn ma/pS7Iw5TT6yTNLq0MV9mUuNyvuHaaFxowa5UkO1E9ShVjt5xAyd5A9nXxw25F+T+y0DZWQ/9eA 3NwJIVBb9k71JpyYlCwDLusdW0vcE5zqUC4a0hw6eBHdAtxQkZmGHXlolBn1go+Vb5ETtOs18lIP c86EgOt17tqchq+xyllm+7t52gBHOthMzCX2JvKKEctkM0VbD4oJVekpdkHjOv5EkcUzXkT9Y+fe gfUWQj5FnzDjBw8bfDZPgsWyivlA5nxpx07cKspCX5HpD41BWPIhWpe6c06yVWcJM6fT2bpioc6e B4/qUWwdhKwcwLN1ekvXZb19OyaZmsy5usCoqj//NdlKgEw37qOnTY1uKJF1AJ9FZjpLs5nuxWVn QbuIOP26kUZMcLfdsE39XIp2jcs4k5rNownVXTl/ywGubhN0UNO247Qx+r0x8ihyKX+yw2bTAwoC sVcty/B2lkrxYef/95z6fJuQh0GmVU9QcMqBkBqV3zIDTUbhBgFfKxCWrUhgs3NclHFXPouaEiVt 6Ta0HlTIuncneZ0dJZO2oxDkVti0DqHuifNqFZ0Ova2U/7Rxpr7PRipMAdlrP9NpOdfK8OUYThvs jL6M9EJ2YNX1NOuNyGMdcCT3/eWROMkA3mJYsVM4XxmUemjTJkuDdKvElvQeU0wT9H0LdHlpMxKr p8WRUp67AZP1Mb/WEcdgkszHVwASyZJuimuyU3pMQMjls24oxQD4ZCNNDlHAWHflbKSL1d+QWlNN Af2dttzjNO9Cht8uNHCoe9gjCN90aaa74NsVkHSGd7aJSpLFauKiERRS+c2lWSRbqXgc073G14Cf eTyLqH9QH2e76EwraUVJmaioxFgCqNCh51m4UrLQX0ssv3vafJpcb/YFzMi4aNEVrMkB+xPZqKe2 GxkELVmwLi8BIcvBoxdJtExn2q3+z7HLUAVoxfTOQsTwgYbh3vr3OFBC1Upc4AmMSEuyevioE3OK bQH7HboKM2VA6DMnX6lZzv1aa7HEXdl9i0GErgmFc3CjzVQ3OQ9TpBbodm8kOLAZTciwXiLqvxoO /szxNwcgyetxELbKiE9udUJrKAH0HTs0C7lraKB8s98rxV0nbZ77sGDY4S1/flrWGzoa/p6H+muS BDQHxHo+iGsvTWHZTub+G/OLWu15WYxaHl/09cdblsRs2fxq7Q1yWWwFnYQHdB07fqWgjVpjbXYV B6K/cuyJgu1LHQ8dy4Zvi0D0K+gxvcXNGMKVEFL6FFxZAudxb+HnC2MO3Y5bMcZ4QKULsYiDLlgh QDoM2cRAJu2eLi6AWGeStvvFeA5cX5uR2vimm/KCTHRV5QACDTKHUv06AmE34jdNy25wOt6WyT7J lLLJ/FhmC17mizOreXa7FTb9+WaM8sgponTNi4BF5GdiyBLDMhAk6ctgB2VItTr6U7tYa5/fO6+O 1HwvIq9sA/43rgJZyjqprnXgJhW+oTYxxRFJICVVirvExjBU1PECELVZhnTj2kFuYA1elGcNSyqp 398zKt307C3RC8luCM1UftJfI/H+iJBtWGUJD9V9/sPUrztDnLKQkGaF9VntbiTZ+ZIHdhk9PAqZ 7zEE1n6TeGzOIN0tqTIycNoxqG4Z240Q6stq2xxMOsXW843Ij3QjfMw+D4qpLlCzXeBCVfxpcBME t8r/sPAFSAvbU2AHgqfWPoVHeA6UUcJE2XCyGLSXZSd0oblsorwKbQ4b35/XqdLwRKIkVCDaD27O LtK3U+sLUHgpVBoxW3PKgeG3cVPcuxrO0mqn3umTvid0Yjau0CBaB8PICWeTtBGxOuMrNJhhkvyn WGsSImX1g4KwjWdz29KKs/eBQAbXFsIzLWx3T7IOxLwCfkT++cFpdwPaLb41wKEmdbMBoH4KMXNb gXc02qX+f0NRjeIxQw+1Gqvs5JdptiarUeaHOoE6HCU8/4B6vWMcZjbaEV0X6oX1djby1rFRaerZ p0f1Qizt1gTsyN2gznKMJ9NC2KdwndKkjEn+EAQV7qSOmS79OXusVW6VG7lu8XjeLBS9MOVQJaGN uq44+RPTHEQoI2gWqC1P2hd4hIMtQKntjJt+jHI+tSRM0uJuOdkaYLAf6EPJssmzwMtlqETRulrf lw82ghNleZDzQyA4YVrEG61nXP8sd0yT5Zc5JktbuJRBkhbmQ6RGZ2Xh6Scruo55kQp2D8xWaGi0 BtT4gEx0PUatXHWqzKiCIqmVcAMxGcWuWfN+o3Xiu106gmJ90bQSJFG1/W7x1L/pLod8qkzXyRRb rPMinDEyIETyD+n8dscsoSoFtysHP7PP+NpVh6YYB2w9RW0YyruVlIsaM9jZbHnii+c5/NQMm/Pe SOkdjHkCKAAmhcLQIGNLtzrCftHmQuPveIbW6Zv+nJe04YhYIF6uKvzMnExa7Y7CYr/lVDHw2G04 Nojp4Ck2fUC3iCyA3p+ZtMI+79xbhvuK0KhfM5MGhFBbK1J60F4hru+0H7ikdIXApO6wXiN3oDNL +5B/2gim6Aznu6XT+EzFPOJT5Z3a/kD5ARu4eXg3ciImrQ6LSzQ4DCGPROuptA6tOdjR5Neu9Qjb Lix165Ij2iDewJXxX7cCw/Yylf4nxRWNU85so5XdkeeOQmhYjU1JxtxKGeSMAEVWJYcI2zngaAp1 lmUkjHTi6XtXt91QjLJXUiGMxd2VZx+gF0No0EJtG/YapLk6LBQTTGe/eqUPTiOjedpedcr83IZt 18Nv/gr0hgFUg7w/CjGU/ZUV7Gxlathcj1631lUEPv0zoNoBpJbVQV3fjsRu7b+euVttBUHFtsft 9S+ST6CJdQ7am/JlOYCWRjRdejkPIdmdLAcObyqE5fyiRjPxLq2ejwCSPAW7X07RwA4xTPmlaDTM fwrL1WdriTLvRF8pdima6/BPjJa2EuDrG2+XGExFiRbt4e2BWA34NnsWphdcE5H5urxJUqhvsyVS DttxTLm7vbHFw0/rkrvdi8um3zX0nyyAo6pHg8iK78xJQX4mYrEiR+FNITWWZW8FF5bD0kX/YLYP KAE1PHoDPbeDuUJWuSh0gU9nZ9o1SzJ7Bhy3nWldXueImZpxS/l+YNUgnPHeOUd8UMzzzOHMrZfc KLgrzHuLN2LbgNQJXWvJdIqTmJK8K5giM195RTZu1VjEsqub7Ll9cnSEWA2Rhe7omgbXmJRcfSrt UfK3QGCC4nQIMxg1XlUXgehzCpvLGcVrnxuNAOv20Uwok6kqKpfeSmZC3uggQWPryxWbMVI/XRkb jM7jbqULADDb0ZUpGFJgpn/475p9MDowBZJjYNUe4mvz+nsRyCb+yPcDGah6tXSOGmjUCsRifEqc 85wD39LfhxmFMWejcTrh9bsHHSFPUiSX7LrjHbMX5SVo4XflMDpd6xTMYjNzMGm9Iu4YotM5NGFY BdCiQ/2sxebLauC28vDVUT0jKSLk49WjdTRTFDE/pLHY1dkpJMonUFcWOuqqmiDGvfoTZ6ySDez2 QvJGmYza9RTOWp1ix6VJ4w6xb4o3hreFWevIzgpVm+rkj2KszvCaJLIXJNHJ304FHJymDuxEVJ/7 gfQU/aRNFrf/Xg9tmoK/CnBiN80HrwNdtP2gKqHyg1aF+9Q0dpi4gnIHo3mWiIOJFvxgYz/+5fAj AnfggRV+zhbPuIIQHew+82/ZfVrRxdvW/vubr3jhcOHKZmnhS9RvKaFzR/BiS6pz72szExu3ba7x alGDu8U5V4v1ngB0ludoFZyinnf3OWWYnFHJ3um3eehtDqT2//FRwlYxNPhV1HuMVr3IkUvr7jJU YYOjFR+wZnOkQfkbA1ZEkcUkONBAswKxuwSc1XcvhWpBBgDWjhrjaRCMBNeIIa86Y4gTxbELb66X Bh8rkXoCQauxEXtYlU8/J0rc39yCToK9+g6zmZkqtsb5Uad5BsZEUKaZ2XW4q46zBQbtz3lan1ID oGBf8qlN8U75NGBsJHafZu3m1ykFwFOuGn91OoicmPxzudE0uCPwlAkzFNbHtj9XB6fsJfGOLzPX KZN878b2bFhhDMsZlWcY+WmcwbLvbHPpZSR5HeRkEpqh2SHsbV7zaQdVX3fBu/NzUFnn3UG9Ag69 wORqyJHfVU82uUA4mlOmntDIx73GkypuzKlXZcD/d/WjIIwtSoIVLcVeEh62z8IPpYx1D1zr20AN J8eA3/3rlcRCipGWyUl/5Yvu2N9CUuRPrL6b0gYjRJEetZweoJtWokWNqwZanVoMCB81JnagLFhd /0pCJeZISnD1XLx1q+ln9LqCWgdv6hxsVA+GFJv1RSkL/ZkFSwoKj1npWpTzfRFBDIjKSkNaIrzs d7m86KErbxe2dyo6Ev27IR8dsYrgn9pt7/KL3/pJs8+0NeJCbT2QFzHifrn8GUpoeV1q3/v0cYxi cVHVl+8rXR4WJhST75L8dwvKb+oOnBrm8UKXpHlEjj3vBWqZYoNsdbUzA4bzfpD+w92RHf6JTFG8 dbPQ1PFm5CbPpfDCgaQDmzgQ3PXDiYAnH1E3T7hltDPmaE0CBdDh4HZeEpgqIaD1WZxteqLfY8u9 eeZtT1aHHgykQossnogHI/eUrrqCt2cov5mH50YSUIQdjXlYg5/bW60vTzT50nkPQWMRy0vRFC1K sjMk379fZG4EMGeeVDpwnWxKJqCZGDE/jX7rRLpz7raz7MLWRoAwAjhh0sgZFFLl72bkX8oVZY7Z lOr2Kbe9+N5A2rzJ2y95RaD1X0ufXolbazjQRt3tg9DOBduX22WG6zy5wHXUYnXUhvwWVPRKw4PS F2z3x6AoUEmozBpIcYxyUaADxNgxqlK+MJWXxCssUPoNSOvijoKn4hZbEJMWw/aRFEmlkUobTZpe NCgEvgUiYDBTWw6ItdN5f6Me9jQ5icN6x381POcmcqrhTF8c2CkroyF5pV114r/WiYTyUU8n664I TfbQzji34xRANiToxDUML70tBxp1ME2VmmOLaIiJMopCKZrGNWxROfbRv3rEJWXtAuzRcHqLuBSE wAgFWgZ09DPhXX4eFkl+4X4n0QLrvu5F2DR5wNyShSmD2fNUCm5LN06k2DfzuF5pgfeAtwusaBtJ 4P46Nf9Jojx1biWDDbLqiG4rnK4Z37aamPf03eAFnMyvxtHaFHY0WW1Ns9lQsGdtUIZCkOsymmKW 3cIXwkn90wmucjfYb51O2ZQ8wekDGrORDFiMddDDE3HpNpwnBj7QUqd5PPU+m0vImGE8l0xrAkHT pAK9M/64PcG5MBzcJEcCXjjQNht4f/ExwjBSafGRi7Y0hNnQBUZbm2uf3twpONA8mxkIi4AofA8e iY6o+Jyk73gGsWmIBKxPr/cmAzswEREyvg37Gm4kbXWorVxC2A5n6xMCE8AjlNuzAoCQzT5Sm+fE ZjyXar5c0KZ7tgBJRDBzse1Z0gt+vjnzX7qmE6JeyuzmQc63WMrBQanzMxihcrn947JjYzXeiKZt hV63BjeUAWVuyZ2DjyqRxc9uB07fM0UpLbUGmw42RnUNvN7p0lIzH3gnlihf5nnHQ4RH/Dl0oF6o zma9+jGu9oH8Lj2c23H83CqGMpliEPPK5T9HLJgNhmCVQ7HHim1g1rH8lqQFaUlo4YF04nlyFEl+ +oX0GTvnWNNzZSEEgrIvJiRKYFnnPCZ4vP4DgLdbB4L/TmMSrjZ/qmM+1Iw+gP3L3hBQeWHy5fbe KjIzEK5ok3X233BzJ+QnZjDMj/Hm3pDk7cc5CY9D7MOv49GYqzUaFEp3G+4i/AxpqdjN3REOGt4F IgQkIZOCYxngewU/S2J2T2SwOIR7F0YK2OyONMMoiI+t4etRiAQvt6Cn01V5z1vGqWwqqxL/Hz/j ELvs0VhqMhV7YUCHNmFHdLFL8GCPDldu1ddpPq7U2XrozqRTFiev40iLTl2zWI1QgsIJEThSXNCv iBBBsUqm2IiRNUrObwCldwsl1OEoiprr00LfcmbclmsAug4dAq/KeJqaS1g+OxqZGlKBCB0Cvo2K W8xOlGyZ6L8WxvLYg/RUq6SpK+kjwJBZOVGtzNnqus2lHs0xQL315G2cFwCwVhZibALxV3QxqqlK jnizUG+cLOtuJgAXzeaZMbnRuOYvPxwOcjxTmKpLeh1lE+lLBsRlrgRdyYAVAl/0K+nimpH8MWXV 8LMbrCG2UjDh24gTaluVRgqRu4g0Rxc9huN7asWGHsbIcAol2y0akytkxiF5NdTg76kswMBeg7bt KKSFZMMtaAkJ+9zm6emA23DbUfoxBBSSztApt56jP8HBNGR7jYQ6TOvqt72H+9Wun5DM9ByImVrc wtOupdXDEUYtSwJe98yabW4f0JPgR4ge1Jy/hDBZvPYC84w/mwH5nolrgXcQ+jIwq4ZcDTk0Hm/r eUAEdYpYUk0wMkp58EI998preDoJVq7FY4OgF+jkn02EQ+3Y+WSGGfuby9xl38DanDl6IbyukeFC f8YT/aq5jJPy8Z11fepx4dBznPq/fF8Ub7ISXRe6UNMTgXZ6NRJT8JsPxXKEAQb7Vtaba04Pol+1 Jv5jGSKYzCCeHYqQ0jCZfDryc1MMO9Yvs4bu3MyhqIfDYohEdmS6Mkm3VAuUu9z1lOPt/8GHXJCR RjizjMI9aDZmgSvFAFPKtH2664RiCozKqRgSgFU/2y1DZaNiV7unt7k1VzpXNz2R18QTWnijGkLk sRy5f8m1HPGXEWPfVxqOy98TEbar4Ip6dqtixsyWTDYN7SF5Ts2Jpvypb0toy7og9jHcQ5pjMd7v UwuQpV5Rg+TiVY18ApzA3SoLdD/vAbIHcXiHq9TWzYmqYxFSQmYgzdUCq2qraG41ezf9HH0Vitue dz4IwcLbFo3/sj3tFvCLaNMuZFyZaQLddcgQXJMnBja0T3vP/JffusXCX69FWF4I6pw8yg3sdNfZ sxac1mux9cuNGMKdRrPNsbtHGxUtvvu9D5VRMmnhtl00SoNKyhxQwea1ZVIyINMo/Uq3hy8+rX8U kiu/d6wKX+pHPZgRY7SjLx722rFpxZZeRXF/Axl7FMUEipCgiFB8RkBKZ4WbhDpiTfKZjAqyPn/d vCA9toohu47Dx43S3WifQzvfN1RSlvocjgMMi2lfMQgQjYkh9HJHQVYExSVOhuNIrqRkDZjjJMVG 4k+JDzZAu/x5FmYejje/9dEspjzlWXCyWW58fldYILt67r6vDY1xiC+HYmkWRsLPlu2M18kHmdIy IICpy84RTe4Wy65wRZnEXzhtMJMySleBIO4iNxDMcu6Z3usXvVi8CSHpoJlaZAmu/92uNnt6inBZ UYsU93L/kCLT+iEDAIRzafdQrU2qLQDZDEhOcVfCKOIaJle8EVHWmRj4H0DzajN3FODLgxr1Dlhp h1cwu5fYpGF2usI1Yb6TVjkgzdPsU3bciffxPBHK55CdMVEknuvDNbBnvU1T57iu0JK03TDib8vy K46VaiEdv04V8edGCMubXz2YdAk9aos38nGmVn2eDIbOE8rVE+Q4kHmiCj4XiaZa3E6jqhNF9kos xzvODNRZqkS8JTtUY7BPf/A0Crr3Ot6BRU/esZVcdU6SZZYQxx1yDcejYES6Na2yO5l4GIpDTvTR Ez1jI48s1YwHh/r1nouiuXw3fOvJHXPaJ9SzJz01Wq9FesiIGY4Y+t9gzZXi85SyYliC1kCarml6 qfGguKUsNRW2VScptQLld2fn8C8bC3eJnwhINODrrSUGmCWU/Wk+WpcLvKVj1XWAnTrUr6xnvP2J XAm8adVMSFoqVOvkJdKBcx2cRF9uLZUo4qLLcAmulimJESoBD164Tr4JQ5hIf/8eRtFjWIKBK7mr sx2hASFDsSCNQO1FLY4v42J2uPuY0gnzt3c1ONvrjCrLUsGlUJclyWsNI13oNzxTbd2FSh/DnPyw rg673fpF0LQd7EPDdy5Hl3rIWGjy18srxPY9VaWffoUYR/jE9FvcyHym8vLXZbUfPqIwq9xttsZG CmnggVfrVrBPr+4g8/+8kcTiNt6ME+VaRUHFeZ5/jiz0Qwd8mjHVmdroksjoxVwlbXzXtPaiAGSl 640qAxsB8LFMQhUS5QRBmVEAjKXSTZslL3dDGfNeMjNZ1nCqrxwX0oorRbHokPyrK7i30JxXajK1 hA28Bcb/O+ewqo3uur5L75IabHMaD2yPnIR18xXh9jvqZtRfSrS9N4Dsci/5nNthKlRjnq7+hcbn waCIy+oVn6XKjcho4cyYge0sRkSM10+xVWW5QVre2UOLgULpNkFLRPwDzRIuq4Ev29dUITDNGRQF umtcxVxYjhJaUaYW2jjoqFCR/P9RYvvYwUwrzXnwGezB1epywBiaCmwCbkrMg0CLVgl0I6iaph+T 5N6E6iKDR2m32m0fW/zvceeizDFt0ZwrWBPmyADYutVvblMK5+ba6LTUMGDFegPw8495MdXVh2FT ooWTVwsVyxt79uxmwqRMdYESmn1SgNUtYEN4JH/QQAcMP71ug5RqMsnp0fM1+n9U7J5nWqZMdeAR cYsf3UMMfBjCdx/nUJRFNyGUCR+27tdC9SxvYoouoHN8JhF/QIPWft2LdQd4obD0X86RoL7vAIwf B4+fctnT/7ROG2H2roiRYqZWcmQiugWeeCZvMCGxLVyZQWrUMach9YWzpMlXWJsD8BYGECwgmLKC Y/MV4qSiOjohj+HFcDHq2RFv6T33XoXHvyEZ6Lw8ngIdAFzscjHVRUiFrYJzig6uENROgIF+1X3F gZNhwmr32gpI24JYwXv0eV7FNkd5zpNCJuU7w5bDoWqdys47cQbRdCWnlPgvGxQ278TBZ5af0X09 DfOQXxvs97QrjjpVAN433RpQFpal8wYyLNiqz++5hT60kQ7vPHw+8F+PIZbkZe+yfAPWmcJoJU6C eaYUefH8+Ta8TExDDUoGwxKOY+issg5+FutSs82qAwyjKG0Lgh0CYT421GvxWeN19LCAX/8Vwz0q GZpyVioA8JJEEtZxJ2qG3lsDinLSYjgFfmPH4cAZbF4PKLM7BgX7lk2D1btdogvqRlRUOy46sgo1 6YTeQ/TVoY2cX2X4Cz7jKqqGYIO1OVKQAc3QCH/DEudkgZ4G9n/kqhJ6VgHUvIHgmIQQTCZg2osm E9b9tyy9U0SgfJ2gL9IXOjdNNI1gQaPeLzskgAtf0IxrtTlrUtUkfXGk7iMqQxAmSIg+Mwr6NnTX ehsUM+KSd9chAxTWHdAoPoRriuq+UfXGya2Nkm6F/uwpW5ytBr7fFebPg9+dXei9oUWt82/q5jfW 078KQTP1U5nACGrd7nAF6oPhwoVIjVnebt2UMOsIVr2A3766t6o1kp2gZ1YyTCIejd0XBTgUIJR7 HimDjCGE6WOnb68a61ANsKFi5jDoW2htkiPCoV+BisENZkbePmLEDzrvCaugV68ZOF7AgnNVnfv6 MDVBk8wGmKmb2Lh9ZR2RshXbLs25M7ClFbnnfl49M2ZoHf8iMI6bBuTkx6A93Vk3yuJKDWUFfXG8 D5PPUJ5Nj83caqSkTMxzDkLB1vbPJ4Aqh567mShHMkRv6fWWou55GzyL5xsLR3YbEJKvYXo9FV+Y zix00ZTCooq1cNY3ikL4sjzKVeyH6eHMgC/1xttM28iEsDvPe/gqj7itaozqIB7vp0WhtsgFXSgI mu4svjZhevdzqbPfQ47MZ5o/ie+eYJkkPPCxDvfoSjwQN7Z19tYq5NEPohhH2Kx92QCubTJieyJ+ mTpghCZANP1NI9TzWdTXOzJkA2SguW3LcB422/ydSY7bCmWQG23wIawzYVd1AKkzf2RTOt8XN6JN 7gSGKSmKytONZ0/4Ye0M0kv7TCkGswc5ukoHKh+y3iAlS2WHFb2t6sPKYaTtP3qk80KS0ks/nl7p yeV76WxZQ9+Csy1CWAGUSf/5VwkcR2jXxYDCImdC3P9FSr0GhkW4eM2MEUQuAr2Kmddsq+T4f58M rIYW+oRwRGEndT3yLVi1/s81JqoMPP4pBW25vqv6grPtX4lboojpUnupEeVf8xIxdjPBDWtiQ3Bn GWKFMtvaAydM+Z5MbAEOzC8ACx4ahIcKmOWaH5Z1r5e6TxI3d9s0BuGqcnRNnXiM8lFpniee/KI+ puCLICqJRa+jWnCaT0ZCnQO1b16PbsrwWPvflUB0z6YHPYloXP0n/mKeP2rNUEwbN0vZlHLNAIVs T2gKWURDPvHBn56Ar1TcrofhMF1rGTxro82MMX5sGOgWYK+7FL4ckcC3IIcAnjI6bHFPApJe5zTS ALj+UnVk+Up/Xuf5+AMH4RJApicGE1wBdqtaT9NYilFupKcBGvlw6iinjxGbO2JzvhpSqTknpspQ UkRQJuDKrrDjVA9IbnYjDEN8LyJOI08rOZ4JNXT+kpCJTMBOjFvyspnw6KxQiKOiEazdD+wYO1Et tYB9TPBQBHsukLb0YnMflyk4RLbLQxEOedeOhVkoOlcpQHE49VOHaQ1YxlpolcUQiPZLc9aX4MMd ZNQrBXaENUTubZuUDyA44mCysMs32JHsBScWWiNw0D5XAEwdpE83Q31tKlFxGJW/0FR757UByoS2 EsjT9Eig3d82iXxo5BepgKchw2PKbvkkdEWeZy7bFA191fRQfJGhssn+5VtlEsCbAEzhIm1Q4aTx 1/ZgcZDCmEZcLJp6Wt6YKoiyo3gI2xjqyjElX0ouMDc6AZZLOo6sbapGWZarKfdAdUq1unPBHtz1 CrWu2ZtGJKRZexUUtzhPM5grHTJax1mttOkWwasOZlwpTUXpmYb8VvZvK66XGxhqdd5TRtFqND/s 7/R8ECBJIEAs5rBCWuwO4myFXAT0LJut/ppfJkf/ZtTJUhR4zB2s4FXM6eSA85BZzsegUHDpgc/x +2M4QnZ0l/WA63I0cllrAYPZA1Qz5lvNbHkikFyVJV6Q6Urm5Y3nHUrLiq364InsrVZpBZEiCBHs /LRFj1kqHPMY7AhKAoZPIr3qGYClkEiJQQDDbPMUxYGIRAmA24iIJ6zFmATCPFVCAJ7uBLUhLdEy nPVKnyktLxv1nHdVYIkKAjWVjv3uLZWEVTNA4mWzX3hlNZ1OSEzp8TK5NQN10uKPugeWI8z5pjHi 7a96UUKaLnPB2mO0sgyYRSWMxA/CvlJ9BHD0658AxtXCJysNfqSiAHlY45XJJbdaJIOwyqr+nD0n m738LQGd9lwmCFqmBLX1pszaoKt6N9obX8a6fIc7x5ZN7vho272jjoVuZY5JKnCl9W5yFevCsRwb hUAcDHpJzZqOW+pzDImc/x/yv+99IFgJIyKQeUQVgDvptylayLJtRmtCmFVjWRJ6tPdQsjH3jQRH 2kwAT8fxbSLio8iipONNiXGjeqKSfEqrB0MWhMln4DwxjSjWuWLe22Z6G2ar3+pmIVlWmbVEiLmR 7+iHkOgoWgF0+oML330iyXJSSRcR8OAk3EQPvKknlfQT5Jt296TQebtAo04uZ4HcHInAdfVHUnA+ fjzAcc5k9CbpRwGJDAvmiIuYdJG/UdlaDMFVIYSj8ImUIPlkv5zsz2eOzMOS0wSXOy1AwGhuSVhe yZUM3ohy15Bkq+uWO8NiWHwjl1E5pG2b2uPz1dYPlMmCoEjRMpjGvX54GkrZ+QKaAzib4DS0eZNB LYuRv91yent+kxM4PJ46W+opUdg8wU+f+E9A/53EscihtEQyqsMn7DFtnUgUcRPZ6p07SxCGfgAE JT0L+DOUoGYLqTZaTE1LRXdN/SZlUzeuH4OERdrnnBydZg1yTclDbc/p4vp7zgAA+MU1skLjEiPE oedwNcSawMlY6yERYM+Qe3UuCSmPDbSJ/bUebmhHapuQ8+bI2IyufD96E3iDwtNhv7zdOQOEe+DB 0tlWexi83F12zjKRdegcWDJ7hM0IEbcO1Cf91JQo+1OQmz51nHMlCWwMkBuUUQj/1A2QgMS/0XPo jjQdlOGyaK4SP+F5S/cOMuvASMRB8taMNOBfKhCVqvNQPwAKwvkj7LPe16yGV4n3UC8gd82I639K U5zhmGy0RHuXyBtYuVi5KOsntWeaP7MY0LjmVJxSKqJuWBY2TLM9aKV3W0I1l4CIRZ8dLhTn69kQ LQ+bFZWg7H6nSaWk2vC7m1I6rM2YgqnlPGRk1mOiHFrKyYWnZA0a/0wIGsq76c+89Gj1T4UJFuSE N3zNf4FyYPTubwxkVmXmQGEzDfq/VDsKwbECmpPuW8GVj7xGDofYitjmdjv3GAETDewbA8kf3BUf CbXp9m47rqxD+TOICN9/qQUqqMN/OI4pauOzrEHPy4NmWdEXhnA8rQZe4a67fmkDncSXTRAVun+z kLtpUA6YS5N6HKOH/koE/D6dV6a+p+t6alwTXTiNkzTc1gAJNMHizLVOonOgeybb88xZlSu2S8rn XfCqQi9D0ud6kDwywzy0fjIYel44/IGBpxwgugd2WLyF5ZrlJL3qGKDp710zhEldpzeJ+ZGFOwt0 h8mUkxzjfIZa68ycTGtoD3GLv/TkGrGR16CZliaJGnbge/do4JZ2A20Fef8FfdmsBJYHuR1kN1Sv zeobuXfGTeQ9FxfMBOjCjccKQuduZgmR+s52fb29mrOMGrv+Pn4vHI0m3fLnXXuTz+d6/Qg6aP8l HR8vVySX6k0UgcBe3c337Mi32mKnyp5xy/KwLAWUBt725PDAmotOlqYvlftBHVYORfKvjwZNGAwJ iT3iEd/OEaRWRP1xC1k0EBgkDoZErOT2FFEPSp6dDWk28YfWPfk6nWWNlr0nkKD6IB7wnob6b5Vn tfZN1jqfkQIYKA== `protect end_protected
gpl-2.0
30a64305ac9176b7d1732c7286bf1fb6
0.944008
1.866893
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_accum/flt_accum_flt_to_fix.vhd
2
33,821
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k8hbhZu93IGyi6Z8D35wkg7/t5F3Bykzdu9BcIb9OilZSsGGy8YMhRfgybVvtSUpZXHrYdwOMTji JBVGxblXtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block REYfXB/r/Scx/6hsYrEEke4spW5H2ShLCQuIFfp1n4iaqwteSJqJdUQOzsdDYx1AAthyqI4CLoyV NJalqmN7fEskOsXBuYfcYKnRoseKhq82sZAuN9BoN5zdC/DmtlJujMxFI0QjFdVKhF81AwzHV6UZ eknjTgImXS/Aq1pt1M8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JJ8avCyoF+WvWr1Dtclrt/xphC/etWypDB4jvZ0r62aVMoUBX+njflZScTa1S8mxeBVdCKfr1z97 DvTFk5NiYvm4JBvETbo8DVL9JC+SwpMzaBY/zPop0f8PSZwaasM0lxZ6XCFNIkYf0YM3hanaZK2g KGnrWrsX6mZebf+kVi84RVQWwaJ2YLqeksbE4otnPGQCWmS+cSN2fr1q/LHADhCVLJG1VJUPSR8F eDLojNQiHnC6zB7iTC1wf49x2ieAZUpjvoMT4O2Y3ModExigJaCZ3TC09KA+VrpEV46k7IdNw4rL nd1qeB0+ELiFD4DCTMa1CItL5UX1cyH+7vcTXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ibLumrXx+/2snPn6JvyJh1lMPhHy1awTuo5M6UmrnCb3MkDQED+e4GFeQBHN7HSSdsJFvdtLm4hB MBpzpgiAatrLLy4+SVCDW5SnVcBkMfG8gFQibaxXo88N7jvXi1qvEmgWby1BiR2lOPyi+rhjJHwK FkSVZu3KDtVdf0GG4ys= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l/MtTN71zooltVKlJO3NtSUF5IhIFLo573mvkrX3ZCmbqiS/R1oCow4+3AFBCO/i9zr0SfnTwnby xVhAmD/+Vg8hJkrF9p592aHQp3ZIsIh/vmB6k6AWfpJRc0jtXs2m3awze+nM3F/h9VN02v1KEHU6 SCIknQKWMGCAvg79wdGMhzPZh9R9QsiZZtFSfYaq9m1B86VryljdfJCYMTZsUm8zlF43PSeI+BtM s1qOayyVURY8hT2gU2UzSMEGJThEQC9Fra9YWy0H3RD+bOc9126TIY1qTa5qp6FGFDyCGadETJOa 6i2/5MsScqiz7SN6bSrGb15nO0S3C5efI99n5g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23296) `protect data_block DCYhReVake2uJ8SZSKr4ZNXZPVAGhE0Ym2z24HoOCoQXSD0vrYj8gT62E19NXCpXAiX3PFYZVRgE QCfhLTGX/hHk+pXhShjzY/UtrbkQHdWUrVxU84Iv9L+YOyDJJfuBsVuqA477PkLRXGqQa+E38RZC qBzcD6TS6iScadb9T3YwEcLXFgVLOcB1pHY/3PJBKOgSD6urM3r6Ckg32wO/X/Vys1bmh8ocZfIG tuU+WN9mODN1tS/7NdMQ4BWssphXYH/4T8l7mjIGLHQgULwFThT2UstmL0zDQClqkcxdacjucia+ 9j4STZmYt1vIHgCBfSDGd6ycXHJuhpyJ/T/bRP/Ot+mCa8WoLAgHJZfh0u9pLjoEGrT/GWHI2f8F q4fPNCaIW0r2HHow1/S+D1Gnyx2q9TxJ/A+p2LvnbJ9tVJmBcv8Aa/VtUEoz2S8IU/3Q+EbGW+T7 sXgi9pvGMsIkXz+Bci9eYFpeUdQWtCjTemXVAjiWiFzLXHueAp9xLB8hVRe6ul7vmX6ugAc5EFoZ f7EjC4oyNdHIPLaZUitkaNzau/DIiDjOaUBHTi3nX8DKaRXxqNAeHtO6eGcYyB/A6xyyMSYpUpMG aGDdPhB8hkGDdRJSrBWPowAL5uRiemS5BUaD+nNSKCK9Peg/Zgkk70njZWCxMhfT81K20wEJRZdO FTUWbWQV6PeCM+HiP7xnZJST0ipZn2SbfGPY47HdmqT5Y8/iD6jg4PSFMM+SYKxuJaGrFMrJj2lF ejqHQt8NAa7SlaMBJH2d1ePBOjQDwTVx4vWZc6F1Bwo+WHFynHlapxIR6oLK/3CGEVyKdVHfXQzw yB+xIqAoMiiEyR1fZ5IrstiG2VXDtdLXfAmi+MI55jwyI4T8znkwugqp/PLNYIZcrS6CYJSd9f49 tYoDz+cMw3Hj5FIHc/ziGq1yd1TiPh7nlrVd/yCPN0m7RR7z8JveYpxl7t6/zAZejFkBH6S7opou /nQXLSJ0Q1Nh+Ic1fEudcK7CUj8GP8THifWK10V79srBT63mF70UeadKgjL3MH9T04nFEiwXJ+kL XDAqtttNNmMUPfpYJaOV+U1eaU1IHqN2Bjrtu3LqrfnqKgobZFf4kydcAYF6eetYeNOGEvXn95d/ JUldedt1UGbktIgkfB6XwnVG0dfGqqpOaWYOQR330mWdWoI97LbYjUA/DGJRUJlMoRRdVdIda+1L cuz/5L9Emp6tJCcQrR0bf9XZzBT8ZS/Zug8KC5acKVpVMN7H+L6/eZ7xG+aMGLtjrtPsdBbXD8Lf WQo/gYmxzUsOy4+CqTMkgtGLPLR+jm6I8pPfxdjrN1FMyD0XWZY5PBUFA2z3OY3zNO/H62vBlplz /aE80B6n/uDGXqV5fTrNl8qXtQGBTiKt9yZtuA9mfEukJk/Dc1wwWh+P+lsXDaladjSC5zuP4MGa pXDEAvC6ZWO9Bi5/bIrJn4MuqFGOiW8ZUjoHkugCyvNQ4kPjSRj/HYkwB3O3q+HHFsMaol+goQSI PUkmqGPm5WNm6m69M0a8nwc6ztDOs6kph2ssAQYSagtVRD2iFFDiIlRPThgzJw+De18cfyrPT2N0 BuTUiKi4Mfp5D2Af44VubgElsMgo+dNI+tBKPNlXhe2X/sR8HI6LSjo4oWC/FKaUzlZTGw1Lklmq Pi4jwL4CnPiREdJ2w0mEDuOTG+4n9VNnIfqbLwmKf3Odzxzx+FGplRtVZ2n4685Ztqp9JPIeTWv4 /71NuAMQW32KCxkegrzYuB2DlOs52cojUk65zIUlraqSzZgeHZVKiyxIhQOps1bdZD0o6QcBxndh 2nuNLxd6ScO747HwxQjnKekNY87PeXTliepPv7Shx04mpnXqPFotGjXvv3Sb4k2VFYF4uK4o5mhU Uhtg5bwBp3APIRIKQvzN45mvucG4OpzTLEJuApkq0PgUmxSAJBlWzC8jqyf7+Uq5ERXuz6Vf2gw6 cZ7tFtttkcMR+3k016M7IBsB6Yr2vB+GUck+Ecxh6d08fWYrLSc0JMffvSip5Be5r/5Ip5CXXLzX gue7Z2w58fwXuM3PKepcHgjB6KX/+2u7dC6Jo9Q+32qpdFJeB9OiibkHLUJ13jgK1HHdqI9HSt0N N2lbHlb6XEAtt6e1TTUNzvkwyT52lVqCHEZ3SfvIub8ypeGR6Jx/0je/wYHTUtG9r4nVk9imFsRi xnJxCZnvm17YevK09wuVWZ/kPjK6ym0yJWxP17D5ixB5dwxs9d1/7/WEja/GdKY23gEhyl2NqA7g ybf/2g3xHPFxePFS5hoBBdgiF0fYZTuO1Xbx21nzHqnkypmGs2g/4VNrXpfJMSI8QKSnL2xe00CY +Qi+1oNEA6f33Ghg/qhuajzYC7BH0yOK0xfSugeGekTTe2wkKtX8iaVWLhK3qUtSVAnV+lesAfK+ mZ65UqKVGIfDdkUmDCfE6YBV3ouwZZNnpTDSdQ8AkBDiWXqk61Ewva7yBVzKg5pbBd/2vl0jRIK9 bpSYUFzRyX7jF2IVw/4YM3SDRj/69TSs4AllEffd1iqv9xW/PUsP0ln4VpSacCjN4q+iAODS0kFt ng/gMU2FZYE0Di3efy2OFa+eKDC1sXc+xMKfG4fxlxGAWkdYA9KnMfQkj/dqsYUVIU9QHRCCtCpP D/RCA3EjBfQT75upobXvd1YAogjcf3bdwIpU8ED/mayQzHzgXhhx9k1T+Esk6F13B8+0oOw6th+V J/Jz6tpFIR3CnyiTOJ3I8MjpEDOr+ytR77+fkwiUkAMutuFKoPAYC8QFw1lJuMHlfkE0ids6yhX4 aA3o+OMUS8Ufnf5RK/QmPdBEVB9NFdC6wJu5v8HNUSRqy2t4K6ms3/5HSmnPKPP8yt0Mt8WP67Fg 9mik2Nze2KQl3aEhaurLhp9/GhmlrRDcinYmW3PNVL6TyYG8NbhFrIvJURcZKiFXCw8oQRtQtXEi iDrA8KwqzWDnKzy9QhbzhpMDH59wfz8kXpAtTgckyt+AOSJBStFvSZtOug3M4hKCFyo9sSYmRi8l CROqRQhAhbYPKl5AdpMK/DDDl8fAOJCWpDahSWnLiW5kNiX1tu+7/qI/yxVi9kmJ303er1W/OFLD 3hll9jzjEleBLBSM44FhRon/hG+Si1VzMP1I8MK2IiGVG/JL+mAeS1aa94iHLZVBZGgO1nvuHmLu m4Shsn+K43MVFRQVPtlq5s59p6wpPeHEePQvu5XcZ8yhXq+uuSForY9o0ryJipz5xFwIZWrs+zRP VUkgZ7Jz3xWTt5/oJzENSHKgVecPqOaLXtZPsPHiMxRPQFgeBv2u4rS3hnRKxj/5P8Oy+cj80WX5 WNtDtElsOvkruXx1YZmWQgmg6LTARtS/4KenYk9xrPvyJjCyIFGgivIAHjImkJK1b8I6GRtaMhoJ sTMAGn7INbGSUAxyVxjF0tQN7iOIXW1ZlHGHmXkZMTf/Ucibnxi62/YLfZ4dDQ5BykM1Gb2Z/QDh zG4sc+J5BDlkRx7WdeBDUhb51aS+i0hSMtoaUV0LlVG0FE6/xJEzsbacIIZuytj5BGnmq4kfGyCm xIK+R9yQNcp9c3cw4EIEUyz3uB8m5M2cpZECktwld/DM97JoiQJDa/dNciM7ClNCK1WbkNh9MFL3 Srb0HAbc6jhkvbpOQ8IYBVWHYpX6j7FIk61rEhXaRz3vy2bnQvly+DumDdM8P9QvSbdXM7aw428/ DHZXjvkvuxQIik8ab+WHVxO7C0SBAz94IviQQmV1mjRaDpXuP8xtbIzBE7xseBvjAUVkomaBEryG Q3KRVDZZBqJcel11ukMMeoBY1gQqSflEGcpEHjJeKhrCqw6EfzKXBmXzLp1N6x2AEZ5UNt1L4p2v Eo4RWWhh1tLq1Xef2MPT0GhTcU4Y976qMZ+D73FWgb5GZor1sO06t1nzoZIqp8nZ1/cALqqu1eOQ f5Cj2n8JeIndXWGOqBm2jrIZjPlyffGV9Qobt+2qE7aH2S6XkD8noBe1qoma8CqDWXgExH+YpVnx QrtvIcT0CmJEA5pcDV/HDbWI0OTCKDvbz22824tNhCZX9XRAVeHfqyioBfmxmkMXKLf2CpLZ9V9H reSIxwkTcZaP/FUxZ7flCq/YC4VBaa1CmggTQrt18wyVELVJ6ypGgT3tuRl9bK5QWpgDHDeoiXo2 u3HjjOWupX1/zsKfuyqrVh++9B+kZz6nbju2+o6RGihVjxQP63Fi1PLd/wVjQJlT3qWfaescnOKY ucZyAdjL/4uMA4gVZyxJZRiG5+CbeSokTME8m+lhB75FsoZgKA3L7DEx1ez1glDb3ils7rH64isz yadoYqjw/rTz/xebRFqLP4D6lUTXHDNPhx2q4EgeFBAfS0Pa9jbcuxwFbK8ttKPEfwMm9vK+75we z7LH7YvXD5a73K7Ss/8mTgQbv1SdORbhTkp/qOFUaFPK3TMMtvXGZzgPisi4mhcykDqh6UR5kNl5 6TX2O75ebga75h9R/C+VGFE6BJLuAMe4CQgFHhFvNuA59FK77hz5OMvTs2OLxOXqmXQ7vJBGev9y rbCkTiUwwTdQEGYnG3Dn6xly2S0iP7LKI0dhj2i7dBWBcz+kkr2PW8apwKHAT+1P/5qBZ827VK1G Yvay3PFBYOTCU8iQjUqxfxad4WBjGdAwrLPXb05g8XUJJ1NgJzWY7dOuE7rkawhzmjFP7f4Ehggf MBd5mKpSJI62exwVqC+4QMSFtylXhVRFi0MyA8MTBZ0SJfjjLJ2iddmH22FfuYcgKuRxNM6vTCm1 jclpDEqfxByrFsfC7QKv1800bLadf4appjnhUGMtwqhhH1FvcP3Kzw5ru3ibcJosgq1HKLLgDMdk oA2L6N17dPWv9zWr9UpoitDvrn/5sygVT23cYZi9dtbHh0U53ygDs0plUW7TmupDbXYlV9N55hem ccf5DfV+czqdlg6cIuhnQwuasa41pomFRynPB9sT695wTK0+wpxMaoG0m8A+6Ttd5VrD87p1ESbC kk1IqyVzmIkTpdFc+iorw9Y66Xho8CiS/pngeSclZ35F7ZVwjQAHbTCV74mUwXOr+Wh5ePE4VMg7 3yczJlwD6OJz4ay1ERM6QUkIZJbeUeREif8JM/FQX2l8VbOOZ9/OCWsf7QYwrjahWB6ay9agiKU8 mhzKJgQjsRZhoWCd6rhhhGjqMfBx1n9zTvm7LPjgwJzdwYTTSITiGBGssdjqNEadKE2Ojrv6dsgK vy8o/vqSGkvroirdv2RWC9CJ8wfxG9RwmTAp/ukzlVwwYPiv854gjXkvWHy0mBBpCLib+zOSet5T hCGX6SHaBwnFWW5MZYzWCzYUTU70SEhyBVsYsY5HjA0l1iUOsgI3lZfgmliN8GClWhqHdedxUdCW gIuAYxMiFhncK9xHhcX5MOza9NsIOF6+tzHjsvlO2Q7CgA3sozzCbomIhB8E7fMIhSXMZaGxwJRT SndRBsfWWC/cMB1kBNwrqmD9ijXb48tONjXgX/yauea36zGfJ2uEGb3hPNwj80aEaDgumCvlTziV U4dPvRw8fQxZrELg21WUdst1j0vp6T8Nxht7WVIYeE3vDNdk8wFR/bG8XpGkj+OGG1PMh1ahQS6g jxCVx91fr9As0QbiP0do9AkVZgyp2BnRgR/gY2nvV83oGmnAaSvRypxGsuyHicDzMbaIT0dwMRgm I9zcGRpCJfx1gVFpFiJWV4vxoUI+5v2God8PCrmTeOUZx7+uiKDxjxyZQm7Gu3dkTUugUCZJlwVX 1a8NJDhn6tP/oIEpLQ2dQRQNGbQUKxMERJ+2FrC4h7pyfFGhvIDlwVOj1wl3dyrly612uRYY+SBT gpNw3Hbj5XjR43KCeATCJrP5bz5pt/CBcEcsc+UHl2V625dWwt32JM8GgPYPTWijCepmqAlQZKDd obHSLE69ISFm7jbA9Nesy6DKcV7ivbBMv6TNWez8VOUA9E2Q66Dr9H87voEizdE45FsYk23oYtS5 3d7q4kptmZCx8hsWyfFlqLhSd0zMaKkXmdfd8XXAm9PrN23yCkHLlnUc1QDYdJlROw5yXfLvRsgq aRIL+ftxAAWasr3R4CL4SCQ5KLrKxMQ7XQkwpzb6sjIo6QNJ8johPnxl+QLr2/4gDF2I9fna2zFW IF70y7SluPo8Btk7HdZZNntaQWPe+egwvlAdXVMh2vLQO8egwRc6SFWnAL+TPeDvDpjdsasFlNte GKLuoEWKudEMWBqVoheXTcPc4xxCiWwwDHqlnk43oOz9QVDcwP0h6tQaY4Z61LyNcA9kdyvksaKf 2TU/vuw1WTPx1BYJHvahxjHvUCT9iePm0023WENIQYgHXxzp4UtiDjeCp8LuNPy8T/YPYt6WcsaM pwlvrJI62qDbY9HzAyeRgWEXbGC+foyuniAmv7L4LK8hIXinQe8UArReOfDEekJboDH1xKNYxBA2 dxzyEUod6lKc8ixsrZ2lFxHPBdrQ11jEAQ4W2ZOQYdWOjZ8VE1ICJSXczJLL1Vt8OJt2D6knGOmX kraOnvI1H/Mkn+KF39lYrG9GBthv2CEswqNJaQh+3W7xxEN5wTR/5aTe447UexgCwBnBgqgaGDKw AOXp8evtulqe5VhXNp41RmpA0TIrZqjxQ0uJ/NYA2l5HQDQ0PcVrclw8eLTGmqZdYpVXtKICkKK/ cDuqbYVxgGtaEjnwp2+kRstZOGmNhERwHjHK57+79xhSYKG9hQUU4w+kfkuHj19AC8GEtthQD9Xb draegJjq8JFJxZnayogj4vq16YhcxfIV13W/v7B1/cdqlSwvDJi8wJh0AEY+gaoY68PanaXDTj/G 5nP6JHFFlDGbBkb9YsI7BlNUdHVIgZ/M9Foy5t+OdKlYPTitGpvnTNhrU1kaE/m6GG5Dw7FNTA9w e+KgNxJeyKQsDjSqXZlUg4bLGoN47bo1tdSLlDYd43nG/aG7JX/fE4pJseM6NuVGd/uuru2re0oc lWFr27m1iTjK0zs82lFRcpWupFJ13vUuURcfGKZV6YtysXvpts1NCEmELU3P7cIQRB11f82OTN7S ZQ6yGHsK3v5MW2SIrQsRWmTg/sMuXbBZLlI9xaeLC3iBpDNiPL34eoOFLt0ths6BdY39zZ/710dq MGUsOkvlwkqpL5teX3FdRLY5XznWyZ0GzAm3UclJQB8tUZJbBJDMuire6TfiixRVKClEW+59oXx6 xI2adb5rh2P6MhlSh2zy3eb0cv6c3An1eb/RUNrl2Y6GrC2D7KxWbxBDoO7pl02n+eQu6Q4s4gkj mnr1Rjpm1348/U6duQPDtaJiBJWZlZrrF74iY+ytIIA1XcdBYxO2G3w3UBhY0uX3pEbHWVv1OUtG 0bsLMtdco+KAA1lqsxOwmUFt/qtuOAc+JU3p1XILAkLDK9FuFlrz2hfRfgkXIeQDqnpltwRXt8N3 OOIcdnWPuSZu+HivTwceULOwHsDmOikguOnDcNXQir9YVSzPm7tQaFmCB2ZqW0TGjBRachKMsXHH CRYtQm/TLEM7vPRO1yJ307tFELE7XEyGhVRTIcGpOV5aeLWunh9zffCAn7dtidT1XnYSDd4MIBwA vx6BVahkWOq1LO36/a0EqG4f5OGVdBv22ApdaBi9SSQfuXeUadk8goJMR1A0sTmznUhPz1R0ePSS Vmg9LRZMH8L7BEDj47QmjyAnVw+c3KKHMZjvL8s//tYCPJ77YEwkOHo4jr0teBwThllx4gXHnS9P xHjuQNSYBEQscg5gE36ANY34ufahhOWnE+XMyWdNwUxWu0X18r7MFWFdpuB5JYTRogQXawNsd6dI A0oQljs9xJkYgxDFU8pkdSVEFBTD8/HnlIkSGopQFukoBG5oAKKBypfy2lB6dRd9Lun/RpTNJS8L 8FcxEiTteUsh/bgTGT1XeDrbSTxaJwD+DOb65teBjY2ouuH9McrFPd/BHFx15MRUivHqSWa/yxfx zXCUJKNiomDbJF53nhcoZybFBRZeiIiPq0NQC6HZpYqCwakgtsab5rhP3+rmK9CbkGXOOc4BiN8N VT7IQrHjVdm31DCGDa2cCdbmv5OJVEom0y3Hnm/xIjj1CAV4bHGgANM5IlPrMY/U/NnPq5/2pf3j s2/30e3/9E6C3GtAJjOIJSJ+HzjdTq4GlHNxmTj0dCN+RiCWzUja7mRFNrEBtZxu3+S2L1/vfjqC ilj9Sm2bPlUzmUV1XAUYgCKNZSEapFChDOqmUHfC4eTbcUsLCUwV2FRYufu1K7xinenxgXA1fbgy vyMp3oC4LMQxC2FPxNZyMhzRr8CcOQ5tnTRTQOBuNW3GBCAoy2bWXcdrf5tsBs3zmTCW4RkOFnuW d1KGMzLVFwlSqE68Xf/JO9+yWtFGkYLq6B8fzpUeL++Qj1SetB3scYMhm5vbvG0WJQNUISQbIVj6 ROqVrhXhW1AJ9uVLo3pul13oUV5xRJ/QqkVCCBhUr64SJWAHvucgN/h+gXobDQbQmtERINkbgkat 7KQOotgpFjzwzQ5YF+y6cT7VP8xB/9oBNftAjLDWL8gN9C67cLgws4dj3IYOo0C/v2QCfw4ripKX MRPoGQh6iOrhOf7/E0w8tC5rsnWkti6AEByzOfRxwq3n4F6z6+C36qBogpkQFhQVR7DZwgTj6WVO FALjfIQBS2Sh0wLIc2a303BUzLTa5lJnTzRJPinpkqGJS3P6RvqKodBHyX6smYCvUcawXPDftMKh KLHoWF0Ntgv668+JT5ECDk/MTWj7U6xCRfEjjv/GP6kKFKNNS+UMSvIDw4U5Uwav/k75r/KAJ0Uj 7+7xf3/fS3aubt1vw3/KI6r0qligX2wKo24p68r1vkEulQmaJc78pgGC32YLcIcU62qleRrvchfb +RYzOuDJvLTjiNhG503mupftYcQJ9ygek5MGvrWoURH4kfdcdj08Qo0yM6R5pC3Nv4wr4PaCPMN/ m9ZImu5EOFoPZHcRiW/uRqTwZ2oHDVARgSB8RGxi7bs9qDtyZGuRbedYAKyR78ar3qfENw31iCO2 zj+cN9WmHUCJAH3J9xvvWVKsfjTkw3Rbo1Mc1bwtnypL1cbky4pq9NsqQkv0vpwdiwyVicP9Q8TJ Ew5friHxOGQSOfrUcmcVsNcjEUdGO3K+Fujgk9MHHF9Gi21dqqrslVvOfkQSijvfnwi7b5T0qG6P dmDNXOFC1gLrSxwKE0UMhurLptpMKCsRCyAf/vrKbzvw3Lhsg1s7RIQ3QBD9DBf7ugTmy/b4IJNb M32NH1g0oqdGUJ3aFdsTulVFt+nB6NL50i96xr86eSZrXP7lU62o24P4OOuRoiB2sGwM9VGFCdT3 kSa/+plwJBT/HoRiz3sy4Y6bUMFDl6joaZS1wK6bIJNJ7LKCQ0uyLWjJynxzrgUBlwoIIa8J8/zc wYy7f8raufgr8Fv7ngznXEnMc/caPfLc3Qd9LbHQq0eEmAbdUlNbDuY+o/UYbstvzOLjlLhFmSaz ni7MgEDK7QfAKLCT+4vIDcf5dqhFImue6JRAopJtIblUEWrPaBt3TIxPmCujGU/oQaQeYBtDW963 7yYX3eflhSi/tAIl3AC9wWK0DAHQEYHL7xyPRruqEv5Jj2gk/Y8o4hlYx0YO2rclShZUt0OlYeD/ cheQ7buNvAEH2DRTMaAuMHXq2KQ6G6xhUI2+aorJqcz23lNm6cRLz628m2oPL9Uz8wTQGSGBNWEM MfNw8RAmPqJxZ7bP0zugqx+1t4wdPmbPAOCxrlMXXjXUB5Lk9uEN6SqWss9y517y0SgYTNv/T8ZT OE1lVit7WjWaLOvsjba3JoomovrlIitHGaObW6RDaw99kJ+ElAefmeLgDHWFQvyB9d362CjUYLkr 47Bwox4/oQ4H6/36XdLAwbYVCIwFvb4wWrQBvPTGbgE2jhXYaZJmShkM9XY/1zLbJMDplGgRtSb6 knwwd0+K4AX7w2obga4mpbnYChxNdLr4Wwny+nARtakfTDJPnsnjDPwBvJB3zTHEeAgzCaOXZzr6 NQYTgOveGCYJaa24uIs1ZQRWHa9AzwGvqmQS1FXwpQKENiHTwuwbs2nzmeIoNiqD72nGfISc7oZx H7P5x2IBY1lAEgZMYeoMJz5kjyKAwNHCASL5vtfbQdlWXZ0nSQTZv5DxCy3P0sWKgnaIk9JSoY/2 zaOExyzO/05fBPCz3CHfm3G6Ws83pnFfXtfRFzi90FqLZb/stfE8UHpZj6Krm6nCfs870xjwGIw4 ltJUcq6aBNdmdqkvWGjJtl7tQ1CHy/D0AdUadzii80ynIcN6duj+xooTaau3SY+kJDldrqrWj6Ha Bmhbi7LWQO4cAktNGj64z6uUUP0+ewmY9F3grrAT+S8xf1525th673V31BQeUwnIbxg1dGBdKhAj ZghALm3k4phLzGEL9o/zGORqWVajvLGdSSwhqmw1mD7D5JYVuwsVJcrZo88llVyoncScnzMEIHqh 7+Tc38zhpeKI/1UvQXI3kNid9WXM+pnRx1gw9NvY1DeKgnDnjJNHbm1gYenhIe1n+hwajrh5M0Gn qMEHkpCMlLfSQusGEa02cXLq7NZFOL3ce4498kT1CB1LO/uyx1eJNeaaVJn2aLRAf2t7tUDYqm8a yTYvCck74Uu6yebbTr0O96cmntSJMhMztYAXX1LSc4/oLzQoI7ex1dv/prJni46kgkGcAZeStdMy 0gFnfwQmaLizHZf2okgMM9v9Si6duJcpHEFw0pUrf1TEvnrDPvq2m9Ry7wfYeNlxspUfc8vdbIxv IzrNr07A0TufQlsorUp7S0mcJzs0jUzaFM8bJkaXOGpnW7a4ha0pvtKjON1/FVoVPZ3RJUpyyFR0 BQmnadX41IYTXvvnDbwodb+jhUQYHJsZFVkJH2l31QTEO0EkFrCjuR9A7tlwelgANA8WTGlr3cPe By+zoL39OxHLb7TFbIlPhESh2FiR85ndNkDJFU8V9LZ37zyij28qh9NxcfTqiic5h8DYGxM2aeCS neZDz/9XIef4ROOolySGn2hqPLIqnyls7U1wLzBeTOAxUjV3jG5r/FlSQxxWE6JZwBCw19LsK9xX CL+mw/jFDHSe2CBuceXRmAZ8Z4iOcb0u33ihJpGP0VqZXyGioSxa3/pR1nl4il15HTVH9qCSs1Yu 5/BmlKWudYSNxwDKSSaB1oXpls3XuWZjNYWq9s7YHIeH4z48AVQOOc24HTL9wAMC91BfdWrOi7Hu 3naE9S1SApzV8IgqlzeI0wTCJkCCPG4DO8AMenSIIMDWwi+0+HXIY+KYleQ/2fFjijbSDd6ZcWrz jMjQ0OCHWuxxrtZ+o3uJcANhAwq2PPMnuepzM0e09CEwaehKduhpB5IddKhqTbv7eBw9RLDFxtLy RzlJiIiQG7rt85XG3t0htnzXHaSNW4xZdmv5K44xSOF6Z6iJGTiBSCybOA6IDIM0s3w0zyWDdtO0 BteI1MmDZEvd04/3gR/ONWU/H8hcc3ndFcPVm3RBl5sUgxwnaXsD7nKa7k2HyqH++zO7gFiELdab GegA0SVK+85bdyM9CdVzmW/FrZah4ULOktRZ/sjg/tODfK5Jg4jb5wdavodHIE9unmTrrndIqmTT faiGovMYK3UrmLBdE4RxEdseBy8fHAi+jl/LtzKp9mgSyUtL9WKx2EFeomfv1cqRMMB+doy6zV9g fYorrVBytzfQhboA4EBJ1C4e/mEfUub/LWBWtsjYEcTd+qMjJPu5ztQGA3OsRwG1hCCQ7pmvMZO/ lsxjyu5Hl2yqi5N4PAUhWes36wKuPL8sTdbcOtMHd72yplrDzwuG1JEb3smzG+Ji6+9Nn7+HRC+y 85ozuP5MbDmNMvpdV3xOOeG1js1NknTsWvoLBU/VfgTZuO/m7hYCfn3L1NWTmNwoNsQct3vEYGcy pQkDesahnlbjhkmM8Bs9aOBxbh/vSggzMOOZnzJBVwqLptYf0+21kd0OIIXI3mmrCJMq50u+Ns4Z FZ4htg/gxvrJF4zqv6250Wu9IDL8BFVrQN28ga0oSb3aXH9SAWvqjv6fCGC2uAJ5Nm/vJIXKHzN7 9QqzuZcAzmRDEfPbyhT/xBfwCx3PwKWkD3UA/gm4QeRdA5O+IAJ2nwgDDgQ/KpCbkGVuunSdXBWx a0/0NB3b/0ofRYXiRJkrdkcawkMJu6gmWjaFUlcZRiU/m7LFJVUFpcUsDPpIpIG8iVNDVnE1j4dP ZCbgzfHZpNgqo3JgVfel1auj6DBE02/W414KZQv6oFGRsMq2QH9BKjkMEodQRv2WwjwAGoOvPpWu q/cK6SXxariCo4Yg4j8sOefa6NTgKOeEDMNcflhmvxAZk5oS1cyrZhWLXErmPmc4AJazk2Kj0Tpt ZFACEyv3Xaj3fsUseHY9EV/gtjuQQKqY0uug+HragjralqCVGZp3/r5ftDlil8UG7sNNmYuLKfAD XOFu9Nk/orABPgWZZWBIQuwyvgLbIjTCoGergpx15nDzwoqOkh17ZLG87xq5VjctSlde3G40jc1/ 46SRbNq8g1nzyT9uaHo2D7fWgnktUMnNLWRwq23u7l9meeD4HfjOKEfTjcJM9PN7xSK6jWE3h8Gd wRkYKCMgcsDR8F5xE2f/rrXweDsnF7vBaB0NDFLLQyUsDBlekNPAcDhTHXFhos/R1KrmDrMsujf+ g5n/0lVIyAmkgbdcb6RG/M2rh1ROQrnlMgYNkhpkmSn5n2A47OQe3zEMe2OPoThfaeqkBdJBQdGm KWgioMIkBKzBeGzJ84tCl0yVvia1k/rVmUU5aD2+rXkhRgIx1xd8MS+oNE95uSMHKMsLfIXx0Uru wgu94TwITxPcCcUZekSEWJDAbisvWtIxldtSv35kdpjn3mWwgffwd2MTkGUIgQ7aSfHWDDcy8GID BjGqIK3aUPL92pdUhVghpwM0BKY9DBo1DwKauFHagrz4Pyeq4hkNsKwqxkabxSqe/gEUof+pp7cz iiaXRsRrUDVRpqvC7+TF6L/34z2JW2xCp4CoJpQfjZbxrvZxMg6qpnyDOyGObYRdCtPOVLoMhpVY yOoO2LLiXz/9yoJSF7O2DAPErmwS1sP1fdIcl076W+7jk6q6nN/j7AmEsD/RNU+nXZejUZe0uKh4 Ae9aF6rjQ9EaQlPsFqc6PunI5Sq6EZQJECakXAVjG13/+oJVzm6Ye3NQIVgQ/C1ggASmpFKSgYiX tBMloJVmkPoO1gKmeG2Mqf0p3q7PWuQk20uvDiQFIakQ7x0Ji0FwY0z9inz8HoNDkmqWTxB6SOOf qBoRh7AUetagY/XdU7pX17EHU8avs5enWjcmMUNG2VONlp0blkPsSiy+JfHlAnyuVV6Back9Oi5U CeNBaAOeQblurA7HfBmrFU5pRV7uZ8lKNT9AhF3CaYfpjBT2/Gy7iiW2NztWFk13xA1oKfxCKIbE CLdrglShSyo73Pe9bdvxq7fFrwrKDFOezsnE8nEX2WOOW5dl+19od+3d+EfdCbdmAVJoWk16cwko KTmfwqu2QZKkKTcMp4VpVvsd7m4u0JBrDol6FhZic3t3J3kGet+JmMJlt3wzh4k1Tw1vroqh/mr4 kkmkhy7K9u+4AfEyM4k3PDkQCjx8OCQGv+q48BOMzXiSiK7232LYadY9ZLGmRsfQUVD/GGIpr2tz D8OyH2nwwHj9QYQoDw07bkG/XCrDQD5SHkvtGToVN1Eur4V/0hyIVSWld+9WC0rUwSKz9HrZTXcH BroCqxZ3zbxWHWFFQ7XmK4MHjrko7kf7ivB27+szwVnjbPdM43cuUz1ByZPqtFEXLHUIsh9OpiKP JQf9EGeJI8HrjTsOW+dN7qQv9w+yzoNmUog/nEyRTo2iMGhI3DIHYP1KpArSg68l0EL1zF2GPssv WjhjKM1lAM6UuY6hG0TYQFmrI+c6OYJtpVHpC6wEs0G7z9vnJWLH4hrM5HGKqpueFNo11X/MxMWe cdJsGIvPvkL6V2oA/jdZy7rNiBWmzI+Cu7VC7zSrOr3+Z+sOWqFkm/7xzqKkTuE6mi+7RipCogRZ /DdzsymlvlfB/7FvJeKh9C7O6gmLgsSwB6dhq0/d1SHkyPo+0T2CuGpu9M7EZxWqP1ljmt/4VJ53 m60dcDRdZnFpKIsC3Kiml4wfbn7C4s5IPghNonW845bQrmxnn/4gPjHozfVkhaC9mZQdqdqtcV/O vk8yBdchGg9eywFduXh8mKfywDBS/1TKoW4pi5chXWD0w41+HvvFvlYlBhcedDlX+fXiEV/kCL6K qEm5pLn5OVIOTzNu0wtj3T8KGG0ZEBy6QBlRa/vv9hSr8QPaKC2cTYO0Rg9W6rQREuAEnRoO0nqN 2kQ760+7RgqHvVfL8bbPJd+s2GdVfA+CnXJ53T7apKf/LiYaI5bXy86DqpI1Q7zKN+v0TozbfWmE pXD86wyWJzLn40Momo1AboD67yWinf2+ZJxEztq7EAfwSud/RoFxMyzLAyc0KvVy7VShO+BfkBH2 RWLBHU8LWjk4aNmaNuoSOlwE1W/MgAG7KUYYcf9iuGrtKKZWZC+MUUYMhcCQNPcIFPIk9Ty0+bvj ZQ4kmiW32yAjOwlgcbp4o3mja2I1EWDHpGGyR3PrfbxUamD71i09y2yMBADcGTYO4HgYQc5rr+tW zjXdXXzM6hmf+uyE8BEWdVH9PXb/Cupbzf+NjEQTJihu+MAhYBXOInzbTuxlKYxVjdOWRl2xyz/G rSacPbmtVNwDYZSWc2FwfzcwiO+G8gq5kdhcph9XrrBH3sLqELxV7+HKgPAPwlU9ql2dUAhf74YC ogPVsFqTZP8IayWLZIZu12s1qPU+TFiPKhEf+xBqvhlgHFT1gWwtdCAfU5vTmK+UzMFrfSr6KeYm zHkvsZqSksrKPfbmfjheb31CMjyQTLbKEUvu5dgHGuev6LDw9OuM19WChHfJtx+Zs/6b5dDr9t8d u4sQPOLrpBjIBQViBwdHOj1iQ5PgY0N+BZI/xddRanNyjFsBXLoB6siCztlBgWwelM4/22UZMS5k n0UpkX+EenXA6bm16d5kZ1seJEYHC7mAHyhF5vr0e7rRkQyrt6ba7HbLPpAyk+ML9h3TAVMsqbER QPPwrkl9HKdBFr75Vw+SaxkWj76Tl6qqGHIVmE9sOm4azhQby/tkKdaefysEULzvyVzQaROF0qMA lSHZ/kGnnV+wcIvTlkpt7UpcXV4wD0cnOWfjsvmtrQe6AAUbDdVZjeLGhCx77UfxSif0Zzyka8e7 crzLVuOfAEAWHFnJ2CyrCKoQxfhksEZ+/NUBla3upCqbYuS8xO87QG+lqFRX/ZB4ltsahHt3zuVj enuuU+S9vkyAFyRefCA3zMwPVLfLH//RsngXaKQ+8wk391y/NBNFMPSQv0B+7xoSj/m9n/AeLpLw 7iiUsH9FhID0e1eh/hwp+b/khm/BIXZOibiI/JaF0o8q/3RTfoMoyNWLEt0iw7qzbXtzCqi0mV2P ZiH8u+46CvuNPEd3W//keO99KgHrUvgE20A3qDQ7LsEq4lziOSmDEPIrdWYoX/nhAOR2PMgKeLrb aC8TM86s9tvZR4Aye3w8lfl5MHWTWygSnYd2JtrRV+ZAgHfGidyN891kdXdusQGJ9dds1EDbWYG4 B4WNMC8xPllfT4JdSrkgLou2pAwWUukpSIbXgjxQ0gmvC2+N7LUK9h+SQQ1VUxxX1iC/RgnG/cBN AQ8S53CbQUfnJUpIcylWUuNUnm6Y7PlgCVIJhVeTmSqpPhzXiznoCf/emuMj+RNm5w7PiByVAWao wZyzbFy1dB3pqx35S10WpOrPCspT7TmgBUREiI9z8b83aUf9fXc63QhF2kYTJNxu5qO7d7ecvF6s 29D2AqNJxq31C6oaMnsCB50gdCde1eo/+O/Gq3003NTZzg5J5osUf/ohgHuUArtK5yG/qGGwXzym tMRHhcIR97zRzrnjua0suKt+74g/VTyuxQaJGO4uK2S8qWQzJYAzlfsiGwF+1AxwoNiegTo+99Zv dJrTpq9rRzsaDY1GeY98xxm1QdJFs21q2bcDg1a66lrAULWPYBlq8UHM1fU2komfxktKkmwuXgct 4QtLGDWJOcOnfgP20y95TGmri4NtZuSMMGu1Tfa0PTVitBn6DCbLw13qDm6MJByy4PdrqUsCuXel zBxXm69l/3aBsAa04f/WJtn4xtR2IkzgjWUH79hHCAHo2KquTWuh25sI7HuX6wmnppclaOZ1DooE KKIHdxSt9DkuOHYppU02BsCwlxohshCEPp4AdUMqO/CQ1uUXE1c3BVfeASLA9lZTHhbNftbhGx+j ytrxxLw1I6YOA3Qjr1SAB9dZnMD/6ZwfQ8OR+Hxqc6gPxNk5cFlhFUrvH39WT7mouR9B/pNmJyVl Rt8qrYlKu8y8S7Yb74sEA1ZIyxjLYHbaxchqH2z4PeSn7dtwFr6mpju08VdAPX39e3fFGk0QsmUd b8wWiYznwU9gEcLImPAdVDdXPa2HjiySAeIroGkEPOSIDiAnGw0q+STyLhkc6QtxLnNHzInGGO+s CQR/d71OBQVjMQxAMhCjhrjfQwEsG9XJZGJLV3a7FMko7phSuJfzaNjboRFMaGx+hqCUSgw4vdyM AWDhlOwpDIsWCwnVOr//e20Jv/c+AWaxCiUe/AVyIkqXuusl2x/VAYmG7JuSm/7m9b8s/r0pXags jwRSq+T9uX1p2Cm+Eb5sz0LoNSIzCGOUAiXkW7uL/YsfiszS0o6UXWhwyA7Fia0GdIGKgftDrgfm ursv6+oLnZOXYSTskv5cNQ4n+YeOiLWA2kM//zoIrBKy63wVP40eFhtc6aA0f7dPrtMiXiWSuwYN kFlYylybt7NbZl54ezaF27SHLHrblug1gd3nZT8jvVlt8FsnW4t9Kmqqc0G5M/BV8xBKTpxU72J4 R8kzdTS0eqWbKB7AKjBFfz2hrTKSussX4YDLF0ZbYkEsoP163XHSd+FWQ3vaWBCxLrcAHLLiPKJi /0bHWg0z95piSc2EJ1bzjwBJyPOyr2wY3fLJP11WlDmQu76CWeTRplGZoR9N4uHnAQLBtkXondZZ e3S4a2+tZ//6HSHBI6ZmWb/QPpIJmb2CF+bbbjR5rFHDQWCTkaOO2iG8W3XxkKW5ofRMIHwqOens 3Eujw2yXJ58OJb+MlO6FtiE5iIYaUT2p8kH0nPC2igWLSQaMBF+WUQR/xH316KKWXy9LZP1WeC6m EzdO/GzHLu4w45vXQnokv20177M4W/InI3v0TIFRt7VCkigYfVyTbPeEKBb1Ax+UkC/kNzlCwGhl tEmZnPmGFBDh66wQpt5NQOZ5CWWD9Jj7ck708eRYdZmaXMMieUIEvirRyMvbuxqihcVohn+o9x/P 5LthxJmyXjyidvPCo2Qfs85e/9aOE1Rz33JLz8d4fNyeYlEqrvbyRaBul/Sf/8cSObVTCJMaeLp+ PLLxGorlkVo2lreUc4jvTgnlwdqBRE17d65i+kICiU7xTviWInW6taAG8CTQeceH1XlxEFR6sa6X dlxSrORAHaiPDXO7riXrxPtge1GidNsYdtzL/aqj+YSMzkNlSCx6dAsa+12HX2SHhw7/OaB4hCa7 H0ogIUa1Ta6rWkj3yjg81X0r6xjX05GEeNCRBfiU/RE+oqWGXOVc6bTt7VUCwzvthUSWs2w97D++ uVUA2kMZ/NOwtU08QgKgAazUSq1H9g9ir1Fvq7Q4orCg5B3GhskOA5oTvEnSPDSa1mRPoUlSPZaS pa24lG2bkv143pVYUbHthitf/4GSe8TLdS2GlDHd1zgvHCzMqUvnt5kHtqMF0GKzO93M3EH9wOp1 2eHbA/kZZEgOXptFnZ5ZcMkxEqDLZJ81U9/vTEF0fBqQny6ooSFeiXL3eNlmHl5GXt25tOXE1Gm6 t8kaVFJ4rfd2b4y/bc6Q4zyyTwf2ehmzIqQMRxABsiWMkQRcXlCTyv7DQnyLaw0DW7ZTFrpJyinM Fosc9kaaCcUC0eLsaSWG46Szip/A7ALErLpjT3y4reFz5oPrxP3rsh0RXblc4SqcrWv0EXWU2QJg X5GVAUFjrZyXZH3mhligjd6ryPPVWIdL+kkk3pNhwEBsaI4moYZScsJJ7i5cD8oo3ZqrxT5bGm75 r261qXmnZaBZHUGR/mQCISXdE+DpmIeCrROAM/ZOOKaGJmn+N8RfVMovA2HPYC5JNpQqfsjymNrp 4S9/RFF0X4e3LsnNaN99SJZD1e7eno5dViSdJYdlujioHY7oq/VmRlacb8XJfQC+2MtG1vaOF2+H wPYC2N9HqRUevN99Zpd3p0lBU4khVj4nSqHKOJp/zhCHlfKEovEJfBf/UgwwoFkOmf+ySpNXgifA qw3RfJkq386msaruxMzSkQITJkSVd6Uwgmezl+r0175Vd8D0tdvtGUf+squ/XYLHcsW6XYiZNm2k yStEeA89BVqqeyB4mktN4QR3t49Oz5hd+hJzO3zD1/q+0PVTxl9SZh/0s1M7rryurZsUTmCvjfZb LLwuoS7iB4zs40oa/BbYky7GEFXCZnoUdc+GoyY2aSigrF12T/QexKI2L8Gf+/2ciq2JcSqJEgQk e7q6dH1wT0sLhjMQHDS3wbCIsPbzoNeJW4PwmESyQVMAgR2mtk/E8cyfZOTIoVZkX9YN7N8aiNi+ HQ1+KVGKgP5PsypcpaNxbQPmAIKhRxUmmwPdV11mq87GEB4AhbozyzqXcYJ9llayQLmB862W6oD4 4EyX+0I1ETlvfCB8oM1CXepiWjmcWXjFdYKpF8RZzkEy3YhZ3gPBeeJxuEThxzcTH5N5DmpMxjpO Ca3EFlkFayYENjQUAv255fBQ5+mV/KykEJK8yBH72pGVAAmWzChhZFtKzUSmGRSlERLM64nB3h91 2Rv8lBxgwgKG+6rvT4gmHbiMcTlDWXUPKMBG0I6sv+u3YPOGPzUpHxBv2eySKnks2HGN4OcssaZY Pg0chwFzAEJTzwskjlhtf8+nom7UqoPjQluphRssXo5o8U9P8FOSTRhWqyoAhGmW+/sWoc4aNzS9 V+Af9b1xmqS7CeLb2QdK2Kkr3tsV1VblHzNHWFmKaQNsbmnrAPwydA/cXsQMgwibOKna8p7H3QAs 9LgopP/X2SY5Gk4EZvanANb7zBfGYt+F/bJ5J6ORltteNIyQ2kP3XgWdHo2hvwT2pA9+8RIP/+fR MTZKGE+4EguzMAzTLrPs6Whoc7g1CQKVq0raRAMGavPj6zUdBFtLFnWxKCmgRaNmjSLKEkTrQhyq fUtEXOkkfx3p6zKCrF0bcKpbTBo/SflryguVZiCSy5wsp76+gJVEENWjM1IsjxJmT9EoaSxN76Uv xn/w0nbaiUGwLVZreutuaDQv5PT4o0QXeWk/ZuPrJjtENZY6WnND+gVFuFQlRbUcauec0hfpqsCC UwLHGyC8uFetNIsMPMxThiJdz9WnLXWOeTiXE0NgQegRj4vhkOY+Zi90YZNQIBuRGSMn9TyiTb5f PH5MCXPHZytjlcYVKQkKEmDIka/dPAAhmc3cOJtJXFaLkjIu6de+gH7bzBrxdsqFvHZT+EHwQHLD YtYrnq29w+6T0VyvJNz3+K3Ahj+GDszfz2Ax8EZZjQ7BlQJIwt091EjSo8smdci4NM6j2rHCjjFa 8TQtlAJDjOJaKMmJdgoQ3XGp8OLjny3YdqDwRXZFMlufqVgf+qvoNqLNvsFFdVXrCUIj8/hG0sRC as1WUZ9LkitylAIVynz/KjD2hnXOmD/Py9VBMico2+uZyWuptmbXbHI05WdMiiRn4iINZRWcSgpt wgCyTsElgodaF0ykpg9PlSf+2UV4IwrqOHezr1bEdTdXm1oELKNwaBdJKZWZmlB8W+z8nK69A54b 1Bg+1pJjGzIiEQLQCwdI+hNETzF+xXTXyPzyTMZ/6B5UPy7xuyyqCOgWdon5d7bYic5X/dQw7Edj Dtw4rifPvpX3W6HXNZwuDmM3CUG0IKNhO/L8ykqQLoM9xW4i/XFvqtGfCUCdezJzaIy/0cQQLilh 3AH6WQ9l8zlldHgujH3PIO0Ydfh6Uo2wjvUpnmdk6bDrpp4nuqv9qpWDY/fEcMOKuE3jkzVWMen/ FHme8tYz64qMgZmFSFgc4X+MewGM7CIqouNj2rMncllWmvG9NxJwFDhWwUzpxXg48F6NQCTe5m44 cs/9nm6+ihkx+pMsAuqk6gqcoyDqsWerdETiU4/A7ouCIcPN+ySPT0a+Ys2/vfETXteY67NFFacB Gz5Q4yIecWH0Y1xG0+/Oo/UoN8DrrcZO8x0d8wnkcWdwjv0cATcPZI72QGOqpuNHqR5Y3yxDWBVc 5AXi3gaNw2NfG/ldouQnVP29DDAtxj0336eOIu6xiu2mT7n+3glhnEbpLuXz70/ozkzkFEexev0y K8eo97NM5QMX3+tvNOaK/A3wG0Ck/PxfAtxHMYLQvJvcfU5UT4o9IpunZfuKVtL0YjOd8970/D5k Fz8u77uTIWy/rdiyth4wIpnoRofCdscl0QKJV5PR9OlibviDNR42jlCVtdunM9cb5/xARd8Iv9Rr JdIxPlDrtU9+etu7elpuTYsqtiM7vNBDLNYtkffwSNuN07AvMM0fKqsMojkIkmi0E1nBjarfnCO4 9Brz7Xl83cJOu7TtWwz0rfiPRMKZu1UlgQX7lKUhK8tap3logUdiOm0/1sJE4RvgO8j157tLbdAR pVxc/79UG2PlxlTLhboRgGfMy3ECOoVhiBOvn+FHS6UkYCub+9e91KQ8hR8r64KZ9wHf5PhUsfXd cO4Zz8bhdL7VCONBsekjJa1PmRUzPip5w7SyL/m+dTlPgTyVibujsUQugI4hZDUiWFACYnkaTXbj Gkjsc4FxdiOuYSYF1Y9AjkNbasZfCqEdx3fDThMAm77+9/F+rGYGqMqAElcPDBMW7xx2pn9F6wIO 3x7cxxgB21d1UhL0iH/cxflz0QkiBswcxxT/jdgoOLQ/e48ymuLmZgzHQTvc9JsfmNt8Q1V2NBu9 Be4CL+v796Q86BCeN2ERQHOBXsKkR5M2er7pjKm9GmKd9BEw1O4cEi+Bqd7uephKDhaGiE55U++E ifxOele5kzuKAHMXv2dMuXVvJIAecKneU3NV+2OcHVWa7lgMNy5JXimYIHqEtgSruivj7rBH7Wv4 8UjtfH0QxgLHN8CFf3ZL46MbQTgcU0ifzq9ow8W6cK/wwMqKkgFZuDVYzk1477D/LV1knlrXuMHi 0g84kCBkqoFo3b5rf5I9qHMKy6Vg6DX2YWlG2EfouGOfdbQuWMUyWWb0nS8mnVgRNwpf2KPcentN yudkgpBEH5KTzAp4gL+QiTIMtJHGsFZHtzQTTubsGTJNdBQkgsOier76/SW4SqpCeAWqj9SnXmUx 5/meWs6jXRveozsu2BnBYeuDS5U7XVOBbf+CrHiQWX12ISjbSCjiolES3pcMYwQgVN7gnB9LDVYn vtvhVcWYJmDeSIGJQUU5K54nnXRETHGcoqj9Xo0PkOvHOzBJZtb+SKafFe3uTh3+kCfDMwHfLBYZ TF8sFoTnH9pEXrkktwV8Z6idbc48bMHDvcGCvtYzbB3HEgV85sVcJbMsPerEkUVIlRpHihBmDJq8 kK32LUdrShqWEETnf5Np2xYJXQI1clXBpkIx/5ajY33VlFUDvDuooPurd3beqDmF1e6tDx/XIOqS lWk0rO1Cwo5zTup2KKk/N9o9UW/mObybOXuwDrDaSnFDYPCKi9o0wQB4/ymob36P4m+838WAaxPx XvpDRQQwt37dhKSVRqBjZj4zrM5hIXlHNJiPjNoc2APVVm2NmkTlX1EqjsMo1fGKl0AsFGFIHlfY 3HU2eIMfhKg6fTGCef0tI/iZYtdjCXNht2vi5rCaMRznEaA6Q+u1bJ/AQcf3pp2OAyiXPvsNTQsy yzrL9bJkakrw4O0yrBF8+O06SGB+MwS6YpeUlKTqUGWXV7/IdXdZVdYGe48BOIPjn/xZ8rpoAKc9 /dYnhzfMEgoutKQXIbfAdsuAfyjOELDYdSrsLcgHTIGZkBMYDMoEDnv8HNis4bnVP+UzfQtKkX1s Klpo55T+BjjsaMla15FBGZyh8+9vA0ElsbaRj1w+11L1UZa9WhWRh685Xodl3OW5EZuehZ7/1/qE j3Y4uWdpsjPFLBwDfpjyTh7O7WLf2Wyl3xrpc4TZ+NvJ1l7UMQpawdTDDJDsgyqt45UWEV4+UM/5 8YqT1o6p8cOBNBQC9Z70MR+RWK4G3Y7REAgZBaHvavxjsl3x+rZvq8JHwbnyo6qHXhMDQjAAaVsC D0hT1FNi4JlzlAmfriyrrzIrdF/aJqtDGZJ29bRFfti5Lum3pRrlvVSNflrpPlkXe3x1SWznbkK4 zH7MLPaBvOSdwnzJQRwyLaQPJHnK7SOLrMjWjXyPbiGB485j9N/DRnKFG41VMpfcME4Ut3sXsUDP Dt30C9dN3C7LIpBDk/resKRFi4RBS0qils3I1XjKJvTYMS/sSbIPKhe+t7i2CSsRz9a6rL6pdfoM zhObOq102XsWRBbIKCml7MhYajdpmPjpBW4kC36fKxgt0nIHB89ADPxz8Ya3Xw9W8xucurPdItdF nXSh9tKhfS9wFiW9RKHjz2jOWnmDhXIZR1BFpKLkQrFct14udiqJAkqgzFU6RmUHYVbSyfjMIWOi OczGL2qIRrSUlET3TcNzcn4Cbo6lYr2A2EgqXwpIYTQH+oQfINvwybZ5vrkPE8r8RcFsFMr+G5+P g6bB18+psFLqmJzFLpAY/cFPcoWVejwQpOBLVyHj3BCab/j4dRgcmxhzeo9F9lTXT0+zltuvfEPX sCeJAkqQ244BNoBU/YGTF0PDofZSZacNhXKwq071/dHyuw9chNpfz0CgeUGKv/JkGcZK1tq/Uhjd q0duZAD0rahTnke+sqMYPgvy+U9DT+hl+64HkzPMnR8MSKdQUXqpQ4/4I19R54fU5Pmmg8r3qGp5 yI0aWiigBUT482aCK8Dtqp/BFapLZ2whSiSwzbmKxlZUXvfJqSPHAMV/x7qvR3+tBkwnz80ugyS6 YwLbOf/v7z4OCINNwkXNcBIq5IFrkLLDYw4+FfJoj1IC38CUsAsRCICKnEzz6D4La1unixs/bf4q XtS4u4IKOF7XwdmrGUJ+sdsxmc2xnD4go3X1HkXezvTE7iIqWPQk8bAv8HlOKUnOfbZBcddKVODd VS57o1FWtb3ngCoaCCPRv+hPzDzFDQ9wKFNae+TcUrlo7AQo8nReaKNb9XyQ/xfw45Rdy0r4Z6yL TFinqR9cTWbJADux3F+ticE4pkKri6On/mgxRpPRo6f3VuiNC4QNfXi7zntE+6YXnZu+fsQNg6X1 kXoSpSLyu5NRHNRzYr8Dz5AZCK3RQa6AUG1jLE167qCHprX9WWgSM3qIqsRE0btYa0UL2B7MFL+3 4z56aD13EnOFOyy4x6AYw4hOeP73ISrhosAChbu0a7A2zMeya4x35/Bv5ur4iaamxq9i6j3aH8hi IhFnpvrgInt6xOhTC8oq2gCufKAaDCCDV/g09CdXOh/hhPKKE+nU2DC++WD5NGKEb4Ics5ZH8m4z 25lglKMkJBjtSXXgQl8YzRrhZzfbiXzrWF/KgAjTpaObkL8khwDgX19eNpkymXrgahQXhEseoWuO N7nFmN4DlCwwoiG1EzJAoijby8gXC0XVguRA148DcDmsLnYYpSNGXw80HdvTQeas/eX9G1C0bz8+ vwGo3CtbH72lId4q6a9lzrHt0/aNYSsw7EPuvCTnw/74iB5TRpQVWh5tZyFG+tbhnnOoJy2GS7S3 VTiy9ndNWEFZXakVyq9ZyF46g6DT3XS4jPv4KFZah4VX0wAyBujgFGeDvmy1uAeOd88Z8/WSxkX5 Cy79cVr77bkA/E2ipHD4oHzzCqwpdrOoBh9Hi1kX/6+jbOXiGd/KUj6eMmfQHv6aExKfHzoFBK2I VP6VQWmHfw1jvXupORtEyC13kg/uxwMBxRYmyPEVTEJbaKTk/glRZX9HlEYYVe3RKzIPPXILsLP/ ACfKs6itbnpX6qXw+bdtfg4+LrcwjyS+xG47b1isOHsWtVCZWSv3DYu1haakTfBMWwcQwbs9FjFl PvEDKeK3sU2DrGE5Gv8McEem8lTvt7MkAIQqaxp/rfnGbLAqfeB/Cj1b49qQ1LGdfeLRthdLIUU3 FFdACXgasuDrdSPcbSqjljMJBBfkeZXlQvu8/76OkjV5zrs7qNp8sXPXMXacvJSit7MfTjCv1meT 7ZRtl0PRd4QT1uXSO4jVJmwPYlU+zzlJAvNws9v+uJKX5OXVvLpwdAG06Hak5XbSiYZYgQ9CvdJv 6ANX8Y7J17TULURx3baFuJUmOY/gNCD6Qv/6VAOt51GzhMKBM/gg0FXGbxf7D1vwzY4Ah6aZojIF GrBIDbqAJ3HD7q4XRkFxZSg+ZaOV+SQNLDLhgMm6hXGQqKBLvEdTASnBcs16m+C3eZXkXhCokUPW qEn10+EWXAAxDRdzAI5AcdafoS3FMCU+XvOz8RB8/+y62uoyOPiCADaRhbg2vs5DqL6Ar44pF7kD lPN4xd8UO9aLYWVtVPjrOFrTy2/F7OGtpcgPUqd9M308JK9Ur9odBT5G60ESZA5DFkql+sRSNxdi U7d1spN6Ynvb8P/STwAJx42s5grhhcFAfeCqa23hpgGVdA/C0Ht/XvKl4W3DoZZnnoSjw9yFPCIE /7JVlAESnS9MhY53R5bub0ldiaNHOLw661nnGWLzxzQjrgjgYJOHcD847wsieP/9IXNBpFdt6Bfp PFkA0p5kOA4+Twd+GQpU/SCAg/bz1XseAmWjE3Gxxzhs7DUI6/5S5PGBtv1ALMS4UpPxoUWt05Ju uWeWepDvXZ33I+38jw4eT308RYNqieq2FlyB82rhSIZQvq4iVzLm+/OdoAEHqmj8Yt3UYQ6d6qoj HcLlWaLEqU6ESY9OxQ9L3/Salto/Hfq7eWW1xBdZFaiq5dFusgTL4oH3iSxtkitJk1jPvFEgYdQu VhjFaR/5WhcbqqZzs7a89KJoebLK6V+DM8CZVPeeXv+uHingww4t2S7mQ4sndFPQ40DumWEOPLGv VdS2Dx6ZgJinT0QBtVqyv36boFpzthowPpjY9blIv5j0bN0fr2m05aad7PRTYGFMKBBYNx/HqqoQ jFGQ5rQolV3qt5O0AHJlGSnZvCTcIs3jJp+lOW0r3Nvh8xcCUFMmGBSsaxBwcAEBb4HFKTjtZqB+ 1vL9oaJMgE4z0qmUSwlp2OA2tM9bJ3kOupvO4cKPc0ELhce6VJBwF3wauxhoGybP9jfUmQ93csgr 1DcjYXccoEK40857Pt5YlhY2pWHRolsP4WmSsCs+LS0TIYsv0v1oOP3iIKMbDPrCY8yE4EUhVtzg dkUy0RCB+oU4SuyAWPUH6LBIK/c1o/ej7su2YUjZ5M3+Sup7pZ0LCbIWxRaeX1+3SEp+3rNl3ic1 2pNFcJx0iGWYyrdOzYcaIzzqaIua27vYlp08aXQYVTrMs3SxV+iH/jYj5aGqSQVzNnShWJPL28ad wx13+87k+gSJMgaZb3duF9aeULrk2yGwjxZ4XeyInmibYTjBFZW8o2A21FYRBCEocHRuKG83qGTn qNQwxg6hRwFfFA+RB+LoIFNiJ6BbJ02/PVfPpx4RyOBbCWo3HiXqqETImMA/HYI/RUr7fvbqG+ff kfh0AQVWla3GvQP9AShlB2Lrkr8nboxPoUjEXH2fHRIwuX7vm7V/JisxkZsqOrpT9G6hYN/2GZbx +QCl92lMq0jApKr9SEYrsjik1z8J1YNKZr89FmSL05p2VUf76z+rF7wb20ykVC1czv61QY85I/Hb xm+r2V3vJ1TQiwA92mo8UsnGop31SH+dcwLW7mBNms8lSK++4HgwW8WmgUf9XrGGGuIL12fA1nvF ZDhXAaT7Z9bfmZRGyrY465sajjkDZ4KBUm9AnlOV7N5Dwem2HnhCzrM52k2u75tdTyrR0BNn70YD KxAKuy9qMN0hVc77pyZCnfqkKi23rw637YB4DiXzeP2jHASxMsPjjA5DD/IQM2uRE919cdweFsW/ l+MuVsmcmvSwP8vjgR7TRlnoSgSbmxRgIDGQ3H9EUuX52oPdyfhH/MEtGAdAX/9/Tsl7zIj6ggIp Ntrj6yfzL/eRBkaoHXLyZS99MMnifWle0FRpNfBKRNTQYs1ydfoYeSLqj2oihrOqPFdRiognJNTU s5Qtjdxz7svES3KpLVw0vFdplqqVLz4jlkia7Gu0MB3r/cX/lUIA/koNG/2FB68F23iJno4ZkGLZ Ux04gP9WWbokQzwuP6kKZPY+1N4i4RZT3l3ohurMh9bJpFJ5y51VayihMGMMp52Iuwcmqst0ld0c A0e6voYGLhVy0HQVqgKBfccCcjp8MyAK6MdicP/cTwgu71amYPhatgYdsOAuUkFzWBq2AWHCBTci 8lYHdi16A4on9nhQP/bRagutDgsTD9KDRaAXfJyj3PESf9YbrpwW4omlUltU1D3kSi3BWm0cgIqe lHqbtXPBpg2x14/L0AdPj4UlGAcABHlv+eXauCdHsoE/rVGeK9toU5oTebtVAsav+K05+kkq+oGD KKcN8VpZQm6FW9ceH5DUBNuAU9tb4RPReKKNdnPwQJzMW3iA0yi8TAq3rXY6Y7UeBuH/Ji+rgvrs XxdSQD9LEkJreuk/iFtD9soPKp+hHTchtIM8zvA646SczcbPzxpy6z1nDV4XuTPc6QBkl+j93ENO t/hqu45MyDj1pCEbGirRk1SIBy6IdQKRnyzFj8zBcDMiVD8ayyD92nT+lMqSkd+eoHWGOFEO6j/8 4hJZuDaYpf65VfDvVmxW1ul2w9d90B0pfdh7JGioo1hQXnzEw1Ti85hQWhzvlE2Bym9z0GN7DW3Z adqsL+jgC7AA39HlNRekWJLi5ArUkyFrfUkEZRH1SwaiFVEG8lic6qsxoxvqwKhD8Yl5eZqubPFZ R/sFU5RlSvrfYghe0jK7h3X3xSjo9CRWftd6rZX8qOgfbaUqF/T6YVl591FLh70hS4AJudpzg5AJ FbdEwVaFyJHGjgpP8lRGZZV/rr97JrJlvLkNU+dGxFRdEzGnWueHqqTzcOxQKhXaG4DIR0O4czbs NHUFYBZAATQ332C9EQ3R53iP6Ykc3sGOShnjCn3iNl88Tf0dMcruzl8IC6aqnw3ysPy3oGXabavR +VM2ZNwc5Q7YvIt9Zw4L+YwJoPwZGhAKVtLRuF0o6XbfoFdDOtnTV4Ew/6Mj7+rOWbF6AyIVF9u4 YGPu1LLKfpHDx3DR3/AfXIT7ITEWSuFrZ/w04lkkXcSujgU6DMDmiu78L+7xYLdhGG0dIO7c2+R/ Aa4WxGezusYabkfPM4M0GOx7qSQBbCO3fyVlVoXSt4y8UpxsdOuSaQG5nA4p0sfREkj4nd2BvNpo lAPzDWJ6p4yBYsb0ULB2QUeLxgqcyDxz4kW1TtNahyqKc05MoiiRHVI4+DAZBGJdgSMMRAjkvc5J AOYDs2KY26KFRXwXC+tGFjviypcbj01k81juJ1d1XbXYw8yrYxRHMqeI/gqkPrMTbOune/aJUvfT 8Cq1egvvJo+N7oMwCX4gzDWMLnw1rH6w2uwDqyiSypCJYB9LNZWr0pGP8/huWh/B4El8L0sGkL0D XEAykBsagQa3HO4yqKUfX4M7jh3ueCFuYAOO5c6iJ2SmJuux/MzJDs9coQE3mEFRDY7Fb1oY1yts RWvvur04TzDnc0P2whKMeeXPdJwNqMvNu3dOXuuRNSPLoWmu0xhsj4sF6gYugwYgxmNfz+FjKTL5 DqnrC+U2JnGrRYGguddyfZm27+jOXe/aMx3Gc5jbs1UmhhS8t5701wUFsHFhBgLy/wqUE2cwDKXd jtIGFV3aDj7hooMYmRtff/uCjVdZ0//UxM5Ubn6TF4LcUlj/I9ZrZ2n2IEldm2ip8VNamMJWsWNA 1N189vwVqYjrFbMzZziKKkvDUTu26Ts/127RaY0zGjz/1aNveRYQ2xA8lzPN6pKuUe/YFBCDFD5m AMObPFeyVM1RkAsLXx8ha/ACL2cKygs2AvT3d1lveEOHkXPosM3h9VKA972odACCysWh64fFPmlh sTsPWL01juCI3hc5Y5QRACOkcCQv7uq+oaTqZENrGuH9aw+fMVhXQxfDYNDgn4W9maeR8o4jVyYy sl/oPPRJx27F3Qx2YMrMMFaIVIo3aCeLIHmAsmWEd609rQooVN5nx8zmlqbH+Kc+M+ILsdCO3Xjo PyvmfFWnVArnhpfx+8KFIwYgoGCMrMHyzNapPLk6FAdRgHcPtyDkFgFb0RIz+6I6fehGTlM3PCyO nDU32NQEPkxOgi4/Qds/D7QeWyZrp9dM16whr+EajavoJ8tVnYWVIsVMGf1jFyJD70FPQGEaS+dr GgTUu0ehSEtNAKn1KN5mfesBw5hspOV1a4YB1b+2UEPNoGYzA4RbZuiKI41n8x7tA1xhSi7Ob5hR SwEiS57jissFTCTqAcmv4gUmv/eEFs4OsMT2T4VAcv1UDJX3xTUjJRhhcE7DVUnRJyjlAXiLmJWi rCHB0u1KlUvJCrAoTIVNWpEXINIkuDl1C2rCaJ/XPssG7jU/4F9JSqAVCFWkW0g4J0av+VOc4FkK sliWWVpLW5x04MkK0LpJS2TdpzJbLGozLMjYSFbZlupo5jtPM9Awp/7wfGTc5YPeDa5xBma/IV3e RTDViyr3ZdFO9y2RtJ5xzcYwpfu+uafudM1ITkHBCnaflWWqgTU5CGPZqs4B24ugu7xklFlvDTp3 OQC6GF8DDUby3x8o9vOzvkqqtH+2NxoxywQ3nMDTaNbCcs/cGwlvK44fTIaX4MQ9EXp/feqifmXs GzWUQNQmmfwNw2GVtAiLgu1UsrBqaXtfhdB7vLrNhOnE/0Tc1aGFiASDicVUoPZTfX/S8GObDHRq lpf19VBsAZ0G1ZgAvW7ooGTSoxn9khC5/vYWnjut8YicBwJwjVlAonFi1vY2H3ehYEkP1G4qluQZ tRH4KwR85fJlsJHNvW/yx6MQoW48SkKCe/cc0MiE0UsbfmN2nNr5gz+LRDGZYwKLkegNdmrAQ2aU Phrq6zHLMlrCYgwY4P7CkVOtSP8gYEKK6UyxCs42nLhvP5QKmXdrhZgF61cGIZIzlrrOKfJl1593 ZII9KOpOHH70j0gnLMoREI6NZm3w/wugj21gxB4zBWqFb/+RIKz3ieLnGgNCYVqh6TFVnlxBuSSh QCUAEWVu/vGC2EtGVFSD6+62TZjqp0o3DfI22E51LCnA9u5GGoT1rQD1TkBzrKG8sBma/cbKpqUf kGzHQjSBX51+N8BG1SbrgLVficizHbMymMDDJzpFJPhb7uMRVkKBn6d8ks1NgO67YjQaY+VOneiV v7Fl8OXtzibmESZYsTwG7rN77EbdRTsh3vcsXvUXl6sb2DgRC3GYcPCZWl2v4cRuZcd3gOk6yI0r bp8TLSNBDmSL5AM9NyF5B1JoDxOB8se5HDTddubTyureQbUim17LblfYWHHqVecl7L40FV0RwJTm ZExjcFpgjqX87PjgVumbrBe0SuOOAni80tw1YOuU3vdtNMOXVWIY8VYVtyi1JBZtpa8MF3H8TIJw ydmoXjt1jkTYM8OGE5kj9TKz2DWtwUtEy9TU65kvDPIqFxYVAedI5F8gjU7XxggBr3ieYBKUn0up zMZqOesja21+IZpaU2m76SFBPxYmxg8OYGq5Yg93URYWX0V0C/smAPR2sa8lW1aLJ6uBOUO+Pjv0 hQ/LDj8Gxqur3WaqjQVk7HEa+il/Si2T3CUVcWS/8GMHybnFMo2LA3qW+wv3fNmpxYmwgDpFuTHM 0NYt8Sj29AkmDcsRApIGh1FThkvQrRpfqJZmm8K82+9kqPKJ873ISdAqRhPTMnEMlA3iJ1C2UcKB 4dDHGzyfsXSWteFPlcD1mU6loMX7S2leKzKiAszv772cpDRZhJ027zFXkqmc1cauDk4nJ2NERI0v ooEbrpRUlNJPyS/SlsUE/aTpWW6aP6QGQzbmoAYLo8PEim8IbbO/r3qiRFbGm/7sxU2y9z70ybYY gobWo/fWf+wbJh+d+hz3jZiONVsi5WR1RvkoRZADOgSutrddvFBGqBlwst9MoGOqQrJDJxdb8Da0 qL/043jeSxy+kfr4tYU8+uO988Qo3wI1S42RZhepn6czOJndHjqoh6Pp6vEXfey/5N0UgDJrA7SX c22pq1V1hylAYKrUe50ov4EuSux9wqD0i2T9yeOWNDFjo/J99rC5zayy93yvEzohu4ibgJPcDg9p S83VivLTvopZl770B/JrXfFD5fjxOnuHy4wU/uf21frAKVjyosOQE3m82CfVbk45P/y4Iu89JXyz rHhSJmBqrV/5TJEKToS6evyusCeyNBmeT6wOy4QYoJFUAn3GqrURzjkNRSJ4KsrbdUoigMc0sebO Feaf/jV5/3z5AVOJ9OIi6WiE9Tkix+tWZsXDOUzK9IdNlL+0Hv/y/BvdRNp+kJxzwI3brdQSyNls T4woNcHM1PUyQWTH+5Fi4ESfqG4qNI1e5Ad+sVJ3VvG/a244TZ6rfD7xLEcQzuCQ5COixAwoRgN0 JowNM/fUZFLv9shsZZUEi23q0KyhJt04cKK7VVVufJ7mGJVNrzu0PKpk8riW1RtDCnLTFp/4YgW5 rgsZz1ujodLkm+/qzSJmcVw7UrxB851R86294CgpmPTGgPSGdiUGWUyUN78SpJfKO4F7YTSklnKg Bp4Tfa14NdpFdN4qnGQNCZ3bUpz0jXnmVR3or8Cpc3zDWYiHwYbXuuUFU8WgjqEeO6+YQ92IWjis Z456zc6emBGSjza4/rfcNVOWFCAzMFwrB8KN/tqkiFPX4AjlLMWh/b4RTVd3jDFpq3UAEXtZCaTe BJxfBtPYXkTXnE2hY6zbytOcQjC4HuHHtJphIvIm4KXEL63RjRdayQ== `protect end_protected
gpl-2.0
a9c373798a65aa5d0a5259c436cdfc0d
0.946424
1.834907
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_axi_regs_fwd.vhd
11
9,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SOYVpLO4bquFSzdYRRoFUJR6R1VGHI2ZHnJXFJeeBsuoDRBfdXEWHORahW4A0rWgjN8aMxqnK26G ZRLY3P3SMg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dNa+su0lFKlpb3yzn/MeCLuMa1m2nviQqs6wDH2vpYr8aseY5omDbRfqTey4y4neerZiDBU/I+oi EJWIIj5x0QAmR21pyVBdoG5HGcdYghrQk1ER3FOkyb5E1kSGx8taYfs++jHGNZx+BMIlOXX33k8I esAqtU7bjUww9e//E1c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hOtq1t0vzh6ioERKB13p5svtBYs1T9WkbLbHtv60aM1RhdzL9DaXckQtYSPyG2bpGqMgwQ4guxt+ yzSekaMVPVGG2xSbzXkjOx0L1PK4tgy72Sc3uO7Adnx7Syb8yPtrQZU018ba/GqYHKbgmA3RxMaK LYxQ3MfWvwzu72QliyVY9x21lmsaCLK7qRIX1lC8LQKpwBL3jZbcEl/VkNGdt2RC9wBfHOz5v/WJ WP+X8UkkVqRN+j0L+bDtPermjSsP7k/KToPqOMioeL8QeH2H5DbtlR7Aw6hCK81tdcOXtKwyYcnF 7uBOxJjkFwZZ1VUTGtstTiUu6Mhjo94EEgfc4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mLq/sLLAWOJLJ6eqKJGMMoZypYneRQpmi8SD0LfVC7FuoVWq0qj+ord9MslpzRQaKBHqHXXtK0ZL 8LHVkuowCb7LckiOTeZ1z4hHYAkSC98b+sIH35GlLpY6GK/LEz4kjmLQTgYuLs6ce8aZpbaQl6Zw ocUb/yBYwP6SS9z4Oeg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eZBT+C/pu9ER2iL3EzIRmJYdWLYV1InlOdV548JBQv5AE0qovtprWT0gQ4dME3Jnh3sPAZNgKSk6 EJTUEGlaILX9ud03JCFJTvCeylo0P/3rZ/xjqsepu1/+6laGC64ZLwZ30/u7dS49q7G6ZQ4SWs3Y v7IvZnT5u2KxPJcOyV2EJtfAqNmZu2Y8CFs8ZJXw2jFwTM5x8E+U33QnaJ2RSIFL5Xk3bGlX0vDk WHuN6xMEvnUaMFqpNjesChxUqWXc7UlGg8Pqkw6QjSa+WCsAxa3qpNrSW6t8HLLgZrTYruAjO7Fu TaToDEJrCrpUesNciqaJXiWD7TaWQyU9B6jA9g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328) `protect data_block f2EOJO+2G8kQ/UxBY7TFfEQE9sfbdpTGAqtjOReNFOPxGANIBBKOVp/g+0kGq2oYqofJFR2bmOM5 zgpygDH6Stqb2CV7spMnwHSaQVIUHOFh3AVs3pKgpsKBX/FfAz5A/C7e3pFJrHfb5CLOy/wOCC9E TomOZ4Y2TJvssfXLD+DTgUrBJ1UoV9BoiPBrck304S3kFolhUTfrpoui7EX19Qd9g/VLWuNNat1Q xDhFGiRDj8bQymh5+QziSSyyVZdAXu0Q+7ccD4/+Tp8YmXoz/qve7udGiYWrjFf+ZJWn+fbVmR1e mPXIoGb4npaCV+QdTbZf1ia4GXVaJuVO6BDHk5UvR6TV/yDg7gSGWRGKSE5G0K01T9eFosmHS7Ga 7N6fo9KRFyuSewy60P0qfIzaOVT01b5Hy5eCzFg6aqiHafnKqsjN8uAZICqkwYDx5bIGbvtYtUo5 ZdiO+CQ/E/KdlkWElSDbGusesR+/DN7xqdby+qRsPoOGgnvH5aMXr5vNdQFWGa1W8TOAcO5zbonI CaUZBiYIBvR3leddWT5OYZgZknbWnUe1PQ6WPasNYBOjgRgLkRSp7bAYzAC8oTi3cSqSf4uKL/WP msORC9hO52Q7vdKPwtXzKt0RQN0OG94uSBSBK6OGtD5RxlWBPw4lqXVrFsF6vXi3BtmpWVNLoFv7 lm51+1+YNxgmOHbyOhNZodxNLQfvch8PnOFsDB6wTdi7ML22UzLsiEzL8+4X4dA1iURrDlAnBXPV mn1aLz9hXAsWGygPiGJKJW7SkAe5szVqONVQuRywymsEbNzaXP4EBZ52oWqpskYSZroQ9P6s429n 8a1cWFz3RJOFJwPp5penBjpatN6RWRP1rBkjRs7xfmnD6lkHrkflDjZmHCxmPh/l0Mq8WKGXgDLD +c1dNS1jWJTRNH67uc1xHWB96kEYYr3HEdWUAYSfWwgvOg1I0yvaHbOSBRxpFWl+KGyp5PaZ6nMl yiMHaTcz7TXsS2L+aQXSRNWFJRg6KIMxJaEwmK7jtk4Nd13nwHFyCXdj/SOqsrwJVxQan26JZcTa 3W8Lmj4bh4yVDF/qANzuwqEgLK8G1HjjDScwECBLtbg/Z4cyR+1vsVrdsSTZfgfC189hnGMZNc1Y BgsIK0BhnGHSmdY8OP93VL/by/GuqntHem03gL5nUs6GSX0L9nttJTjea78WKSofPjEEqoa8McLh gCesouDAsr7DKIuWGNP7ZG0+f871IKWn8/2SDHh349pUBLT/1TN4gQkNVMavXGbGV/kO4d5fuKnZ ybzUyoJFKAgWyFldEzVVE/PNyQFv08LlOFKOGb8u+jeQ8GrBzBzHSq72LMNLVRy3Zi6FcCs9l9Fd zBa5t3AUUGeoud5KEX/CjMAo1LlHDGVKtpmX+cP6we02ALC9A7fiItBUCVtAQJ59dDE5SaZLWBFJ UpZlq1Rk9ZPby6clGTIb+Ukcnxr66Amn4Hx+8NsiU2EYN9AleBkD3Drksvxm0ILd8yZd/MQd/Zef QkVZr0lq9tUkQlrfv9AL/eT9pVnyxXLq7oYbQ0P7TsrSN9GbsrE6XFLpnEgTmat8/ex3pLDa//0R OE3nlBwTrZmXzMVMMAaElClRUwGq7SrHrlM6ynl9sbOFuBuvUktPiU2pHDq9JEgke8JJJ+qBmexD rc0JJsv39ZARR7GtPxi4uryE+ar+lagrg7Cp9EH+55opeubmZC0aTQ1lRlcmL0uk3mEcqg4i3A7e 6+GT8MoVJ3hkDv/0AM7q1JvE35F/Pm50aw20Xmhap8/Wf71Y4+k3pcv7PTF619lhmF+d/9NOjyiA 4cXp3ZsjNwmKII87Wj26esWzatXsHOES3J5Gb/tasqFR1CBmLRLQRpI0vhnQ7U62eP3taNv8fhNC Ph1wZrEaa4PYMtkmICWfhiKRXh5sDWBB7K2wUl5IVorUuxeFzU/ZzbyG25I9In8XLMqeGYq7RtVB 50cPVX9l2+RWl4A9FfpviKm8uXRzTidqsqD6NL1upjP4lPOWl2V1KdkMZ5KzkIKVBfTl4iUNqPl4 yJQdA3L8zk96OskOfVqT8vVlmlllwoAzq2XTNVrVbbrSHzPI7n1HdrTI/9rWM2112qtvd2sQs9/0 evIso6cYwrY2rag0OSAc46iayr8lZGl8EI+LzvLk008uo+Yq60nEi/jDXmEeQr9W7r1BnAzqP0H2 AMItYT8udCR4uX0o2dBt/dHbC/lgWnsNRB1vY72udrS860UEj1LkX2MeDQJ8YBjSPlBp8M5t2Li4 pMGNAsFGEYiG8lsIKWUFG2+oES3dh4VJSWw6KIuKQZpxmcLfRZcBVfWSDMhO9ihvK/Zc3B4jQ7GO 1yicdZusY+3V8LH8/l9IHsNxOt4SLgYu+G/00Tbc0UIEQwYI73sWTVo0yqDqrvPgl5wyMYu6899P +61rLb+W/srNww2Zk3AW+owwa0iGw/WFvFY5LeR3isnoQSTuxfgmd0Jn95xZzQW+crdQqqooVLCe Fj874sILLHavLcz2W+4UNgiVi6AbQa2WjG8tI8HTo9CsJ2+0RS03X05GThOPHgLDJS2WCtB7/noO LAM+i/KEuEKr+8wnB5i1M7CSHFMwtCi+t1HFfUnnpnZ25SD8SEi031mY55Vhf9fs84GKNTEObRhh M+q/K1NNnhbJiY/Ndl/eW4ddcTumGQgHjG+wBRduOQnOp+j7XYk9u/ARaA/uJ5kuZAJNKh2uTM++ jcJ7wm78RjYqBGPoA8LTBvMD1YP/SAWkUBPU5MZ6H6226+8jpUVxP/+lra3djswXVY+b61jjHkAF /SVVw2WfQhOlA0sXMGVRXO6ruV3bHeyLYdf1s4KaS68lbDi8nhDbaB4MAAP9y52eTe+w+u2qS5jG lDFra+hjQvO25vMQx7rxsRf//eGv9Cpf3BX9QtbACzdc0cY6ixUsPGzgUS9sjc2DLXi1Zye61k9+ LuFECJFUrL1stiXnnt/nSCs3pf1JZzurb8bTH6Z/59aVmSnVChUGjgICAeIiu6UqZ+8yH2Hu5Ydb kPBPXScGiHgk3zhPc2v9pJ7LAWyKZqRcI1IcjF+KF6IKNbE7KSVelbrHCsq8s/p8jWeFbJ8yRVtk Gzw++bFWaoLsRuzz5zr3n0upbfLs0iAgGTGA1YqpO5vmaCpkeV8QRDYpeYfjFaQARZSNactFZRxQ Brrfdr63SU4z+i3L2QqxrqY4zhoK9uOiWomqXB5jW0eSg2JAPQEg2T9PSos/yYWLo6uwFYZTFFSB dMtswUNGPLe2VGViZLv/V4vjfksm+Nt3N8oezq2YR53Hj7bdo1R/Qe9xZYPiNvr/GCskFUFsm46O uayVk5qQjSNPv3X1VZG9lwChD+b+w+ROWyKm7ruE6bor5FyuP/H3IPGvQMbveB1hd3QiZ5UFpF5V W9TncgJ2vbwVuoRWgBmyBkV7GSxhwlk8CUJluGbkYwq7wabnlpXoKLy+blQwQh0xIIUfd/poHWeg KGW7Osc/l3OeEsrv4kcsvtNV4uEqr03/BGh0vEnwpROuDxHqqgftdDZ7nHx1CisvbIKHVYZhEQeW 4NGvTatEt6YfvRktjSOD6/PvS+2yjhfkdTwMEd2Lrin+0rD5zvCktugqzqUtrBRmaHSwI0qn0X+p ynf/hC5W85snlwfYH8QVtwdQYtNbVyhD3uSVLoDx5rBnEWrYxqbERdDpRck7RMhg0uCi3nQnK3SJ z+6oumKRZZnET0Truw26VtkbVnRryQVC1NJqOehO6plRxN+WxIdD4p09c3fFimfF+3QVn8/NBlo6 vYuJABDZ0wSPbWbWMRy6irYs8113zNIKZp5e9SAGIi/4Tg6SMBLG0qprCJPsuKFZsNWR1Tg2hdfx PhwSDwhzd/1w3zGwPi1kc6ikLM5jNIRMBa7ddWPSWKVvJRnw7EABQIhqIHoGg3Vv95XYcFgPHjQs 2AwmxS19fW1y1X7SHfcRnB7Ue7ReXPWQnYvGN1yKZcXgSoI8G44/aQgHeQXWc+5kKXleboVCbVJL sUM7FgA5mf4ciaXfzHByC6WXLU6vGmZKoNUvVIS3JltyuUdyNanrIUhIfDrCGmhL5EU0DLwS+vKG S0VdxMjn7rHyz2YYh4rB5OvYZRPQeeg74knsBZ31Ie5BzbS/BOuPok9aiZRNpvsxItR693WY20kW er2Wdl3twHNp3lgmNkfgF6XOU53AIiVZ59+8nMvOZ/u27BzJf/G7rjrsRx1mZxdsJwV/JUBGpS54 LR70VE+HGNug5DKfnCWCs++jqIagowXk4NVd6gWlOvt6e/CytYLJk7ug8NlZ8VFGh9p//bovvdlN rvQgoCJjH17UVkP8hV3C/U2ur3ygZA5QpUivW6u5mZAcVvwN37zTL4SSRKyqcmtUX9bUysnS0pnc Dz/KMKex1bdd3NAut+W1HJYj71MVjqdubh3oI7kQf3q65TmKhBfkIYr++/P+hKxXwIzbJ+joE3+g OeSLhV3USebcQMxULIJx3WZviJGsEKDkPPfRV+ftysC5qtqoe3QiCp3AUnhNRoVbdptGxg3PWHsO 3ahHAoNbtpJsw1ikeyeAZRu1sNP4Sm6Jp3atJYGuM3ticPOgew2dZvhyFjPGEi9wMMIapWcs4ICN ZzLaSh4DhS2QONeWWI1ECQmDBMXy8qmtO+0ls3wdjTGhwGYdyCBNZgniDuJR72Pky4Rreoa5P5PN zPg3mMGN4s8xiqSCfrXuvXSEWJz6kg7enY7ykMoQwO5FFNl/XnTJcth/yFPikeY8kbv0M/fMqFlc /icQAWna8gBbq5osO3X6AbhYhSjYQh9pWO87ianL50cu8uB6MxseM+0M7y7Abqxo8Ruzvm5IWnxg Zm1+QUetMW7EbJb/svouhQmC9Y7bFhstSEsXcB18GKi19of8vxaYNIZ0g/6CX2ekyfPAKMJWk6P6 mjI1ibiYPailOTFzHj8vq+1q74H3GY1dln+xb1LIXHHYlM52Nnq+P7JVGHKGzPyl66f39IYjqV5j /wYcBzCYiP8iGmzZEqj4hVSPKmSKK9dKecC0V+OKkvBKOd9LYhySOsEGxTZ14h6LHc76PQJW9E4F 4+Q/GsI6yc7YumH5JFWL5lH27zqx2Vu+k7HdtvBB16lBEX42UN6QFAFyLvKHyNfXAqZ2/faYimVh d904jBAjiznnXaXIYoWEHa9I3vHk0Xv85leVB+3tDAI2SURv61SEetSZUfWFooqYU7vzl9g6yKNQ 8h5F/uAwVrHs0IL6khptORK4js09lLPMgVM2tqCRsYDDtRm+7xbMwXfJ6EKTq0dKVTXdrOmIvWYq 8T/E52i2+Cfez5WYA9P6EYC2kCBYLwRq/0ypsMQzPwQPJBjaeVkEGLC0VzCQ0Uwmkdcp1TTO0Odd +TVua8v4og9rxe5N/V0/CP207Ba6JkqlXAGKSoq129dE1zOCf3HezfBDgjOUOlQw2SZV0DA+Irn/ rdMvpYnRQujtwCNunBGBtZ15Iqfbf1Sk6Kf5aO+phTXav4k794u33W7OoRlSxf/FtHtnr2D3TdqN nU/JzqiGaKjpH4WL/P49bNtRDyS7skpGqaJboyEO6NF+ZevMfOqjQhekiTITbU3zmPi99/T/EgRf CszTqn43HMi20z/qmjWCde5AQg5nIVAW/HVnhLYpbOPDX9252jidlzGBYM/SU+bVd3cZMlXqFSkF zlElPzc+OpyJckZCsJdT9U6mOK8HC2XVJiHgqNnhYZAGw8Z2Bsfwd/4wsHtFwKlTpqWHRKtYvN4T OGB2Z7p76uDxKtjzVs/mYAdg7vA3LjgowA5Zx76gA5m1LmSJEzr0a/f0OCwApJMtHw19RP/D0Ehe azLUKqDSofX69ZI4OEJ8GpQjpFUL1YqXekoIWBWZX5ogSKR0GiNwKJmLDfcCznUIGVqrJpQhljHG RpbLdc/Md1b1NGyFqahdbCQpPDoYdxE+pntPGtD0KR2iNn79RRkVg0kvkC8BGXOvsSek7S69HQP+ ZlKOwO4Pj5DfcA3FvtXc3W420TAicx7a2wbUq/mHwk99HWcaePNZ3d2p8JY7koOfelMVi7eYB46k BzNv97Ik1bgoRcEbY7s43JqPafKbruKq4huDUaMvKkFZV+tcmZz1lTTVxj7xyvj6lR2XCcVFJpuI gU8tfuLY1BAGhfl4xJvwTeF/HWI38fpZ2YnRx3g/1ibevXjvkxHvVA3Yk5OiooWFdwmsZIxp0CWM ZX5orpJ9/EbKd9bjkzT3gqyrN+1jF4GDjj2m4T7ye9P07bRD1CoMssQ/asXkuOviXzlQwpKpOzUW cLTOk2V0ad6tyLVdjAgmmD8BR/11Oq43AXx6LZAkKJ7SnMfg2tUxOojrNtWCLAayzvVU/BMMg4Qw hMnI5CvjADM8r4Z7DFx5Y8HUW5O6KJOwlR5zq2+vRNWp2iu1fYcNF1UQAhwhJx1jnr5IYGOyDe74 wE9N2VgDx8rTjov+qVko95OWhryzic/NmBaG13taKbi9fwTznrSI+jWO0iuONPMiSNDPnFyzxRf9 u53Vdvtiv2Fpd40fhDnh2O+hovJKTE2FCeUvCfGsHuyjaWsPSBZhamVruk5koKF2vZvRerh0vQyu gAuDf+9oq+FkvmGhQiX1leYEr30ZHC3KqaaVHnizlNputI4OTrkEQ+8KI4++HIdo/FnNXELHhrpZ xnhPpJ3UJM0xJwd2FneBwM0pqk3Le7U2Fx10jUa+UDRyvJToKURyEqXQBeH4UdMX64aUDadFOb+U Y84AhVjOfa2lbUN+x85bmULac819DjCwKE+sgBX9GHWTHRrCpq2AawPIyALehk/eWjwJmr00PKAE 83zxvuuYthre7DCS1oCqNTBVRfgPwQBeXn4N496bJ6bjFhrwWjfA9+0KXubKCn5L6IPIDfnRM22u IXSR8Dvhz7eRCYkWtWY731ScHyvrWB7yUQOnP7qZY7b9u+rdFrudLgQo/stpe9lhPzUCqRtLxe5H EFH5yrnXaZs9S8maM61iAOWg95T77nkouGph9PfEtOqkfQ4fPAM8zp2BVvviurkUk9v70lmFgk1X Zk3/OxCb7q62kuEWGNjbX8q0mk8I829LRUwU `protect end_protected
gpl-2.0
de8e5b6f0c3fe323b84395cfb2589d21
0.920796
1.907093
false
false
false
false
UVVM/UVVM_All
bitvis_vip_sbi/src/vvc_cmd_pkg.vhd
1
6,927
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.transaction_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; -- VVC dedicated fields addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); randomisation : t_randomisation; num_words : natural; max_polls : integer; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation addr => (others => '0'), data => (others => '0'), max_polls => 1, alert_level => failure, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, delay => 0 ns, quietness => NON_QUIET, randomisation => NA, num_words => 1, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - These are used for storing the result of the read/receive BFM commands issued by the VVC, -- - so that the result can be transported from the VVC to the sequencer via a -- a fetch_result() call as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result matches the return value of read/receive procedure in the BFM. --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); end package vvc_cmd_pkg; package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
mit
ddf3d9a89cc74378fd80dbf84bc4c47f
0.442038
5.208271
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/adder.vhd
2
8,876
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AR2T5aYL0Xp7z1b/BSAP3oi0kfeIJnqpRFMFnBtpUbJA32qNxc1smBQ0IXkC56Su+I5RPiHPK7Vv CW+hODi28Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PxkdAf+A/imI4abtlWsnEXt+hL6EYlRw20R7FLVSRdTwliyZly0RxlrJ2TClApHJ++VYo2E3B+sA 4CuL8LWkwOoWARmsCLAc/AQTXHFqAa9fps6+j9QMHQ2U0BMomWtg4VGOtUXK+UtTv8Exb87dzaLT 8/hqkTzGbmqFMmVO+Iw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2RBiW5ETPtuatrNR/2F8YFtz69oolvUZ5gEIqwEvCnPAZDC2NYTecLnB1rdKtDPMGYRrpSKiAiJN vlA52jXXtL/k8RB/e8vv7KEJxlKpGQNXoryIN7c714+75p4M35pdxah/5tLctrUMkhk/FkH9i5+A s4/nQ+gjq9h3UQsxv4WN778MOpkZdfdergXbtQm+BWrF5vg21QzS8x3GdqoB5wg9XJVhkP4Y7+58 gVWZPte5pmp1TN4CfpCG63OVVbZU0xNxVWSyMpKMYfUO1nYSxCU8F7+ecSNmdUwy6jzW0PWUgxCC t8sK8SOLola+zy8LCuYNJCQLJJiDc0MfOwoV+g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QIrjquuas5tploqTQuGXSAVoGVlNt6PPx6rcCbS2MJILi6iJUuNKYUQqZgmiaGNbiSUmWq+cmxR9 5caw5Ze+aCKFC1goslHNXgHXsOBj0jmdkPsJ6p9BZKGYcCeFGSPW5B0n93y+YGI8Eu1OblD6nb8m AM2FfEmM0RXFEfT/rtw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lxcHu55ol1EQ9+TmhYtwJ2oEg0MxllLKQ0jq2lxHZStR9roqbl94lAxqCoNIld9CfAjWhk7BvZ0A 3hJ9ihENmoDUL77XJHjfQQfuSkChw/2DJSilu4znFy9AOxtIRyae5hnl2TqNCDLmowC3t6RQg9Yx ojFviLpdGz2t3bRSDhE/frD4/xV8Rz5x58jMYBYJ55+I2Ysp8NzW8AI6qmPd+670N99y/Vwefmw0 OwS+5B8B9OjGuYPYxgy1mrbMPQxYU4WdW/HDfSTH4uL8VTrsbZ74oMqzYsHJk9aEUsF3cmJQDSnZ mfpyc5Yzv1TcVTfEyJbfKLo/uoHY/ZS6ApMWxA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4832) `protect data_block G6EfvZTgoCFZ7olEiQFI337XifnI8CGDnHf2Uzx5MyLMFdXxU0RxC3fE0ORZBaC5LIlVn9G5Dqo/ Ee0ud5yNxKYsCcaNEb2K0AiQXhKN8f0xofH2pjdh7UrZAkAoZUtRgBGh5SMcVDHZO3MGjyRGYZZb JlonX63g/nIJ29F7HTNUsumO0I7yMKsWUMdHSSvi7WdySXyyctrq1dkW/GutvOk5wYgEcQXcMEkv NIUL4zhZuZZYtXS7roK3rcSNp5Joo7Ka3pKuyPlbh+5K2MvTJE2ZlTQjI6ytqThuy4cTm834p8eY HwXq4Kp2JM2ynn35YuOEbLZxoPd0UwyDcrUXFwRiHYZtP8NbOhCWgq00S+YugxDt3MwxHd9GnE4l WxLH4MlpeYk4HZQFiaUP+SaIQeJI0scaLg4548laTjqahc1jrEc9q5rFl0HXnDuMdZ/It6hoTdv9 AaSK3O47fPkhisHN4nF/uMv8QAEYZi9lah5P1+jz24fKhiIr6joy0ZfXHnIkU2y1rjhimm3a13Zd xuQpjTPYL1cHC3kXqqDif8cMFr8cTMedOfwtGzI3M6bxBWDiAZ8WYkeWZQ9cp6LTwMIMUy7zwswB O5KGVDg/L6NGjO87nJw+bOjxga1Vomyg50GNEaZZ/48BAjSyTu39tt0u3LycBVfmjnfUm6ir3Lmr eJV4OSJNN2mtVKxYGg4TGiqhWXnc+UOFWYLkDVIlwzakg/fr8rQ7DpUuQisWEjk13B7Iofh8qu4o ujXpIQkR7VIcM3H4VftfWa9eEnH84H1Cb5DJPAM/rBmECrVBbFhnoXjwECfw8jn+s97zvppfzqPj 0rqY1pEyxwbk7STBorescylNuU8tHDFgcs98UXEu3n0S1a+8zFMOHgjYFWNS9rAXNya9D+oOnyoK 9UBEUYrX5sWSV5dWlx8vLXaxDzb+qKCba0xbtKfk84UJX1gNxtKldjMRif5J560Kec82y+fosq+b Cj71ekfsFad1K70DNuOBFdq5ziwmdic6FmkKfpgAnNIdGXjfH23eeNfGhnPZn2YodSTuHM6AKNeI EviniW/rJ54ilkfhe9wr91SdSBfKzOvm9s43+0zjFq7jPm3vVWmgHThvs3XhtrMtC53jtsNfs0Mo bHvfs6Z79fy36ZGycCRQaLeIu3nOL3jbImT9JcASYxKO/oaAfWIvppKBJ0euATD7VoF2lR6Zf0qb 3alU8QQJuQLO2Rj7oirVkbvGqHIKxg5hJjeaYgMPy5x3EcNsqYFBrRV+5P0sgoRvQzQdMsEKIL9h cqmQD1hD8Dc9SgFyI8Oud2m5VeQ3MaAxDd0Z4I2zrbJ6kk5+cQKWfIWfCP0bFZ5HwoXYhdRDLO5C 7DYwhJ+0sJcB0GpLLbM/baxOkoDIx4NudJjV/JTdxwhAoFcBj+gEGhdJRxdWBzsyU+QABaJ6FTCL lVivjKjbO0mKwf+x4XMOy17sIXlKl0FOZmtaFtSYrt7ZlmXAZmKSoMedAbiH0HRARf5s5GHXTYs4 sZG0eSjLSYozEqc9hOnXfFRiUCL0fVheYT9IRQ0TFJ41PmBS4ACaUMZ+AWAyCvWiFAuN3nErWU7L tdG+DzxLRjMY+QXCZ9lNh8IQGwuSUY8Xv6btAdCS3PmXW+xxK762MfgXH9nUAcoLMTdhlWXL1ctO bwg5JpmaU83AKSPnrKSjGZNIC2F6NVYGY+KUzqUN+SZhe/vBLODy8Pu16yi1EJRxAR36iNEQn17R 8t2FmGhHlMoW5fN7qSewsgOE4X+BFocdabZ6HjhCnw3HNgWAhl83VOGYadaSPFrjiKMxQkJIGjU9 dPT+jhTjb7UnUflxlneb7NYrkKd0NAQZKaZGqNjj717fdVbqnNRc7a/8B16+JeEgEQGoi9phSzt+ 5J2rdBLpm1kpK0fRblrATQihp9t20K58KtoVXKElCkL10t9CrZC+IACmrbJ0JiMhwdxaSn0LrPWl 7GpV9T6kqoCPEfYHIkPmIWwOhqfCE9j7tTF9B+6hjyvvFilHLI8jwxfKVidcjenh2eYWay/0Mnkp 2WvIrRqAROhmtSHbehn+yAtUEwgatw0D7MfwUCqXRCQ1CysbtilEzQ938N/mAAAH0Z8tzZ8JCeEl wW35jlzMrjHZRyYxuUy+EZWuLO6T44HSeFW8kII+3vmSgAABSe/izSxCj5f6fegsq+NMGTttZbpG U3748hMyF8WKvQTrWv13E5nVemtDyw9tAEq+W/b4c/KOQQ+roDNGZAgNFARNLEWLjxeNcgiHUlJV UAei0SISlkpNyDaGoCsfPFdVBFXq/Qmn4zvhM/mELjuSoXyXocpXSnptctbrXiLp1Lh9JT9HlLWs e7mMhfu16loyk9qfv2FsrJsOLiRm0MzobLo4q+0qGyb/bc4SWGy2ZwHtatXtiXcW+htYrVObzbV8 WRSRy9cbYwIpJeQrU9bSXP6mp4asIb74yUEI1zGwlg2HAttr+5V/l+xCacp599F+zob+SAQhOR9Y yAJqOr6dZt1n7LBzOP9mH3tTjr7htFcnCGELP0F+i3wTa0jq2eD1ZGWi8Gu1v4Y5UcWQO+tVXu0a /ufLNHeKrMefTcGKXJZgbuaHAwOz3YTdSR9v/ru5lz3lqRoJ8/nPXwXjHhcextjPQ/CqKkinjxq/ Op3fkS5ulqvaOtXXGJK2uZU4UcxOvRNPaY2Dw4TiXg1QoB9SLiSUcf+ILxaapOFcF1lY5aawAveH cmNZIK5MVMJZrQddUKL5gyImlf4t9wYUeVHbDViPrZDKZwt7PqpgT+HcMGrbVMUrBlU7LbjkAPw9 9Ud4qZIWFestGrAkqq9BQ+BnnnPwwDua884Qu80W6xpsheltjbqsZkthfLkpctOmV4BoJbfK41JS ZGExlygkus2eS8jyJYVtw1X9WgG1pdaolbP5bGYjq5zWH4pjpwlJT0pCacBFx5OKRT3VARPAth8i wTjNz8BftWK+9CnNEeDRhNuqyOTBm51BeXGRE4SCjSZdJcsSavp4CPEdKUHAT+IbqUWvCJ+FRIh5 SJl6eS9DXxeLRTtFMAHy7y7CXGJr/Hd6JYKxM5l7aPTmSbUn7HpRjhWmBEC1oTO2iHOxmjhgzSSa v/OYtkDqeAy9dw6XujSmSNJUa1ayrIb+w53QBsvukgqXWlGa7o5YEqr02pmqeBstwsZYUS7lLN1o HAt5N7hJ1rvvSE1/jAe29CfZwq8fGtiOgdvwqeY3DbQVVlyDJ43nNHp2QICYhW1q+pNB0FRZuX8x AI+JtostvSqvr6m4q0fbSXrQzzq3TCt36E8345KnslwvxGu8e6zP04b+3HAvCzbtXMIuEj/vXcIL 0wuDd6h1fdSuHgSc3wGd1Bsy5QU2+ymY6uI0vDQxeR5OKANBHZeawYVGZc6nWin8iobzZbpcmDOd B6/dfCUdTun5tgU3iKzYT2FqlyWHpdfijnAXe/qr+i4QKJW24Hn2gS00+NGJ+g0fSgXQZssWDLJc iRc52p/s+1rE316/S08iwlTmjk+SGmE+w2d4Gmx5hXo6dKcjP8YPYq4zdeVokMnH88pQMgs6COid pVArpn1EoNznuOQLwz32p3jZ/Cl8QZn2iEj5COrCMrkpg0MXcJAXrsvVCaUTQ0sDrnLc8L0PEanN +Y16dEeHolfxdteCUTrkTTTRK0HQlDz2/CvIm5U3yMolmPwJPIB2ZOB/lq+b+lmqJAU3XnCeu1Cu 9TxlvOhP8ISavQ5MHaZMvDgISuLvGPetRyTS3FFPe5Mg2J1r+KFpKG+caxYqXC8Bg6HEM+usT03I R0FFbYFaQBZha6/326reMM0IAFEpaeVRu38duWq0BSb2erYGWIN+sWHJ83mv1xazeF5kK2+NAN5U xL8tBNylV04+pzrYDfIaEx8qe5P6/wTudBxC1R+NOm5ouKekPaKmu1OnzY4bt2zw9KfESdZRnd2d LzNfsNZ7dqG1WIKw/exN2/QIn22eBqI4Ugr7YgyxCU+0ephE1BfuY0Ow8ka26SeweAo2NG4wmuQt e5QuE/TMb4a6RdDCnQ+/7Ki1aodMGlR9+5uM+/s7wshC0ptciqOaiI58Sgp+01gvWwM+I0PaTdIt E/1z+cMk4gyzR97m6NJrt4BEJIKemvF6VxGkV4O2FMJ8j1MIe9bnSJr1VrxP6eKFZb3v8YpfRInf MkhVB4sB+uzearxpvIByg6tsBHrePE6oQKf0etc+8NOElL1Nrl3Hn0fxE9T9ruSyaxJsoKXODEeJ 3TyIYY6X5fJRUKXVoktaU1njj3iShKdaQCZuG0d1ecvIgFbW45An6BPpbA2ZDyzKPM0LOI4e1/1S dgoX+rxXvCciOMb8pWGhRFaObAxX6SWI0j8sKaqxDLLZJrvXhnJUcML+OD4pB/OxhH9NJoA0LJzg Y6VDk/TK10GM7N7GFC+vESl6XqI+2S4BxaZ24qfw10CiQZ+NmFGJnhvlat1oLRHO/bZ9kLZNnKM/ 534LeJqUExHVMEJ5BZZVDju2bYUt9ZcNdwWH2HkOywh7aBzM57Pb9lRRvLz0xbJ2WFQkQks5Nw// iWCxfVSVWhdrN9siviX6KcQzJE9YwnbD8wOQ8bE7rtUqkj3s0qAQOTgnNrkbjO4QQxXB5Z7tCPau 3Gzhy01dEbPiJisYNMLc8rPygw7xZsRaa7MZ9JJcfFyEBZtzkQfn3JuVMmPhU3OvCUvGLWJTpBWQ d4gF6oATlp+JD03gn1PprzuHpuBg5M/73NSBiOWn6OBe/UOtVoUSDn5DNRTJCzIUshgQJESWuvxy aEf56OvECa8Rg9Eg4ARCwbBK83q7V3x6EbY5usjCrFZqCt7CYKYWD2Ae2LM4qUnM9aYOSUX2PQmI bOoMScyMxM+3qP7xibo1ooCzuGhKhJUdDmyAiaHWwLzWVC5Phy0JhLpoA8INtRX55DgOWfedfuhy sqtOxstpLVwagbDRDeyZl4p2ktt4cTAmltw352paYDkKbkMiwkc6UrsWzBNIePLo2Rs+htUl4rcM X2zeXLCP+q2LS9Gg8FV455lp0vBTvChitratnwvOY5xpkYHw4qY99VDEwJM3xqsxXRnyK12irN6z iaLSUKzfU1EH0eLh84LK14F+2RY+4ssdl+4fRn3NlYMz+rQUnHIST6vSUg6x+Tnr04ma81cVU3uB vEBWxoEirV4INvLvyVRiSgDMaeC3MF0jO5U3EKCTT43mQ5iC94K9CbyHh0vY380iDYx3U8P/ty8K dSaaIibrwx3dOq4/uX5GK8V94abeNDaGFUFaPptBhh5uPsyJF74a14eSiI3U/I7OxZcMCuo+378c iSi21hcYyAfwgSpVLlxwag+b8kuvPR0RHfvS/uMZQfbHJf/JvJkoLzXJ9effyjcxk8EwTKLue0H5 JHcCZltD8CualaKz20LlREvKcsex+flEvZDxbf6V2HSTgXVCAIDHo7C6BQYcZFFGConxqFWY3ahS BvzemnpSGx7lOe4WLxjXkMif8vF2mtvgMQEmzKAYk/44pXXgKYwEz7k8eoG+uHDgPKj6QJeQMzY8 TMbaaVVsIyRYgypBkhNvdXCo519VQ1nGXKAhIpQxX3IVt4E0LI+Q0MlU30DoWcUhQ6auJHZWhrxb 1LYASTtsmOdIKpdUTAWXrMR9SBn2UvHKTn9gfuK+MPMLVIYMHXyRha3lnZZ103dDpgASuXcPHodX /5TGgpQgLxytmSH1E10hoHe3SfWOalAJ1FLjsWBnoWHRQci10kPva7M7mWpanAlFdud8Eo72j2mX JLC1MlxfiZijrElYIgnu3FBCy6xfYerVBzxooTzqA5DFOTz4V5mhlxj0UsQeVmywHVAM2RgbzQWh Bb9umRuyR9V3OmIE+54kI+drqkPrB6BK4nZ9Qp28vGEXeHN8rAXxYgKyjBZD2NHQgLPW1OwXtVI+ HFSmuF+W29jYDI/rBpwpE0oxCayTjAmuCndyoX4a2VAgstky9Avcjb6bCK4DnpP6GltGw6W2H5JD OkTGEfQQHDdr3qcAw2wOI9e31B6jNvt9czLTJdGU/k773tszhOj9DwbXOyAaDZXHn2RsN+yyXQFE 9yeIzRA0JUQL2GIe2kHcmGDYKX4y5DqNyqqt03QFae7Q8+gK+7yxwWt+RABlFACvrU07DQBTiU0M 4ERgPN7iKSv5QPO2fM0XYPGUFPWfj65f/lRubGtUnUKbjeoi0/zCMR3eWnQZ9KMPqZiB+bFfzml7 5m62ne6A6/eDPI8C9p9ahkd9PxNxUJ+cBxE9C/okFjseWf4eW9fm/Ef0rdaVXOdllxljvjnV5zk/ UczVXFBJZjvppqiL12g+d71yBZ2Pno67KWjdYGEoByRZis5wtkaaMQD/4m2UAN2qqTTUb52V3TNA sNd7B++DU6eOzblae8bymF5vq+m1B+EC/gN0V49RPoVpcoj9ohc1Cyl/ewU= `protect end_protected
gpl-2.0
30adcffbec095ea7e8f97637af18b5cb
0.92091
1.920381
false
false
false
false
skordal/potato
src/pp_potato.vhd
1
5,309
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use work.pp_types.all; use work.pp_utilities.all; --! @brief The Potato Processor. --! This file provides a Wishbone-compatible interface to the Potato processor. entity pp_potato is generic( PROCESSOR_ID : std_logic_vector(31 downto 0) := x"00000000"; --! Processor ID. RESET_ADDRESS : std_logic_vector(31 downto 0) := x"00000000"; --! Address of the first instruction to execute. MTIME_DIVIDER : positive := 5; --! Divider for the clock driving the MTIME counter. ICACHE_ENABLE : boolean := true; --! Whether to enable the instruction cache. ICACHE_LINE_SIZE : natural := 4; --! Number of words per instruction cache line. ICACHE_NUM_LINES : natural := 128 --! Number of cache lines in the instruction cache. ); port( clk : in std_logic; reset : in std_logic; -- Interrupts: irq : in std_logic_vector(7 downto 0); -- Test interface: test_context_out : out test_context; -- Wishbone interface: wb_adr_out : out std_logic_vector(31 downto 0); wb_sel_out : out std_logic_vector( 3 downto 0); wb_cyc_out : out std_logic; wb_stb_out : out std_logic; wb_we_out : out std_logic; wb_dat_out : out std_logic_vector(31 downto 0); wb_dat_in : in std_logic_vector(31 downto 0); wb_ack_in : in std_logic ); end entity pp_potato; architecture behaviour of pp_potato is -- Instruction memory signals: signal imem_address : std_logic_vector(31 downto 0); signal imem_data : std_logic_vector(31 downto 0); signal imem_req, imem_ack : std_logic; -- Data memory signals: signal dmem_address : std_logic_vector(31 downto 0); signal dmem_data_in : std_logic_vector(31 downto 0); signal dmem_data_out : std_logic_vector(31 downto 0); signal dmem_data_size : std_logic_vector( 1 downto 0); signal dmem_read_req : std_logic; signal dmem_read_ack : std_logic; signal dmem_write_req : std_logic; signal dmem_write_ack : std_logic; -- Wishbone signals: signal icache_inputs, dmem_if_inputs : wishbone_master_inputs; signal icache_outputs, dmem_if_outputs : wishbone_master_outputs; -- Arbiter signals: signal m1_inputs, m2_inputs : wishbone_master_inputs; signal m1_outputs, m2_outputs : wishbone_master_outputs; begin processor: entity work.pp_core generic map( PROCESSOR_ID => PROCESSOR_ID, RESET_ADDRESS => RESET_ADDRESS ) port map( clk => clk, reset => reset, imem_address => imem_address, imem_data_in => imem_data, imem_req => imem_req, imem_ack => imem_ack, dmem_address => dmem_address, dmem_data_in => dmem_data_in, dmem_data_out => dmem_data_out, dmem_data_size => dmem_data_size, dmem_read_req => dmem_read_req, dmem_read_ack => dmem_read_ack, dmem_write_req => dmem_write_req, dmem_write_ack => dmem_write_ack, test_context_out => test_context_out, irq => irq ); icache_enabled: if ICACHE_ENABLE generate icache: entity work.pp_icache generic map( LINE_SIZE => ICACHE_LINE_SIZE, NUM_LINES => ICACHE_NUM_LINES ) port map( clk => clk, reset => reset, mem_address_in => imem_address, mem_data_out => imem_data, mem_read_req => imem_req, mem_read_ack => imem_ack, wb_inputs => icache_inputs, wb_outputs => icache_outputs ); icache_inputs <= m1_inputs; m1_outputs <= icache_outputs; dmem_if_inputs <= m2_inputs; m2_outputs <= dmem_if_outputs; end generate icache_enabled; icache_disabled: if not ICACHE_ENABLE generate imem_if: entity work.pp_wb_adapter port map( clk => clk, reset => reset, mem_address => imem_address, mem_data_in => (others => '0'), mem_data_out => imem_data, mem_data_size => (others => '0'), mem_read_req => imem_req, mem_read_ack => imem_ack, mem_write_req => '0', mem_write_ack => open, wb_inputs => icache_inputs, wb_outputs => icache_outputs ); dmem_if_inputs <= m1_inputs; m1_outputs <= dmem_if_outputs; icache_inputs <= m2_inputs; m2_outputs <= icache_outputs; end generate icache_disabled; dmem_if: entity work.pp_wb_adapter port map( clk => clk, reset => reset, mem_address => dmem_address, mem_data_in => dmem_data_out, mem_data_out => dmem_data_in, mem_data_size => dmem_data_size, mem_read_req => dmem_read_req, mem_read_ack => dmem_read_ack, mem_write_req => dmem_write_req, mem_write_ack => dmem_write_ack, wb_inputs => dmem_if_inputs, wb_outputs => dmem_if_outputs ); arbiter: entity work.pp_wb_arbiter port map( clk => clk, reset => reset, m1_inputs => m1_inputs, m1_outputs => m1_outputs, m2_inputs => m2_inputs, m2_outputs => m2_outputs, wb_adr_out => wb_adr_out, wb_sel_out => wb_sel_out, wb_cyc_out => wb_cyc_out, wb_stb_out => wb_stb_out, wb_we_out => wb_we_out, wb_dat_out => wb_dat_out, wb_dat_in => wb_dat_in, wb_ack_in => wb_ack_in ); end architecture behaviour;
bsd-3-clause
d0a0345cdf2b93db6475805d09dea6f3
0.635713
2.95766
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_to_flt_conv/flt_to_flt_conv.vhd
2
22,364
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oXj+Q/ucNQmZ8gd1gvbQOqx++wr+n+z2eykX87VdgvFv301lEDTKsfeJD783m3ObwJQUxqutlC0d A1YoJeFTLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XiV1Sg3CD1nNY8Wq8BvIsOoeyOgOoRkqrJMnN39fxSi8Wx1NxgsVUbUiU+n7rineyc80cLh7kWpy 9GDvu+o8bXnc4mB7VkOtZmj/vaZFLYIqgmDgLPAFaUMc8HGLo3Ct4bskEb+XpFksu+nbvJtAsj8R NJE5n3PMde1Vr1yZqZ4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UKGTYGHyTs6TMSWpmdlas5e6mU/OwCol9UYRrTykWTnxdOpyusJtXB0Y3ksjzFNhatiWBuCY22PK AEscdlMx0AytbHt5/zM8mxl3vHIuZxIEaou/STe68u9Oa98acObYFPmnRgmCRmsvEbFe9V+K7r88 epo8mrwhHSHn59HCWR5JN6LiomqwtwYPAQbTlR/wO0ve0CmU7jdSR7fD00bd5/EFqZXsKQD3BGto FZIqS68R8Lcdm52OVEPy1igtSldQvSObLg32rUeVaeBTtbY8VNNSwItY7Gu5bq+Dazljp/pZrT7d e/MXw3VQmhCi8+u1qOGvizlEOOY/vqjF/Xwaag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Anf1UzsxeVcEiO1a6ylZE4k0dab3FiNLLBXfE7CX/5IucIcFDvTTllIlW2v2Qt3HlQP18AQwuAtk 71qbJqjAwhtvhcGt5rwWowK9JEyBHZDe+mq3CdZklFk4tmyfb58yXSOkYBNXoMYfFhEpLTwP+TYu yNQuQEkmk4AdisGy2M0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gqZC9b1n6zTl31aMkqaFtqbMJt1pxhv6iLLjB5v7hBig9yVl2dmlubTgFWPx8N4rHv8dGYXZlqjJ KkyvD1nt8/OuB0wL1+/0jdwLBwa30RHLGMd/itZ7FukHL6uAR9/Fdki+qbu+7b9YAhgp4IXrZEOn BXgI72wc5Fvf1NJLC9y1lZxGgg3/Ts5os0puLxDiaZfbkEy5JmaosOBQcc8EkN8bnwePTb9BuauM IzgPv0bYs3PKO/fIi2AG5hkT2Me7AUyWDKzm3bdhjIb3KYFWRuBPiSKeDqDTxLKWWLHNQSTLkYfs llgc2YXtLxWRWavCDh3adPZKP8Kwocf4ahw9RQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14816) `protect data_block fMM9pMQG2pbFrh97lev+rTiiZe0B8uVas/bB4F6klqMcxXr+HsUSX/z1v7dmWeQ9upwmFybgv8p7 KioxNZI9oFwyQp5Lc+ylvQq8Z0wNbAN3A3EoYPF1iy794x8XdRF+HLPVv+VrB703S1YTwAnaImJI GCFCxb2wClQf70qZOrGuhP6wlcRJAXyXxatv5PPQdiKbxLQ8TyQ5opmXVDH7KjPGWboEwgxuAGKe 8myYlpbkPoxlueQJLOrrvL2yWrOeaEK/PJBDygJTL67ERPGRFVFQ4GLT7ILzSW7Y6pC0U5yqLpqO kJjMwN4QlYsW51sPuV690s4vzum/ztYUVkvT/qWnm7oPIlgHZaxsQl5MnkcQSlnWF+Vj3XXdiNqp BJm9VrisrGylAO8hcMUpk1hP0TCFUFogwb3VobZB9eXi3WdeaXEVhncI73R2eHFxCirtgJChyB7m KkekDskShpDNulVZIS8JSk8fM3hm3lAsL5swIPG0spmw9trByDVmt0bR5SSTvhHZjEP9uSw2cRNx s9DyGKX+hDTsbx4fiXmOa22C/ns/8DM2pLARm8+vliK3R0IPC+aEROpw2qD7Fl5wsa/3NIG4jnin xPgPxjuMjSCjbiuocXDyvRiSD2cGuW3u83kgI4AbuLin+24pnpxPTVEGJf/i3Bn1zjfHRFEmgRJ/ NzHv4d3cNAWt8LlCsHdcy8JfevbKZd6zRLyggUOEQR9/eJobokFpAKsGGbvpdrTUj7Ij9Y0Z8Biw jTdp6BNJyIoYEUg8AycG/Kj6HBP9/WNuNb+jMBRZGtMzP+u0lhZ9ssWxSBoH14AyESFi26kuYeEp FidxUUTgGhZ02p4Ho8RyXO+O7MgDkRJhC9QbgcOIFi2C+utjPO6jGmOkS/+t/cZBcwpRD0E6JLWG qwk1N08lqPzRECFz7fc6wW5MhlsT8TeEIOQrbYEkRnB5s32o6TMOflV0urKEBZibS2L4f9YvXwTc jrwsx98yuNynfSXQYwiTsYnepCO1KHS0HriFAc704dhb9ka/D9BAm66r0qkBXRpa/Gv5Y9/0RpwU ba0TC2nUwF5DrS5aJX9IcbNej99jMbI0sWRLWTv62D/kCII94jURKvnYWwv/mbnyQ/Vk4pRi1iNS JkG/7kyiZ4OpqFWLNGud7RbgBCJdEEMQTeYmNC+pJyziklir3oYALB2iptPT55ztMHKOTEQf38PY XXYGgdAMb2AAJb6ysd0gm1pnNGxNTrzRqJ77GBMthh5saOTV9ErGym4ok6yeCQke/Co9k9HslSYh rFFbqafnFxle40u3Q+vDAiBpZO5d8JAa+6I17DQNgB+FKoUsuQLDKK5FyFsUCUks9Ke3/gV765Kr ErkKWlQrkvBHydQ2esEtuDxu4c2pdr9SWU/zJHVqFiuNSJbB+k3Fj13u4R8OlRmpIBVTdCq9nFcU Uvm2IFJdeY25w93XexoSMaPqYejGGukiTwHHsJURuZjutoCuKBN2A2V5cx3zNayguAW8tBFHIhwm RaOEWBqFdZ1TIWn9CEmWQidhcKnvuTbrAQp28ne/a6KwYLEDtqHbXGgkPeP2Eo5lQnO2KuL4OucE qrq1eCw5/YFNMlr4LuVQgAK/+DcYSlAoFSI3j+U5hcm4IdhHTK4IWdy7gseEdXPkwBISTC2yfVeR v8OLFat346no+BUTofphwClKjncCWaPa7MFQV/RnoI4o+BVZqHyOKGwBaaP9IZ2CLusApaXnD0wQ 05Cb0wIMKYmazAOiRAUgYWDAodP+4BozA29wJzMPS5t2Q2w/+kYfCo0imSBhu6CgCT//DnM0FKLA uBAxZsL9TOY1tMLiQyZx1o09owMjLbgiENNuNxECOCUMb0bReOWZ42JjK4q6hq5Cef1tTeiYUE5k Y3Ar7qs59CoXgz0cgzZmEEb9cvF/4DPgiHxs+eGq9LhMyoCjD1mxKiooMB52s1rJ8uJgQMuueoxn nnrf6yG2kyAHTHf6K7oNzvhAfdyMBem1KXWK8sbp05Oo3PoWfDkgiBSv/vQP21cDKrBGDquV/BcU PBi2VothN3vLBMUryTEAibnYRgkn0MlZ7c+FDpQM4/3U8a2k5tauYS23EzbBpbsLpAPROF0gYmzV RVbXNLIsF52b0gLGyfRdoHA94j86dswWdB23E8L5K2ADEPVrPAGK1+WueieIMlnWIvMvxd9XBmwe gxCMHnuEaLZ9HIOAlVNEClxqvyNQZwfsn5wwLoHNkoPYvdORfW2mxBIT5ys1xLNwWFlpolB1mNcJ ufMdeOeylbgpb15doPdtxASneBcwf9FHEYqUhLO211u+OdcNsEu0rhxManVZlM99CmXIXNJldldg KVP5kMgak0IJtpC6p5svoDVrpPJJ8zjmgVA1Usapa/pR7rDmkm0QOfavN8TyHXv6pLEXIcoGMD2J Mza6NwDXBxnaPdQHDIWMQWgAWy2bg2Y5UM/F6/9+XUBPEqwgz5Q/M4YyApCe9joZUraPxfgOSI7N tq8XZ7yy88xGorpuCNsRti4PQVUJBCP5fH6ntbdIe739GYuzDRY1fr9bToHsvASrJXYSRM21A0ID sEcrLEZy1ZMBsaNeF+I3gKBDnUdaWRG6wJzTfS9MwVQ3fqJf+H+V4ikiIRD9Sd0drhJFVc8yYAum mQnudwaWeYJ1thCFUYWg8Vn9/RovB04G1WdJA1AyiZFoI5dd9/Vr3ho1UIeWjs72tdKJc+VYvRYR SGiDvon7N3ubuORCRHVXjeiz/7VHAXmLvOfUHNOGl165z6hqTPDlUnOD8MWDCAqrLXM8acDGbMBf gZNw1BW0g4HGI++VAhXv5tEyfcIGRD5HwMD1qp1dJPdsmEbpulQmYaySSQtej013ZNhU98xet/Xa sMyunqaVZU3MhHsnCKWw85ICacqqytKy9f5IMZP4FpAF+AQtT3mOxNxibJHDBmuoPaW32f0bzID7 PvPkDuUFFMTVfkcPPYg+ECa9xTn6/GQgGvS30Dj/rn2BobAeBrBG5ajUQwAlEm2GZCpTTTF0zQhn pXfs1ALQwUdILjqiPxei/9hs/lkrHPBEoBOT4Ol+CcyNvJZJ8ttZlhI9SceBQcjU/L/W+NsvK325 Bn32EsPJwL8iewD6JaYQMYx8XOMnWkIUG6Wo9GY/Hoo3zFMZdzwvfXeaADQ8+7TqW21R6zYWYzYb cJY4WAnxcyTsUdmjqGNl8dqtOz1FcUAU9lY3Hu3vaXVqbHCaFiy0wvVbeG9a5tdz9XLaEq/+xLkh NRiFDbrsI1+oOmfCVxyynbijcBe02nMkWhJTyihgdXLDqxqSGArhxED/1tu+Mm+3emVlLK4mMJmk KXH3ZUdWcFZcGFQyGEPexRNMgUKjmuy2xcDr+HI31TK+ZAY2V3kLGuMSTbWwIdx0sXxBDfvp0+nE MLkK9kww/MZGtOYW2auOM1QDn0IPuPMTsD3/YGoc6uwP1IAC6UffbX/tW5A08gM57LIBInScOPYy xpJT/b0Q/eEHNsuypQHTzhX1QNb4OB6ytU4tmk7VW1+wwQGCm6UplH9wi8ByVuvJndVRXC02RuVA KZREh5JBl3eGkS9DSH/FHgulJME2a2pJkTEv1X5ZiSUrkdtywylbeb5LbTZV+ETimoYrrxopJZ1o ZI3jVFMk+middDdzWfxKMF5XwhB//VXjiC29Ch6/ZTjFzxgazW1ISoG9C++TBN5gpRbclv7+X5dO vfFzdItp93eM8WK10PcXzArY2lsfKmHTd3H7aoeUSTntAv6F+R5a75oQXQzhL4ZOW2Opke799T4d ECC70HRzxyG14z4WN1NaNu+vsiHVe4IS9Nxh/ctHwjdlSvDSi73NDHfUB2N+VP42EMbetsa+z1+l QWmOFZVtH8sdYzgqTIHE13fJkg4l482JRh5AguMvYJYCZ7EDJjYrDFyeSEAAXJLQGcmUG3rTMcQz yWdCTdtAtTW8Ln/86QBn8uE58cb5p+seD4kOZzUNSlwXRKY3iOEWu7ipsMvMJOLZJQWBPN8kOjva QZe9A0XEpqRlqbcyXRkf4l7wq7q369FPwhOYOoAmXr+QjJJV3P8rb1KtudVhQVOcErn0xcpEpqPC ScuiXUP7rg7pTMfYHUPvEo9fDmu+CIYcs1m4X1b5Dl4dj2H7tV6FB6dEF3wb3fKbSTL514N5Yfnm DqoK7BskOp0TPPlQDvsDmLDgvJ1qgYJJQkrCRJzcBgJSq7dimKtxNE//DcwjvLRs3WOjKzShyIDQ 0Ma5MslvwBbK8IWnNdcGaTiCkpiAGcos2KPjYuRTPnIWzB/hJC/ZEJsXtW6EJW7YnT6AIFOYn0Sb wk33P7pxfCXyhs7b5sTNFcf8q1HZO3tjfpoH19PxZstpuh2KI2bFrWyJYjReN7YWzO6LrtroIjSj HHZ/IzSOvzTCiSQm6R7FxHbQc5g1mVzGxTwhARm2oplbCchYgzi8M8RG7j7RMnVwGLSHXbGsQTJg r/Jmv2zREqu3IYExeQJST+msrRsv4/kQic9CoFNVl9GLRKkb7Rcch1oHHLOW0EsSjKz5Df+El5XW iKP3oFCHmoK01VVad/rCTLSKuTbNxoUppvBUyjNwa0tRIfRwCl9l1BE7F6FINvK4W6PCiOoxK7+U /AfWLgAdvz2EDsC6k50I0MqG/qnjE9ECcR6OXVDyWUrtlvtprxDGQKibohMimcH14NCo0kNXcxNq hAeIaJR8SmMt82Y7EXi+IkG5xJNaJq6UPIrToogZ+Xdxase7jU8QbmDzjLicrwAtTT1G9TBsJtqZ 19r4FJRdqGpAK65hZ57wlOqgctG0SDMRLOf8DBHgoOsu0reQbTg8bhkAZygrbTe6W+ggYdV4PlLZ QhZxIGxU3nN+GO94ExZqeHUnBEDh434rXvKM2sX4v3EuLTIh8UCaZFlI0RaUhNLaYqsTP/0XRfXs 22bGWapuvgR4O5jSqxr8oeyQdx5XQtBQPuRHR4GRXJyjtvSvyvfbgY2A/0UAtB0e18isQ0hmIVWK 40LQNUAccUebNSFaM1ZQN5Zm5LZ8WZhUFpBpOUIl7BbjqqssAoESCXE8oUhqSf/0j8q+4LTEYRH5 X9VUI6kONMOa2gJcgvTwDEfc9nzcAp7TgxGLiFAB3NOVbngl6ddXouh8rBUVVJW9W0IvKT8Zbxfb gLgQ11suvure1LgJJFLSO4Ax7F1Md5UP9XUGHrHOdJjtPy/Ctlg44+f9AiENyRRLabkIMfo54+VY 5k7vWd3ocWIJ5BiIjxMf8ZPkL30qS2cFoTJjf9s+z475glXMefp2mNHmk3l/LsOsJ44zrRMXcsUw hYVKXSF4yJHg3n6Jxcu0zgGTIDXRuXctHLcMxvPVJq+XIzL/uEZq7vBanMrwzw0eJckU5VZ1ZWFz jcD9DIzrTeQGO7V5b73DFRZYWEHcJ8YHUhO0Jq8f+Dq7fWImt0Lmy/1QIVVDAxo+GAD5COOsph9z 2imU4PwSDqVBCIHFd0Rx1mFg+K9NaSKfDmiMtjyt8QM/RhfnYOELfQ+Za1TulrBmP/a1NXcdC57N hOHx0nNZykCcwCDhrs/bgSUxnDU29uX8FDHhrsk52ncBHG89xCOvhLDJSSldYogrLi5uTdQUAsO6 z947/xyVAjm6B6l2VPh4TwI6uwUIa1KWxJ5N3Hq+yWZns3BhI4GtGIxenpoxczcED3WV8ibSIw/H v6Q8ekCVALuMuaiVuJrnzzgW6y5ajAWKcVa9HbTkUYvesGteq6/rRzX/cAkICp5n2KGKcLbPDUcr 1m64EoaFo7M3wSce3iT5CMfANyohtpHJ0O2bCASrqvzS+T5lJ19PAdTtarBkgN8gcaw620qjcRDL x3JTqSEQZCdjv6gLvsqNPOdujdsbTgM2T7vpfc5BoCKt7g5id1H/kvnyoZxzf4qwXy6l5r4zIsfK n9jxUTe28V5+DjVUzw7aW0eUWhGfm4tuxE02amdQdB4io2DjA2L0G+Gqvw63dUHh4th1Qv9g+IM7 lGflaeJ3aTE5eA1riD4TydbLKoP3CSNdkxrS9MyUPZbodYbKM9guuHvo60ZE7/1B8oZFQyu+MyBj OOwAPAC4MbkZbkx5McLjjc+sTKbcfgtDNheECM5ElaTMMA9vsgVHkqdi2oUXI7kfystZNGZGwvyU KWsAGBWfjtW9lkBcBbuHQs30xRtF9/UVtcFEOX7AYMUMrd71gxwvDO1sIObG1NxEHL1K3oVAcH4d Mtv88bmsYgdfnV0MocqzgXfX8siT92u0aMXFqba/J48DQ0M/8aEbFPGcwWx/jo2UgMEY8VhjZd5J Y5YEL/e1LE9J/S7JwmKOXvmXAxE8noAF83TRJ6Xd/ts4ApX1Ea91FaekddnLzSMIzIWLUj/ev2IT Es5pcsXEOtqkfTw/RLsBjZOWzcN43WCQYC9x/EBeML7ux8eIdojtybklZeLwintN9nLLR9Vl8CR1 HO86cC/QrAzJdg9pLhIWKF8MYHeUIKmVPU1HyNiMkABMOksvO8H0rtYVicUPtbTBzFMNZ965OH5y 4B/ppqhOytzfVWqkFJNr6smjcOWA63En9BAkNtvlfZYYTJ53sqDlTrILYb4UAusy3aSep0cVbCi5 EEkxHGD+CDF+GuvQ3jKGx5u+SK99gxRcR5pofLCcJuqDZSsJmd6PwSWWJ8BT2Can8dpCLvGekGjL HHnOZZfDgNOsq1KZTXo422wfWMFRxN88rlpwjApbcHtdm6xd67ER4tkSyZeKavvvpYaFAvH/TLSX no/o/qyezLjEUqTA7nsBLLlO1kQ/OZrQCXJ+HcxRaQNr4/Vd3YWw2aOisLR8pFzp23lj2jf6C4z0 yyD9+LGG1M5KslBpiA1CSkE1i5PE0ONge+gTMeMz63/xhWAliKK12QFyGIW84sHvWjd+MG38IeWA NXeIrEMBBoItFFxo97VKKiIj5sO1R/ufO0Ecnv06q0EwpCdOJRFMwl1rEIDwTC3fjPxKoa8Fs+Km vcv+v6efW2N6O25SeNn+rW16P6LveXbZ9O9B9XL35TGxPEm5RUlqcodjF8oVqIz9RVA72pvyqnOO 9c4s/NPJhiugHXNShsVbFdKJxEcqSmSV6cYCndhA1EfZZSVRbdpJAzGhJQ+wf0Y1/9zHL82UWYm0 qHpQtD8KPfzxcWL3j3VuhdZ937m5NJs6W5J+7puLqYb0lfBEIuEsvzBHeD+oaXqchQsfLEgBDzbF ArGqAoiZWVdJIsVtgOwjaTmIsaMDbuZOmhmyREs32hG68SG+tbW1tHG8WPifDiQ4j+mYl/+mT2nM W0zvipvJcrJFAbCD59bAsNwt5uvSjUB4zqBvaULLR/giRDex9LslHDYJC3CmDRj8sZBlYjUxJUOT TKCcNdSBaQ0Eo15T7o8Z8v3LM6T8jYezhAXxRCJOar1ZsqrDmzHoZymnLfuwf7wIcB22yuVnLNn7 IFsctv8vnNEudfJD8hsVRRCy6VIke0fuienaY8kJFT1DN5nqSVmtH4+s0i47rfUUtpr7jC/7Eg9o Ch27iqLtlGDkHbz+fyLN7xXtPLCJSftEXetr4m9Asd7xd0xxsoIjqCSYs0RdjG/reeZNJ8jlKnOz d9+hbJ1EBJM3Tg6YCUehPvyHOk0LVYVCiFz+gXq/GBVGRwtoYiCcUcarhUcKlVygak3OCAZKPyTB e8+QeA6exAxlcd2S3d+OUi0S8t1ZwhLyBv4s697+AUhaJQQSA6b0UIwcodk0XoFrCTB6oC2tExFw HMWLe3QrvKGHvcQmElBeXX5LSHIe7Mq6kZE+H8MjFxiCbYtpyRRdUunj+rJO9A1nY4q3jwUWKnJd C7bjGj1Z8xmbpeeBq49P8SAKAh92ST2ZKYcWVu7P3md6YtX5sg3JHKjITz/0rrOA6xJfyccRVINR UaxNzuRKNOmjHcmHQxdINvgZlRQ3gihV71gwpncuLYbfuso09pxpdxirmNnVgnrNZ2kzW43Tu3NC lN6zZce9DOBlGcIaEWx9Vy06ZK1AbjAEaXzuiI/3i1N/n9Fm/Havx65f1SjhrngYUeDplArGThzi IimoMmXHTX4vJdxLfnXOkiuWRb/tk6gOyUB59qEX3Ib7ILrbPIwkeO2xobQjm2A5ygSrcpchR2Fj jmonKdpmNExgWnm0LAta3pPqe8JbKOK+cAUfo6reqq6jVGoZ4+HfZkgwk6HXuKvdgc0Fom188XRr ZoCJTj2nKDpLTuSOcPvGHgUzfExTYrJtnvB4M0gBjTXTx/LYA2zq2uXdp+J2yc3Nnkle9qMV4id8 EoKslhJO/SOha3D9TRZ32Hbq0DOvTatMt3yAT/Us/lqHi/DnyiPQl7LE/HrWYOEqZv0v7KUT+7m/ PSRCZO8vvyF3v0q7uzRQt7YLHc4Kzm4REPU+9lNdUqtnGr+KMixjCjMgWUnwMDVrFyhOo0H2LFgI eyjGQXgcYG8nxV/cRAtJEbrlINW673T578px1lvlp8v4LQJJ7TtfnJWLLdrYwwHsy4vLom4ZxUrK 3BMa4O0ZzFzqGQNsZMFu78y9xroqprovws9mYZ/gzxsYOgpu+1SvoHIUsWF6ZZkhAuvrSZZkcgFK +MvDZ/weT94p1JtazC3Az6JwnICnjPjgQrkCLqhkng/5WMlua+65KYeBTSQmbkHIwuL+6x//Io0M HyCVDZtWrncJJVZsWWOufQK0eEHzsF26JzlJ3iV74cXbRwDUxDKGCq8ZX7F3LaUTkudnszc/Rxya nuJQ6Mryz6x6NeWRkmQgL/6QYAUyyErx7gUlhD853ctZBTIrXL1pqgk3tmr0UmSaJ2DDp87qXDmz 1O3FM+4jZuWDhQ1PnHbYlR2iwGsCxoDyJ13uVSjNYJ1H9uPagahts6WRwe39N5zZiPSC/jlX3ehs Qxhqxxy2c8PMpcRXWU1Wc11OFX1O++R/OQ4n/p7q8CoRgbruQ4dI/J408O3oYyJffzVXzmS6rvkv K7d1nwFqq3fN/En/bH7UHzwnLv7hYj1ymmIuM3wQgYliPge/u02QB3Nsb3Tl1CuAd0Li7nWoJ6ny Ks/K/fnxERlAiha0wn53m8+tf9rI/em+SRUrjyHPrLEETxVmlxfj64IWfiWzRR+2gUdA19zPR5gT A/ZFBlG+mfvCABn3bs5kMm/4kTh1MhY477qW04SJttNtNhio+8B5ftwnS8F4o3qPH+d0qlJzWqSB YKFEakz7EQUlJZW4JFnxNgqL3Twb6C2X5V6mwHo/YgelXsa3PvkAnhDwXngszqKRr0OM3NvNY173 F2zDVL2O7/fLeoC3UPy1UurbgFhCJ9YvvHbIcFuv6qPAbxhzM6fjZqhAMLWFviFpI7Ey+9Z1GEV0 Vlz5iyMuFRLZcaJPX1aDYeYO+p5Q+8Lf8g86fP8H2TnYn2u0jILL0XrgdhfaYOvre/6Qybr5r1aa IflY/bbWS2d1Jb63TOz3kRdGJMXNiGqzqG+M5MDBUdsaNr1nXX/SdmeYO02XbgBSQ2ebYKBTucMX +Zsxl4cEl0+LS5VLIU7TqKB2xI7XUslTYRzbORQ+gg1gkbjRoBj0YzNwX9mxo7eCuazAoE+bWrcB ZkPNYJWNDRPL7r4HxawaN2Kz8Dga9j7ug5qZK7aG1Ppkn9nC2SZT70XFUw3EK7QVTFqydC2nzuHe XkhRXEuahal6INut4FLJRu7TdKvBMMiDumRUNI830/tTipr3r9UGUkYHkPbQ7IViE0aNAAJp8Yf/ Wj9Xyzg19Jm2sWboQe5w5dhILFs7kdZWPdxIlamB3NIPEeWeQ8vXRsFMbIQbOOZWkAwaTyDlG2hs M3ZGUDWIl/vPtKV5ANc6P1+asW95VxYXq0JPIP+LKBWbByDI0DAczlMkry0c5wb7koqrAHYsku69 QKLDv2NOaQWHvUUIWH0vI7US4B6oPd/RAf+qCeH06P3ucFa0zsGt1Hk0qOzZtLsDxox1sOQfRaqX AnLWBhwidGuYUCJsDevbDFPtMfJu1k27eH5hkN6KZTsTZxCzQT2t+L7M04v28UC6kcKOmDFZRSDs +eMCjCsQ1W8jDwDeWBw+ARn599+mR8Zcb248OkQ9bVNh8Pco+0FCnr5qPVWWWvuYRsQAMWDoT5Kf CSVJYWzxxZfPz+i6ndFerpwSi4fn3c8g2hrdfjO5u9pYg4TPg1QPNjUdSUTLSVAJ64Z69PDiFkHH +40YnJBb0LCrK9W/HUMaELZ3ytWjMSzDQdKoRtarVbhJhD9QuoCiMm5DUgdEBTLG9rcIj/RA+1b1 STh2WzA0Tq7+V1AFQMk6vpciT1ccT5rH9i5lJASHvr8B+tjJYOJkBrjqmGs7gzfvGV8QfatidN0v GIuApgsNOA1gJHveSvHZY5p1MDjegy+dHpv/i8tua8kUncjWQd39Sokn8aYva+A3T6mxSzBPthMx wWipSsk582CI+0nlI08Cld8lsS8DQPJsZieMuYBq3mpdv4tJ1z59+VGFpUx/WixSymZ7TOty7W+B 4IlWE8XavMX/9kq/7+3fKDs84OZzzo6DvqYDvXeKs5wc2RmHMf/JwXEnLqHdOmK0FjeiTJr042OA TyeMwM2c2GJ+ZbE5rU0iNKw7J3QnrQpMleWpFBcyVRB39wTOsxoRFyGZFOWU0VdJz9JnuFx5JP4l c1sRWHkY+NS11ombcZKstl7FAds0YnQybCXAShQt8dTd3UchxY9szr/0zpsnkuCRX9I7PfMLzdx8 rmqN2Rv5DZZj7ZvVbSHXOnxxm+HWq13rR4wXCIcSkOlMKU3MQhBYlh9mo1y6DMJD9mlZ5dwjXMWX yK9+PF8EbvzEf34vDpuHHkLFu/7kzlI5w1RDy7oMzqKqzOPcRlqcJ1zbhLwbMjxPC8yWCqDryZP8 YSQg+r/mHI2MpASUYutUsKFXBMeccNGVvoyltZaLZnzMikLpCOV1RGj2M5m96F+8KBYPOtdAINhD Mib19sCm5LHocfhLmXZWC7YtVECExHSEwrGhiin2Irih6+mhuDWXcuAyimsWorxRkBiax3Fn78ix 1LG35e9HmxcO2H4PKs5/GOZvgmirC6oeKTGwaW0fgxF6B7wNbv3GfMMrjpFD5GWTcxO/S+6MOcfq I0libRUgfTDl9pWygZAM5b57BBoi9y53VI4vvzMcncKndSWGl1VeoK0FGIeol8vedMsMRM9i3pwT HkiYNI+/kB6cFi9Utq20rr+ePGSfRVOEEKFyQ1MfJ346EwExSNoNSKKqB4bebY/gqK0ecfNSknA0 mFYwk+Wks40VTqPmO7Haf/olcbq4XcgGffCgOL0UFiwEa1Qb8q6mw9HbWOkd2o4t1UzfBn1gxpxy tQ6mLIonCmfndNknnAVtPl0MiM3d9IIKEicaorJxL+7BbDSLjECKLcq8XVkgz3kXK4Hwnu7HJWm4 OCBwrLFaoQDqBB/U0GpBIUEAOjVf7g9emE7dHxQGuNPWtVpXZfcY6JgesHi6Wt6ZcEEm6qtxOnnP Gf6u8YRqKFk+mVAIa5hYK4KMH/Hd9rGNJ375P+TIlU0iE+TdSpWngiQp0aqvGXfUrBFQAwpi1f2F I/eLrWz/Uq4fN2xr9FKDTi/8xxy+BoK8M0VibWbDVDpwHlPblH87qvPp3lGZtOlGvR0tCm7EWnOW LfV5+0rPo9H/4tl+n5BWOqnd4jRat9wsoGNRdLP0TKhixYvdlWZoEbxLrtsMhD6gm3wzFUGEMIJO 1EuMk/6h8mYwBRUISXdW8DIlm7VhiYJgd1EEocsERYfiwA/cWVGS3JlXCR6+q29UBAGywlrnSsyy vhMFGzlrPLxx5iQB/kwWJ9v/GvMl5Gch0JVo/oz/9QhKz80afyOubIXakGSbaJRb9b9adGoDLBmD qLisT1u3TGqZZWc3ZQcfMy8jsW3B48jdt/XjonDZP2uM+47IFMPJw0QNt7TlWRuo9ZZncn04v7zl zehvnqXzk2BSM9h6A3IoBbDJOQya4YE4v+FW/AEoiluKvIGrqYdboInjYebJtYijSzjwj5Wq1tkc 2qTk8J74dpu0CLWKY2A7dA+nxQTz7CYVLpCt/mw+bIQlRR7D8Q+vWY5zNgCmWKdtjvDugO8TXVPz gHPgWqfGm8ozpfdZdqznOEYUtY0SnnQoMpnewhqZ5h837feHVBBop2AJBLVm4PabweoZluxqfgGD gotRIJdinl7A2J3TfJDphs+ay3Z8NvCv1pUuGYdeVecw52ddZrxG7ZPBr4MCP0uX190d0cbBMOkq b4XeC7+WRW7AzQDikdRj/IdpZHcbicbp1eACg3uXXaQXUCXQ23dja3p0b7T1IJjuru+TJ/yLRJgF 8nFEzuGI4g6JTpEwQxuAsQGRr/rsxvo/XrNQlhGfpdX3VkqOHinutuMJRSKpscOmRq3dOO6z/1F2 yXFsEcDeA33uwNgUTD/zjKWH67tDX1pDOVp6DIhZLqEIhP1i/nAryPRTHHtL16dzC7hwND7PplDR qqqf/GmitbHajJJ/ZND78CyvGsLO74vWXYuqR3lI8SWLoszHiC2bG5qEIhN7EdFE/JsP1nj2678d 4VjkRz9P9yARR/Ipy4vM7Jd5hnn0FULGyulr2QHc2sCKMAapfdqr4XWgS7yKGR2ssyWfqnDGB83z KOLoqA9d3Hd04mlQwyQR/vNFEcNUi/79L2TGBzVthtz13ic3Oof9IihkEmGPZg25thtTpfEWDpG4 c1c6xSAVdQfNxQX2Z8GpcSXa8V27AcPegTLJ9720WxrPwCnHBNcuz+RFvP9i3StHuFxNGyR76+Ty 33E49B3qaiilNLHJQ2giwcfDsqalsb8b9fB0yxVF3HkjOOJhL/B9JTmAuUUa7bOxl7b0H2f2hNHY y3mk/iz9VgrC0zc8uZ0zoJKqzIlmY0I6/R3W5MCw4ztGAOu6MSa9PPGwa8qI9WCz3qiSADe0NIaI 6J5z6TQJS9ulFW+YjBkRKA2ltsfauV4en2pmoDP3O4wtAntQ/sOBP7/EfGnY7agZr7p/WOv/y05/ UtuXw0otrc0jO65ZbPNFyyX/PUMvFC/wiqa8fNp5ANdW+haWGolnvMZRcFbmoIk8I84PrMuzYvLI BObPgmFZi+qcYNQtm8RSSPM62oGF+LGabF92tiUInkwEoUsJRtqwbTZslE/kwvjH1izyzexuy/DN NliBKKaZo7yg3R/qel+rwGN1BvecESia5A7dft/3XS8ORU/pe+5YYstIs/NWtvBXIxQNed15DnUi 39UgeOoPwiaVkhr/ekwBQYqs9fi8Xo2r60LUjQakcnb0IUOx7GhgCR+5InjBi1H0aebC2Zbf/uFS WOrG118lBGie4C4y1xPf0wtWyOK2FDd01tju3G/vmu7R02AhgM2csRmE8zq+jNPKzgtTroSg5Ztt 6sQCxQK1EJP8dbw2miLigwiZ4G956tl3qtFLudh6Y38UlJ26BK9n3zIzizyNvoMA9zkfylfEB3q3 7JHBHPrYYWN7bRU8DQqz2EJC0ZPbii6uSspZ34ck28MsxMyhanJti9Age/xGV7FS+tqpXbEXyVFR V42lN60gE8yU1ZcVs+RzMMevN96btdcYvCJIovbbQ8Bv1wGxH+L/FbiAEmrQgli6xF2X/B5z/07A FudEZRSA5BPJpTszdZwHhwKYG4ZFA50HP96Z6GbaeeTf66hOTAUBQrQTqpsv7n/uP9HYuO6T9zhm 1dr05+HvjcsHOSLj1B2Ti4V9KIxe5mwasfMq4cyPVwUfDL877s4gV420BupwI6Yvt33st0mKcEIu iptYIwHtMJa/Rqt5d+bBAHC4nN6p1AifeSWBBHDEhy7vjTr3uwjw+nvfkNbPQdZV9r1wG8pX/Ti+ Ef2yr91V2PxQlvpUWE2CE+UylRhfzWhEsUvqXw8qpgOiiM5cLpQdo/+Vrf0D3hlUAqUy4j4prdMx Uk1vmC/QNnE9yGwQl6p9QxK6X8lTk5J2ptbPLiFnxy2nebwOOhVNosh3aDh8xhdF6MGeqYjBemnk Vz0hxaBuqELgKd5GNsKhL0YAGr6A+z96l9eWzABmUreINvl77V9dsYrEzfbYfJwTL1Nabg5FqEfx HKekVoaOPO+5QFYqqHO+g2RvEvfJjB+3EBS73tAqpe9sER/I28JOCnHNdZYl5XhokTCAkydTPkXr ZWOQ71rSaokvzeM9h6pqbsmDFrqZ6HjYzAUgoa+GuZnutjpnQN3NLaYDMu09NpKStUAtY3bRjwCO e3EGJnnIxn3BtGQiZu1kWHCFf7Lzasp3K3jKJUeTcBDcVwchTVUH/NKRJIG5E/+xo8nshlNF3vsy WMWeYYgEghZY7f7CeX6s48LHxyjwr5+SQP6EC9hxvxkeBwBeAtRyzb+LlW+UPQFrX0mB+kVG3bLx MmmYQ56XfNgWIv5sRwPlQbR5QjqfdrPihowHWI9VGcNWFjkpK0/VaLPvTQPW7/k2ncba678x+kLn ujRrfTwPK/ioJMZaQtJDhyMPdhr2cYSCiXDX8+pOBsqpqNDZQk7jgn4VRToV1xw8LmTubJHe1qjf mjIozCNzGjrV6t32edDX9nk268KObMhOFHDChPT7Bm8a751VccYkf0cbhjWp2AKkChTjWQfK8QLM eYFi305eR9bFbecqD33zeiuKXOb3Xqlow4o0fjTDyM0aGFTvKTcPWbx7lAW2wVNVs/KnYRWJC9Lq TiLignaBgqycIz5F2M6A4bMKcfG+NgzBYUbOSXkZu6W9OWNz5SsIHyLvCvOxPpeVc0cZ3srU12aC m43kiae16TZAFA+HbhfomR/Oa8VVv49By4MuolqzrSicwIkmOGwjnFNhS+jk7qU+/OAEoSAQTaAB JsEkV3AUZlpoKXpap/xoFd1CRGBTkzLCAv538J9gEH1akHIderWMJ3gCZlEy76+mn3piLFFc9+pi hhUWRUekYWgliK01y680+s75RDdvZaMY3tOkqLXdHxFDvt6eyx91bpaB4CRxVsw/aQY5Q67cJ1ha Oz3WAgjplol6Qtd0NlPmgIjvll/HmDdtULGyVK7fcTuD72rZpFQgT6Jj8VKDH1zEE2HyAYOroT2o +6Vg0oSorGx+fVNhAJCMDWt5073ahvHLfphOSaU2yhWqTNLb9h7jQwyHEBZZgF9pnMdDVgsEIGvI d7zIJ6ga/RRxNRP6hW5VhOSIs9xFGbruy0rB5RxH7EuFq/g9BEqPCZu/l23qAfCVW0klJpEcbNiE PzC2myMVpaA5MD8cxYEUW6p8jjnW5iXvtUBrjbZEAHHh4QnfZHb76Hvuc3xgb70GYYkg8hRWtT8N H8abmQlZYkJx+nBBZrpVgUZ5IXv8VOpotr9SoBrdceHZIvlrrWCGEcp79SRs5mUp2LmdQWdVdehA fGai6OoxZGhLokcbttxtA6jylVzsKPuhniOsTDd9SvpHCrgA6/v7TaCTqFQAHrUIG/+ubj7oWxXD Jcs/YJ2bYNub/VB2L7zN1s0wukZsttPISIxG71v9AkaCKAgGolZ4/+hce7e4/VTPYTJ89GfAKJzH jTbo1arwZs5OP1SM6zqv8wehqVhpyPoVsay/FW7zXalzwcLYJZeZNDhXhOg/UnXHUjN3ziHyEvNK uePvvxXw1/EN8vFbBgVFB45wVfso8YVxwVAKSj5HXxvsY4eg8mX4XWCfEpkULdPp5xvg0CROoc41 HGjFCM6Flt2Y9Xj/CD/iFF3TGnqB7uSh8M2gXbqBPKa+A9zcW3/fLBb9WGLPPq5RP1gc/CRnoj82 VHDFJ8Mx/0WJfQNOzKaBJcwM7+gsOwVKnBpeGZTYBUi+kO+0BlnkdbxnVAFppRE/e2TEpYKUxxND ksYC2VmiP1wPkA1FhesRwxjQvLDfjhDPTcDYt1f8X3e6cYwBm7ySl8w0VeTgfjOBwngZj/U6ZBkF q43t2RPrw7BV4SPesXHelndoe/KhYDr1z3Tp1bqLYdYUFv5CqfSWdshBr3N5MmkRwXwMMHGlB/E2 Zqix7cs9fatEEqKlKySzG72gny4UdQuU+Zy45EFz2FmDlV2v2017ESncLoPCHItovIuKQ/0NXsp8 SFFAYCmDIB4FW90mqIz3gINEiVQ+YgMdEgir9hOB3g797Xwhu5BW3mlagxOHoIONMVbc93VwX6Hi 3oFLdeNaaFyllPLvySTDKdOxWLw4uchHcJrMb9cPjfF9rK0wd87Q0QCMpalT35HoPZeFAoIMLuTp 36hTkrcMzZElFWTGLThYfDBsgX9D2yS+76ar/ZEI31eb0HZFQdV6dqjgiwPTAGcLvTHqFzOs++sl fHq7QsLH0Ve1KRm46jc2JcIbhHBBuPg5WiQzaljPCgIyIJVMIDhjRjF/pjRnQKwEjGBKcUIKcOax lNQElL5Bzspr07mPYm7UQGVRIT5mfaQ0SFae0uL5fOV1uoYkDy4b+r2TKOOcgl4VfuqRsW0wKU6K AWCPzIQktbeCfh7y3RtGyiOLmjKXzvQ3hE7wmxcG711lWewdinA7S5f4/rUdeYr5zmxf20ug5rFz xdyB5Nz821qzCWQdLB23VY1mTbFDWfk8p+v3pR4C4Gplc8dhnRMTPIyBkJEj/75/QtAl2v6MmZUX S2s90zUBsO0TShzWyShXGAoVJPwfRj/lXnNisl/ngw3aslMnRZ05EfOiSp1QzCxizj5iWaQvDbOk 2WxVoT5dsmAYu0XOMqLg2kPrwY232bJUMiVFB1wI3kPwkksoZ5kZoAJLxLtXqrwnV036pHfnWjk5 u23WdVu+xU2ARSizZ+GBkNUgL73loml5eOeBp85GQJ59uP7hk8qcrYQlaVasS4dyqCJNMCmNW2f3 KpLGry/6WIHa0hBagZuVL2gCKjF4oPM4upU/c+aMC2TerQK0q3HxjIS3XWgRIYlI16UhBaCjSB8b C7VSS5G7CISq1jTI2xzmFq/GjfiuZh5onkl/xCohkSLWrFZHLG3v3fpOw0Qh4y20K9FT5Z16WU/A acnqT/OKkP1K149SqErUw456aGCsmaq3bSolr34m4wKLPYlH4Eq+W/E89NjqlGGj+VpT3Zu7vDpN uOX2rZ8UW8LrKUznzotlZuRdn+DmUROLTuvGA1B9JDiVo0KT1ayvNSmhFPQ7Z202skg6eHKAUO+S YuesqI3cd/tXR2lgZH8dTPB9KKGF0DjA5GvIKUdg1F5PHh4dyJSjQ5Ug8EaFnm/gJ2t5ERhYIa1t 35cHzjdsZs0CZNkSUa+ZqFRHhX6NYuRTg7VUUgxL7sTFTRIfQHo5v2GMjdhtb1lnMW+tIepy2vRn WnJ0Wk//7dOn8b4XHg2QimIZhWACVJZKqjAAkn/nUAgxrXO0KrCNveKYZjV/VY7onohV1xdq2y+Y MBUiumTQ4TCOQScZuRokYGehR5WCtLqZ/Bqp3/S1xDa3YCoiKPUcuyvGr45t1Qp9a26G+h9V6mYO kjsPopq81Zg49As7GlMRZrmpLGqOGb/ej+eKZjgEhwCXzrNteuIQwjOjnen4Cd8LH/Go53LUDiXJ z+nmkEUMIW1mnXh9lxtYKxEmHp3W5AfipRpGxfQV7YdhLTWgmVa0W2uFCZo6vsXcytxY1DAN+t7v HYw2KWGP99oA80yUsCtxC7xQ28a5Yum8xngaAcfKBmgNq13uzTCW1qReDdhMiMtzqcRyeZrzqbgP hI/5LP8juS8tT5l+LKhTANzeeuLEzpa1XTsRl7rykKQPBeYMR9AlD7T6aCHtmAAfaXoF+y5W1fBL ol1qQPl+bxIsLogo6uC21LAXyDGJl9MqyDjPaJxVsBYHo2e27Jlow8GpqwwHnp7rt+wtEQrumHP3 kXTApPH5N009efwxYqZ7oj86gNzGB2OP8uw5Z6EQWYKkvwIhFKJRkrxXgVoQe0e2xL9c8qXdd/Q6 WTUDAfYLUIXPg63XBvNLA7wl5GO6T4KHsw6CNPBmwvUMYDIdg6noU5nrUmJ3X9KXRePJSg7B8QZO mfCccBw9jwgfM61tZRI7173QPWXtUtJfcMT9csBW/PIhBdRY9s5D16m9Ywu7nuQXLdGSVaWecVT5 dClPJ4f6yRvGN6oECnxRuP7Q1RyYgBJM//FdKEnG7+BWfsfje1HcY6VTh2JMkyocO0Ry7EXxfbHS 0BfxFeZ3s9PPVKQLgdnCwAcpERUetAMgwzO1URYy9bBQXNC6FfdwzFJs4nADK75IBYoysdSzlR/a LPrTv++VRH6ivJxFoEEqAvhkMeRks0lHhXHI8PYN+RypqdCcFRJNyAe+yTX2NHG9MnBUA6pwg3E/ hBcoAVzfXm3c4Tcm4/M7iUNz0dC/aIaEhqpGf4MUaHQ05ac4VomgkHe5R5sOKwAKeA7P6qqM+dg5 s2VyrIR0PseSfRa+Ycua/npadK5pVwnp4HF9Xzbi6V9xy27C3DtZdwNfrFPHtHMi5vUYVPoO9cXX teijptzHkivrYHtzCRGTNPHQFuF/bc+NkiyRkETOTPJrw140v90garczVOhsl4014ryHX7QhdHVi +7dIGLwyNB/Axaofyjcg4WdXRcE9BQYMYwPHaXXmZ1m8qCg5QDrYxzAYi6jqZVyCPh0vOo4gxXtH VTGhkmPygtR8vLcTMgtf443ZKm2RPIfRvmeKPfD9vOCChmQQrqFMcui7650r06J7iwE7iyA5QHAH AFxGGhIIFDLOOVI8q/qTMf2yxCOVyEnnKsO+NsTGDGlMXlx9Lf4tIGsum4ipEnkx6AAG2Gv8rlyg egOY7q49zSKEt/28B3w5xEKWJTpLVDvj8LFTyXni25iVnGGJ1FgNRMRBNEkguRux3sRDzFM8e8XV 1CD0uNmwwTlEqbUW2WHOr1jEvz2MfLCsVgSayggBLn60Hm6Zle4c9qiwD+8A5u0O5i/7ZLjgxceS 7+BGrWx7K0cMC53jyMF19P0iA5/HfwtedjgOXWLcMO4aMkbCFdTgIEE4QoCLCcmx96IM7JvEgA7T Kl6IyzD1a3fn8jPg5pSjNzYED9VfTX741ctElLGVJq2qAcoB+M7BpqjLOzaU5Ncszl654fKgAeC+ 5jgl5KF+IcKxy/82euubZZRqL/1FyN8deQfE0bIdwGkfXYID5F8zclacfBgUmQBTvh/DMSQRhlnO w+okEiJS75Yj/o5umZLu2Hq9AMuJVKqYkP0VrbpAbgohfPIXJN2NjBepRbRRMXulRkbyvlmJLewT oDUq1spz5zWmbhW2ghuqL6Yz0+p65RmMvaa3GiqsPjdARJYu4btBbbXCY1X2B1JrbgP5jKq1Qf6V SK4NL/JmUCwwtW5aoTw6VfjqHNmDzXZRxb5PbQXyBraL2W/d+IPR+/NY8IvrGoAdWwcr9asB+vCn uhP1/0Xu50IQsorfTNMnDOwZRseMWajnjugPzrxcMOTkaD9K1bq4MaUawGZvowpMIKOeb9QctUHa Nd8PY/zLzN+ki9G4Z5m2ReDX1k9hSyt3KDRRWxOcB/V16H38aAtxyU6pRoDf9BBmmJCG72bPye/6 mDa+7s6AOBGhazE5yn/GoB08i17jvTyy9ZvNth9xRG3aGPrL3tcC2xVxyAFvm0z0VjQ5VRS33ksD VVSrVAsuAy55dd4SicywoJ9mdIp6lqIvZbLi1APmckuUB6VaEvClHtXynoQaLsOvrmANUpY4SUhB kbL6iZB6GKObBMOt6ADcAgbzvKg7amQOghjnYppmjfJq/lZCGDXHhExXmDRjny++db+gHTDor/Dp S8pUF1juLvzrll7fgIvkIcFHFyqG1hBgjzUGfGB/8rswuqPRsO3gtOEie9mQBEgodROwjcQ= `protect end_protected
gpl-2.0
cda7e0819445540a6753353857e0d08d
0.942944
1.85578
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_viv.vhd
4
99,287
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J wKyKo6vZmQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2 3Z2PIkm8MwLtPGSJ/Po= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H 4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm +O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr zAHGbiyeXTy5GwBCfYw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk /WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU 20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71760) `protect data_block kFNKET7R49rIbQXNlCHCWdoERshI97MNSjU/uLsiyT3FAWN+AIPAAg6GEDDJK5ypi+Pxy2y94ezt MD0uwO/sSeuh5xP/w3yoGTnsoEWQrNuTd4Yvyw90cXymZ5xf6buMeAQFOlTv8bNsNr/HkizaoH1x 73Kpnrcwo9Ter9li3AbTTPxqiy0OopZJxDxNqqf4vAMqovWwJwXBPBwvg/8xRR1FCNpGA2hxHSbb Ik0jPdd1/iHp11qUY/A8SWqSmydO3/uR5BqQvaEXY5C4L6bMbUWIjO8vCUudl+/p4trI7I68QhNg HjFfsi4nJnsvujgy1O3DCV0T0lzCYapTYEGE3XN/tsC0Fj9dXS5ur5jwsYB2nIwYyfRSeKNBk4nj Wc9RIKWNssEaLQl2E9D96a37nIzvPooD/idQuGwpeBjJkp8/CghNLvxjVff8r03qcmSU5W1SiJE8 6Ok/lMKjlZIiu1otl/3khCt4KrI/zqi8UE8ooN6PipCsFlT7cAx/52sd0+ccnPVJQiX91Y7W3Ubr wY/sXbVGlyHASHt7+FEbKN1jAmG2m/CR7dKgvr5QQ2l6Fz/Q8yaihd28GV9xBpJ3MpTDUg8NggJR P+jRGKo5ccPRodtOpCXnCrTMuD8wG+ziVCyn8PzYi6yIqVAGOzNaCP0Lt/nPnwAkfvM5Bw/88gOS kBuFE1uwtrsVvGZgfnt1UHFcy41qLzgIJwAzY/iL42clM40g+/4HmQsO9+8yISHC/TXgdMYhY2vj YKptG6njPAJQ/SkTcGOZ/jHGOgMiOYqb08qULJdNBGLeDRIDBnBbxkTLkcAESiclCMIAEOoE7Y9D Sa5CNKZRM+02q1QO44AGX2scHurpYm7RLZ+/pPXy8b/waCrmEzxFVBS7V1c4DtxbYY86Ck8/F73N w4Am/EJRBBAvmUJv8Qy2fWgbQxfN9/utJyzYnaOCQIy+k6ULS+j1QyHsOM3j6bCn9OfjcagtMLFc 7ge5Zu/SkbymfKMWMPnuns6efhpEyFj/OEFgOc14SeU0Udp40kIHXYnP8wSts/DStZIXXLt5NY9o wZmUINDJM4YU9Tlt6kt/6lUUH/27DfjXkNHomUd1HDXMYQk/bKBfR/z36Q664XJNuvKNi0t10iMu /5uSDqf1XS+qb4DdPPIkM2bgBOp/WLnC/Uw1zxjR2mnW0DWc0DXUgiptcb3PJtyxOV9mEJLCundZ l+Cxfm5aL4jZFFnt5IgF+ObQsrYpgmwKHepOAK8seU08IO3m7d86ern+sF+bytQQmcWpS703RagG LSvBsySD4zTx7xNZiGgo45zzaii9BZiAfukqiYoYIyjMHp05T9Y0qVkQsmeEZu3AgM192I7SKbeh BTBYsQa/1x+18/JezJTtUcCRLclDcCTr6UgRXPF2yT4xNwR4zNk3iyTBsQeJxm6YjE8cah1ojEyx cIfxx0IXd0+IKiJWeHp14L2g29IDZ+Q+7WKvRQ1FBGWEDb1ld9CyCMwJ3GB40VXnVgmozwpt9/BO 8e1Jl/lkgHjjk0mMJFdvyJKuJWRRbkysYmOa2B++91hQqdcKfChBlhodnHG3k6OFDL/1UDzXwezg YrrfOo2gmkhl36wU1Xgm9roG6zLxtV1XKdl/6WEaOINecL+KwGaP72wdhnKErslmVZX7upszaIJ9 GJbMb+HW51c3z160WXFycRGA4L7N9cqOtgySfkQAY/eVVS1KUwOQK8qQ1K14WO4ReWEaBJelIVj2 miSO3I4YTngOKdr+EBIQCe5DrFZFX0pg4d+IuONJetOUeqjHTcR0ne2v6yhRfyY/yR3trr7XtzEU G8B7oUHQs8m9748A9gMtptb3DNP64RUl59WVLpS7Cl9midhnwjjN0xMgxdKfLsxjD19KLicxcYAW b5k/W7Ou+iKTNnVeHC/bgVB99Ym6mnCEJFt/5x198p8O/wdl0xDVdR2bM+wjAxGePUlIRvyWeAh2 lbhYN+hlcb/MOPN/9K8RxYV6m5U6SHNSN8R3ynxO37f1+mRoIDMT84KOHn/+OQYH7wJycpBnjr3O NscOPr2EDPO1GnoTgUcvV/TpWwvqYNvXBED9z8GWDsFmAdNkTlO/5z2Zzta6b3r5nXWcxPvY+UBh 5nw4YsL1iC7uMftHRd8g3wifyW6oKJeaQesyPJz8HyJUzznn6wUEsHeqDe9KRLRT6OMOOzfPbc1s /xmA1Ri99ChshmPTl7XgGUFmoPOhpMwtoj23yKvTzIk4W8LPuY7eUQ684za5w0Zp0nYvqDZmczIK OkunmcRyB+2WYeEoxyyI/3UnvQyp8IORJZ6tT+5pwQREhMEqBtG5pzER5BWZgKi0tlWn7uIyRoqE jhPhURFEU2ac57EA0UeRUBpJ+g9200oIw2vOftM81oZkjKLccuJx+zFgGq27+aSCIpXYoaT3Vr3U UBgDoz7pc1YRUa3+knZjMFTA5e5oh8pjs+ARsLKoVmR/0ufnEYg+GqI39gYmo9DGN0E0islJelS/ vpD+PD1m7NZDqTlVomY4BTGM+6rWOylShqshtnpVbII/6MQtTWD2HgYjBKSqlAODcWWZySIuNKtC AJ7/K9sZYLu/xVu2VzecVI5uEmBg8MUIb+9IAmmRe/sps7PPQyvrHXy7EEwvy9DXPC23aSoJaQ9p 8J/ojxo95MU8ESBqUHnFNoAV3anv5ltxiiSNIVngcmVYSX63DxM73ARtah1q6P76QraXoEtYq/M+ tM4PLYRijxWjd4rp/yby3gfeO2jv0vyX43Ki3Gpvddt3dNRjxwNuqFhZKC9+di3uvJoTDiFOvDx1 YD6J1tXgMpVLh794E0WjkeMOjj6aGBs3F6k+6vx0x5Dt9PWgPH4p59/B/XOitvHONtdD/cc9Xbz9 Hy0zBSnbViHTCWjcvAN8ZeBajcvlY4CrSqDzzJa7Uckhfr5dBhgKEyEp9jc9uwnjDjTgcfLEpLSl ZbqFJ6RZauCJ5qX+KZXdl3u/jAD/wRZ+d8i2JZb3EdOlv6bd+Hjx2gBQ3VSV2Z8zJNZZIJYq70b4 IgYEMDq1Z5Gm5ezKv06pt7UV/tcxOBsplyrs7uwD6ybe/xrf8iNCAUF+6PcHXLgXW6zc7inuorRz 1vA4Re/IdcEM75wkD3Fb9usClmIK8F8JJx10jWTl5y3/r65Vrv8ZN6E/Cy5qWdIg8eNojZa5Uupu 0Q+fwi7vOBSfObRXscg30f+6r5BFGju0Xcecfyl//DCnoP6+GB3LfQFb6Bm8vACkvL+rdRd3Cjnc L3/NVtXp/PZzcUASnVeCLAX2vPhX9HlLfZTm8g68huqHJy2sP24ldp4v7bDfRSv0WY5ot9pnfkmV 0jt4WF6UluOGEAzlLZqFujeJiatPGJPINC6zZP4nNRj2zBIwa5quR6rYfBQuJdBKqDNWOZYdZ/Fu teTTU7MPhPg9BVd53WTG16ijAPm7LLbfBXXxyzSb/JPdirdgsiMg6NzC3ObkBN6DSNrAFPiKfpDU B4ZOHPi7wJFDXTh9JFK9cRsVMBnCJSteKULL4HwbpTbpWoXzKitkIwvt0eNnSBM0oKX/op6Tddl8 GzV6JAoP2sApItL+sT0qKrQfhCWYtTFMSLMR8Gv6lRHNrYVgGpvyJDHaTzMtYJjeEZarSJolVWfX gQhOyrWVzHl+Sz2Y7mMN61soHwFR9mV4GtQ07Avd9SgSDKKyBp8FnpGlo2I4fja86xVqX06PCV/v 6kshPQGwQXG6blcQE4kyPqrQmGBMtvlamzSHt3j/PI26+TcK6UVSA9lhY+uBvznFOtbRSqP/bNDM yt/s3nqzNFZgL8kimfZWA9VcWfSBKjK4eMjwUO+eB3tq6syl8v4EleUMi4A4eaAah2v6B9jstiVf mZrLoatNh43bjHtqTZ4hzLCLVsyC+yqaZgNYR8nIcuFtgj/7MUEDjbBfAyccUfCZ3eB8BuIrQqZF 1aUz9ItLa8ZNgmy8Kmnepk0dYcMYE28M5lt0Xfi65zFqvw9nSnjD4OGUxAVHLgXVF5JUJcj23HCq ygJURYWwSDRUmMoh6j8EwEIR/wBUkUm6Q8ko4F4JGpVdc+BJrt+9tLehvtmxiuzTRYY8LhQpiPZQ Ke4Py0mG4GgkJqFq8SzKRwwnd8qABtOR/S7AQIaeVWgFAlzQ7m8gAmG2YkmszG2cssEZIYz+wqxO hmOYyocAAh8bmCAMx3sQGXAkVDEHztjijVAjEmkd4xGnjts9ik/u43ZX7vZCin4rhV71mvanuo6E 0q6f3Gd5YSOpMxn3LwsM9x6b1XeHF58i6ie+Rc0BESEXz/SGy9PKMuzKrLiKIDXcjxfgsmnRpPnt psrA8+Hu+DuVT+wRgt1xyi0XZY5KaByFN28Lr0LGYwkLXD1PnNV6ngLj1vw7HaZNW1dsNhTYZvRg DSz6m/8o0VUul8Ijmiy4lVFojw4ABG/F/oav+iasPzPGnwYifjiI3N5sTaanw5aJFp3cvpI8dvEC wyqsSjdea2qRkQMq93XYM+vMss+b4DXdoqUnoZWY+XZBQnv4vmmxYnO4o2CvqFxInjmAecN/X6Eu akt4HGahe33JcVbPy/xjTbBzy3NJwdCg7wBfKlxsoXw51GJa7OnWxJ0dgnttqFXRSxHsZV9EeMye BnAL7a8ee7yQOHNXsmtKunI0nx/8z12g62AfHFB4YyRkgMoZUu/FrYe1sHxmd2a5ymPU4B4uHahL UrAYG5xMX4Yj9zs2Iv6E4PeECxTBvwOs3I/ViivDQGYTk8pxWsOkQOBiYwBVRK21vXLFs97j6Lht bAJkiC/Mp7ISQ7txgpZt6qGpsHH673wtC0dfaecAAvU1o7rNAFhoy7S+oA/UF0LeLJnrzHEF0qDm qzr2JKDBzOVW6S1/o9UnO40tsr4t9WcXkfvsLlXAoZ2uARsWr83+QdFrJKDHixhiMw7pZNrncmNR pNE2dR50k+n/gl5DiL37+JDXfJydBW43XAxVPR20t5pYfZpU/byKn0K6CGOjDhwjQ3NYB9OOEyT8 Tpd5oQiaeJL+l+IBMEhdC0qPOg8Y19aEg/BalAPtIispqW9o/2+cAFoHN6i41Sya9WNqA1fmKIs8 01DZqK6mdc2M6LdnqJ32J8IhQ7uX1O5NYV1FKwfppmxlRrS95br7824xevx6KaHurpFMBSNn/SX5 hM3j7aKkuiwu39Z/JRuCZOGVOE9vVbv+Ex0LhdWgfpLTwate5A5eQd2zkG2ckdWlaJlJvTGedOQU CmK21XUrZEpie9E5VFjIhwrq21/T7XIxnvv8B0m2TCQNKZldiOwkaWkDk9k6F3TkbeWoo3jKOZin 1eKb9B0ia6i2Oa2P74pneHqZJq9k2bALy/iShS8xT+wM7Eo7g8W0s+Tthld3DlnBXO1wPEMeGUBA 9mnK9sxmVfDAfDofGUj37ctU+8PbjjFR/glpxNUVapKUkWgSh+7cxnuukmmS8ue7QLFjoPUpjlSn zJ2NU6FuMywAF1T1ZfzNrf+QWO+QZOH5JxGuNybb4cJ3HC1IEk3GQSqY/IgQtaRxcaqH5OZDDr3B emz58cZ5eaenV/sNbbxqne0CAFFF8nYhMSFlFcyrGOAohp+ayIyDerZ2xvLGW5E0v977WyJ7CTqt ZJJJecjqRqdPKY9BMe21d6I1eA8xaFPhW7f1gYWV9QT/KTLv9n51zUj4QHXoPOVv4ICcSx4xWyBH wpLl7QA83xVsNC9ukPFyskRdL9kit7omp8+MRqkQ/I3tNQNN8wYUkCOpAQFm7yzo4fkIzlYZo4Bu T14++Bx4LK+aQCxm3oh2BcYSUqrJIGwLCI1Jv1yQx/SWqt+iu2RMoN+vcYJ2F+q3lIHzWd+ZwlDz nkns3w0Q38DBnLGlpZcI51pRq14zhjNCFGNhU8tHP4NIokaiIOEBUSedmaOozY9Gb3BRZsC4eMn5 IiFKBxx9OAYelroNCovyEz9qYfj64pnuzRH8DII2ThERkjgKYFZcyP2kT/FCyD63lldL8K9DOdkD zNXOYB4G5ZYbJiIq1fUQNhUue+bdM48ykV7qJwiSFIr60iWNvXtc1WxMovrt1Fk3DclsDR/uabGg 1eM3QzlGZV8AklTZVkRBamS0C/RpJatC+LPjnobtn5F/ZoWsUmBaX1jdJcAx6FDEcax2zP2bFbWl JmZLFI8sm1r8tqggE0dKeMDgR89Jocnh3ivFb6r3sQq2xJLGYxwdqJ4LLBGi62IBdIZuG/FtJyvd 5aazsFy1V/Sy24wH+37oeL6WPpcwgGceclPXnwQcNzVArUDCAD4fbaByXGxgs6LZzL0izXu8USKU EQfQUR1aN85HuPM8YKPu75b0uxEttdRJT7kJf6zYcU7qF7/hCsDnm8XvzXQmDysR48IGnFd/rvQQ xSmVTpV6HqGD3hXaduqYrZ2tBvG7sgG74Y+r5YRBcNtl/7vtWNClkZ9sCcFmXcg0/sKxz71G/JMa rmQ2oFcwxyMjQBKZgpYnNAJeApNgKQkvY6gWoS/KW/uJVdhlGcZ7GLYJHYed2qSkppWNcsFtABW+ xitGNnc4t4LFr9bouXfQFqDRMJuNmVKbsV8kD6+YENtcltYPbBzPa+3Y4ldRtxuMCxzl7kjIC3R7 dXXCiSIr+x/r+sf7sss1iu3pCQ/kztIrh8AY+uOzNOhMa6EZ4jU8yBb0ivjpqNJ88epviBDU0fok os5n16UgJm/fHlkhSg/4eayumV0ua6E9MtMntlgc9ENcBnOBz6/gDd3PGi210vHID7JtYttiXYQc 61OYUnKWBrV44Z5PfyvA6xoFatW0AK1uZqR6DxIMJzMtXCAbcvY7RzwJjVKxVbtPmLb2AwcRdzhX zXFYtp8rWSYwRk70o7Y3hfRM2OE4NinJa+E03l4cfbuJ4gRtYU9l3A+TTEQFNXfPG3Uw08hS60Mv 7D5ivlWMxtk/OMRzkO3ja6lF3JTF6jasuhG/t4mHaBlXkkRmvfOqYG1sBBvFtUU6Q9QJTbOo73l6 dFdKLnxjNLX5I4nWqlHf7ieSDwtZ/sfnZ9G26aQGGKNn3kyjrybmUfk3Z/Lq0er1L0wCB2vpjbaJ LsuyUvutgcCMpZSlGmwF+1oYWhjwlyUkisMGVFK66brD6mnXDkefZZ+dGF2BjmBg6TLhpCvrmPtC A8l9dqmgqPbAdu7WTYApWOEHE7euV7h11G3RiHdjWWkzmYCKoMnMY4OnSz6R/AYCb0UBZu4wZJEY A/MRnKfCZ+VkrOko3jQmVtOWXKg+cZlPMGCjP/jxEW+tzid7gAFZxY22JTcBvTa4nT6TNwz1oO9U KrNvWFLUNYmRAIR2b6G8OoUEr0GsPVsBFVvWOv4+aiohYMfHOzeujQc4eEHJZxO4IC5HSiwNP48i GpfXWlNhg1HumygxP9dK3Eg66RtVLGARJ+UlTz6Af6uwqfSg/LL51fnnCM4UV5Ui/1AjQ3V/pWg8 W7DAz3f4RNxUCmRQH98razhZZKC3sZtIWX9tdwbqE7RxTz4kxdL+i8XshkDRLuEBNzu3qdCGPRBL jAHAsVtU8aS8RX1Sxmf4aRzgkuFd1ujOCuMttYxhcD1KdSe4FhsD3jnj/5p/B+Sk04vy7+Z9y723 aePom6XwNR49qJJfzNQDp3OETZcW7yUlOKkEKN+LltXxIkb7nHQmB1b56aANOBgXcWpo0DuuIkNY b1gMmPU8M0sctJB163VexlsLsMYms+me4u970IGwnNtoJmU6WasR/+TkAmddX1p3QUOoKwdpOcz/ +HVT72nDksSOh7jTxpZ1IlDjn7IIbVmcSOcTFhGB9DslWsTlpWturH8COba5LoohGVmUdMiSx3ZN o2rMsAcoNykx4YtsuOyEED4bQaYtRHwCyf+qJum1AZN/VLt7uP7t4Lgp41MDejwpDgHWtwRsDZs7 pnc4BqlznFkVKUNKwLoLYDXq7qMtOY9xhQdz4nnCwrHLYmKIJi6s+FCpt6z/V9uswe/ZNcOU+tKi FHFiamuhv6vvA3M0YoECFsS20+pjFO4z6DXuIfGGEqTecaF5l0JU58BF/6dfEPfhQN6Eq6hR1Bxy 9fPwlt/mSnAXmgAKZyoGeWVwSflzQByCone+CP1Kic4sFhphIZmqsQwM6SUJvPLsc4OpsN7D7zB/ ziYoQqPnWRJ7FpXP0H6cbKECkkKJzQ2kuEwIpDf/ssnu615+1aLn4Rg1v2sKQfUfiftkhsYwwb7v 9rbTVRJHJjonEzv95Zda78UnKgLygjMNGEl84vCm13OMtsYMLo4hblMorTgGdumHFX8j1Gl+la2u zaHrmyZQasO17hsr/lD7RZX5IbagRc2IA7nQzSQfNksqe15tXQ2dwUh71watkauop522PuyCgfW9 TEhBNLlBdg5AbsAdB3NfxVOO8QZgYnbT8WhvLlMuhNhfbVpdOl1qehkAtdRsa41Owe8c/G2gU0SF A4DKkngZtn1vswlqjNkd1ZqEQ5raOEI6FC48Aj/xFD+0BM5f4ufT8Z95xrgYcIE53ehQqVIXGR4u Cgf5fEk5j2VSfzgJztJ29ZWmn4UvSq8yMYkVC4vPFd211JScconO8CCu86gwQpt9ENnvkFJR4YIb vLOKg4BIqzHGYsfdX5dvOkRbhD6DhW9tJpKbzP8qOEhY0BIK+Bnx7jfN2AoPu4GUqsX1xSDFngoU bSqabYVfDjrC8ujVdf1VImOaLSOlOHReDYhr3XYDZAfMw1Ol3OrWR+YAx5gxd9dPqggjJvPeCe32 SMgDTGYpjWzlosp6sC908VLSKpaVA3DDvkmOUW42adlH/8y6gK9JmuvaTOhbp6495pz65FNbSnzo h4Dq61qC9p+w2BjkUbygtzLfbK3/A+Cx/CJLrUl7pQ+ZUsLzH67tjZDL5Tdvq4XrDu2wm8dMjhVb IpY9Ri2Mqy7m2ygvtYSPbP19XxQPj6k+AquS+xnhGuObSLqSVmEFJ4/X5CVUdeIpz78kFVKB5j4C Qi03TCN7RwFpybAPBfh4zvhxjdV4GDasvbC44UMefLwyjHzhZT+Kp1lUu0Swe9fyGq3rp0BNnaJ/ 5miNE/TCJkCrpweFcCVCLjd3jpMqtGWaHcnCWavitw+stJSLDpjv3BNaPxxbqhLixCjxc/3Ydj1o 1FYA19pJXiQzhZQpGMD7LSKkYqaPWnPpd4F6uKN3NT1d/V134tUD7WVNqRcm+UG6ddgu+3cXpaxE /z4sJauo7dRQMrbC83MupAWHco8x5eRifWITn+yZmOQRhAcDwdhNMvgbeFHMt0cs2EizDipFJTkv +8wQdc2eeIZdgFe6pG31MnwNHIodNWB7MYK1ebHjGPLgEsVlQaxIMQYDseu3uTEeUBiYOGP/088d 46jJ610dxDQEIxHtmujlFDt3a1SsMLkNqCkuCUfIpg1XhgSOAQUP2Xg40RHmf/XdEaVIyYVNaWSG gNO/eGcT9pubgOpwoMfUeCwL4JBOXCrWoqjvrT3LbjenbAs/m0QwJGiqkowcnhhCVF3hDNPlebIA MvIFLUgC28rxga4qM7dWpe6umUuKvr4Gi65VTRxE1vtBnxzTSCxoZFyfnmWQP4hy38gkye8lLjnQ JsUViZI+2X8vKdMCxVWzw08M+AeCW0ujq0tf7nTKMv5pCVaIAdVCvCw9MmoNdXYhBqgVOswcLxdy eMyOLx7M6RSf/OLkgrUxFV2T94IgMjDgEEh0EP2vuqQuRYy3FUn3y+jVgKrAQls8UjrTe9y+eldC EFS90rbT0+/satsypOjR2xSDP1+nmaqjkizC+uDfjOqoxuKB8pmeEwOxkNbcGXRmb+7SVGuUnnSb AguuUqDo1OtlLmjc4Y1se2SwI1+Ibh0rCKgU/jn9A2C3P8aLkS023lhX7ov5EmszkU/UPJssapT2 wCqpJXFimwVsKav6KtjAJ7MUD98AbH7B/qVWZavz4qp+wjDwJs9iAujIBHdNx2N++LXHH2RqH8dC vSLaccpKOcZerefEeKrrfX3HM4ZBWyv1M27lcYA0bx0F6EqYWcpLprepWqYnh07wOvkoJyJ1sQ21 knGrAIJhN8Rb6Eiz7efv2wkskaW7Gp9nq6LSBoWf6IHrUnD7hDwKA2T5AsOWddem2/mi2yQZuPtU noVLMcvShbz9NhtyF0Y8bl3+cpceaLaPMmLCoFt7Uw/g7jHqaNZtblhnUqoN87RZ+g+bl3A7V62A 25HbH4BtYYhdMjCdVobny2CctErjndNZ7jRJZBw83wPmsZRku/vFO2My9I1UqCWK7yim3EFToBsB +93GHDbgeki9hONOlp1y5lHDJbXbpJQE5kPqW15pkzTLjY6A/va30EopWqeUpEaWHG9uJFUkdbKd 3ga8Hd3psKl6yDxVMxUpOCuiAZQaFpf94w1E+HY5t4Nq7RKbbFJRNC2lRFge8L8fjYEnlRcFDfPv eu5Vg9xtF0M1JHmmDH/9NntP96QxFvYIncQCR5Q4CMW5F+Ap6f5sqAAMjkegRPPgMC4XL7X92RM/ DZTXC5+INDhAXBNgDiFw1Ras2L4lUex6b+wF4xhj1KmGemmd1ZpYXeEvhCzWw63B2cTsTBqLuKkO o8HMTQ8wU7QBUjaIRsMkhLqh1BQ1ZsPV1RFxqkbkrpK7e8hXXP3ZuQAG+OdxVbf928AxRjU/0ple /MzeMnc0XZka+g95GRbzvLc4DAWRvqlSB1sGTn2aPPhIPsar/vgHj95OeCh+LFi3z9L43Sp/y5Cp wuOeGjoq0/RAieBQv7V3WleIiRA0PlwVRPQbUV7vqlbzwZT54ZrZKEfaco7T6JTTbjW2Xo/YLS3f vIEaLY6gT7X+OiJIrW3PAwmjXhXevRLeWkT+s/V/amzTJXoj4cgRy5LaogSFHJtPEDA2k/7tElgu yk9NiqUzLUP+f/ZF/LjqClq76xy44objMDfRmrGqC/IqrZB8DfUrwl0WRi1ihXqmUVKnF/kdHjDm +YrLkkUZgdGaHLyMJRwpRvUHaDABWHJEHxe1k/rf3F/7JvaxV5hc8gSXcs8w61AQklUCHloTb/2B cDkdG5jWUXUoNNhlJ3e9YAr7gTkc8KviuwoSIMQ1JhK94B1rK8PgMqV9NlySDmpY4EcqfR9qXS9C zKV3q8G4WHft36h4gytvdm/rjuQrCA1FTPsXlGaRPIuBMw0nEI5cFwc6ExTxjrNy/SHrsiY1KAGf 0hzBjCFe+Q5eO2gz6RCyTZWp1rFW6aZ0w8/iC0pGJxPenrJ1WXvwkMWO1ouHza+unkUy1OSYzBK0 yg87bSrLk37P+jU/hF+tjn9SV2D8sSrNKZ/eo1gew2+ZvlmoF/MKVfzL4LhAMZEdY7eqLkFPy3xk MDYfnCkIb13n8jOdqQXtzOaOMqqCdKFRABGnBtLwYv/ycSx6sKe8NY9bezMqoiQJ5ZkgLnH6In2O uUicT38+aeQQuBqpEBjj7Wgpi1v6m1sR4Pb/c1i2w99NdjTnv34vvJ3Ma0qqX6+aORdZBU9Eja3K gc/gx1QEQOWRlUoNCL+mjg/HoydzJ0aup2Fqcd/v9NPDw/ewc0cb7p41F4DuKgqkiWlMhHL97Ekv FRqR0nCRrLeGzDmfIOQsyD/D7Zz4mszbtpIz2+81D5KIeP3L1YZxJlWIAY8LtgeOFytm6EFU6xoV 7lWbJJZf+eeXFboztmrG57QGVtQKmT3ybuePVGFbx5WZ+kXHiyrMaYMb/ldpfa9rvD/QVhlXzJZO NI4fGiVnkuBLqVvPMx7jP/vJK3bb3pf6BFWZrQLdXxQq9sPuFjDtlk8bVZdCS/Pnl/Lg1b6yWWy5 25NsxKH6sBZCKdWWRifMZrsHla98G36ew+wzRLy+sT3nxY+W/gFlMl7jjEG8CB/8fVCgCWIaPDQU Jx5wzuXjGLxzyd6cMAQG3cuH7TD7ctGtWtwuNVfla50tbd41C4S246QF9cl0eBVpHaPAczRznWDp OYDS8a8wXS6Vc4g2r89fn0niVARe6IJL3SO3H4C9lmj8PO49XL6q3+5mK3/VKyILMP9u6TnkcuLo i/WfIqxWqo24XURdvzik4L8CE4F/VkQYs7rYewKj2kV2LmzUkE/a4YTZbmN9jNJTpHWpvEX9nFG/ PahyjSH69M6PaUrl9KCedXoxAHGj0O+KdFFzlckKJZ74P4KYgO89hlMvdVblu5wuuVd05j3xbXOL a3cLQR/ndHk8dWFB3DoVHjG/eNLTn8ghesjJDTiMSlNaiOHTFLkaxP5mf2+p0juIaMSfkSdtLicp rkHUrVM5hd2u8cYAjJiKEBeDM5vIsYOEEVOFagmwhlB78hXsY6WJiO3xZuv4rV8Ieelg/bwHkZRK 6+n5sUvn+yJjl2F0FwEaSikY5UOF77ZjjhYXPesfRqwfsNGxQ39g97QyegBMFWaBqIdSUqLanL9h CwILt4/PX2O5Y9fgBnAaQGE9m70q27tBSradqGiEY6OZQ6zUzwAzGVQN8ZjCyj+0SCVhfafW7fKu KQvbFKRS/IdaUPlr3k5bEEVUe1GQETrC5zpiOhnUSYHxeXvORuTNYaH80uAKpCuW0O0+l2PGA5XP x6Lp8wuJelxdCyP0XTRm2clWxIfLKeB2mTXorVSbyswL7BXYSgWJKPLmIF0xvosSO+u0dG2CVwAP 0eZAf/38Kbe/xp5kdDNej5DVj7rtgy8JhSZXsIPvtwBZehUrOgbM8fkNhdRv613W2T1REkYQvTEM Cz/yIHD4jS8Ezy7cNYLDxhwTpUKFWQHmqBCXEBxJpBxDscuez4/3uf+GrflBhBK9h2oxEHKMKyOr fYk6c5mMEszR7EexTIRODEFuwNDdEFmkdCJfJ2xVKqve2sQOJdATD+csT7MbAVrtuerUDwBc34QL ZBPST9Nm6zUqldjzb1WXPgYjWzVv2QjzX2sl8VY/DeyYj8KWFAHq6Qtu2z3mhjpEOL38bmNa0sTQ iJE+DNtviFtwoTVtQWb8a4N5d/uBzRkfCNC5vgXtA9zgMPaZUlOHLOTmjvil8+OqOCzCovbRyCpG 9KssfMg8Q1J7CoqBK0trbelW6TzKahyNGcP0BYbYAYZNVR+3elSNkZJV233DwteNxjhClzBGZQz/ XAb+CGDw34zfBaBSTC+jvj5CX223e6v2Hnv9KrwvJGtelQEbs66KVtN6H3M+qZ2E70fZUR1Pkdvr tRjWAySPabDmkDZ1ylIpeBFdfBAQo9cIylI2EONEorfJjCgmOKt5RxrE3xiJyKzh2ym3mY5FC2eS O0xW9nyvZuWN2tMrC/cuHSd1stpztkJGx7fXOX8FzMbHe+sAI96nTLTiEuHmgltAWRxMDTRRFsZv 9/ZlJmsqUluxZ3/et4DDYB10Ai8xOqnWd2D7cXAM03pfymXjeNaaS/6DXsyMh5SYaVqGrQt+mdYk MxKGmRSwbB+tGXq5e2USVC61Co+aql7C/ZOsGxNB/xlaxTvOOmDxusYK9LqmNlBoWwpZTHWrzao4 YKzXvjBSY+SX2f7/eqMSahmy3V1OGMrM2TcJDYyE9E9erEa8A8KMv2LHQILjB3OYd7bPwbHmnbZL hR/s7iWSbJsYf8ZIRzz6Pj4zwEJx7qwi3IPuUMsHqwQ5cQOsy0bRpeeA+fgclTnhGy1M7P8oldam DJHDJ9f0r7JVeW+uLc9eizHlvftkJSn2lSSZnJ6sZan0krTMmbP17jG9klEUXJOCupspBnC57KhD prWp6jtzERxXqr2jhXT0ilFZoUd2TGlCDumfxl15aqyPsqHciENi2//5UzeYMLbT0taViIZzhyME NwodFmYWsG5+dtdwkrQ8WZ+y7LwTPLhGAXKcTibvF6ewjOn8dsSS5eY6/QtFpmow3VHghdep9ElX 4Ci6SqUN2cDamTluA2Mndakr0s1S7qnDI0McOr+kyvDAUn0i6v9TQtkZtBSdf3iHN7AxxqCrSov1 Svgc+KtydZMLXIxvu86iXKlvcaRr21nAob/FlfJAdYlOv/bfSoT2naMzE9i93NgRapmpiEw7jLqv AZeGIV9SHOoUsaLAAMh0Ot7z3PHNiE3cfx3bKqa9nAu+lc3e6BUpN21tBntowNZrqZ0ylwE8KDOi nFZ1MOvcIsR9lxue/F26PZM2WAQ9uRkk8Pi2TaeQ5emtsRuZq5dQkbAfmBbBqTed8W+FtF21V6kl FQCMtd2Eqc8kJN0pacumSN+w2k/H/veZyP5isFL8Ko05D3kNUjIloxYrkqdcteyyjT2uDvAGHXd/ HdnRNFmihy9MhLbnU81IhgigfxfE1HpGNFF/Xvw1Dh6IYMfZ/YO1LHABJySTRo/P7tHTdQYC60mJ QDv0IohRtsHj/bsPTQtSTLE9fHkgEXxIIKvtDiUEt2cvwOYhu7ev9++TtskSIApM5wl44wSmUcO/ eo0NrhHvkSdZR8JluVLgzhRogVcbeDDyGFIDnLCyvAWLejz6BWQlgcr4d7q7jpClkC6M+qDAenMX NxkAfrAbEUfAwc2O86krKeonVfObgthivZQqpaMjH5ZnypuGyWK+4zrJcy/X+KAJqaEqI/2/7tyo jpSWLmebZwXf/381jsH1qfiyACw9ztBmK3VURAWDYoo/ZCtqXDZXJQuE1cqgHWQIXwDcMR3qOg+v s+kdDxoUEwuXTS0OA2AKtCtlltm1LfHPSp5HyUqzu7vGakvXP0ESJsBsPvqgfyoeSyQZZajBVjp4 fwGFL//t8gBDjU6cgwzh5a7bansflgDFecxRJaRyV1fJ0Tbyyd2gdgF5ijUv9QzfXWxmAXFobNHj Jr7goK434lxPhCt/aIms00efS7eFlsvTqpx833Q+yga1g8am7Vd6h5ARLISigBtod/MMsW0mmaUa 5Ir0mPBVGLh/z56xBBWFd4Q2e2ndpn/+QXxupmU33MqZNFUWnPKxD5gdRB+uGSuhETcdlSS2RhAN hIA7Zr3MJV/BbdnzUJZ8aCelGtQL+DsN7n/rIIrlo28CvRMwjN6N2mFm6tmoXd2eOEGhPl84+3ky LzRO88Vj2AnBx8aCI8dQwO5ZXTTC1es1qPHoaIW5bDgyH0er7kIrcZxAY13uCHXgnz0QNigwPy8W cppcRI2TFVRAyncMNg6F7hewSRNX+ebPVzSEt1SOiaugndHdGn7PYS+qqEWXWID3JHnNovliAcFc hDRQ5W1XW7/m7rLew1lWIW6DzzHWUuCz23fP8EdIcpWY9p4bX+NgRUXrA3p57ssHiSoMXX+zQul0 7EmJ+cRdj9bGlPuGnCDnoNxu+Jh0IWzs/Ioc2ozYVCgBe5H2CLqboMqaWL68ebgOgCSa6U+efQc4 StvzZtcjNO8xIab7FyV2kzyKgeH1nzM75oGpBoJ/IALInBJn+Jn28YFGy1LSbp0MLCdrrXwoUylt iAPALSAu+H+DE4kcwI2WugtZqvdYen/ZKUGqDkYPy2vY614hOMROLsBRCiZiSJI5YFUv1lbxl3+i m9RV37IRHyiwtxrT/A7FbOyUDoHo8njP9ApXQo79tJsHKMghKuSci9QgH4zGgNXaCCRUd4ik4EBj UV48hvIY2m9qg/gaTGmhuCJn5hhLUbhEnxmV4LwPX/cI5MeXUgKdvJmnWfLy/knhZTpfWm13K0PS 8VrVDa96dwzd4LoEeLkRjngU326KPI+MaEqlVoKDft0wMlVjLKHpraADrxHqgZk68IymuxXRuJgN tkkxal6trWhItnVk+sXRRS1iajqVGMk2FIxilr7sfomdrGRbdSfeKSUcOzO3ngNm/k0BVACZY9kI 8WqGKWPQHJwng/HJmEH6jPxOPFfP8YG1QA4WTVvOS/uMhgnu+3RuEQGbVLlatv8L3kcdXNAqedmn JkJjRqz2MoBRPWtWNf9+Uwgnno9Ze5Ya9lbcDQgMp63i2IqG5TBYfaAI8x0jXuXHk5ANircgSKQL sQvtajlc1D8wgEGu06MCYlp90s+qnK6DqhSt8ELqSvXLf22C397A7f9wTzLfm55tKBo6hvLHJSzj 9cjuaNV4S80GvdLsWla9X1cXtvkhnop8GeDH5TP4KIAap8GnQcxNYHAUWTOAbT03cU5UXAI2G2RW sWp3i/tPXTY4u56mjyKhj4/4hINaa6/p28wjHcGgGV3Zz9I++B3r7K/T0QqHT5w2Z+Uqkp0be5kn nlmQCzByEwAkylNNFyHqXqXfP53kP43I2naJYKFvY0zxl46KQPsuF8asE6UKPaQfpCvX0hCaLXkD Rcp8P2QxZMqUugQJ6dirDaL4+gSKUvK9v4jep/t6cVhq6Z3dRHxKZifF3Jpwnj0RtAbnwQF99tga HDgI8hDyxvFC6PdIXBaw7kzH19IrFu5moXQu28KBy8lNT7Q558Paiz99SLS80VFXDk9HmyIICbAm Ebs7Hfl77Jr+Fs5qItpTTpK65RxbMwmedjSqvBixCA//AQARfYsABs40Svrux5QtSXfXv42rn75G kmZCI/1d/khN6j5Y5skNBuFhM8yNo/A4PacygqEpBp24JaQ+BFuGmVQKjcGGNoULuCmwNTDvt1Lo L78rf9reU+FST2vvh8XOliQPKdfbS7sx6jmsY+iYOMHPQSENG/PKsx8nKGUfO8yEwJUenxIRpxCO tKwcTrDtRQSx7HyLXz3najK/+13NaUWnVhjcYQ1fUmgEAVbgY+biZe2BQ8+MrkomV+wgMJEnrTMo mnn7N+mRm/NZkJ9zjP4/gyfUGttCQufruvssw/vgIXZvPtrCOi41JSkWiHEenu2bsMnOepoZNQZS OTRgQSyiSyb2p38trxivgL4kWHDH5VvFg4/JanZ1fV4hxky/yFauZMB/7W7vAk0cmlu6kyu4zrIt BWMHscFqqa5mlCce11TCUuB+fjqx+oXl0hKTvPcF3Fz8lK8op95t+nLImwlaAPmNHMQs5wXvXbik R6rP86PqqDA3UdNUE2sio7sYIc0+NdODEi0MTFUyhXa5kz2lZs0gl86cljWm84DxWP0cg/BY3AR3 gIfFZ5XVegAA1nqACKCA6ssxckjr/z83A6UcMtic7ZXbziGjMsW/sZd2DdSirqGf6ZxQAx8rICxh fx2RDE7TFNPeTavS7c27d3BmY0oDkNDStcb3Gq+D3tpAr1mHLH3395fxDj8A6TQgzsIyAEK4xqjd T9eMd/9My2yVo8S9AmkilCTlrS9Xy2diMazKn+IEOcmxC9UT/u3BuGyG21089cAYH6XreFGi2P/V dqgAutH6ZIMDuPy/r3oGPfAvvvTA7nZzvs+wgmyb1OpErqhnnPdiTuoaWHq3n275W9QLSn/8wgw+ pX9kheZ47qsQipCt1CB3rQ24WJF+BOIQbhpDHr49Wo52npNax+rVXS0uJFI8/jZZ2w4m+S/pUGJa 42EXOoacJYEgRNBAbcC2OnV3PWOLADT+7nXftd54pdsphagMz8V3QAWMUCzETq5hW7khdhAh4iEH djJK3pjScUgwdMiudsDpnx8eB8s8IiXDvEdNCf/VYE5EeOszXF/ZuB4URwXUFYJGONpyz6Y5L+Db xqHaq3q9iMggtn7gfrHmv8V66y5HHJstmJdIw/0gtwN5/MZl4nCMOhA0p8t9l8twulOKiX7H0eVs EHIKXUr1nCMars6ye49VV/D1FSF20L/MEu6hbLEfEFkbJQqM41VPuzLZ3jVo7BQ3XqXNRp4fizwG EBr2u0qIjK9n9RIlpk3rfD8v/5wKILEibSqP1oLV+diKMOhGzgS/PFmxWvH+HytREgYg1FIAXOan tmJt2YfaHn8as2e/c6F+ulDOL/GwhrgzuEgA97tCsgmfkTRSC2DbaaqbNGJqpnl0n7VyaVyPM53l ckC9Tc0AqxudTfsWWCd0wHP2k0+YOcKaHslt/P56g6og3fAMBU7M7QFRc3eL2Y5Zf72dRsi0QpYW Y8u6RVochifcWQAJkfsrje+sc5RssCoTMMF/Y0HVHa0VWxYZB8hBBctdTYUIlN4Q8hFPknbiFi59 36CJv/HDRe6WmQIab0zVq04E11QWI2008OA2EmHQEZUTCzcSxp3nkIPdkiRFip1ELP4/4rm53c8k kFBIymXWghHGjLHWNZAvVM9m1rULmz2BCZR53nI/91alDh1q/VweU0K34HE9XkAdXNF6A/cJO7/d MDCW5nIG3N8wxQ+jrJ4IHd3otaYq9+N5Xda5KTsz/mUVGJpiQRuWTq/70VlpJq4IsYvPQQvabf2J W4CZbBbhc/AH+DsPJiRlCdNq3h0PLO188jLAVpRb6SROJIZnrXH+nC0c99nODa/2OmHc1bX26+wg idTt+TJoyBuXLqOK3dWy+Va1Hh+HQFq7X+HNPPJGTc8YQmOeee5VNSAHr+uqC4+hsR+Hw09EHvXr yaoIFRXU4aDrX0wqoG3SAtmvVv4WB3aOOQO6aQTE7f6BGPvJd4nhakdFqKdcnE81NF0rRp+Nq57b mmAfVRgZtoQ4gjICb/GYgeZ4Jm9NSwctJ1vrmREWtL24WC2YpdpqzIgRjaIVU9lwImGFkNchGkDJ adaIiUKmrdRdDzPVvI9hWJZBqjqEGJTL2gB/XEksWnFOdQYbvgC/dkDdZjYi4akmFbFdv4Cx4Czy Bl48+viC63TNfdCXsQOuvMQKgXAoLlIt2zbyuvJQqnOtu//6ksC+WpUo2ZVrx17QZZxgxgRV7MPp A/ZVISt1nKKsV9FiwYwMNDpAG7UQAiC42ONqudQ3/4eI3txMaCtREtcjuYGNthJDczVatB9JUqrw Km60fVqkiFyrMzunmTZRuyebXrzD69d1dEs7tZQSziHvchtGxL7Vltd3eEHLLkHM/9fCGk9KMp8s 1OoYgWlR16GtJa8rFfKQ4BUGBBEsGpPZUQIY5PH0B/QQD5jrUYwhNB9pws9pLj6FF/1CXpL5Vm+d u7EFScvjn3DOa+fcLwHc6OHBPwycwyKlj7Be1BREB7EiWaxV4T3YS7xxJylQV0VAmbn1+TrH65De uu4TlOsStrU7MNE3Nd9bpMpo4DIvlZlC8jJ3vlGBr1fmZ8eKIc8GYLDzbigbu65ktyBTkib13vop wDdhACdX8AiOjQxaxM25khqamktAokuakplCvEyBgwgMHhjqT3Jgu97CJuCMyyjdhjh6esCWTrx5 E4L2iennk54q2jZZmlySydruZoGaESrf7bwxywebaDPNqliGXpA+a60aQQuHu3RGt+fOyyqXrTDE ydJqlrqCY2p5H5PA1j6uvy2mWvld1h8fNsbfklh8ulajyqBfCz2+it+mWfmproTcISMWQLAyugKi LofNJ48HFaibHOg7ZQEgUwvBAzBSA1E5871XEPfGFz29pR86GgOZHJW728DNfvLRGzuYC816S9zW W4FR8WTIguSD5vlZ9a6hJnPp5DsIHwBsW+4KgVZHGNJyoy7xmIQ+EHZcYlf6EtL/RwwRogRDB+BI MXgnoNT+/LfGHo4WpO/B+j6qqgwiqTV4IcdD4XutOkGs3De2lf6ijNBtplQnQDodAdEUdcqSYTXh dOUxwB0YUX1HntVxfU9pOQqH5dBEtTE/slKCQLdAH7EG0UCzwY5PTsh6ZRiXnLQu5vIYLEq7gFQ4 HKHlQX0z1pH/CVRv13KOBjp7Vfn1pwKPXHRims/bNb9lccVf32bhw4ZH3VdCJQpIeNqUTOjxuIVr cxhuWU3GY58vOW12A3qhNnBieqXn1HUER7Q0eTU58um1ff1ahoQ+OmNLCPh+cluuRYyuXcfuNIzI OZz/XUbWRyQeLk8MTwkCqe0QC2oJtAzYdWBC9EwWPwCt4yIk8m6NHLEx1BKCuccnfX74l7YagIOL u5DJNIcCHXuuzj7sAZmAZjQBhqNG8kzBD/WEZuUJW5kBNff/H6UuOUFa0GTHWl2/0b4yqfT9tTIf 5X4cy9a0DpxD8uV1s2JmkgYgPKXvyreROErMl1+xnpSEcuHYp7UiT6ZRksPYcF8BsqLBJ317WY0J 7JufZ68sC/DJtK/VaEJuybF/OjywYYoj1aoYo+F6fY4uCmoo8cSb4sHDlgT1NZNW2f96NNVLuLD5 yMLnpwCbcOrPXqFwoWIrtwGvNEukycD/WIA1phT+iiKH6hhO3UQvTrSOkAzU7yBLSIbkkOLo97vD /s4+heddDJpyvXCQYa5sue+tIgwQ3FlR03wk7PxZbOxMBuCB+C888S/0Yy9+BI3NbNFAYHCXPCG7 0wGwMjMvyuTAJ08apl/28giV+x8uJah0ISzlmgG97g98TdsqovBNyIQ5WhduG29XpfczIW5XLxDX 9bY2Biw12L14H8xYawgPGARqsvFLqSN9NQX/jmoex2lI2uV/CaIti/5KA2UxN/6D8KFw3k4lq0Vk YHok1PY3oJljPdvKg0jnQycvKl6kvlcwfcTQ8ZoSdqqYLKExlu0/yh/AW1HBTWMSPRA6x5sKKh1p LssG1WnmqJgYo4m3XZUW/2oTUGA8/RunoGFS9IoOZGfvfXGNULOJ1NfSraTD8ftnVcHGcS8+I/Js fv8zsKihTtzK3QGUES4OGVaiZc1KzVFFpAr3aMkoHnflYnV90428FQu5h6C0MHY6jLVOxYLoFeWU +xq3W/n/UHm0LiYXLliXVi66B+uu+gjdATtIjbF6qJghaL9YWx9ATnkhHp5zwtM3UlQPSP7JDkGA hDUOL13FvJ5QPR19VH1Aawlt0mbzsxArAVUj0vu0TcsUEWW2Q2LJbw+2fazk4HgIAask9sjuEzFf om9rojHpdiY852gwegK2jXDyyJEwqeRDU6xMSXOFu/+JePtEI761R8LPKzq03hck9X5qBfXNWgPt Wmdgo8gfyrARkGA6fiY8t2DidOVjrnoYMB9ETYktMvUlazg5scf9H2Vy573mYpeE3+wgju7EgTLK cjM6KKWwzH+uJKIy+5Ce3YN/+RfG1LrNiw0U2Y6EunnZfsdTt6tcZkP8Ts45PGNRolvA9+MEkcJn 0SX6GSM10iXc/4DfbxFcKiULzZsLtUl4g+3UlOv1SacEPJjbJ7y4Z60K6y4pFvhIYSGqvhxX5q9z 410AOmNI/G4OmGK6jW+2z2hYXnDzyiAzIHfJeM+C5A7qaQStiybD9ytbzbXmp4AI+WdcyFKnItNI kAhgZ1ZB0RtV2N8O9R7VFwJQa+DLK+ZKVrNsoPfwv6WyYwii1lrc4fMWBVoKANaVefhKKY1uwwZV vILvG9zrPA8mcVmahU9wn2kEBxa/XOTonYYbSBgvXjqe2XfLRYwWc9EeqZC3XCo3/3aU831g8UJ5 3gcyTPWg/ihbjuC4QDqwhPtReCqj/bsoHB0QP0HjVM9PRzKx0FfmJia7S/09YOdQ27m7tfCeASW3 M4HETeHaAzanEq+3jJlJfMajLypg4ZSid7+u51ydjjTU7TJYn8VOJ6d/6Fjv5dGh2sH/wEkP+0Q4 Ktzx24fQ9TEAnAwO/XAPf+HgyYJOI0jwQdYaMsLyyXbqvgDyYsFAu6PHZFiIdg8P8aDTObRr9z9H MmFTG0TzdSZLmbeLfIi2sUZM82HABv+oHUbtsVVUgJjSPxOh5POupVsuFMtHWNUhSud95Ltsf7XV mtWBQlwa1Ps5XUTHPRzkIBE5dMGaWkHIFWOkmmVAqf+DsACbXxbK/OlYJuqXdJYdtCsiubDagfUB ekDSIwvP8dwvJqJ0NT204gOOfRa+eif2N5yA9UtRFM8iw7jf0sE/vvRdo0M48ORDAAWbrwl99s88 /ZJQ2Gc4ceJuz2H3YRXAuJI/m4VK7Hoab7GXzegduxgOUE84d6P6FphAB5PY83fT7PwKWx30xJMT 78BWLv6y/TutaTO5K3I29HCe1hsXoA8OKXy2hafsQFyNHX1EdQ8SXh3oMCzmkbOk5IHamYimIUFN Hr5kHcmLNDoQUVC6cUPNZyCkfGxjl9i2oRW1JTYzEu1i7KitWwyc/40GcntyIru5KZ97E6uxRvV3 /Mkixb63LLTYPv9QDOvsEIzBsLCLT4+bH1KDEc6QEdWdRTX6quyFY+hk2ie/LeberY+cvQwjbdqV doIOj3WtI9s4pN1lDGGMkKlbpdqmKtgv+hJSVr3udtcH+4yflGQRAmzTEdYMUlZYM/s5QbNz7Iht lDWq4qV+RK0tosyJZbEQDhEXOKvRx1JMLxoHXjzh3vCfWKz/g3+8VekEpUk9Xd6QXDKu9HJ3PATJ YHblO8o+oTiIoXG7lq80DKQgMaL8v2gL3UffOTps9DVB/RsBq3/y2LLa+SZK7jKeX6FhBsB5/5tC DTO4mbxAHxp7RxpmzrQcgBHYSEfX0OoGbV0BgkvAGdof48JlsoKaG76iTMTEFzDZ9XKDVIuHFYId 3GKTVf42Ih+X9apgPYHrBogUnIuzLhg4rbEGVzfYnCoV3vSeGLIJpwj+b/ZilEEdZPckzUlAzK9u 8GRqz1qKefYwDaqEKCIdN5FMeL7cq4m7/Oxkih0fujZPEet2fBdwuX4rLxHENFKY0MxZXvrfww43 0mGOsiVeg3WztP6JkRh4HhqUvege3hrXAQU0HOLXwvQcLLbzoLrJ6Z0P654rK1lE8n0mKvav2dOI O9M7VpfXIXr3O3EgkvranP4sb7FKGdsUCxWJ59pW3wbeg8r1wk5Z4ae1qtQ5RgYgWmjI7ZNbIddI zh5dFJlEqjWi0eQlD79V1qsQdp5CUUl2s+crTgsG6kMngP+wRd2DZ6UV95PJJsCHUW/0RKW67eW8 4NYCrtmAoce/3wuk+WZ5wAhFxLL30CtLJF3ZvHQOdmxBTHapgc3AscBzAGBxjfAnBBZKj+Daj6FV jzbxd6HPUyZI5LOU1yE8S0QSz2+TnXHnMB1BJzK9VCSJCbCRSojYQFyERwFRJpIy7fko+Uubzd4c uDsF+Y7yC83fB7k5L7Vf4CwIxeYQbJb3ZWAbI00avTD0QjRMCPUx73z01Hy/QDSF2YUIa1YHt0L6 mteEmNYhBt10x2atHSW46uuO4o3TYts+Dewl3KNpmhe9/GKUhvjKgQzXm2UwKhCNIMwPFUmaoLJ5 4AN8k9e3RtTGP7p2fjfjMRPjpdl8DLUDOEcsCxhAzhS0tawCQofZxumxZ4gm1FWf6r+IUDWvCMFW eXr4T1C6DZB/frNa4xHxXIh4O6/M/divVygQBwF2wbKjD8GTKCCYezYJB+iVbW3QY7ptoBqBVp43 gtjWpmrRrLOyMrBt4h/AJWWDpIqSu0UjkadHNS4VzvZ8U4sRjePADPoXENoOIiIfj57p/onUCVw+ PhNoPHLlVGKeZDTsFbRtxlZ3acrh5z1uiUdJBHl2hve9flqO8KLB5CfaPrFGydof7BqDMwVocgVM FCgZ5XbXEVgFi0VpYyJPPfLo16BtLOXdCw2LbxBPJEvy7yh5jz/o7NujS1tJ6oWGHxDmNB7pR3o4 jYLzrlGSfmCEYstA8Kuss5BRWISL/WdIDNR3HSuMNNhIgE/EF3jsOfy4xh6fAdP21IP9PXCU55mU FD25kwebcRdIR3c9H6mjBpssAki0sFOah0MQRrZWX7+3Bv+XX1oGaTiDONG27kzpWn8A/mxs5GH7 5J6lGHVUbbZ/NsNZi9ZvQ0zZQu9YXalqwAoBoIANVivbzvUyTqcIxBGS2ZJDCEsIb+aojj5V/Ms1 zjpRddv+etWtCOUt5HuHWgCquoEbnIVrTmqzFlbnIMqfVdY/WpqkJQDmt8vfklbuEuI1tz2vjVXv mD/y9s5E3wek8LRYq9DkCSRYmvEMZ5xNOtp3oFg9IFDdhaHsm0wjOtjUt8YCuilBMlZ0QqQPP/Rs 31ENDzPdI7jweFmzgi93Vur8OUftdKOxMJ1FA6vtDs2BPlfmZawwaYLvTUee6gonkUPteMvxWpmA oaenDoX4ddSE7t3cVEobhzxeTey4p5pk6nMz+Os5DAGWhExqmdbcSoXL2gLTWlcKJ0o38jyt/VOD IbMGzcbMLuWbYbU8pttfXDECzd3f8+90Kc2OX2LyJHrfksF2iyTsjDl3KkW7vSR8jMHoliH5JS93 PqfmcJa5XMoucGf0Mb4MHn5oTSqWRZFWZioe+kuQLhV2P22cJoGLrixgKEkJF9NHXHOEyLbi1D6o kdGVxoH7wG9x/0i5M1YAHK3ZlQ+1di4vPT4zAY6SmApm+e3puwr9z1rSb+MNiZi+819FKPAsQIGS ww1rE2lr2J3s9dUTt1uoecNQ32iIud4zdxwUSKpXQwmbdp39eOlBN9b/+PWBMJaKxeDZtC8p3yUT vTt/p3exZlHhZTAALSNsHYNNGyuwMm5WqPRkSfGR4AlVj59/vBc//jGgPGa6Ww8XbX57MoWkTWC9 bfWmxT0M16awnm4B6Tm4/LVjgtW7dP20DdR6pXuzikOgWHeK0f3QCXC0OisteuouWK2Oji8b2gNW n6lCMgstw3h1/QaUQ/eSZ80fNlJ0xPa6lZc2rAizeG+PZE+eBsJUZ6TqwDqzlCVcOvTYNRmZegVl UjW6fb+LWFzUWQHEh1XJ8+1JmX6ie7yrlkjowyk6RLy7sMLvfpxxsal/jyol+VixUh0t4f8SbHBJ HkCyPAlNnEhpV5lJfamRrjDVBG9FjoXEJWPr46tV4xSfmuYaqZtagEuW+QDfaf9xzZOgUxxFzw8o HcZDnu0vlk904b2eDQo2NM0pziRueBR+VTr7a9lXNTY9nYBVvVunYXME9F1OrKOQ46m7IDCcBuKk IIYgYbtZVqikeEkwSd9twg4PRAzIL7J4BjS47r2hp6LZMTjSeP0n4MRtGltJgzrVnnyE9m0EHZRS 4E5tEhpy83lrby60G7KKic+8oFLVXTQb8BuFmDnnwS/MJ6fTTRqSZ7dr9TuNGBda/H4teZNpBgdv GuComAQWejaY0FIGNOZqY6BHV70/yVjLcpckggIOwG2dZtJJOVbnDd7KxOc4QBVJYM92Of0nq7uL ONucelAH8+ILltfsIuiPSpugE9GDasl2ZW0BzPsI9o3Cu417mFjlBYhYk+fjNFU/7X9mu7sepjzr bA9VnV1BGRVRMQvSj545WTY5qiQq8K5Z0Jh7DqNL9IYSvoc9jFkOKmOAO63z/jnJYEG2r7PjYv2K ccdQTwnYReHxeLqSVJuJgXdp8mcQC83fz3kC43+6y/pakCCDKhIQ5mqZph3n3L25F/fkQJCMEg+h 6RGRSG+H8ZJUsim7dNHusUZLGmLSplUa/AfNdq1xqiWfrMPEwtmym8i5Gc7SXa5y8DC1AIph5gjv M22Xfb5U84rV58XDZOgyuXifIlYKrokliAZqn4m43Y9KWEzjhLpV3k21vzRnsqcUO4S6A67WzXVK GA+BLz/zRSwVDR47HAFIdvu79QI0aIJCh/myUu4eqA9QZuVg45l+oHfHj1Z2HDuqzMyi6bRs09iO UaJ2kJY2KheOn0jvM+2lZIX1HCoPNRqUUJEH2dZ2V1l+G7klex79I527ovvbnsWzU/JskFJPShZw DKgYIlGUAaPKikg+TUNo9D2f2hr5D3dvm5jgYgVGPotcY3rFSJ55BOZcI2WvWjFSL9Qc73TzmB9R RUnW4K3C1ava1xwnCeiAuIl00tMuTiOLcQhYJghNu8z1MYm0XQ5Y3+DmpuBJ5cscHlMsq7sDFpvI 0wscGv07XzLc9JlUL2uRwSRSVaaF/F9MIXST9NQt5NSsM5Yd4xWuc5QX8jzmKcBa1mC1z21VTJHG Gn/fscAKRXpIeIBPAuqHJeQMWXCRjjFDxIJBcZkE+Zt+dm0+hDyV0Z83qlUjppNgykPkec9fakZC Y3TYdcrzsERKPQM2fcPqqgZxtLAX2fCwL0delj9Ed0AQJXeFCgH4ve1QpbeQKdIEjcl4VtSSzfQG R9XxDEKi1sMWRb2300H9HYMimbBkX0Tut7mjSEltSYeO7pAAsC/N0GhXJvjpNxsjvuMVetjMUNkm BgGmd8sJA1xuSXXPfwuzV6jiqti2aN4XA8maKtSnG/UE0irdcI48rVrHVPo/gh/loqmyX6n2OKIS UMWKsQibGqzvuuvdz3+PzMFCfvrlDO8qeGqVBchiNbt0p0TDOLE++Ed6LphHzIfBCKuiGLWXTUhg 2zAbFK+CGfim3SIT/jiBlXv2x0FXZnWt/3AG/ADAiUKWIHAF6b/CPwTpY6OaL/+TKK/Cu6HySuzQ HO6uAXY/Cj+oFsaKbjTj14WASEb7bhw6VVa8fQD++RYE2DQ7PeTCJr0wwz4JwFFWKg2YWi+/tIGe t02DltdTbDygjGibe36oBdQRAFezr+hGMfPjw9Ac13foOxo+SRU94XV5NNojsUgILwA7FOkn9y+w OKoTO5aaYvAsmUmUxUIvhUPBc9/MNT6NLMSTpn7Mz/d+oROiVbzk1AFKgL+D9wqZsqjKlDhI22SU 1KielavTtFM/5byK8CHTtOmzQQ8ykeuysxwrt55vegkn+6ZU0QRoOnhZUJji4b4kR5G5j1cXaD9c +ywijJuBpZWCzY3zWVrXD17o68H7Qs48WBJoQMx9GMFxg1R41NW6FmdjKf9IkDQOGgrzJMtm0n0M 2oXZbkQY33Ma4XOU7KGS4P19QREwMbo64VnmlHjvExI/PQrnY4KDlDaq5qJAXk3d0bB5wQ0fvubE RFa8v9flxUP2KeWNxGSzT1VAk+6kp3H/rCe/AU8A7j7GHenUI1Afeib+ttQnU/3WcyJddUahfOmr q4mJ37oQOTqLGvkGVDD/HxlhQqivSGu3Z5qN75+kBlwEP4hmpvz+Yj8J3KdoN3tbpT7T/IvGkKn8 ZWCn0rjlj0K5ZCb7kTVFhewi44iM7n1aQg/zyIT3oTPYeHzZPdcOq0oQhCH3PZ/Xidi+jMDWOb3C rCLlc+RZ+Vb8Z9AsgAbez9XwqEVP3GHRN38jRW84MfuAzrYkndaosC+CfmdjsQs8cBKzUDFFlTNU BylXe+3uUwabBFl9EJqyWfKct5DcZwx9ZkI2xUk43LP5aNVlmzYsP41TQjWYR3b6tkxsqsjPkgte M8SSewjkNx//vaB4mjITUYwl/G+75qXNhkhx4uJrj2JHG2x+Kezg9csENuMfi2B0ja7HvZxL6432 /GusFkYTOrjeDwhXWEiuvMau6QUS8IMTaM7G3c2wPPLvHpFnblfEPXWvbk8xAE0TgUMEQxdnWvUf GQ53Mru0Huh+d5r1rzFhAVxF8/F0D+ilv5keYsz/LHCWooTqySmCgSnQTI4/SzDs18Y+XvCUzK9H +rp+XHN6GRFNxla6EHxOdEbAEG3T7IeA+IuP85p2FdAvapYjONcilM79ppOZ2tMKZwxvWnhFhwFE 4UEnlXQIND6quydQOWPRc+4BbqIa2E4/kpKcP5yY6wBa3HiFmlSSembPS9Awvq+qmrXq+ovu/Ub4 mLHisFt67UhxfXyqNVUn4IPIdg89ftQOFoZzHpC/TzVFfpmbAF4eAwtDhJIuFbhfj73K/uYEC9vM g9ShLzF4v5aldpOjSBQC1LImnf2uRm67qJ0Xsp2Di/ys/rpGRvP5ivZWqFz4ac0t+OhqybTBVmaM KbC8QIPHqqfUmkfaA+FB+aDEl5KLOh1x0669KAasw5HPjvvGKAspRfT5tvUleKMLuK+TgzJRfMVP JFsdFtZURgOk305f94Zb+X015+ZZabwpdOArWfg68kOK5RkGvRRCj7rz8ELKo7cu+NUZS/Ma6nMz 1hvzJFyhx8ONePA//HZKmxNuR0ONTU2+XZU2fOgzdkrOjNyrod9CJabJwLIoqtA2ELEdjQs8ZFhK mDFm9Zk3OnbYGBv33AA/3ndqKHHfLh3u2TUb37R735QDzRv4Pv3F4dHmOqR0ThzDDAu18kI76jRh FDua7/dVRlD1A9OmD5V2XGIX9ylLnrKhTr7fc/PRJR+2P0Ugf1l/tnWPh4UdGOs+O2mn/ggmx8EA 2n7T4/DXThdK2+4KzT+SL4akEXMfmkr+JMVfH2Lv/WZ4qCTw0sxeAuGmC+BCwRZzRoAEaG/+Ju1X 72bPXCmDJ7ZU+O5sHL1CInpaNEPZuKMqXOW8mH9RTEjllGO/Xhe2qsVqDnkT8KwfJJMLNKUZ8rmp FM3yLX5ptgPSJIskE2Fc3XSxG3sb8oc0fK7QXCOZFWfjgilO1ALlsRgUump2Qr8fvKi4PwRIBWEL 3VWfjjDlnBMEE/LnMgFdzQSRXbJFkDKCmkg61KOtX5WkDwEU8a3Ge3EGoSyAwhuCGh+sKdfGSBJy YIS2N3wssCiJFv50g8I/yLI/zp97C2CR1fT54RAkgpTZ3Nxpg6caa1i9rQjLggO3jQuN35j3aoKv nAfsHoszJoTIhLRPJFVeRQz4y2Qa6XeiZWwPO71OG8Yy/RCQZv2EcptoferYOuEuPdOTluCSD9aV oYMue1ecJlmfvPtEHiIFHVoviYKoJuqgYAdhoulKrp4seITGcoqqtrmKFO7vjWbD3NtQyjzBade2 /auDa9lD+z241+yos+pP0BzYVQt3b0Dkfn34czX56vzrmwyZ9FTFNaAgZw7G5ML7zPj6DA8gOKpJ KWFIRhIcDS1jlaOLl/MT3gGywKpZ8JvGCVpXx8CiOgTB1a6SzYO+sBsZu1O/DF+e22ftWQKpo7VF hfyNUJSPf6zRWCZmUDqpsoIAqFsnRGWXbTADWjs3JhelBIOtOOaiVBPjbid6YZEus72+T4hytuao 6nTIlPFadeAwDM96pN5Tahx5uR3vDnrVAnpb1H7gsuHslsw/CB7FYep8uO7vIIiMf/xZrTxJM8+P jaFvihNrqt/jzwB4AtYA88W9QFwmshIm9UKv9UmkDWiXRrM/2l0L2nsn9y+41jgU8nYPBMR/mxka KhQ0xGaamgtp+fRjJyg4Pqat1Blb1asPna+75/KcTncvENGVDtAoeruM9vGZR18cVNWmINqj+0IY eepVu7xS2WZQtt/RRXr1nM9nSCwkhiRnO1NfQ6KrMfj/udd372RFAF6ZfAuM3vSF1RYhFz1/APoz An+B7Z4Il4KfvE5KtPfpEuMa4csWXIWUuP4HjleFa6C/n5NkEABt3fmpweGmnka1RaEr+ejYFs2I pCeAVTR5XpIvif/aTQjx3fPofiGOfGcYhNBsao+Ye8uDDgiKNg7jtnxKh1zPg5nEDA+stwih3XRu /t+RhYVSWi/qlqIsJRH/RCqDMYl/Y8BpqVTZ8N22Q2tkwQdjp+y8tKTZ+LLGo3mujOnsHVwwz+8/ QgaYQX+t0ctrzuzhYwzGF+ONzpbZA46+3C7+rXoBvCXtivGTL5LkzS0TGzN/MFm+XQdBs0CLUXVo D3BpMywCuRQJGT9gUZBIY/i8GryL8tywPJi3o0WBVZ4OhKJP54XjA/x2BdwLGNnyWhwc5PenBk7K hY0TX7UoRS21THwoyHXU7R2Ba3NIhrewc/Fq8Rcdgwf935y8ZLUy4xLFIbwSJpvT5bs64X2EJ8GA vlZ+fD0VVX+6w2Vb2M8fH+V5N6ssVYhKawaIi1JF9Rvo3ADa9CbjIZJ2RptTOfGvquvzLiz/QJPo i+BJXYhg44D3O3xKVK0qbaykeRJ7xAL8i9ylh1ytKSHQa5K6lUrZjk99fhsISXyA3ANTA9N2G5KB 4gvOLg3rqThjm3xcSfSmUevaPuz9MONXyyjgIlNXH1l2g4/j9prViQXUeotR5WT3YIsOxqGM5vNB 8LYvuyVEp9cjgU1bYIsndbT9fDnTlgyM/53xIDTn1CGLj7sDD66FmhaezzbFvN5+x51yn0Zlxz5N gJRuSJQKUeoHAfvOOESaEiwoy57Ihih4ZhMcogFn8QJfZQUE5zZWx3MrSPYcnLqaL+6N+9qTWmOo uQQ85BQ3JU7SDt6MFahSme5OVXNzq1i4lsNjFZFOrqhCkUEsKVXHrCYBp2RB7+Ax3APYoIhvkEVX zQjzyj7o+Te/0v5fTy/dNi5EKVtY3ai4gWwJ5czpIJMGaRSVG8SMvwUU1Al/OtRMut+fNA2zPZtK s39ooXWOQzZTXdApeNZVK0OwfG2STTpJE3gdaRtssrFandb/GGNqRNnJ5mTJmEzhpy4LmdIIrjh8 +GzDNvRX/dNzc/u+DxRgIbElQ4SBJp9SLz5JiROtl+jZ1q+DR5jtalVr7I9B16ZND0mpzqv+oe+/ PZ7txWL5HWndZXL2gIeD6LcsEWB1ym0nzEH3aAk7dlk3/L26Yc72LvOeIyknsjXAm08heZSwynui vkiLm2BluphbebMgo8VLTgHRYsPIMFVXQqzxPe7irr+gpLgL1IzFkTqPny3Q2DuHwqVL1sw7chSb He5TlHRCAd5GajSAtPG0liWjwB/ha43bVm+NhuGRMWxBFQOvU36EHV7GN9F8kVIyQzQkSy4gCicl K3dKf0VSdP7ovAlkKgpaQa2qjclhu6VgZi2capcdBnQOa80X85t+Q3907+64eHq/TxTuE2cUsRwC FSHmRI50AWb7VQQwzF9SLRFk7ykCVhNqrTeqPwYnhwzQdfucshW7IoRKthn++jYBs/SQt0f38nKD XNajoO12ZtUPztF58rDGwYIDpV+OHseGdch9cT5kU+5ru2STEEVlhUd18rrY2CrVj2s/+FSDXjTe CF+2/MtDyTd1SM3YHJKttpeHF3IZTIXbxT5Wmpg6cT/tsp3eiCXKrguHWETwJIgIwauUdFBCwZNG bI4mAaHVuifhaaWBGgQ5XgdYTwvpnbUb004LhaPcPONj5wkdgBwKQr/STAR59l7lr0UqZ4nzbVEH p2o9WluMzZyPmESWwoV2sRh9c7opSvMVx7Js+0DAmbNaSJCyftR7lKNXNVYqDKbQxSuFsBtyl0FC f2Vb9yBUgPop8B0PoXEmA+S6Ws1rHM2xIIOaDJ5oYmLYnIl3DJ/LC3N8O8QM+hz8RZ0ai59OV+x+ QQTD5pDcOMOaFjmViLzMSkcbDXPQ5fYkTR8RTWU61h76qy/r0pUBVuKO8GA7RPbx+NVpnxZqEOd3 UrM+H3Q3aKjdPfThLYLaRsa5uEZLY/0Vnq+q72bDH7sper1pdMztdy6ajKlpLrtIym7349nIQQK7 zKTSrtk7YmIOB/XhYHe+X5Lz5LL0FugZOWA9HFcueAGKBhzT0rjf6b7RvlW69fdwbRY3W1H725gd bYeBZ2Hh242oDw/ElbqQ0nhDZdV/Wep56H+oNuZ1fBvmFzrFNxMXLebibNKyslr2pyqcN6P99tIq xLMQUS1ckZHk30kC8n63luH8mfMrvF06f2NgKB7/PmFUFaGlugCCCqpFywhu3IBovi7ziJZB7Y0s M0C8j2ZGNrSHl5mqvD1KhrE/f78fB1SlSbML86gC/ihEqJ0TDdA85GOIF2Xgs4Jy5A15o0Dn1tpR 3Fa6IKJ+qNPC9p4S3HHJa6qYeqw9SArvXiz6j0jBJBQszZuBtsw0ExE9Nr5TeB+r7tdso0nKBAr4 sdQYmUWwHid86ZbI3hRLy8zGKm9gqKyi/IeB+NFFdG8FjQ7iIGrdaF4vGpAW+W0UqM+IxHsr22AE ZBNO+6ewn608Uap8iOgRkxSMzRs8BcUr0J6DW2ePhMnHW72aj/IEczLE7IE8bBH6tGT74KQk9Yfa 6kNrKIWUZ19tHixa/dl2VAXT5fZxO6xoyKubiFdI1+4lJZAMoo7ch7iIm+vNoG7tzy6sWU5G9CIs 8vmRmlbtIuzd4OEj0kEICcU7XkJHI5FvveMXQenZDKaditFUvtqrtKJVXPVzI9x8nLBkFNtS3Kce BEqxg5VmtwH0rsZYapQxfJX7xOnmQwxpM+d/RZ16gorwAxPOEGzU++77oqk8kCchUHINK6aq1qGy Zeb75kSr42ye4fi1aNjo2VquS8haiMXdmnUEoGrPuN/aAJE/EFKh6DAoCY78Glr+fvx6PNWUCGtI DaO73fhZCFyWanNUuKPSqZ4JSH5EDeNNAiWE/2uydILmsf/2TGChXSb3VqvhBPlDW2Y+fqAgfMus utTWxJn2VqisBVgpT2El9PHf21sw5xXdEvCYRSZOTV8wJcVb4p6QvTA9wpIY1XsPbXfa5ovJhR+/ Za5CnfZOu+Z6wh4V6rgzvmCrA8Q4r6giReF1T5w8HBDG44fqZdeOukeeWVQHc8gJj+X74ngpu8qb 9MM5hh4BpNkt0YmrTesP6OjuavJ2foonVKGtsKl4fMpC5++HsobGjGg66/HBJqD8vWHLZ8yUs01Z 5iiuWwZNpu69kcFsmJOwWYCKiwtXGinsCYP6/SjArSZT78lLR/Gfu1fPvN5ZwsLIkyPmK2w1G9C9 vRShNu93HPV8LtN0GeouAYOxOzNGXkQmBmu9DY/2zj8twLpMGaDY8WOWwO2Nmk7+xZYvzFQibKas s+nwGr7aI6nB+4wsbznYSzQ8WlEhsiRJUJeUqdn+tZTdUeQfJx+AJ1PQc4gRbIKWTO33xOKrc0vc QnUp0PDBLV7MJOrJpKuBb9Hsc/IBnjYkEohiLdYWs7xg2Ic/udQbef4B5rYEcRIvyilBt/+SdEb+ Jiy4veEMe3CBV2rAHhhCepkoohEyUiG+SGrOYHYH5faPHMcobWqbiIbzzGLqGY1HEIiqZOuh5OjC MxFvFArTVxZrCph/o96YGooKxcfaywtTpcil+80RxY3sOHPyVM8ZqDF40mxbmjK3Qo69nfKC4d4R fukvWO2yb3QM73rzwqNs1vWaJYjva5p6r8p95LesA0Qxw25nfnP6OfJsxbVbiGAYcsru79xA2asO J1zCoNAWAs32ioRZTRPEs7U6yDtWDxqmpTfRgE6Gk1kLYu7IhSWW0x6vgQ3B6BX/bR8PcFB/PYa3 5D4HO5uUFyyy/HYEeVOrf4Umi9eZX9RGwi9oERwIiZLR2/UTWGPSjNX8sVwY9FZarGJ4rNdeluBe Dgfsx3ydqUn1W4v9/i1crqpsk8oS1Ldtx1lbcpNCQc2e6bbDIixpP1iIOevWy2owwHedzSP/QUk1 biYbYHcbLICiaT8kcsRuDZ14+X1A8VOrUdiZ7z6qfNXcIcBj4JeYyozmIz4CM5T1XX4spsDMhxVT UqREbbLHOMHryYitWQfhI3Ff2PzNGDCiXeh57g9S87BGwq0VhIOKc+ZIAwYIXeXtqsb5t+ghiFoH h/82RjQuGZA+Pw53+2fGrey5dKsIawaoweo/DgRk2xth6hXetvOnRCrFB81jM394KZTre7L+QV2x O3kPqOSx/AGzn0j29lvHS4IVAS7edAFZB/p8b33vBjRzXBi4GpfulJjs0ZvfGS6Nn2SiqV7EtvIM eN6RpZOHolVHM9CsoxkK53GT1FWz8mRCDw3xkLUpV8FNQGk9IZhxX540WbsDa38DK1NnnXygAXhS y2Emx/9U+CSCffFX6e26RYYl0SMKaWBh9ZelYvIAAQKhxClT+VFu9RDe4VvXIbeBnycw12XwGwPZ 08Aoj6CPSlnx+/lXh7DcTu4K4itzqqazA0KgpAHjX+g4TYjqexXlSz7lMf6Al0cG00VeB+y3sxZl tHPB/3PDrtysHSCU6Lb3AHfC1PkbRPe1GNSPkhNHfUMqO7SZR5wTliUNtVlcCl22hWuYa1phgz0q 4rFhFdyqHXCTlFN+NNlFWJSN9N0cHpYPRh3Pif2fjx2a8z8bY3D1eDpBgPoGYNBdJ3ORCHfDRHbe e8ZYhJzCa17Kc5/DYGShi0ZBqr3spf1XNAqn3ZqTrdbhn/ITINuLH2JnBJ+n8Ti760UN+Uoyvs2d ctORjmDu4v/YdDHOjndsg8lOOMzyMi1m7AYub+tTZ5CYCqAxlslz7ykInoP2yrp/Ua+0N4HIeKfC TX20zgrDrubhDapvwr0Tt/q8gupHTNYuUPFMZfHM8Y+U9Dp5Ac9vfri5iKxBesPC6mY1OUPKFD9X GPPoyWf4lUMmAms32csxA7gW85nXxShgv/p2Tvuwqr5oNlg6KDFql9Q1ft9nP6YNXw3TGjqf6/SK VeqUhLzc778hP3t9Aau9CtTpsmCBTXRKtLE6DTy8VxO5Ip61Q9qiMCLZaDT8ehloOjC/M3GKRQNv 5088X7/8L3yRc1rPkRKb1M54nMceb0NCdn13IMx4wbu5NGmqwvXWIDO+5MApMNMrAsGaLQOjxMog nxCRTw4WEAtEzrXr/sMmAm5Exs/RecCvbD6fTWzQ6hvHNrCOlvWnUzYeWzU/xMgKazYyunl6iNOv MnCpJdc18YxPUqQIXkC/byNSNhL5mc5S3pJHLtTe0CJUJQTDtVdkXYf4ocx/lgJuoj5dtLctIMqt W5jLWZiRmaChApDTDDTJpO9nO9EC52t0kEMnWFy+7gZVierrhp/jeDvaFbHA3U39UDh0HlBNSF76 hq4hu7mMxKijrlYtq109AeUfkjEqgdMWUdT5OSDBa29y0UNFyfJNqIzpfk9I/qNepQDBXPt2dmdB cCLPdSh7Q5NEHYgysyPCdT7+2ewU/H80eZaxt1bETY1zGEBt6FJKEOpu8xEyngtXmbrVSZ67J0aQ bexV5KXj9zDXFZnYyuQWu6uNSaGaeK2byqel6G2PWVi2sL59yAEy1U1M3VFLVk5w+iooao7FW1HS ELUkPpPT0nU7/Uq+YzDZfMP83fIj61IhvT+gBY6zgTSyTDaV8M51g/c4jdXg7KViiMylcHVg6B8K Jtes4BWnI9xNwfHuITwwB9ZdPFd2co6GTmXwD9En8ie9jLlZVMx24mSIjDDZOS7ZrwO9bbPC2UzQ VQDXoVlCoGGgQYY1qcgXP5wy7CernyF8aRWDE0FnuY89K3Fkl2WGhZS1RWWDQftS/+10nnEtqLeU a1MLMfnOkkdKJq1ptFwYe8VTnw2N0lnjljRudsSyNW/onTbOORvD8855FBFeaJuBGCUEBUDqFj3J CmmGO6t9tuvp98yz2NRzBrKxV7rMQ+DL+LBFbLntNJ96NdjXy8cQGcgYAvMbC9XeLCzG5YLxcrg4 xnYsqJ72ev+v66CwnXnQFYZwhWjgrgsQl5dpNSakKYwZpDyzYGRg+brvFqaEN5vAAE7Jdd570aCF GdeD/0YmhpPDdz8I2YfKso/7xaUJN3NiONp6pUsyVnKzQ1xK/590blNdG0Yc/AMAxnkgpoP3YzKr /cbOuXXUcM8IcMGwIEfKA2v7LNji4UiFudVaubyjgvY/Kb8yGvToQX4saZI3ViyKhARZ3UP1rnXN gZSs0QDKdX4KQO8y0uyusjxvyds3zjBPLZmwOGe/y006xDxc0M7d0mYmNhASYHlKpwLy6DZgeRTw rtqfGlq72BzN56ACMEe3/6vYW1hllcL6H25SHUJFWB51qnOKkClFdw0OMEEVfy5LuejWQR5H4CyC YdpKFlgAruQGxbGWKiANVrT1O2cwLiJoDv5kCNRtN/lT8WMVdea6KcxiqmTCLzIcc5YKqLYdsZAD LsuQc/la6Lm3DO1cRMqNkLpqZXdmTCbsQynkqHcFK48VcTMs2MzrSOJNWTsczskNEDgXHkLlcxyO cMbBO6S6wkQcEplmWLSuVOgoUeYB1EwCb1JfnF1X5Up6k86uGSM82HAzyfQqqxeIluJTE+xfCdse gkLUr8Fpd7iDUkNvO7hCFeP8MSPCRmjov0dN0em466oZcyydc6S56BdSoXXPs/3Z3Pw9nxbJpUHu 2e+N/68v/bSn6AdrlSTQp5RYkw3nmFKqSq8W297BylPB6SD3O9sO7hBMAsT2hMaS6kZAEr6YQuZA eEqWBsUE+XgqYrpG8aLFy5jFCqV2L5f+o1eTARCRAQshF9rzmX5dD5Liw2VGngWqC5vcO3ogX3d8 gta48z70AfZ9m2VFeFWmonfB2d+Z9PO+LN4RKw+op5QdQ3d8r9Z7M8XDRLG/prHgKz/PLfjNrGYT qJRG8ERxA4oanHnaqbVN5RrsHmQbGUBkIBkyo8bSnHoGK+tnfGDonpHj1CjXh9LG8tU4NVkLJ7ho 3xYu0yFNhYOHPWxQdrx34dagWW2adhPkWJ0oDO8C7WZarmexu9OnZfGsKu62PE4rzsby+IOwHMdq OMpDIoRPPBVIVczcrPYRMwmIaxSawzI1QcMExRy7euB8EfIJo/q8GOC5Pj8BLmfSUMXJBbAKexv7 e3G6BpdmWS4YIDKZxR7Qxm6JOljKBt0N0uuNFzz+gZpdjVwZAQk+415PpEDnl69oKByaRwM6YQXx 5uy74hxgW5gRIYF1alry+nuyS6PrUkffD4j/mu2EwIwSf/o4SlX2wRGzaPvHmN5HMC4ASXiuzamE 5SgUgl7VzvmsctukYDSLLe/vsJ7VedL6NIQr0zAiXleHVB1Lon5dKtmNEr66ObMOzU6ikWloMprz VLt/RjOTlEmPykB1Gtw7w/d5d5BpY+XMELHpSJUSRSE+uB8/zQvGZ0PzvFHt+wUGjCtziPs2IExa njSoF1dilcNkPxb8cgL38puVsWsprhReG+AVJedl8L8D5XGGZ5Yla18AYYbx62cikk9D0NbAT7Tb /woRPq0aBtWSnm7OJ16eDu4dISGwEpj78kL7efiEnDIMfSGH26yDvwM6iolG6wi6OOv31PmsgjS0 8HMRqFlMAtb7bXgrWraVRfTmR80POeS9vYpuhMbxUH9HIV21dBKyH40FLiOwD/HPBB1r9S6Io23r Ukxny6k70FSW/znTUghlG7iDu9vF4C8JuwBVOHZ+AZX0LKAr6eMEZ0Bv/iVAL146c6FJTuLHo99B 8ovhswGZzq1hLcd5HwbyJXuHEnzC1Vscap1X9ajR1RoFOX18HDt9/rOkoq63cjA8X1FfHjZGVMvE YA6JoxFcz6CR/E50Rsvl3az53fx93PertoOk2MtPaSPtQwgeB75T/FO8DRLyWlTlNR11SAPITHMY XLGN5/dSSzixLxL/8qPFuv2dxu0AvyEnpOHxOHBb64fbN1FlUzuIYdklCC/lg8hdNVbfV0z3StxR DeLxD5ujQBA6X8SnfmH7v4ILEqxXCKwW2EL4ntuFVzDXI2wu0LeQHXOmlesH8/T6N+GJ2m/Bc6gY 0P9WpB0N7O1LIfXQE98DD49XlyVMvo+6iFcImlo+t20a6PSyMZN+N06L5BtlSJfXMIVXbxvzFhMl +HQH5dxTp7rUzQjuJTkPBLz/GPHFRkvzwKmViwEkpWI4sewRCy/VZQEuG2Za7jzy2rnINc/XJoQx E0Pwc2nClZcOS9RmaFkm0BL1oyFcNtHAyc5S1OrlUIlJUJ4Rl8/THARyN3ODYaA6wg+/JkoaUl2Y KEOkSx1B5iE25Y+2hQPvNkC1whvGngQq+hagt96OCc1jFTj6T6hWmJWCkWsDmwFUNIpY6V3FK0zX xFS6WivczifUd1zL71wHiihLnrFxI6vn3u9QuY0Q7lHbtHToa/iny07DijxPemjbgD7rkiVgu3zK xOQQ73XhTVVGHFzitpTuINwSQ7qc0PV3F2tchGaW2g7eRQLkr6DVR8ayevrpb6zpsOt3VM1tIBp7 Rpxth+21uJZkI8wBiuFgi7cLsUcKHo6+tFzRABJRk8uCw738gOTEhC94tayg2C4tNbxfVlT6eOJQ VuX6S6TN5PKZrqPoYyqzdyiuyYFbd0c7fhjfkHgKGvldLPtWyyJiqRYKv+7JTaQJderRkHJgiArJ y96gvacyXMbFXAQcRqePa+Lu5BZDIP5lXzkizxmP5Em6dMwMcj8RJUq7M1Itb6XIoSsyk8w1pZL3 byabQB2TKCGA1Qsu8O2hPVT+9moJkr4ni59zoh32MwnzV3DsU4n4JuQY35v9ICEcWbX+oZZ1wPfU 3bpQpgKQgTrBU8rvEjsNKLmwpR/0duGOTRwoyRzV1qYb7gg/1JFxGC4rWYSralT/EC0jegJoAe5H k9nnhRWtC/0M4Fb4lBdPDdfWbHSd4wqy36ICvJhO3Ka4dcdmcyEvi7HYGQpfH2GpDyLxyjzHjzQp Lf8G/kqet8IbneDpBjHjwLwIOmq8mfQ9MjVXwuSa8MD6elK2hiindF70WnakISGlPP7IdPbPc9je 1RLyG1XSjUYS4JbbIRGHTQwv+d2UD7wedEeP9ZRd0Kt04V2ekNVTcgXNZ60Z03UGzqSUhL68/4fe wCE8smXXv3fvimEgYs26N6BBCYDXB49GgAYohon4LWrFnUX7yFopIcFJYqLQLjgWNgHG2h9ejjxz rTL1YOS4B68mvKSL1w92ORVE30Q9eQYTnH6XTqMHe4znpLdTJbFm8u8iUpJ/rA9BjXNcXwAykc5c yIsevUUsTO2RwC5vlcwzUXL58MTay4mB9FgEjaGBKInrx07de7xd0IkhZSP0bODDfw8WX0e/zCiu 2qHmcU2XlWgjEtO9PGfcEHWry/iYfgI10LiY+zlwQ5zq43VZu3MWgnNPCtpnvZZ9Kj7VILhKtshg DLaFrRLozB/aDnYGs0ej79lClT9U6sarnA5Gwv/qdkbJDlt4yg4VvNL82BK1TvNnQlMTAPWaRTwG WqZQQ9OG/PuVPMf0Be5FKzxfw2Ypk1koP/eJz/fC+q0QbPor0wCySjcp0es1bP4uSvodx1zyWhCd UKsqVpeEgwX3vnrDLVxfbqCjHAl421xrRzHt281/gxwf9YeU+eJY5w9gDGnVOjvawkiqCGgnGZtf fEugH+OJXbP/o5a7DQ1UannnlArZr61t30C3fjnbh9V3ExuH2c25+qa20s/NiKweUQaf3aPRNfIz nJYPK/7VvzFe1N+62h01Jx9efzpvBZniq85c/uWni3TK2voCwyYx9a31DSiS7VXzWM01ycsSICqH MHZlzTn5N1kDlpcLrELC4Hx2StEvb1cR3kiHDks2BS2Qcmq+H4zNleX+fYbVOj3LUHrMG6QK7UNJ jqw7WA9vAWRT/8eRTgjtrpZE1Qt3VtgnXQXBZgK87kmwOiYFAzkU0UlPjrCpgm6tIdRJUwxlNSQM m+/GXLYK5XETnY0gSjk8KHjpig0rz2idptySKwAGx47qqTqa8yvgFB9QtIVClTV1m3W53g/z/9oL MZAjO6eEyHBwcBR0jANVn0XcHM0O3ywRA7mP1MV5vbtf1JHi8L42ruzt4h5UotRnQaXGuyuPB9Nj nIx/4ycnFJAJhEguZqYy5ilENJUgUgian6dqKRv6lfiQquTQxxBTQfBu+d1i5HIDvuwpWuJG0ewG 4ydKqg/uxqEru0c4dGbCV6+w+Z8Z1q/b1kiWqh5KGXbxoKHcjVqf3NIFwRlSJaH+Pi+EpfdCGTJs DCz5+IcJ5IUJioKHd4vt9RTAT737Yvl7yXsF5V17hLj+8fsXKLunyUpPyeTxKcV2D6oeNALG5BSz RbgUb5LDovqGSL2H6Jv3wuztdU7e5nm5lGvobXhPm+62KI4DulHuGxTgT8g48KPAlfsXt18a2Smi 5AEk+r33ab5yqk5CzD9M2slxbUu8OLshKAkjaPqxCgfDhN7nJ0KY3Wzu7fL068xdenrVIJyoX5kf eOE03X/XCjeD0u+h+tiq3esojyQt6eWnJEC4+XCxa2UNeExG9aDRX5ZCLGWhnc6JQuPFpq58nXwB 5VuAzGPuoTRtsvUIJI5W2HvCBNf7b8quqbXl+5zKLE2SCNzGMY24KMffjIOvMXg0F6/orf46QJlg 7XJOlz726E2AWDbHnS2Oelvm4H92cCLlMb+mIYxla4rOZCTxGfFqi0t7f/4tHh3pSzBwWHsq0o0D pVg8llLeIbKHdlgFYKJ4mk+LU898rZUwG8BIw4yetppkm+Qg9jXbvS03tNqnruVIjAH+iDvjJnLw njM8TX4JL3lL754fUpleLGPR8HUEvVLQTNkMS4jLHU0B9YCe5rsVj0+g1cxVLaQ/99rOwZWaJ2QQ H7N5geIeniWD/KM30RKY0PwU2fboFPpmYrp8td6V7XjwOEiLvQQJXdo9SkZbswdcxtKcdfUYwCwH i9b0RKLg0Pd6ljO8PXf0NFYA0LCpFWraEvqUWL2IyxNqeWcR/Fnys2nmd6k/rhGjdZ3kbnF/uEgo 4ftx7JzDXXzGxtqhwskmxS2ECiusXkHYLktZICQa1ka2CDxF4AacjvpFbDmXdH+Dctglb/Hf4EKf Tu4M4FEyVMjbGnc7qXPiAFZJtIyCCt1zFSO/p2cALZxJI5MA0eN11ZTK+gKbM4maO7KgGHFJr46G rTvdjqxc/CoO6oZOE1XoaLBsQmqRQlcb2pphRvfim4xZl5fx9ZoLDhMjqG3mjnKvusu+VR7Y5Q6z rg9LnNQ6WD6GtvUQyS0ro/j7HhJV8lHmfgd4sClw+HP59i4CADMBO/PnyUVSkD+v7NTLfpr1jNCN VsfnvH6hlDWtSXsNq7NCiwqtnFa/JY0G3kitj533CoU8Rk3Lt/eNu0dMReA780OAJKg6qrCKBLuf RgAqfcLnu0mbSx/dWedbJ7sbeWRs00ttn+Ath5U3rD7VRsJqSOjRw8HOif3851lOLcGRx5z7dGLy zltwsB7Eh2c1JiXGM1FridCe7PncIr1X489/aOhJZsmwgEDCjQK6Ols6qBoQF6Iq/vzcEqN9eWD5 Ip1iw9wgXxX/sLNaRo/ZMboA/mL5XtOtFcCbNhS02fdn/8R5vW16ZfNIib8d2cBdrasTTHhrK3X1 P7ssnAntYYoiAYoiar4QtlGJoYIwmjisFqC/FM/A9r01/p2aYq52yd/nGNW1egvZ5WWROuNJS9hL 1b0G3svUIkLfeqvXUulRO5IF/xgwwPTAk9ASDtvxcfMwMmHs1wmBI32gWSepBZrkC+ulZtx/+2d6 FMBEnN23sWMPam6vDz5UCU6RW8snBiJM0NqcPwZy6k43M6gF77/hjJ/C794pKq1/OohKDwqNk1Vn 5tdp8P6gfKxk/1k1azwPE8VUq6XQQgcV8045/wL+HuHJr3GYdyYjMsHQm6NA0+mhZ0UGuOq3ttZL 4PoTP6eAiavVaDB28yXKfzqWmfjLv3xis4zE6GA12zjWzaoLUoX/v71oek5w2e7qcJ1h9PTbRhj7 3vPZVB811E5ZguLAHmdvf+yU/5MmmREZc8K0ZcvMiR+owu6foeqA8g0u9i94+Q3uvy76crhQ7j7O enmg/geRgUHdEa0yPSfSzTdzKbIu+M8P1jeURfVmS+lkb8gdRri9mU6xdKqoJIWrDxz+1rPEQTmC NGnJCjT4B3ApPY6USWqJFvEMlIatDrV2cfqjGb5VVkrBTJkGZNuQ6V/tEnVXGZKY6WvrIeYf2UJM KaglkwML4BK8gQxjfFZwTD/NmmH7GegHwlXIAfItoFF3PHTgl9Ce01M4eIxhD/w1x7nja90V+gch sZtZYFa5x10lYjXu6vrFlM/c4H5aEQ+oEz6Fv2jRKtxxVbDA77DaqtHm7pNCjuDh4OaG7H/LsNVx Ht5ISaDV6jIoBvhgT6G4JQbsbd0AESTFYypGwwz7sUX32Z2MMuJvaYyiI7UhfJSfdVxCMzVIYyvY ePhOqma7YW04oYlynG/bQge/Puq7S9WHiur5B3WUYI2ZDxDgfft6xqAW01l36U/+v1EQqgnGfJi5 sVEJaatjpasgDWHpBktewsFOtCLgLwmEVNqGxe0rnsURHUkEHqStHkYhyYdlNI2p0e8wBgTt0vLv lqo3Y5T/q1Y/NMtNWNwi13Sh1y4AS+4CjhwSiq7om+yrWU8O3Qkr9/tJkDcO00XfECq++Fs8/a13 /ffze2DsEvIRBLJ2x3zJDmsTMa0NPC7QthUex7U+8fjD3Ymm1L3CKz0wYFsahMo/5ZEygIQX1CMz C3evSg6Xcnyx8+/lAh2z7/EIi5N2xzf4iV7XpZuZp9lv4sk236aoH3FuaTj9z4Is/FYXr9A/pxZl deocmKYkBsrG0Qu0Ot8RU5U9eyHT+42vscF4Z6AnnTE8WPiB1ZViBXOWk/zIwpz8Si5MCZVpPOph u4o55QZD5quTMOR6RaNAQteucEJY3nbo+zLawV9TaXaK/vNw0FaYXFKoTkwjI+s34P5VKgpvn9Jw YIukcttWMSGRpfMUvtnzJuILdnC2YcE92G8UC+9GHXTJELcJDzZrN6zIP9oXUlQAcbtbD6zFnML6 xXY589st66VxzWWIde3/kNcjZtRcBcCMDd0XTGgAYF9Ye8cXayQQryMU9gqNZ43/HuI67pyvVqo2 kftPysBA7MnvphFuo1F5TqVxdTvXvOu6XNSAgYMK2dDKrXdZoV1UEuEwqAhjFwANUrvTuXUdJdbe JTWZyazrR5TQyaqDHiHK1NYDNIajrdLopEUDDl8zUUSRFaIEO83IDxNsxf11usAxXD8qblRFkpi5 IvNt6VbkjU1iqC7jzuIs3ySkCgPDd6tOudbldEu4f/ZKEXV2A7aJW8cZX9bHEvLIuIbNeYRo/wFf /nHgXTYHcOD85qhURTdmW81A90vqEmeZIuv61JTlu0ofb/J8kiNm9Et6Wm3MT8XTT5tU+SyZgXkb i6a0bneRPVybzjJ0dppgezVaSql7cE7sSKlqBef1YbFwh1bGGOOCDdVFGZ5w7c9yRnQpWjOUGL4s GjqQywaJonjmnYEnHX6bQY8C00o+DjKOH5Og6VdvmGaeSuVS2DIfXGl8be454+nZwBqul2Ci2wNa ymmIarFL7rIcg1qmBkFRAKdCWpVKQNmnSILlzpAs4vAqKbeppWKPljeD2pxRksjWuTs+USgcUEuh Q/DCQzZS827ftx5rYkNmwTjoqiF1mmF0Oqhze9Pl0D6N17x7LIXhybja1ZvVkKewpZOWrQF8OTbK qqQBLXW6EsPwgpEG2K47C8+HUVIvYzjjuYZ2Lr0CXrv3WLo44eCyC/gAquSvl2Um9BT0hJ+QkyQq 5NLVo6xHBJrBBEzAJRrFEZdXSPdHtoXft5sCTAwsJA2YtRNixksT9ruRLGYn3oahFYik/mMz9kja kBKkG0ZTjRSx8nPTKn2jaPzCMTXTtLtI7AUl8Br5I6n0uZlCFXSdBcvogp/5ATRj9ebHuzaO8JYl Ytg5Z7SQbQn0sM+aKoLCjFaAwbTUu0uZHOLgxEedDQqk3yZGDWsGX8EEqIoUNUCVzZTHcs4gUNVj YAhZjnexeQiDyfmTSosMvSWY1dCy9/7cSe2ZqOY4NX2KlWRg86rYcqrwLg7JCpj1QJIdhcICX1PT xlcqJj+3NMkR3QNW2c2u4/vQVvTzFN+zjCMYhAR8XnWttx1tSO1YXtJzEHleRVZTC+4lTambfVGy Th4l8p1KN6etunJ+rQePwhwYkF4PtNkC+Q6kTqjBJd3AtLSOLoqwmLq/J8FSAt9iHYIX/1rW2Ng/ ZcwoJud+gIgRcKqZZYkudIcIvxpJ2hBc+0ni9ab0HsqRxHXhiLvA59sM9jgDefWiGFmSHWC0U7hm AlYqowzBxHSF8ukPNhDwv6zIWSaHjTPTm6rvVfGc8KRvKzet8iCl5np8+2soAlVtp7mNMdqJv8u3 yYEP8cgQ00t+6OIIWSGHKFfZMc2Bx8qsPrO5Ti8vmbcs4DJ1hA9AN208UMDvlzshicv9Tr81FVh+ ZulswnuUqVhFnZvFxW1rIkISzXFDWMZUPSGkoFi7irBHpQhHzp2GfUJPiWdKfwfcFdfI1M3+OB2I nFkcqDffe9c3FaGJ80msl26BI7GyZHg59yILiZfC+ipqay124v0EOewqR4V9Zbj8u+j6UnFgUhah fUxqdNhCIq2nXa5YDHtQ2g22qnRekMUkdt80ZqN9qLpwWGeMfRMckEa8acUCoI5MfErJwgoVI1mc TTEhzYg3WrMgLLSL7kVubh0D7/zfZDr7sDiH4e+ZtRmJHDiKlRgVknqukaQvyTvVzg0J2QWBlb4/ qovGnYkaMrlsulSVBaQFWTZDQnL9dmwU3pxgiiBeiZ3/Y//RdPhRGWaHoqO668QHXYL8/kk4ULyZ je7E6Uw2eyhoop7lJvunFsTSDlu+/Lw77uXMUEqML5Sex6BmtnalMgprRJG+1SA7Sb7Y9NQhl2Bt Z7KGSYrYaN/VZXwYxMEZmEXerVOb5s/Pmy9IRbaLv4gW6V9D/rg3OnNp4dSeE7ejMgCdEElqmBaY JOC3eFAfB3w6IaUjSTEacgqZVKuoSfIlAAWADTDkJBuACq8HrZX+5OvahGO95C6z4tO+JmgtPDel US0nI5+zd4r8JpU2UZH69qsFuGXmxq/7x4MeWtqCQcMXoJE08V8gVTEmunSbe+OEZTssdG8FHuxs hn0szxVg6jocnemIrDI5yC0vxfzL56IAeHW64l+jsDJd87FrTWHZQXTxEWmr51zyW0Eh/y984Uhg enMmsMI0cAEqrHf1SRFoWtBPUKzJ9MxYR9yOtKJtd+bDPpwqwCmZczAUCQj1q07n3gfUv4DkXvVF utNq//OQf18oCCiOaB9bFL1Nl7IN0uJhCzBibS03hvnKKvXXBVG11A88fHjgj1+C7q2FARyR4B7E T/eso9nc5q2ovapKqn7+1bmrn+RWmYz7yOXztTsaU59ba6lp6L2iat+Bgw2OPYUpTYs95cSHo8gT JVsjv/TfZLsFTqiGEtNbEKtTcQGZND1MlCzNgGjGFuxuMQEEZXK0XojeCbAGMsLfwAAaSQi4NgY4 qHkeyHBOVIT32J09meqA1FIgVV9nOBx9lbuSx9aIgkRn52u4UPdcuPxCqSLuvnpi/f/uFhdNZXC7 zNnPgccOwqMSj+XBUDlqPFKAaV8eqVvxkgquohF3syBMQP6Ytwl2fgPpZ+ef5/agdlSVwo/+WsoJ RdwdIRU+H9wTw/WkWejKOU9p577Axf8n5JPBlehmGERM2yvGaAlqeZLTAonYPhBvMxkKqpsRg76E SIWkYsPVJBKl93ah0nnsEt1E6iV+T3olrp7btrj4ZQzZDPgYzvmcyUsnuOw+5ky4u4wXJ8LlQuN2 zaPIP5LiEJy31CmoGsJULqRakJJrfN+5PjHvj0z5dkDqWmclC/FO/2jiv5G3RpEoXwr63SIbYmqq f7t5t5WUxy6m+c2WdTpbGCquwMX4gr9fQb5Sq1TlH7h8N2v9yd2x0ymjQG2+6U/a2lCneVB4l+Dy ZYz78EGzOkD64w9URJbO2OYBWjVp78ot0Eu9X1AgkPOtSkRIHqlLx/F5ktwYIuajYm3VKZlOeSWm Xu7zPc5R4JXw67XT2b5BMCmNKkZahsr1V062HDGbmqusFItOSQUtsbp0n0fPkV/ZXQM1kLM751Fl F7/K6kXMytXYRS4ru172MpyZQw8goSjsjSWGh5kHa1GqKHn53S/wLQ8fPRQlhGYdSIZhCKnyBRkf kjb3DlTJFyIee0baOTXdN5eT4gqRxYkY3oJiGUoQI1UVA0w+aq9T0ZhVNP1G7oxUdDtNMq8UjH1n n/FYt/D8trz3YBNklE5rgOCV5+l8+0piIhZM4dMIEvFz4XX3V9EJrAC3IOpYqRyd1uZ3ZF6rbjO8 0aiju2BsBiVIkgiwFMVgmmi893NM4X06Yuu4kc1GpfySQwbWAzCB6JYrbK+kx2cNMa6mHoCIcqb4 kUQJq1SqWHOW3p07K2LLCGbc8unwKeDsy27Eh/TXi/z1PanrRVyB4xJo9/z3xb3JCXh2Ib76j4V5 UCYaJ8g4bGZ6ux3ftG48KNIJLmsa5GUYBDMI4g1Lvjr4VCT4AUiIPbo56Nanf/LFzTcOs3lVkAly dqqdxD2gQUgJH351jci/B3Pk8v0D3VVt+c+YYO+3DrfKBYpLl44qcrgJejciDYzhNpMlC7JAZz40 /c8I3G/JYrw0n+J2G0qfZLrZLg0eLj5vW6UV5fcIfKjmxj7X+cvwTsYNzcQzDnbCvGn7eC3OnCsc Eopx1wASWsbzJ57fTQJJSEUIAOjkm8A4GkPx/QGwbAJavYP890gN41i+MizjDK1Bgdpvn51mMW6t IbSh6fOO96dV3kz5CuNZNpc2Nlnrl/0nahzJ8xFAjaJcszLdym32094y117WqlBj2k0qfeJoCnii mIENM/8Pl250sCpe+5cgMAfXjlkm6PTjaDP+yv1jLy/FKnH8QN0bBJTH4lbKPqMoGw3/0aGnrtk/ r/VPKfyIr1vmhCl56L/njXFbdauwX2bBQFsmhnNwy4xxydpvztKdG/Etd4P3th3GHCNEcJECLyTk 0W8Im7eocmMyfV0i4YTaj6fPV/DfbuaTsUN9y5WsXE+B7OPDI4GA+D5A0JPrXiq+Jn7DCFNoVs67 fsfwe/Uk+wi2Kief3hMFxSe4Koia2/O7mcqBU7ujtqYcvGe6LVuLnXMZchkw56v8Cmu4fCYUiVR3 N9dVvuPjHa94nhTNBhVLVkJ8kaH/524fUlo2R57FZnnne9kWD/M61enIrDdAyYY1HRnb+FmYXnGr 2UWOHY+qvfuYNcO6ay+segBt5OYWOTYYjQwYLMkGXKRYBV0nPUqWDB/oKeRIaXWoI2snq2BWcJpw 7Y2kok2WyD+Bb+OzidmhjHn+P/cvlhqzkVuXIpa7detdM6Hl+Mw5cmhQyfoLGzNq3TgdF0LmSO2K yHhKN2EFZcRcTt7Noq5Q2BhgSzExnZZ/6pf/iSdz5raIhmY5gQUIhucxeeiiHJzhs6xTmMg5I6fp buaM4jwJ/8lwiuMkh5g5Y82YjcpKzWbptr+ygWPxwlGmWnUe3jwnqZrMeZOKZKZIqw1inlNJxfFa SZgv5iT/A+XMXKd2T+LIizqmSpJYPjfNCm0+z9ig+9l4R+3MX1u6MmzlfstPJG06wCyidFhr3JlA PMOh+5+HiqUshM6dlF6TkN66cyf/+C95suRscphr18OwuXjLkDrl2iYraS6ER+MIgQQhA+Z/MWqP dm9NkwnroIGPG1cZudF6gKGW/R1h0OzYDdKntXOhQJRSgjw7GijqSuhtzRoPOEX+iEC+1g8pncyt UBcHrNJrnSSxd4sEqp2qO4JbE65TBIPwLJ103VnMa3Trs47yRdCQXYS4S5b8F1Cp74rVEm+VOg8m bGGcgi0GERkZn2IO7iC1VH7MAkcY5VzayV8/fdogmC2oRRdh0Hpfye304LKUair+DTFV3NtubKqp fppjMi7JkTfxpzPBsFbkzabQfSf4HTBk14XmK6pEs0rc7oIgISeq8LI16r25ofnJp81gvi2iTzoI HUCfTCrhaI7433MdswWiLya8hH5R40y1Ob7IKR11j7laUYoirVWvPB5utdYuqS6yxBFeOTLaQdHp TSj6UyXxHgDoNRri5fQac22qdfnyM0ga0YS8WXqQtZHHTurs+lRE9QRwfrYVAAMPDiKx1a2BBj92 dhPvFm3X+UbDDfyFfvWYvR5hFPpwxL17rQBBPPoy5VpU8d2hFhiIIqqIuSPqbBbkRH9hsUB0gTgu 45O6sicg4KDfNeTp3Z6X8ZOS0ZxpkYCneaZ3LmmZ6XZcwL4GX+ncWSMmQp1M2fFLiak0bBUjOt+G 08aLpvIvH88EGSeJoJxXb3wyxM6N9QWfijPhobGWGUkYcgnRqc9yPMqVfFOQLTuRjuhksKvSXVAl Mr1FM/DrnDlvpEIrhL9QNw7UCprfeX0UTCdW7VUgZ8P3dCrQni7Cng3cOGD99gxXiQNjAgaVu9zb vvJJilHk5r0f6bvJ06R5cASWg+GNEpG/R9q+/pKfPKLyHmNGT+NMOWWtO095m+WWe/bb8zSRVqCh RJYkjdOwq6WiXZBY+KoRTnskjF6NG6DlJngXjX9v26z3SfYZ5Z/1DKgQACCxKeZkiakSeIexRRwl 70dw5By7y5jxZU764eolYkYUU/fgA0yZNhleF8Rg4dspraw0VdVp3gBgTe/WLujLtYfGKf/uAFlF BEU4VYAwRnUy801ZGZ1gJx4DRIZD5bZl2suvzuEj9cXqi+Ut3WlINwi21AtLZkKczl+J0yeWaoC3 8Hf61ccs1+o7gbHrvmJN1jVe3+1LuFUhDofba3LmohcRqVUC+49QGgdPLtXYTY2gnpYpZ8MDHSCk G4yBA2vFwTw51jnIhmJz3p0xmEhIhJgOIIyAg9q0QGtAHsRH6DFD8e/udVwX1Kv9TgqAaIHASfxN 4kHbxl80BoJ5S3wd138yCT2bQEkq8cssdf0glx/6NlINmvIz6b/uehX3UiSHNuRkJaEr6rAddUOl rUI92ExqSSbRyJEwk3UVxffEXiQCRpUQ3Vt+nR+Hcb0qNaP2gSfzpvnBoeX1gxhPhfcZzZGXfz1k YW1LT/uYGb26mWARu4PQ6DSFeRT/usokvOhT32AK6BBqvYfoyBPI3LUkykzXTsOwfUdMEZ2WAa2H 8oi4fDYum62F1r7oRWcJ4nk2+gMWJHodu3GV95O5CywAvIvrvW9yVQTG+WRYFdA5fPCekFjZJFdR I3X385P8jhMHyrtAhEmg+GGFinqaSDcDtJYBiU7VbvWGFmWBgv6xz9/1+RFS6bKKzXVItulKQ3SH p9qRcNA2vE7NqgMcW1We20iLxYnHLu3vz/TgbwBQgM8mg6opBGF/iifecrxOvPI1/Rb06e3cf5iL msyGGtiGFunLftrhiLT6Ngptx5dIoLqks95BeQ3fOweRIGUF1FIJZVejOUt+0HnJKsHNnNiPWzFm PJlSXzK0qo4NzZsiF/Csl2qnSiOEjp6IWtfNJcjLt9BBEgAN00OcutWEKxE6RXGn7Ryit//cN5TM dzayTUN8MNgecYR0gNIh6GfeBcjYXmTPFLlQJZfFKwZAnPhXYGypH/VjiD4ZoIR81xd7LcdZYtWm 0FmvfQkXV1HNFpH9QEcoQGQuTOhtT7P0JDOBjAN7txwAZWSwAAD0G5GZfNY2MF2zqdoxQumrh4O1 WQ/tbe59R6TldDgct5dog8KhUY1kiulQZD6aLxNuw9Eiap3Ej/47UUF19jEH5BTHUn/yMDXYBHlp 1lO++thXF8xPte5p44jfsu/rCWOuCUY/ZlNaiP43WXu0Y+c/2Dn6C4iMV0Lr8lFitSI2HQ/zh0GW IyVlwqLZ/P5uRXOIlgtdWur7NcrsTGWYlU3Gfn85NlSHzPdekhXsxWjEhqDmFeeFXejFWQr3mt55 ZtPDIBEIYlWCCAmQWRBR5OZLHHBoukAGSSIsJdyQaNEyAowdN2br97/RuzkBAuGluY4AF47LFKFS GTbKTKavFWRcmSODjYecSi3+8RQABQFluNNpVw2ZA5lXtZQ/WCzxlLjI+5GHP9PVfR0qfIYdsZoC RDangOBOMS9O94ncZPiGJ9bR5vsf/hOm6Qmr8udLQRa4UyQlG41OFSxV/mky+rexoaw0pOClWxyW aFEKNf/eKN3iPisM0MAuiQ1DrxZbJUONfe2A034anlUBzAVghQ8F88Y9qTjbnJBW5wKBRFu5nfTc 6XmPpax/Wop/hZ5QCZBS5+1V9F1RQ98YvF/cpYU+3c+wuvRuNVQQ9nxOeq/RzF5izI6R+wSnqCn3 c8K9EQpVnwqfW37Sq/+f5Q0Sy5Vdef3VOgPNWd1+QvHkos6UoZm5P+CACzJMrABtiOaHSpN8iZpx JuLQQnbogI15KEe58vHTgx+UwkkDp7PdfGIDZ4bjskqa45RmKn744IzYJatXHYC/g7ip/Evu/y8Y a4tKOduQZQXXSS21sOIYZKB9ciEfHynxbvT1ZgKHUJ5kACpI5tlfQ8Qkrc4u32x23t0ZYt6MA6JT adbqPOLX+/3lSkU3kVqBSDGRrzBrbeqqO+nsdrBi7U20wD8uFTf7xVr1sfeP1QVvdm52nCiJQTej W1R7xeHXEBVPBmNmffTnvaNBnNBWQg2fzF2z7mjnXDxPBF68bAz5MeFPjs1NlS2EChvR7o/7QN62 wR235Qf4b0exSKpIjjuqkaGbC3ev2jbLwRcBjwLwyRvzKQqDUoU43TGmoDmZGsxKsLmmMgUMr5tL O41kZK5X9RcDu+AN6oz+sfwWPafWKyU+RDxeytRUTu9RmIGd3e3/lb5Wok7hmmagy/rFabZPp7pT a4ZW+wE96loPfMHo6KuU5d1xmYRzngU8lmf0KJAARId+iLvniNS6o8KIKF66FFo46j7m9BNmwhsU Ox+b3N5jazoB4cHQ93C1my0NhxqoEgcTHLWCCvHT6mrlCHc8X6HIaN8iVuUbzO/T8frng5y9QNpd o6j9WAgdCJGdfNKc/9/HKoLo25RGdtycNlBBWq8kyPiRySBNVj3o/c1iPMRiAIHR7cKi3D31HAL8 aoBHgc0tvfhvd7XDw/EsJEgFi+eqI23nnFuiGcmqawlRNhJJku6c17BhrK6mywsv+c1xu8LIRsDE Pc0wbCli7xRMvZbnXpPGEEfkjPW5e+NuiauF+eMz4aXcaM2SKTnmvBp/YLgyPluvauipPwFMeTn5 k5+zlu9bkOPB5OXuu7zm/hSjKl21nVTteNQK9aWq6itq0ctWVGugp/70uRoio3At8PnMRh0Z7lMY J6saKGi4lBytdIIhOm1DOVX3m6Ud9lynonkgbbyvFashiEyvvh2JmQ9oiNJmRH6s3ypC/XRZuZ4o QAjkbz5bUHgThodNWbchbkfQkA2VrdxX+NL9A4/A27iZ8+mLf0H0IF35uM1qiMJk4+AZBvjXIX52 Pka1n0UBkBlsZzxZIQ1vFbsO/G9DnuY9V+9GY2CaAg/5pR7/8KRrGxxb3VBTM/8ZoD3IlPsYRPE9 49LWEZhDmPYdemd1aZg3mP7mgleAJbkMYSQxnxMwEJ4Nr6icKDGEgzrLc0y0dHi8E7r0kmKPpEgM MJOdTWhElajIsXk8qLYvTWa3V4Rig4EwfgRSvFEb0t71UoPT4bsm9VkIq7jp45zb8BbM4AV4twfb wOF5lx/EvNOPxUC6XoeGqJUhWaXrnWEZ6ilIlzN+rJxZMu8OwhVv0jpgNV75/HF6aqpMPp8JuzuJ dChdg7msq6O/JQkQ0/47BtNbriUu4S8WrqlhDuzLYnCTI1bBzWiMoZRWj4sc1sb9UMuz8SDx64dE epM9E7cGZLkEvUypCt0uSq9cd7Q72nkTZwr+oDt9E5GNCvgGVHPDlnaRXqrRry1rRF6fTU10k7ME fSgF5rE4iRAaun4BggxijYihM8vQLtZKd0dVcnRIl6raq8QqnPfUgp3XHLMYmYn9nLq6BA/aKbyt fTAKTucLdcW+fkA1DZq3gnVV3tAeZ1potxJtKmlmmgs8OUjj7EN59yarN0aaNHG0NzoNzJNwjvyU PonNnITDnkCq3UxceuFdRx5CijO6nIJWeS332mUrCBjV50qroBFiPDHcY9mi2/n1Ws68J/gyVs16 dWw7yTwEiQjynhuH4vURaKxUaP2CC8xGBWLHSSM8YBl9tMTxmY8cfe5bgQulymeE4PUHnsvC1LK+ Xpli5YcLFzdwHzV0xWxPbZBwweMxRjkhAvgnzvn7yDll6lIkcH0839lRZEdFGu0U8ccoVqUoXan9 GIRn3rCorXonXCO8LjPrG2aLMXsJWxHp0D7pI9ptvihMQm4fgXOFRY787k3FftjfdwHgEQSlsaX9 24OzAS5j/dBa0gjOJzIqNfh6C3AB9p6CFluF7mxkrPwIUSO7a+IarXPJDMSiuu/6zrTBHz+zGp1n f5saY7AuCclhWFlwy62XrSIdojJYzqbl/G8b3LeEzdJ6SKVD9npHQPWnnibbTa4YZU2sMgJjE9Ls McAYuWz2gqB+FDJmOhHgserLpPsH75DkoW2poWLIx8fUfm42iPCLcyl5J4lQ8gyq4ESn9W4aAznX QKg8Z5oehntk53F3u9EOswfMUQJWh15NYxzakKqnhqcjtLqkJXkTSP2B3LEd3BtwZGoU5DfdK8/z ircZK9tS8hdIBN7XxOKuKK2q2yZpAXAv9bexPAzG+rTWpwADECInKKTefgcuFefNyVJLwVLwtYBm DNSSpWOMOeVaO6Dlq30DmrQoNmyj71hR1KSGNm2DbeEKzls0hniKCpHG5zG3BPuWTN0SUc2b5dHu QSEeMmIokQj3/BzEmdJVv7bpH7c+7uuRV5nT6kIaMcgHKZYkI5eRPClRVa6DeWGRdDfi5F4nSMwD YKREwAybKrBmyE6SLf5wM2+bXS2vNkJoaDd1Np7zU0bN0HzyGC/wfO5WOCOAP3OHwFDpOOk3B6OZ PdVuuGhs7GygrnFOT9JJ9yHWMdEifn2GN6QEJJMJm3+G6nHzHhON72IQk7uKtZ7Fj875tMz7vbKg 2dHX1PmOwbAKC6IaTgJpU1KhXR+SSI0uEOTpncG6dv43vHlxCKzIZDPfyhw84dr47gnOqOK3ORaP RC15KBX0L30U4D+GzD4IB0TQXRh41uAzAmm9ckLw+G1jpdJ2hV+XYgNwDHoMa33MCKQCM0lPqZQe GXXR5a+I4Nl9l6WL+7rv5ZouUGVZrC/0MABInVHfJpxBFvPg1ohJhb00wT0bpdum+mxlqf8czPMO AWv1GdZhJ4UzcBoWTokB7/ei0SMgp+aCsderGWczfpbksTYsvNh9+5/Ic+1sQh0Ortn7hvPFh0II TzXt2SLzvm5/dgoxpK1jlLFdl4bAGr/QGMCpT/EYQHhM+ZMtkN7NZL2ZJQ5Qt+dacgIc87laJk9r URRG5U0/QjgpIeJ7KBvrTJxhiEED7vGy1xnBMpxW8kR/xxL+kI9YKfmbpuioxt2EnfX7ZV7CsvCv QOPMm5GLu11jN9JFixTPcE3B4mKi1n0q0wSFuVz5Z0XH3FapRC1ng2e/wTyEh8dVuruZQycMOn19 0wHxEnse7BQCiPxXB0uo+lMAwGqok7iHl7drTbr7q+RkgahPznT+9/1bP5MuMLGdl+C9uCuSjbAu M4aiKAgpK8UxX9JP1xZ9fGEXGGTNWOp1J4Hrn8wyt/C2PnPiexjDkk5Xy4t9eOjve0U9cRRs3Q17 BYdHUd9gzaTCgU6E09chI2VKEBJNvsNX0qkn06aWDerBaGCJ0Nk8tA1aKs6Klhswy0/vetccwD5L jtvR2TggcefokVrwYJoAYWxsF5GVdAHAz/4Haf4es6qlgQZVehYOEw+83NQkQ40V1CKu3XGd1Mi5 w6GKDRsibaY1TEw6edtyY8ientgedAjUh3WeGOafUVaZGSS1QbR3o3JomaPUhNcExGzt8WS5usxn 9gIwLUecQ1ExW3JFE9MWpPaWNrMzZ82+mXzFFhQw4CqhnSytdfJdrlX+lnlD+G30mzuFbKqhsBOr IMoyqhnysWQXB2bia+XuimWMoFJMQO+b40DL1mbl3o+HPVq3p/oRtqaKt/Mi0TbELgvRhZG54dZp k9CZYep7Aa/wxb2LB4ocsTD9O1pGJ2X4WW/tQEZ7xsaIld/cq8IH9pEsJ52gSM/Dg5W8cbxt/E1C 2kEh8mle0E0tzzrO5fn8BsCClWhiOBQ2nlPb1DvLTkqdqRDCc/Vl6VqzReCp6X++SNGrYUvFt5v0 1wo/kBBfXxcjJDHVdpdWcUWAYrs9dKwiWNL/ZIKuFjz+S+1QLUIVOtBc8pbewMbD+lUkOBwWdZBK MHYIPifSvOl1QOWcMWUuaDYWKN8vTg0ituhRaVEyCKDIhsVxa6brhPiBt1+4xKAeCvqdL3t4Cud3 scdZ4vdH9Wt61G7mx7Pd9P3xlzrKX+2B6Li7tY0SkMM9X+mpd0wfZ/200x7Hgf4L1fAworc+7R/k XA7NB5PFL+grzX4sk+FNWaPL0eqaWpbgKAmsh58Drs0mLbCQ1Punp7kPzuda24xZTx0jQ2V9e6qv oxVMZ2qqln9w2O0wAq9BXOyX26K69wttZnKJPoszClmW6MHc21tuW/TDdp5mRjRVihTQk2x+WC7Q lUNT1pguwLlq6nC2BRBJGqw1zgbtA3feXys8loD3ER8rZrzYmGJ3RCyfO7UX/9yuERCCRSfE4ZaT 6ALDo2p1+NGB3IYXnP9kKvrjdBsIykvQlXcbGtDZCetKv0ckoxEWYVYJ68HgX7EFsAVp2qAT3VmN iFSR4tpwpxGxiaUTTozqtIIRfUKBzTNQrRDgwfyLXfe0cB4pf9dBSKxqADrTTsaYvSXUJTpkUhkF T3Kflh4UjZImGoQG856rZeOck1oIM6STPlZsJPJof6tuy2ENMMH8weihHRXztNz9gM7zbOl7Ornx b3QddFq1LT8PcykQvP6DfQ4vCOSt3b+7CFWbSIBfvNLzgRij1Jg1wx0EhV6HdRbe7QS5kVI+5My5 fDQ11FoRu9D7cW51rz3e4KeRNRF3L41QnEV6GjIJDpeJbR0fDLr2/gHYmfgHLh3MSyShzmMWarOm oN5oiRJaaNGZ8h3xSfBVpddI3fH873UJAEf3KalI7lylXndIRgSgU3Kc1cZO9n5dllFUgHuwdijR YjsgmnBoLtcnKm+/N8ZGfTCsIoXoFTAynftiSjP7Dc4WlTnNk/GiRYHfNxvhMzxCPdKhF0sFgumv TbgCAJWVfZPeieMXcNCV+HIx0ZXlgg57QSjI+Eubl0/Zw7fU2ZvHRnfl5P88jSgN/VwI/JFJOxPf zq+gpjkM4r52UF68bLI8C7E8y0zy1io2v5c/Mvc24sSqwYr6ALO5zTfhQCT2kJrkCNKHrw3tRTtp hHmM5LizmNIn6JyGlAbsGmcvmqXLj/mjgyW5CzKVZ8cKLQAvGSoNCLVvHnMjOukGIrj+tmnE2D3p RYA5wFoLODtEHzHt3iarVYhxGhUXwWeKiJGayRaRFa3zyiIP+MGxREZKgUpIyRC0U/55Zl5blmKr UnxTqX1jkPaAC88RHq8sZi3gpPs4IQIpL4NaGw1odAw1IhUg/eiCZeJtqoV65xZb305b2xuZpFte 0+hJVI9VWtrXHOwfyfIPBlDNYJC7/mMlJVEo73MY/a+t715xFL2FHggWDsldynrmfSxTlsaieJLE WelAsO1AoWY8k87Oc7CYe+PVXAhI9oxo3I61/oQVsRQQC5lGtldqbWPRYBzbObyko4gMzOIBD8Be CfuT7j2IzAE39WBf7HmJAwn65sDVEC5t/dNMtr0wAv5AcUCzYNtaXC8oeXWJrVmZPxTAv56NKC9X edkemMem5rYCWdImZQD2AZI4uxCfzJHcDqEoOYMnrRKcBR6/SuNore4Rsp8VHGQYAD0xI3QzjIaf v4peh5YFXIdApRhcFurOOpamm03j+g4b4C4VILz/1v4UI6Bgv8FuGJm9EZTYtvhwGnRij2ZIXOOO qD9Vcvc3iebHmY2xOblKqTP83zYwjudzvZi8qf9XM8ky3PxKinGbx9TZpD638qFIGSyCoeDsatpJ DDyCPre+2xFIa1HUFe7PDK5R2TH+aCiNn+opbu247A2X3rF0bdUXE3LlPdDlWujYIgCMQ+99jZ+K zmDStvoLRsloVcuJgrXGNiNKuQlbTXIjvmxPV2RUPprzmFBDxxhzZGosRAqRWgE9b1X9pZUpX/7F RTGhj0bEt194fgsVX4IShN5QfxlijAiy+M8nL7KOdh21RwQrC/fZl2uMrhOWcoTDDRBsibSOK/Ic n1va8hLQx3JhsOfSh3uGrma5l56cKiuqQhiqx5Vjx3HR+eAkUQuHrF6x+CzFW8vFtmDZOE9CaaR5 TEYo4PHpl1vGnIjeC1ngj4NI2qxO9Y4WCqKZ5Okc723ZYQGhb52D9h/OrMBtcn25sJo47fWXHKCy ElqIbi8wMKDVLvVZVemRh6ZwlT25qw/myyCe7bHaYLPD50pH914BpQP+iEjaD7e9GuPAODtla45b pnY2MWadjbTeMoNErgOszrxNUZDrBY8ki+s744ayH8LDjoKEIHdaGZ4u//qusPHlTyFHADw49kN4 nyaKyQ6tn3J6DtDdPYceqtjvITdVgPsyALJs9Hq8CHfI2HqRRcuR4wPqxVAd4Zz/WVtEmFlfvrHF 6KlwFxVLonME0KGyTF/MlM9iZh1cpFKosH415n9uAjrsSoXhfEcQvkzam4aI/PRqkAiu+rYGzj7I uCr2PGtXdIdhamF/VVuZhJsXhBZRAmJPqWgu/9ySp0QZr/dJlnOvOvhQH8kBo0mFv5Dj42vnLcUV 0AoSBEmo6eC2trLt5NvUvV8uuKXPgZbFnN/K2f8iyR1h13wH+yuAiBEHlNSV1/Ulxg8N3FSQqg6r lMDF5j8rubpUCn/gGyclvZ4pS43j2ITjYSqfziKRmcawr2M4xItGCkMMtMuussmQVCpQZEUqB81A 1Ds6RtjD65P0SyPE70uq0gxEYLpsfPG0xs8BdZL4xpDqtTgBVBMWsv9FYlzGuLlEFnptLC3KOfXL HQ7VPsum8e6HzIOZ8w9Jik0tHsMJ+Wq2mw/U35Sk7nnhBqRyo7QXxRbtmhHtAFncTuYAEbEx4JGr ZK+l0MUl170XW8inFPf6POjhtaPsogbCv6sJw/qZjoQ0A8JXOWxpM+6mnRjqsyWHowYahMUIcmFD MqMTRJwrAZDvbEiOi692QP9wTgfe4Se/N0g5qC6LdC0oOeGJH8opWpToXwjEKo0SRvJ9WU2wfVlB +KAFJe+Vwvtnr2mXAZzFh+42uTzAsksn+fY2U8Cvz0zZJuavj5fyU3vhap5mq6WgYahkCcFhaX/8 2lQbYPDBf4im62w8ikb5irKc4GboMiE+lL76UCHbEpCWbg1tKLHKzP8JHutSy64eXHhHLEKaKJKw oDMMHd/7O3K9TXZFB0jGPU2X2nv0Sfq7TvKA+QegPsQt33FS6j2lysSEVo7EJUikqSZNwq5Gde2R utwKJe2EpsVh6HVl9sCrT1rklCNNY0cH7EE1bbARC47I8+enqKlzlqWEk2X0tpaMammdnOULxWy8 OtpppUGFQjGAau3EUjnaTpny5iZ5zAQAVYr3njkcz9f2MpJTyGA0awz6YDevydu63LQ9+B30EzXM VXsrX+3zLZqtyl1+AmxAvmsljnmO63bRKd1NQCWREn250ONrvLRaaVzSJ9Z1UlzrNIbIuRwN0Sn8 OpaL37wqt0YQTFQzjdXdi6MxS8vfaG/4YVtRF4gUGuXFWzGdih+Zco06bO8Eu+lhw2Te/PN326NO dFHxmON1m+dF4lXPdSMJ6DHmaBv7070NTYSPxc1L/hooAgWPEHsZMQZJukj+5PWFPPdAK3FYqxB9 YdYn86dIgi7TU4xRiV1JXkGq04zv40/5e+Z/vrV+0P+aN1LY3VzX365YeuU+YkmUUSCgluPT86Rn /GIwixozCYTiRvKI60zKPR2ap21/bqunXnbRKHDFLXB8huhnbyr9rQRA0wqO+8Inp5mwNQQVJgJh F4cF9wNhRMNPxaLuNUV1WE3gPUGtoFc212kpUKu29OUtZBeH1rJf3JkJ9usQhkX1Iyv+7K8WNg3c RHuVacYLWn6PT7gpn00KWmtGBlA0M41YKAlCOQ0rphgH/vmW5k0dScLjTtFpAp0QBsTI6rFGO2do EptUonIoN5s8GJV/qtK2GYNXGH4UGzdu/fbf7+ZqK6xf+JAd0+FlgGf1815Kgu6pWthGEJW/FZtp WtijP64W1rvECB9AWAwpprN9SPd3kN1jbtG4eZtSG0oW7Y4wZ7tOUotooot3gtDZvKMpBV/jpOYi VAIZTqBNgMkUwD+v3Is5JFlPJ4Ky3dknlbp8xpxclbMRjqVNOMS/rfXsqgBZtZaj1sQ5NFYWN8+a TlTVbcdoLnrzTaxFYUD5KMzl/d6bfFDnymBE4uqhSU4S+H8qfksFyuY2WHobncW+kqgHhofkOioA /PmdgJbLjGgS/Uld4g6cPcqmBSCuuJfdy/DDi9HLu3GSmkm/gE0BglvNfFE3+bAbcX1UAWUoT89U dz64sgUCpYTpQDkQzKyccR9RO0BEXob95VBUT99jVKC/WRl1E1e+rrfRd/6lU75Dx5Lh+Z9E/J8a T1zfBGlzWIOIrXTcG+UjSMnyw+WekDnybZfadbB3Ejg/GgBNqxrv3wjUb00L5EBu0etJxaibDfei Pv+ZDV/6DDKXadQ0eODRISMrW9WgKOf/9NDoTxXWllERenZHV50HERJMfIc4J3hpksG4Kbhbx5xX 3LNKS4+BoJ5JA13ntJz7v/P3P3/mSRd7mrCgaQ8vtvpCj04pa9mGUOvRFiyMZkw2OoirPGNqGSwC TjWJ6MZHOTRUZ8WusNmt7qH93N2wi/ecpOMB1DpcV4sD+FfAVUDM+H66q1oXWEHXn/s5qGkmD18d IsiUoXeEV3h7a43Zv4Y8EKEom1WbTNuUSBgcMfkWwQPbAt8kBH3g5D9fqvnCDtDFoEGyfn5CJaqe FNBOnvwurC4SCbEp1miAdGtULcDk7517adB9B0cEl3FrGbLz4uAkdbOqdwCAoJWty9XItKCXTFMg pF0JVQbHgCK5j6dbnhheNIzBLI8IdPf6dNjwxL+CZSaHLcCoA81bZsxO/K2a4HVHLvpVkVtfOlCO 8lsEwon+eds4IngSIjS3yqSBdKXY3D0zIres8iHYCjF0RzfszMv1hLuSrnH+LpBR60cuG8NLtkke 0sO49VeiWGgw2YC6wyuP6YXJ5agpeLpqJz8dXXtVfFXG3qwRYZv+2GiTXCZcPGdLZp6YAVKnZQce nvjk4j3R0ftWwavsTStxxWP50fHSzznT6kikLr1/dtypLt4qlXRUaOElU0/3S/KRjIR3AX7XbZaY 2X57HeoZVK4zEjJyxmE6Rb77/5Ziw5it8r1U1bcVYWBXRYMZzKwXM1sCKlBnboNQHNGInQ5WXcfm ys82nJforHvYWj8o+x9DtkvLR0nHf4LOiDiauxYfEB/mFy/bNXWHccR2ENwSgNOANrn+ZBoIPhVY /vj3XNUejUq2DShGPT3xGPjkvRF/LKW49dPusVG/tIhiT1tUKtHJOj+Gd4FFnorK+j0xe9LhZwmH yxYSpLpX2AafpDjXqW8/mTkPhLhZSRI0Z8064lorXrpvGIofEHlRVVnILtx6E8a0ePYCWLMpoKQO Vz8vo9ZhPT2TAJ3OT61wc/FEXulr4zAQd9mz0tddVeI/QSq+EfuVEPMu8oUxsYOZOoPaAUsakCFG jXZ+rJH49Jkz/zwMZD1Iszg2UvLbG67TCKmC/sZmv2hFmRmTg8A5ypDi0aV0CT/uWXDzvOt8vw6Q 483D4KbAUt1qNCXCQXWZa4PEYUa9R3L3Mo+cDYGHZCOUxWX0ofQvzdBH0sff1gdTvojVcjP2QeMh l+Vj/xzxWre2w4O2YUXvpNY/2dpmxZ5shs+6CNw0bcbudCUs0ptiWKhzWoTSr2eOINtHcL868lHQ Mf6ICeNdJfLDf1LqVQB38HbpvV9+LGtyKK8PCgUsQEoENmrB/oQ9IU2977iCTCz5uTPo4n5wZeb7 YFIuPDN8ltwN3oUFT580hrUbX0iL34vrY2PK2UahBQBU8heiTSgzmuoxSDc8e1TsxOuOOn7uvfWM j/wmrbmNvRxyk45qsqXoZfQbEIskmZhOzTP/V9K1gjIS2f0EmD/CctwFGei2f5H26kEpqUwLhEqF Yu6miiaofEE7wc3YA5/azQYw5vTt4OuKFTZT8iTZGTj9WzbE6uqSDiYJcyY27tAd6VUlQWXr6rdy InwIFVCQ410UxCDff1ODU4OmcChja4QifrIT9RMON/NBxi0dcetzdvrStJ9Zs1AEMpHSp3nwd97V Lljxwi8Ukkg+KcW0odP76eT1zU91b/S5G/vaZP6a+gE77ZnN+yDuYQYfUUs1WARsDFdXvBu/N+V9 B9GUeZNqJ89odO1tzgSXCRqJ3MKWkLhWYcKeirFU4bKU0/0jJVjwwzwD6R3CF7eMb8DpSRbjgiYy y9wIOmei6OuEt84gdNNokUqD3SNgRIhInLDfpoqUQVibvMPrnIki+Q1Ga9uPmHK+w723XPOL8Bxn fZ+qGrXRqoWNBUNkH7IdP3kmDlOhAfTVT6RbpYaKRPa2gTNNb0u291W7RmDCCsIK3NfWQWJkWck9 Hr3w6oPtNNYl6watnn0sp6fEADkGxgUrN1/09DZbBYRbw6aGNtXv2O5txXmH7K+wkiPvlUlRl0HT z6VDUuLvAtiPNgyCuQU5gBhJ5jsAgr6uAKBHbGk48+FtwzZe2pxVTQUZOiSAxwJjXv+/Yi2GBMV0 zg6unG5GoY/Imo+va101ENIFCGXyd2T8CJUIX895IafNDHBhtqhAOjjYe8oDM4uh9e5AJ2UVhvg2 EaSWDKiG2ZPI3Kjqg1gJNPelSoXRRgRfojVJraiZHAhxqFbXuMsQvtd1+/2r2oJrROUKqpVNDYMP 2prFa85aah8p6opRfeI+kohsTr2Bqdouu7A4g3fEg9oOIcARBw/zMdguzU+9UWiFOljhEDnJj0Sd VU3IKJmvYj6sckh8s6QkZhkjDIpKI9zKFegQqt1hQenelNvU+HjaYVHZsd2w24G/23yJ1hgSw1jG AJAefIU6TMQ1BkIwq8WQ+O4pXTYNBneU5sl4FsmW5Bhk6LTSA3pPWq6VBXjddC9Uexrw+Yl29h/k q1Sufgz6oFLMOwg4/SylAjzDsvm3l/FCvtWam9dlJr/wp8tk2mbFF6KdDlb9t2+7fy/Rd7DA/yel 32KBOx6ShJFj7MwRTQBI5Uejxseoz+8jtIE8KTl19F3da2307F71kKUFBVeiks2Uw5LAg7MGu687 Up+2ZYGuOexbln5sb9+SFu+0aT3apPqq/pzUfkJ/TiOyklVDJdNpvyOTYpKumhyqXpkSDnROIWWC PtF7OlPkNKmJZPmVnfcX+BslNy5JMhGLuF7SLRP4Bh9zt/fXdfd1rbNo3IULM7CPPkFh1GNTp84Q SgsVrutBvfvz+7cLbu/atnGsqzw7MkcBNxs6WPPr7leZoY5heVrZxvhwcgikClyl0lWIJKIthEW3 gfa1qWiRRqQdWoHtiqri5F+IqS/2TLlrcK9n0VLgn4yVjoFGjontrC/an7/Ju18xEjSAuNxLiG91 tAijGUh9gWdVI9bKc9OxIiKP6oxLICLa9Oa1bfs4dpzAF47WmWyDFF9MrzGXZy1Rw84cajhR+Muo jLMctSEjHMRhZvcLZwH2Obk4dE6ZazKoLEb62T+FTea23fgdIb501NW6716M2lLaExjgnYNIDjc8 OG8Pcw4htLJl68RAbAbcbcJFiao9Zhpe+0XHYYSe++h3z+312rUjtTDDAgRcRJPVuncp4lFf0dgW PkwwZ76iqAPmwo/oGGxUW8JEtKIClELSILOEgp59pw2ritH+z97c19zFzK0NNW68qs1x84Ev2T4v 2rvGl4NmyH1L7pkOfaStrL6JLF4MsMBGooCP25K5EC1JM9JfvyPzMtvYSQ14d3WvkT2iVZIsul+f PIQaOwEQ7+4VRzbWkmm2Asm7/70CiJL2G2Bf0nT2jQEMFSHRn1a/LGnoeDjtlLdCrfLdVHKNbBlO zMmkZW0L5YisDrlVtkFTZh+dRXj53AKDB8K8cj0MtHIh0HQRbkXyxrPhOtCrNfzGAPzyrLfVIeUc qz6wiU/kL4828pLkFOdv/pWhJrrlcL09ZIrznq70fRLTeYQtNydSxM48dENRRxlQzWQ3LLKGyENG PjsimOUoppX4DTu4UsEyvfSuWsVWIxxH/YcerQu+4WINOh/fiRZJUae5COP5zC4xoDtrmb4Rmf66 CdZ1CZHrhaOPDmbMPDHdXpVeI9fApzmdf5TqMFHnJ1q6CwxlwDEhFJ+ewwXa4YucPhnUin30zJkS ut+a0LfBM3C+RTt/nYHH61cs+P8RRnshEkuuCT7N/FDhUIQj6ON8lS+kvU2/zGj+SVrO3sGJddPg rpuEXPm0wDpy+E+5MGQ5h3x99OjELFqH7+1ywuC4USGu4nlQkk+vNmbS5k03KQz/dSMbxNcVQey6 mxleMURoO+OXlp6FarEGJ89dMHtpPsx4SW7fau9mWcN/Qa+nP8mhwS8gTTEdITyIA2FJf9myKXLo fJsWKeWNqTcHbLu9qpuWx44kASIPHvNLAC7C4UC6JtBdVZeJWV8UJUKUWet5deWRQvOgic34WMYo T6YjN0a0lmBhnqMSspW20rIt9G1KIx+SFGoKEioUOHP12VuoG3fLyLBnIzCxWeL0RBGPy98wIZP0 OBtZSUjoTY8VA/ZftB6ROUoU3pmBKRMfbM+WCbRtO7LtT4vGXiKsLcFXMLoTZlZNFJ3XHLI3Oa0Q C4Q8S7nV3tCV4HUNkxxFTWhMrfAZiXzoASxze8ADb74M8FfkbTJiSXewolqk1DoHL7oEeFIHlGik 3Bi6B7c4IXU5Mm5p8+ZqYoeQayx0dnCbTqjRgqsrLYcdrF/oUW/SbFBeMcBYksOIUPG9a5RDrnHv QiY5ubS5VR3g16C3HR9uGEtGBsKaPp2gl1X34eG6lPQjusGcj02fZQt2pFCzbbdsGXPuTNHIA4Ld MNwDeAe4SQURU6QrUDOkKMrhWpfIRlBmzFn4bcCYzn2kQzo8TZVUU9CMQ2L2QDS/iLRzRW70xTAG xxpMIYDiu89aFzcSNMm2nmkq9aiaWcMbju0MOUgoK6VOJSoDiWl+RGdtdcYECZkmnxnecuRjkp6T sepqNUIXwrwIrKNtZmqi90fRqVYof9VE7m79HiWHsEqC7W1yhqbEKWcXium8l2cN46U0HSwfjp6z W0ke/gKeG5dB29KpI1nP9/2iJN3AcMSXzBh7RyBQHB+fxfnpev0TgzZRcx/SpaQ3Y8V/TOeVhpLT AdYvrKyQRdtuS5pz79CpN6+TIIvRskNC6UuiMPA0TPlzCCpN0PlapoOhekzEg9D3dUfgm33inT0w 1r+kcSItBC7GfhgjUOzHXARkifc2zvW/EwQx0UpcqFLH1vioJz9Kw2/G+SL4hsPLyIEnCWUlqtIP Q+89xyzkZ/GJS+joasq7kbDjHlZQWOuFxSbNRqKVIsQlh6VVg5n3JbmQEAZsL5NWf2qA/gYBWYcU nx6rilk8vbRfH704hhO7H6Ja8C7j59dGhT5eQXBHIDpd7ijPhWNovvjfGd2xbOMHt3k4dkGofA0G Ou0h6dbfOtnQ6mXJ5v2W8h6r5/Ka8vtBOmIJhtxB+sWbsvNapQsC7KerRi+mwuCqNiLRbsFN5qry v8mgqwLaYlj3b0mXTzTCEdFYyUF77LJTAx/sxdMdlLHdf4Ic78iPp1OFrDh08ftL9WuxeaZBjEe9 5Y+GW1km0xjysafjOeBI3GNcLThezqIcjhpDeY7wBkw2R7y7pmRerpm8k5a/NSIwgFNYKu9BytGt 8QzWiJuZqr6RQM2inll6qPdJ5IsTA1wuhCux6gX0b3qfl170MERpHiq4Q+zHTIO5h7W5W7Q9vicG QGjnvuwrOTkK5ELwmlbVM5xulUg7D44cEjP2Jz/Lc7OPQPOcp9zM1ZPfCzLqeNhkIXmAxeGW8O/f yqkqTLt2vrHIrsxCE8l/FbrFKpgLE/IS9t6SmjPDBAWt2xGkASmJ0nwbVX/++NnL7Y5CHaYJArgN 4rmmUAJzBVZEVmIqMaqZixpyd/IxBlHzhSd1DiKEJ+dirYLtigjXl2p6YQRlUjh0vXdIta9ODB0O tgLIuIFRtfDRY+HJnz7oVFPQWwKhKWzXDRz9hTOA19WXcKWiMymPE+vWe+5aQlD0ubicbSEv18fp piOT0fh5qZKKonaqA3xnZwkq1YV4n0oKFfnhsDOn4C6oaiiwuCj2bs+WDkB5gkFDLyAB5pGfle2D 0+cQeTXzdT2iYKFwdwl3e92hjpy2RaDJpw/ARuf4Md/1acYINg/98XmYwU8gCXmCv+Pt7UOu0ziT 6y7lbsAK7VWpvp0Q4qOJmY0nbemswNs0jBOCIc7UyBVFvjn/6V/OiFgcJ9cXV7J70lcv6XXz7MD6 GN4kkLdMdcpEX+NNt2c8KX6rI3fdQ+6sdtJ+tT5XbcEYEw99OJO98P+uXhEi3OgcE+MCq1SsIE1o pWEhX4BLCgDg2RDnN6M8p1/4GBM96O6MFJYQHMhCUzenQ+gujI5bFnoQhvA5Fa7zkvq+W5MfnHaB VR+APQjgloDo5+s1A99VpN1HE+TUDuSJ3CdHdo2JZqqNO9bgcXb94JH84sv64l1HGz3lTyBaNnSe u3AKYszgJ9WVqr6rK91J7gIlRJ7hTm2iw6t+QaQ7KeJFIncCeUjyY3nrFJHAwXvCno+Ik4BGJQp7 RBfUBu62Ne5Dyc7/CP+ZrjjcFmqXdBDZRDKUL7OxdYDCh3xK2mTMQ31+AHFZcN2GLLddUNqQ7k34 0d/70Zz4kP3uUbdDo/Tcdr/uuxYDQASwIypn/4cL1saQ6EcNfj7QuDlIGqILWiL9LgpzBrBILOgd WwQQvUBE2yrBcqJJRGHelTBg3fRgowfjrD2Lf5dFW2gNtKnMof/RC7V6FUmOdGIMBXSubyklntLQ UxYMtydP/wHJQVL8VpjdTTJrk/D7TVAkX7s0V3UmSpG1IcfdfIZpttJLv731R0Y2iCCwEaS0Cz36 zPYU/fSSXxRv+51NgqD1OIiKHKhJA2s2w6Ohgtx7/yc3C+t1QjBdM8EEmJnyBtkczOHBTTLbxKuw oTJJEImsjq05+hFHh0P963qV2IikmaeWhx+1j1kBFZ58oMsYfG+1TXBGMs6W3uwHpLBx24EyIWZh hwG3qFTuXp6naWDr0PqvYqpWpSCWcDBwGtMgYDAimMnQYhNqPZ/RYArVi+XK29Cw3Q0Nbal7edFw Fx60umHGF2xtUZlS7RZCdCIaT0VL+qS+uqlmsne7JzRbbGdSShQrXRoU8hGOy1cQwlsQdeAjoTln 0TxSZuGNjLZmyaCOBlqxD5XNXHr8bn+TogWsvBfqlnHIB4ojAKodU922BjIXRiWPGHVsgj57FiO2 xsHdlOhUm7laXnhadvKGPU3K4XPQPz+kihbQBc3G9jYx4Ljq3Eg5IHSX+0654RKAsXpFRv0Dji0v 0DKRFevepG+fB1U3soAa2DttnZ21yu61XHkRT3wRBXcJqqg9XurbEBV5tHgAMnXUI8KRhMjZDD1C Zg+89sUPQEFPyu7cQCoGYB/pR89VIWwXKZjBpVSKqMsCTIKqrRcZUT3FbQQBV0bmoye7mVqcNrNT FAhfomT0LtZRHuVx9kvhQwDmgKg6ki+ZW+RfEwP71xQaHlLUeVgKQKLXuTBSqQQ+2A3E+qxQhNQC 84TLt84FteifNdAFN3E0yBr45M8O1ze411odvUfFuNmfeM5IIvZG7m/4rHiIAc0SpU9cOtjyNYfC OHiT70ZBI8pORD/p6bIsgAdih7pX7iKYIJg5ohVVbVOY9O7YzCVzgj5USK70kf4zOLhjqI/r6q1Q px/ASj9s5J94X1jBQOdMWWre1wOrSgINsIXmRm0fAO0UcqSOYp2PKKUaPiHEHdU68WNpTS+PzUNb deS3nQb7P81MyYcEAKf9Nu+nRA1kulcBxS38fPkk6KRx+dNF/dYCO/IvUEKW+6fjui5HO0lQbEcs E/DEdo1Ne+2bFrkAszGZ0J3M+uxSs+MsMfApHZbJFLa2w85lXTq5TVP/C8uAUnixm3qofaG5tYEe T4gtbBzCz2SMX+oedEZfox/Y4X4BrA8iFUtpW582n5b9neGwsJtCt6SDHMMh2PgyPxto1b2bPcup 10QFGL93gd6KoqaO8bK+WCKCJgbbWOPItMzux/wEKgH2TIwVpTozHZDKinUSZ+XplrAQMBJ0TwLi ROnwsag0QpzKVH1iMlI3YX5Xki2rvlnOWk1E56c5eysJ1NjxKrLX38vc1/KH7c1O4zsCrIPN2Mi2 ElmzHwzBdSs77gU9BXc0ENYGR/9WsRJMLzjpLD1muQyaF+GMnoFAGdyQdKm2Z/duYIFnqueS6HWM mscGXSmZ1O1SyHviMwun0NnGIO7Fx3YR2Ns1eaq7F9nogH4cn4eR3HbmLs+aeB6+R3aqjsef1f4r 6HT8f6vKHQ9Q8XkwI61ADwL5Fekhv5CwAmN0IlcFqrbz/hFgi33dd3Vc+wIQrqsSnSTqJvDSmPbG rnrzyOSgQ4F5ILpFYCH8sNUBWkGK9yVJMPe9w5Kl3UOg/55BAVnlLr5QL9dBcoAEs9rsxnzeNWet dF4eADtByggKOEBNu2NT+TW6kkzooWN+1iklKCPi6Gtp68Z7AWhed19Uj+4g3c88qB8GkilyH+uX W2io95nOSFWOSA8LtU6yokuNNfHrF28FvJa6MHLf6KRlgEIYc59tEfOIc4qEisCB0QBHKbMs6jmq X/9hN5as2vbHNhIawOvOw54EjpxsABM7GbFZEJj0a0tfhmQky+iHgHd3XL9LtIwyQTuHm9AsfoDI dpNYA4qupM9b1wI592cUGinjbZKyKrw/AP3hdpCM0EAS12aJ2YABiAqR7nLxV7rq2kmoZ6Hgf7dE Qh8tY/qPoUizOS/PUbGnCLj+gPENoqSsCZOYltsdIRnDwkzXQ6jm11LoVz302E2MFeAP+1byrSin fOnt3U/Z9lJktHOBNqNdJ15hBDRBoilWe7SezGqfm2ytXQAPdS/6DyaLEXhB26Hhf0Ntze7MAm+9 T5Md7kEZWVe+dCRpn3sgg3tEXRVTcpN+LCdy+PQZkz0RC2NrnnRUmNw5awMbEAhjzHBFjjgtUP4+ e3jLfFbAujjdTHA/Oj9C36whdt/3QkBAQZhbOb4pxEdoy/xTaKG4Eod3I1MZ08T82TrC6pTEP2IC /YnAfU/+XGZnU/ZR+1z2LnmpBVGX00OgHlMy0N6ETf2yUDj5HVRXjjE51p4Y6y9fTbuasJIIEkvJ bUs7R0ixcO+tntLWRohiY2ocd27Z+JL5FVYfLKHh1UOVDQO0b5NeL9H9nJu6a1n7nBK0jPcfG+rG PzZbw510yXl6qd4EgWwV7UxUKnpYgXgbaBBTTYSU5ZTenbuwGsWcYpT30pPBHUIXofsbSKeRagPB kSlqTfLNQQQQkbB53KcmtcmDDulID3bftX47PGVuQiCgWVtVh2Fg08UWMi8q0X+uWz6zZUmF6GE8 O2UoHd2CmqUNaE5zk3wmTlJ7x68TLbRT8x+nmv94dZldjUVm/SYYFaj+cC86VTa2VSKkTFt6wocb s9Jb18ST9/16bTAiNE8Bx0sl5jW0ZmDJz0JRSecb0F2ppXLX3b/Dtrzvg2ehrJm9Y18rtOnVPy1x szsRYEyw/SIH/hRKUToWXDd9WpTQNvAbUFUTvtT7xlY9/oMNGuIMUUjx+PP948ZHc0nuDeZXQFgl o1gdYrTsgOFR1r4myq9Uznf7Dyu/VHDVqR+3MFnsq4WzUjOma+si5D4ebaFuKayn0ypzr+VdgofN mnWolf7RQRLWSuf3Els9G5a/En2nNMwYkIKJHh8TVuZQGDHEyWzV3muXYtcj0p8LtFwc+fPzvp/z Y8rd/Qc74HyZHUDBn2KGrwMPDKLvhbiUc5kBHTRVtuhbVoYPXhh3Xs0UeRIRvZXBzLBz7xgukbbF pKyIMDpMGj/ryxdTL7g4YG2hcLat6xjqogXz8n/jdsB3AOcQR8jf8BAjvgn+i4mkZ6V9RzPl/q4m k3Rxm0kx6YY/TE80O5/W5+1qqwRK99BohHQIzHHy7FBThexjiiDWfI4wJ9HNYinge7AnluUgLYJ8 d1eYUWWKuXAtQ91Th/UVBZnVZM5gMJhWaoOiC9OOHoHb5yrcECxywqoB0lgKy1Bf1JB6ZiXHMG4S rBakeHTT37OcP2nkd9BmR4Pwu8Ys10eD0HkyBODIFXExuT5+1cEFY7vXSUcYQ+zP5XCB5sqCiHXV zqc6o9wi6tDrPgBsm39euPrEOa7FGap7h00+i/XfGv6T0vvzhk6MAT/oaN20XlYk29dTCRLO+e1d UmcWpxE1IuFuGByGZ1nG1tLi02LtNe+wwDIiCkTgXbPa1vAJpTdNQDcWyfmrqcwT5/GsLqILr4Mt oqkb+4xK5N+ETgkaKrN/Iju+5n4cD4lI7UBiLk+TIp9gcnjCW/1BEUo+BKuTBMAOeC/mLyv3rSdm BIn8xzKBgLRr3khCDYyQtDXoS/35Tn3gMDC6b6Z2qadtFhtLVVup7m3d7x8A7alyBaX3qnPB+7Uq 33Yq/eseWWUGnKzvBd6gBPRl28rlj1e1rwobTz3QHQuhuKDDl8QRC9A3WeTp/vHzQx5TJ0ZDq+9Z 25nvVs/FRPFP0q7Vh6T3arq7iXbJwjRUiUhPyHLJhM+NP1T70f+GRlDEdYTI3GVS1Jh+yzFPYZPt BO8xTgGhOT+HzfoCVFNV4stnWrCa4I0SJKOzMzbvuyU8nfKZ7KO8gstHOuCD9fWruiB3P2UUXLr2 eKevifY+GJzxjr2Ine4/fFNyii/6wma8Ddi7f4r1gYRBBQNDgOfdiz611mu3ONdkPNFmsFfIk3II gWx6kjWgYeatxdiz07pAlcazTTLuW1YUT2Q/ozxContXEsoqNYOZjg0f3421KrC3YdsnJF+5z+hJ cWOeyRn8KkP5diZDDBDZqQunrNCvNxs2EH5BwWIobMET0qxraxHl4s7GmWMrGpoRxDrBGVSdwkus lIqsscZSIeZ0vXZP8YLxNTqEuJtLB0+ysyej61Mz4ndEw0FWmQlyxEpJn8tPEjkDcbQlatQocsJW H9QkSOY8oKJ0E+U0csXuHWtoKmdyo7kMw72mg0kjjZy5RezY7ol5PanDNNMxfjiB9OLK2261P6tV vfWyPcDn8TOo58mzdWIzTfmhN/5baioD9IpwS7so2YOzcuPbAujq1mRh5jjjaoqB6+t0k3aJk5Ky IfTPEnJv3WJqALv47xb3oe/ZHrWnd5jE18Vz9bkwPrYKGtyvdTwJ3kmaS71Wjt7Lx7zVyZ2O2AdA 5Nb/ZT9xq9GztctdIrS7A7Bfsv4H9OJ8WMm6qby2EP6ijQ23gEt69aay3yJL8+ove/v8UpsBUclT XD+w7AEug0jbTR3bSHHdT41M5Qxds4ifK6CGe71nW5FUH33ifaQlQ8E4w9ox5S7yF1dWXUFJ+U+K ypv4RJdaWfU1I3UpXFJFWuuCYndfrxTMcFljplJQXCl/aXJFrYL9LDKVP7J0o0SfrsAdtcRqTd2g WTyxS7UoszeApk+kUVi5tDQHwA+EMwGq5t/m2Vwhh1HG2htTGrGKQt3d51V8rNwRJGyQfks+rXBm YVTUus6nOEqqL3wNyfeNpO3GMDIgY8+tkT+KhKvoEvzHSryh3Jj/FyXUwVreNcHj45cSYLdoP7Tk hdi/ZxR3l2lp9mPBQLdIKFbwVAz6R6VaYRB8v4SrNi9VJV2eIShggAXmswHziE9totvtiS9f1ZzK kixDwONOEyO7L8mR7Z0ytkXEHu2hP0+3f2svBW6n7qvEoDezyYrfho5daapBizhmtbegt4A3A2g/ yGA/pJSUIG5PuNGrPbsO1XO26FdZG/1Sgx1b6Hzz1fQeemgCv7kaTrC78qM4uqTHIw2WDMnVh20D 8Zn38slVUTqfIklRPXH5c6bRbniNRA10xroM3OQCh5+vKOjOfZA82gu1c4PNCiPMubo2le8m6AYc CxvYgz0mwX0J/p0NaRM70u8MuUZS5dmTmpybrvfmhEd5PDPC91Sl6wiZfogZhIXwVm80+hvdAq44 mstm5r/RM+fxkUjpe6purtLySrmNqYoB2/FikN9cwW7hOH+zq4GjPD+LZkcHrClI+RmS0hpkB2at XUV0qM3OOgwNLQLwAQ9xwt0drKB4EnN4YJufsYnScIinWqxIHnnkRmIh9JmCdkaax8lfP2Ktz0UI /cBPJc6ue8QAQgWSDhLn9aBdaKU2mLIl0y4t678ipkH576y0v1e4/4KEapcWBO/mNe9ThFTdt3yp Qm6T6bV1K0GxY6EojdtRC6fd531ncfdmnY9p8qIqqoWkUWRDLOT1mohmiYJlPMRepqr56J5h8ZmU kCLExhOq4pShjpd8piAL5XclR4wyVPsp4IDjpeJ1HxEhlnFjebHxZ6SHt5MpTERYCcBQyr9I+u0n Mcqh6gahxAzAP52tCQj1BAH9pWiYnUYpTCuM4Re7ftepBv/xjJpU2nZjrBvK7bwP9yCQV2GlKF8P Yx6x6iEmn3bE0UNh2WA5/m3w5jhEH6wiIREE1IaO+bRmVVeO06t5ZmG9fR0fC2wAhpd2wZOMJz5R 4KODZ1DRDwhflWtPmBN/aDGdKute6affXPouTbPJbm6D5h9HxnRnN8+TBzq72ZDpvtQ6DL+xN/yn UUs9VnkoND/YLNVTkogtJY9hzE3udwYERUq5U9o0IwD8bRMPUQoSTbNCLXrh53JvMys5UQ3fOmTR 1YNgcEFzWy7BxdwHMi8NfgCFTb0ilkGpJZHRpqRuunlzvWEriaRB9yra7uiFwLC3hmLZPTkynAnP kez/lo6GCmLHpSaQhM9jjFYspGifjN6Ury4x25NbePz9G8lOXrVTnl/n5USdN4P38G4pEKCULrOn Kt8ddqyv7lJfHWL6FL3Gi83GGY415zRGzrZ7TiLGNKCyQj+E0FaXeHHCKD5KOFa/SFskP3N+joU/ sgO0Zg1U6l/lNz5mbbf47UZ7j8OZJxLBzsm3MUdARh4nIOZl4luhUjCMmVAbNS63rRnOJ47k9daS E9m5+bT7lVHx6aGFmQuG6HrU4DcYkm+vtBdaBwBlz1mI+wB5DS1Y8PHVEk9zT2CVpOXfFfakhdbg I74slOpZU6pWFoazD4RHEpxYdTEyx4Kq/i70QxclAV9Xx52Tg8eWRH+mH1loyJhlrPe0rmImDWJ7 IDb4/sAkMuKvOZcVi4aq2ZR16Qvhs6YfwFq5DEzJ7J88S2Gw/pY30mnVhv6eaixIyIOlqwryShBd GA7zG1lEGv7cy31wsLOQD5ru1XzJkRXphJrRdVvmXOIUdvPDFheiLoD0BePaCMf52XFbJT1YXT+P cez3aU4ujTlv/4wbhVlrkovBOUvwld5vRdNj274uAgKiePX6RBaZQWiCBdG/9oXafMhNk9gB2jlV w1zP4Zvb7ab3YxsefQ8vuQF0cdu00WoEukCLGpdZ9jvkqbNemeUBlazRP6J7e4rxHpe6HRFufBMO PXR+xE37Dr7dkjRP7894VzTl2930RInQER5Xy3iKEm1KCWqRifEQWp3X6frYrSjAm2pP4d+kbiDI pFEnWWd72kZvGLn6Ad9YIHlzDOWjS4Cxe9wugUopu2shw+ERFI0er57N/zu571Lr4xUwxLU7A508 8Ys+Lj4TQ/5QBo8eT2OGKZwrGqcVdS1Aoo192HcCd5rEWHAzZPNjGp6Slwh1e2ELnTfCHru0Bd0S eHU3wFb0Fsmh5AujdxQA9AiTwpw8VXDwuOFLEwNaMjSQ5+6FdGNSczOcwSXYhaZUWNfgX2UVRj3j SEjEFHjVVU+r6C1ftp9yhT1mO2jD+L3RWQSaoW6uFdBbYAZL0bxxtV9dhz19JDSd1sulMWzjlnm0 dWpvsMRQMOyInoeoonScWjojIO244qgs1tdbqaSounP11l9ybMcZVecLsTjPqVWjEEqXcHw/1MHX BXUqscp7f9vlWe9wna1b6UZywEdAsy5RROHD5oHuogVBz90tiASVBVF/J9l0GBUxdg5MaWkHHyOm sXmlkBM6ALVVmLxdekQwBC4iNFjxrjK1FojD1k8PpHQg1C5uzx01xUwzQKhGQxxZWwzw1Xe0owUn Rf55C7QkbYfJGSY4vdbQqVSK+L3Ou9NhLPiSuQqOoZ1dZDUpg3iTMJ1UddAHITEy/M+HeNs1BR5V yuexJu64/gnNZn2p8oEhQV4GhXis8M0LJuV0MLqP4gNgvq6dZhbLotKgA+C3QaDnDyYX+FhkPU0f 5q8hkZCizktTOGJX/gCQ8q/Wyj8WeJT+01J/AQhWKKJy5nGtPiPsSgpJqD6q3foFTrvUAL0tW8Dt KeN3XzV59B8Pz9H8TiAXuqUtPNUGC5Tb7eryjLLMZ7YRbvoa65v7LiHiaQS/549tERr3/EAnWPV5 e0u7HNfFi7kQfTSadtKvrMvOLAXNzr4t+BhCRCOR7rvF6lvKHuu3Rj3V+l3TrcwWS2kdhY+Uo7j4 vGZnfN4oF320oyoa10ktzM6yBzCZqrwFzHGhzwbY4xGxmrhSA0fuFtTEQYByVJ+lyA1PATc/R5DB SPkNmHme3CTsdrtVm+I3he4NbUA1LUEZsU00PZTbJUpTxJnPBVWwBaE49Rl0Gu6VNg4UECucDGEZ W43g5s+ViTEqH4eIz3VoDRi+tRLYIhPNOG2dQmt7SkbO/6xl0oOrBZkh2fadFUaIrPVfR9T/loF2 tTBi3MM16ZXT7Flner1K+tpN9xtVfjiD308K2WGsiUlqWvVQ+MU0EqgB9L589Rhmyqm/mvHoBv6Y RuSNsyG6wAH/GjvG5VncI5orBy7o7XRu4FyI8EXYRVhQhSJ6osYSLEEFVyFT9phxcpUYJEgxxFhb F2pQyyZKChAieI8WMbNTff6C9DWailIaN0ltaPT2OXGFL1H9LYScbPt2+TWAfSyN5siM4nhynQ/1 uZeJQ6s5raVRt5R8ex7YMkIyGDpESCmI8hDTajjaRZ2OkBSSlUZI3CxwAeuV6i+o3CEEdQ15F9+s VbHRNpUA9Ji5FarJ4n+X0t+QN6VGTFUIjkYUwORLRD9+OLbIHGSxojr793yguPL132swk5cKz+2I W4eaUUNWZgjpyDZG9GU3cSaVNOLTKBW6OApAPGMrCKzVa7FoPz+nADUR4oNgNruxCeJ3RGYo17xd iNoa7nq474eWd8OFCYROxVaO0avQhQOiQ20lc9Qtx7F2orM8IrLyIb8mR3L2srVOK1M/j1/gZTfc f6OnnzNL8yig8XDFs2TQ4o9NhUGg+/QAfG01odTBcYdOrKDDYaLyR6edxTSRtD0I9oYe4T8zQdYq rTQtq8d7vysPS33gPv2Fye6nJpTEn6HmZzjIKG4ANEacOvBpTbJq3fJ1u0K4X0LByVaLpYI3XTiA YDKkGCP6JFcck+4mXwp3BQVwM2eivElBZ2lLtOZJeyBWv8YZSpY2tMpyqw694qIPYnW39Qir8YpB CY77Zsp0qzxyLoD2j6obPU5TBYnCf1PrfOrNx3svoGG0ARBI3182/6ODO9zk6EVA3HNBVnBImgdz k4EChdNyJxFjsOGbFYfo9Yj7D/iTOgyokWwIqMwJ1zgad6tYBc4AfKx+36/WoPBnNJB8a5zOZ04v XC2ofwoNFJsj0V/3lO8o8GtdsoZABD6ogNRqtDzXYDx/V3VQ9PECjNmQ6YQpbJwOG0ZR+H7vZHh/ 5Bv5YR4gDljNJk+/UfWoT9n+/6TsvMw2Q5vrJO8+TLPoSGuXY//ogxCDwLgKqZvDz/fXdqilj9iI hYzUJEL4hZeSoa3Od2DiYVsvrmeRoBWcZSo/tGbVk/QLqZweZxTX1ik4DCgHjaDNPpYUYVlFlTT+ zYV/iQbfRwsn5F/jN8CGLzUgQqbrAh0tNauATwYZWV37GueYqRw2DVbLB8SQm85czFXlx5NGhAj8 8XXF8UxQcIGu6C+t/X25Z1WWtR66T1BCU1LZtflcS0roaMdOZaLEG8M0z/6m4hNpbMlsyQfmrXlz FZCNJ5KTydIjYaky4ezt6C67H1w8Muv8wklFInqyac5bkSwGfe9xMl2Miepex2as+eKe5W3BWcBn Ti3mUrY9TjNTa+iTx6F7nbBXOljjz7Fc8meZOEH3sSEpKolr/1EUdGHmrj/FV2F92/Xu5WVrGbyn YVv62wOKMRClE2urbiuI6NI0nsFhNCdZR3i+p6fYauqdvGif++70y7P3lC7/EELS0MNyjN6UA+9P 7IIHkcMxLVZxO6LxwOTCRUk0AseejnHPIPlSRSAM+VmbscGxBx0ppB63qKSW06SbnjIA/JhTxK0h McZGFaICXtsMgUDh8/YnrbzJfuVILs7mEo5sZ1ixJveOQ0jCU2H9sB6srrgZEIuhrZQx4X+F39Kp Ih+c4BQ/qsrAbg8IUhoPK9jrqIOMDh0vd4Dsz958Gb0WiosbmocmhJKqCvfZuvTuT1qEVUYi6l3O lyH+SAv/WiA75B+eGbUGZMRDRrQpXES/6DDem9zWV7KAlWwnZF+YA/IMSgWf1CF5TrkL2fkRXMcm yBUw2NL717o3+3Iu4/wcV8coqZ092LonGqVH2AIgHDeuLwNfdmQJOFAbTqbmKRCU8+l/bCFwzVoX SN/8oUzJmxQYOcJJfwFQ10EL+Ktsd27ANGDGXhqLd06fvqQXCWd/GzAlJn3Xe5+Ce8WQJsJaHLqf XM1IkQaEmIwjvH1M5Wd25Z0X41k88pW9AsiRN7yrYhI7S/hLWK0ZSl7hJJ+gvUGeoof1rtqfSR+O AeVKqJ2LnRs6A2ERNdS1JBPbMybGkPfoOcqGp4NMEA8voIkc6O8CwEGZONdjPhZpo48H8VBQQR7U IvpT/NoBGSUb5NbBCNFBrnJ5lepdaz/ZzGX29iNmXk8zWAuvhb5JNoQy7K5KhIYLGQo65Jg6I/Y9 u6O7oAsCiSqls2CVQ5Zx1Kk7gvmj1HFa6TBGi4pKTAlv992bVJ4WCM9yOvOBocBpaHe0/YCEnSuy CAyWGBOUUUUqJcBXtKELH4XireLKtq3P0mGgN00rrUkaKroDtrnHyLhucAAhvkrMpdWZ+CAhpMOr DZRM738hKjwFm68S2UfPWqDbUIm4jPA/dM0rMJbaN5sO5k/b6g/oswXgsDtjxrm61tB1pZcGCmw/ 4U/lRI/s1VjMxmAFlju/o7HVbsrO/4AXyI0Xm0THORhSXm5a+rVE52bYJ0RiRclQwhYQWCYX6rOl dpP7FGFZseeuMVquXAA1N3XQkXQlylLZo3fnWorv/YqETkg6q11SqxyEtwnDrR2cQDeFzCcvtHYt rhJiEqutky/VpvWoMQL8sQJX1YPCeYmp8LoVkO2nLs6VHaIR8Uatbrqt9zzTPZ5lAve1Kuc4lNa3 yjvfDAm6AN6VOVdn+8lte0TEZfLnROzAcH01upC0mn+poXel3kcx72xMcpVTwmPcq4YuYkiPNuDU PZu3QRCCEK5Gtn3c6AjQW+JBXj5teJbLdAQlx4nsXRpkGii++jqOa4Va9bpjQ1PIxVmpGF3x2Vd9 UmT60RA+uW1euFWfo+dPVzWGyb8lMPsNjVUwhF+BkJ/GMAP6zeFEd58UREEk96ae3ct9DGH0hquA U4Tk5TYwuoMcQ3zSNrP05QsJB9kuf/E9haTf+/jYp7A3SdFzs99EFLy5n5ganVUunkMeeUMwBBaw M+ulnLcowWdvl/UhAiM2AHoJ33vPXyZZwIs+ZYkGYTYAhGr5IcMEVnYA9wrymVePA58f15t624ZG RGPVCZyy+PSVYp9gFHsi30EQhN3gtm2MBOI9D0OcXCEtfTPcu1dXOz5x1eYGclqf7OqyBUavPvVK qBxmosYm+EGZV6zTkUf62/CLZSni6hdnjlhfrN+ddlfw4vVpes0n/Mf/ue4ZknheSsTinxWUQPUy lb7mYPCCntk5mmfasUpeV5iqYZS+hm+7MXYArCGaAK5xWXkSpOgqzd8Hx6YutgObx+5yGA7xk3Qj 3PzM6iDiItbNJj70Ye2P84yC/Ar1HRZca1WJFuySKFcJoyjzelxgdGbLOxXA/1AYlXKJwTg1i1Yl cDwlg84xdLe/dO3Q3hlSKF3INbLcPRLyAIAbtPwovlvMSWp0P9bLiR0cdNeh/12uJXk89VHEkqIE aOYxNwHeQb7M8dIkt9wOBgX65TJ9iOkJoItNyWlHL/vC3XqCf0EB0Acyu3oWHKhAcylFD121kPgc u8g6F0ERkmjIrZkfuUnzn6OrGqJUjGOsHvARxHX8Qp/ueocrXufuPSksm4TjTzTngmrekGwjt10e YItimrRjZ66EHVLYr9XvF9tPDrUZUBggHMlc778QidTytj7gDLOUzW79dastF6eOy+jO4DclB60l KjzCEhMJYiSJKxbh3L5BSNEpjpunYMyBzTFTY/h9Hx/TSUQ7xovu/YvZfOz8Ply5s1V9NRfIHrNq WP1XOr3TYJK0Uk3u6CuBI5lglY+onIemN9ZD4fouD1EdXZfwtgKJ0VFjklfTbrBy8esiX0zgwc8x DoJDcphS29vdxc3SdCEAvJwBesQwoh8T3U7fAVzvBizHAMLBfrsVE3AkCE2lVnJ1C9t1Da2nz9p/ L21hIvDCyzADn58Og/13fSNAiqSqgmPlcx331xAfQI6bHuag9PvTbTchwfT//Ovs9K+BbdlIW06S dJpDTDoSifKLSTaRqlJicybjvUKvAbO2JB/tNqemoRqFUSwcmVadyChDHUSwSOe3ydf7bdKO3gCy ZsGFdor8tNkxVdycIh8gptXeHpQSDSGmStyH1a6oQE/4oA7i4bWDRfK8RhcJva1Z6SifAp3htBDd PFkSALjawrvkQbqPrHaC832hAANdYuikiFsF0DwAWOJhxX5b2IX+7T/I9aoU7mg5QAhb/N/RGk4c pM2w1TKMRiy4+Tx5NC04PUBwXehVR4mdHhzpOpLKPh6Mio3C7s10TRSW2QTuHKb7SxMKmfe+H1xL WWQSHGYCC09h9J/6vTK+sc/BPlH481kaqTTonDk/njMJ7v4D+ZkWq9gb14wY/daKZKXcUDcPWkRz GND159sMW2PytV1tTpw3CgB1h03lUWrAJmSiFHEYyRmaQHRbogoNjf2aZvPccsHHpCAqXro/NAiL DL7HZfqA0Z1BVR2T75G9zy1wXJTrQ0xF6CKl0jmeNar1IMllgnZdw/szy/5d4kZlkuWgMH7eHqOF kR9JxvtUS57WNGySFg6ucw3Z91n1wpgv8tKAqKr/CSRp4l/9WXRHANnTadQcrED+aSLEtX/1Zd9K 6F5tOHer2wd2DZH2XpHtmfq/DqP16H87MDrO/354mzv5QTOVx9p0aRrDQYzMi+xPhEGZ0Cps0br6 /8c5yDPuPH0y8zdgkfiSFoimuncdB62nWh/G81Cpak7PWiIz+1Uudmd/FGnJP/jstVluL8FJMvpg /L6yywORF32S1EyG/6aHX/lLmLobONhQeUOm73srIWqTwn21HM5OriJUzDBt/HXk3JbiQsE866Ao 4wynEZ8fKQGR6fbwXEANz88vDy5guPLyZZAX2/iA0bwIaHJ6GcMhjoB/sSq7CpqcZR3+N9z4ZA1w XXRhz7OuIRWcowla+6sDKsQtgN/IUpCMI2/pd4R+rOaW63MSiNef+0R6dVIa7onnmxcVYk7GZCmJ Ey3APLr6dSO86qdBaFM0UewFQDiqkJ42d8nos7Abm2rfoiZNyiayhfe8nqXfWZU3m6PN/k+U18J1 01ALAFA3PbEud+lsrn0fBStCHMxiS4dNeh7+ui0Z1UbG8hQSeYP/jSENc/L40e1rVW60drZG3C9A UTAbL501KckH2ciPQJjurHu/sNbCnwiBP4zLKXu/vneZJHn91iVkos6Q6MVDKFQucO1XyICGleMu /jKqqdNPTZECUo5zeepofrsuuyw35nRKgvxHJzcDwfeif9m901rJotxqH9cdU+Mz0WUYsJblp2Ma U3p2bUKJ3aja/60agYtnMN5Ul2sEInhBtB0koYXIY8KW87aNHH3uJB9cqPGNoUC8eAFtc/pVAJro vcoH/vvnZtazRNEv/NFu96EMDTniXDgvfXmTn7YXvJV9icpfJgRQ+aZ6+yJH0g44otYuqz2lCKhO Mmo/VMqSIidmKb3CC6BJu56u9L0DGE+xPtnuqgbE6j+oeF7Jo2W0zWS48G5a7D0lNVQ1vkIzQXeM SmjMOG1p9F4IyeSXSu5nLbQyxwmXX+OPbEzclI4mypwgny0ycsrlQvfS3+MrxcnCaR6bNSKNq7AJ Aoq8+EpuUq8iYgznccLDzutqGJbszjXGPftyHH4Oytcedeq1fvPdCV6HWBPsoFTwHDgIa93AndNx D9QhHBk3Tlmtti8SpuceMSbqcScexWHz+u7U/Zhys8rKOcWTKGDx4YgeH/olH9YHkoTI3ECqRU/E VCSfCZqiduC0OHimiUEeHjPVWUCJFi4USpzGc1uBKDn+2njm2WvC+SVyWcLFjcebaVHyR+zBfXTK HSLIpH+0yCoxnAsDAF9ckgwhGCSbjvmuRfSXa4Ygql8FAnbhzM7Uun9wHkui+LnDAX1MFXPzKmfW rXrhvjWoPq2HyPDCzfMtYetUM71ZsCCj8Z+ZgLHZRSXxrqU+Gu7tsu0EWkn3kReXWPo95q2k1IP7 b3LHUatGiOMfyf4snW37KmNWVPby3z44fJTHlo40F+9vPzKPsy3wFf6+YS816UHD6OgLz/YoZD13 qyfbAqk5zCkm3+fnPqYcCF+JNjswLeU5YxJvu/PI/o/EhV49L2OFd04XGufu2WGy8JjaJf/QIToV XHpaAODEqx7yqdq/2Pj2HYmuQagUN2njk8eGgd8/vyk6Tp/rQPMfKfEfBKKbZo3jyyWAS7nL9cYk 5Bmn1ERoQuLzOEqlcoCSXrtvmpTlbz+fOJTPRfQjWnvFOjebVgl7d3oki5NSy5SZD/NGKLH9PDR8 0zVy7GydmymoA/DYpf1dDV1qk5m3Hq1ym9wLmUEdIJTbf0LOKvGPSgeRnsmVS0rEpDPGXH8oPXU/ WUVv3p2IdW6WWrWL+MTgZXRwX/jacqeMvpJ4fUWmhbb/ijxDW8cLRQWW/dsZO4Mfw+PlG/KAXfQi vyKKVlG2y8SNWxhsBZgyxZ8POp4QFdk+QtlyFybYJlDWsScvFnL2vc1SNBHYBGLhFtQW8LBGAwb/ S+p5QPZgJUjg3/Oja5B8+2FQ81ZbBHrjzZxVCLrstxZuG398clHA6xWKRmOrg38ysreoCrvhKjhv VqfMDDMkZmxDyUUmHuR0QbsWSjZuCAS2qOsAiq4vzVhqCX82xn0bwSjFU3esg12290npZbN0OJJ/ C4tV8258GtzabmikthkUdBxW7kQ8m+DJhdXtlq02FhpHl1v+LnK4BCQFmjpevJ0FQ0dfycmlrV1x mbGpzj6dOw2dSLmd4fXEqqEMrvP03d406GrF2Tw0O1vxQf4kQd+gJ/XNnT6LfFrSM6CEeru0b1o8 R2sEt/Dl45iwLjpmvA174UWOkLx3VPQe5qXrxACHlaognuPFNAQ0jrV1kq9MKbYlGbpS8CDoCy0z ZLntsI38ClfGaL46yw8MXdm4/A5NgBhybSySwCdpGgc6moAhgu5oOaWIHH0OjfyMyMulfSgwiUcR e9NQoog7S9Pv3tog5+mgw+TXmWf34P234hBt8wKcXaMFdeqpD1lieUwLHLY+dZRY/wZCoQpA7LSB 9qV26s8XwuflJJhed64nuEoJd3isEvh7tF04Ei6JNbe13TJOB8RCeLXFQPjfL2cTKVfwFAXUQoN+ lNDkAkrD0PidHxyCn5UzO1c03BZfdxDGieh0F+KI5JGu1xNr2oGIQF1c/3m6M2TXXE0cXs49KDY6 RsJOxnFNUbDpkL3W4CdkrzIRP+oKht0xvT4t16VE+PA5BExmM9qlU/maSm44SKvcxMF/ahfubA55 FQp7oiffOyVSzUoqnIcpTjTvEHlXGCPVivGuZOg2FKVcdcMKyJe/UJ3lE/GjBHhqqt9RrvIzluL+ QpXCTkJKYQVtrDqYT+Ov870VQZpMQklBm7v4DZZu+JWnxkXPJ69/9Onh4AKDN1V3EeogBuUdAkrr V8rP72thgLlbhVvYtQsESvbeo0LObP+KGwkVqOC3EgQnfp1VzKn4fTD6wH8bNMJho2gDGwHeEOBr 6C41mkQnn3pYFtN8I+/97JEyXZNEjLgrX95JAKLr/qxYzbKeuufZ1bt7w7SBpIWgk3+GUAnP0Eyr QTtsBLaQc+cmGxg+mJSMCib3tk0eh9hoeeuAXOZEzZwY+9Wj77j3agSfJQ/qRPJQV21xdd00LcE0 tySsalRk3BA6FbS8IfDwFubYX0+MUK22R7f87yzEwqYjkAYGotXLZmWM7ishlFaQpptMXrVXHGB+ LfbL+7/z6dD3e6E+9ASGRdhyBtmcW1F8yuBEw1T0aauhWck/oy6eeqk4QoXklKa8IIZgEtEA3gcx 1XovVlZuhu1OU0yqlPmCWfW9CURemBGIVCYnVWin+XLnvyXDtFmmxSE5Jf3jJ2oxq+DyJrks+ROP hEDFfUhzRbIchP6fDP0kq2OU7aQtRhe57g1fZB0SK8DgoZ3MJA5ykXSQlt4RUSGhw2VHdSt4NpoJ I2oSJAkjQkVeMByV6Ghe3PX/+gC4iJnDKiIiD8O17qQqfQsPz15o6YN7X7zAhuFqmQZwcXAOpiFR 6PaLtKfWJGvooRvyOff/sHSydm3sCMamhsF5Zm6J49vbrWBtgfimY6G6QWyCvANjOfu0YCD6rNr7 nbTDQ6De/gi9wAYk3E9W9vruJd0Vz/KsFNpn0NtkkHHSonLidJR2yvUaRWAPef05fo5ysuJahE6a uCjSKpuCFelCSyjHV5D3QA1HGhyHOel/eaZJJNw/EcIHNHoc98zCrenVF/x/wUtMk3SVVHCocK0m kdUyhAi6u9dvr+UUaLrpeEmokILWoVc7TyJ60oQD5S/G0g9bYHuiERB1g0NiCcwzPPi6g8OjYPZj /CVRP36yQOVGXniOnEN3vl5M49M8E0RAChmpN8PaojKyOX8On6mZHVtxO2POekgDi608TSJQ2CKI Tm5ivQ3svZd4ADfTmsOqsRvwt7IpHakbifajMMHn7wux/3thqn9GU5KDKa4I+ehkE8fxYlqGjFnZ E9eQ88/pfB3snWssJV3YwNF1DlXzIFqRv9wBe2K94iop5bOlzpLlyVDkGV56j0mgwHLvBuVbT07a 9PBrgP/0TB03kUfTNKsWtuKbi+LNGMwJX6ZzLQR0a9XiHEDGlnObIAavi9TxtfbXH1eNm/y4gf27 Yo768/cTEt7BX5lDSyPIFniuRJZBY5ECwNIzgGf4ps/ntr6Z+JdnuEaWO4VdpHNntcWoSd98ds9O fXtNlTZIwzFr4UTTmXrI6M8nkf0W+oRqaEqdU7mEq1DwfReXShr3RSRLUeFQTcDo6uhm4p/vl4AN 6FvVGo7vQWBL7rcAd+9WrATcNHa0eIj/aCqmTSdr/SucwSq30Gk2gYVIy+2VM2G4uexl6wQC3w7X RDAKkX0Fy2wxufbOyxHInPUh4RWPv+koBCJJv7/KJF3HgM7xrl0JmP4HoVOhCrXF51NuX2ayj3Bq NIHJMzE0wkYtGnn+fcE59CgyZTktbrtPuv82E7JGgva4DDlXdnp7yxDzdfsIftHy2WyBRtcdK+OJ kYFfM35R9JsVQuPMJOkR0JlzJmU/Zc5ISmfIRQ6Z/6e5vxKxtnEfJUwtqDy5MZHEhLVnX2JVgR/J a+t9faGpd0s9ozjR9oc49nwQfJhMaqvdSVLfg6bVB943wPvR6Aw+2qeU/hNaIvCPgqAkbIMom/Li jbIlyBW12gpZuki1hB1BpW2HHogOGRCfEx5Iexk/mM143cN2caJGLkdJyAf2smq18NdqnxEtOj/o /rxSk7cnUTkCV0O6ABO1oNHTvHzTOx+mT7gV8SLGD4c4o7gpU307sKeF//K4t4Hkr9TZz6iNVgxg NxRQm+DSYZLakfb8PA8NFDmKJPdKCtjJpQdjcV8PJzIHwgFbtLOoAg4pFYhz9ImvhzQYNTZa5QCh 1WGlN5NNt8zHhLBsZqtOSti+IXWCU5XAJxGxT033E8AwizXjvTNv1VEAudZU6ecAoTQjOT7gj636 8a5sUzdPLNspsTCdH0sGXTwcmzNCnPU4iKvEB1M5oBOzFLuVx8s8x6BRoQuEaQrPWkqLquZF9Wx/ QWbx198arxD6v/GBwfVWt8VMpoh5YPa6RhpcNJgI50qqGeq9wLQSG7oP4K+uQl4+5+ydTVm9Tc9y pDxKUxouxe9iJkm8sFe4pJdG6D1PQOHQOCqQW9JeLelg6Fy5Sb8JkJGADCLnLpvwt2yglL4VlfWN D/f1a40Ga68CyWw1jDVVgS9r6pGbodWYoQXS7BEU8WztpDxg/vxsa83DdRgvt3aPzrc5MrpGJ3um pwOmtLmB1i/1Xa/HcfmyBcLiqaAHTeA5/HcCAkBb0nNQXa+5g3TbENhK5Bq/s+RMsn9qWbFKzj12 5gTEAkhsPWNCDj4+dbvW1diuf8EjuA3H5XZE+LnrD6N8qL7l0C7BnyXKCnBxcprNj5J4ixbXv88e QFH+8+rfa/2VfTFu2T4y//VqynSQnt5gQSzr9qMifGdiq/eMTngmcPOFGJuerTeBoMZQqOKXQwxO epc7fWyqwVo1YeN/Pj1QrFaXZ2MlIsPJrNpTh5i2REAK31lJ7hLcBlGjoJ3Q80yDPfqrUeDabSmp yDRWSGLgfGVO8yn+jYvJg2pTi6zaGwoYEwsisYbE+1pHCcpLJ0ArQmiTv9kQB3uobTW54Tc1isBX 2qio19+LrRS1DYh9tGy7yxeSplt8bhDnOIgE7dLgCrVtn3sVne7azdw8jl0lkPxkMWiVyu7KZ11Q oVpjCSrITiCbFba+VIezMRO2QH14hfBsQi/eIuuREldrbZav5WTOn8iZx1NLYHe4bscr30TdARvd JsDagHIshDFqyeb0Ib0bPKMGMH3gUfynUPVgDSO80BM+Mr72zAqIgCbjouun4qtVmHADn7xYDiex fV80fA0LFaoUCiM/y4Nxxa+3xhbwUcHLcOoXtENONHtfnROTCQ7isd6RD9NG/Jln3klDG7FY+5cH ptBOMkgwl6359MxRTX3N1awa11TIToWCcsX7ghK2ntqsdq0GY0keAsCwB+oIjGOke0L8KDH9JwTR 3kJ3Lql6arUUZaQaXanC/a2wQkVU8Ug0pmCEBxzQVV3KuH+Josfyq4qPT5zOoIRyLNaCqN0CoY1K Q2Tu+jlv7GcBUK7ebHgmSBgTDQAOL5vTWQUS5/0qPGwcdzheL0gPtFZCtcWD4EAAhaZpS1LVf+Ii Jmb+U4Ojl6pPr/SLoCKiXQpAACU1Eg3ehDw+RHSLACFS9ijBNyTFyXWYGKGOIMewrBCHPCeIBYQJ My9N9vVXGMKFqGIe9nONXJ5opoI5/diHkQ8ZVx2Q5zI4FFTVB5FlRcEk9viENrH/N3hjtv6e+ivJ /oMV9YEBBBiLRiQZpRMUFiHWvIIVG4cVG0XorsgpJ75WE+guNehp/NJ7ycCOc2g8B8PxK8Ed2VGL 7KBhCDFuk15+NwJfdZjedi5NMJR5gcDcdXpyjSJy0m9Wt0TEvwxESPF3/M4mBobtIs+vDUkeehj2 +KXQDBE0EKfBd1VXSUJWuvpHkVNuwo6vZzIWp/rfUFQGBIuSS+3m8hch8JSRsqXwKHIsJ7vLoc/8 065ZwlfdJc/AFYayY1FrGqBgG5T+cNAGji/HV1pMTK//+4Fz1OsZSBGOGOTfolZE7+3Vvy4Z6e7X YKnIpVm46XJ1xB5C8T53rkQiyqCHQvIOpD9qd6+qpJ2x+XKmezJ6VOK9dZShlxtr/3x9LyLHAFFq +lS4W9SWy4FSZFM8GFTD4+IPKcJRNvzqtu2h93hn6sUBs0yzik3MzZLn66xXk6DpW6pNsfOzI8BN 7wlUGNm5SJhYvmATG2sbGLIvJobJwKIUwMxkwybrorCdKcaBsXT4dgfENFibH4InHv70rgGUD6dd HR8LlKXVDNmwRJJxCb8Mg9T2kyIkZCa2W2zEK/cTzYHbvBajo8tpA0MIyYCAWI4Jf+ngyTP6RR8W XpvYO4jLJwQprS5slDTDOKvddxtmQw1t10dldZmiTJ/tK9buAHFCPxtZsHLEKku0CBpfCXnXcQfa f4CLbRdGVA1SF+RwIEXRJ0udmYcuOGmtvwgOhkji5yzAvsLpb8HwnfvlR6qvy1tHMQ6uuraicXv1 5/ne8hiAlCxheA3TkczVPfcFwssRm88WG01fzDgWdQP21pCnDlY0VFVleBMOEsgPmxsqv2xxZxFr SXsm+fXcSVwJjbzP1NLpjqK7Sj1FiJdZWwmq/jSibgIRDpP+QBoBvy+auXZmfpxaPoFNvA1D4GlE ihsjWl562pV/PSeHMaWApgnrB7fNsCanipf/nix90kXadkNnJRikBVmQmRAAGJ/meqQMRkZPiYSG 7yWNDvDPjcfyKAfS+oMLm34CCwoFTf86jogRHFl5go9uglb3bm8Gt7TK0gAD3Fz9zwzlB1uetYht ca6JIhaZr0RHF1u1U0JzDYHCXRW2hl9llvzG22qVboZEITxRX9o8+1ueMa+BcG7DDrhbbyA9Lju3 dnJDtw+j+8wafEoDJfrZgnrJRr1d6feWyuVLD4xHHf4w4ezdG3+DtAs4RiZAihK8Bb7UsPcayJBF jvCk+ctnOsFDdDC+XEE50kvVg/4MTQoSj+uTCCX6m4DQTC6AD+ecw56yLpB3abZHJdgQpD5F4vQ6 v4ZjtrsEbtPFWjU63+anFdWFNeVoWOLMXF8e3LQTVlJkdiAxwlx8QEttJJeNKV0sh+28wZiPmgbe /20Q4TxUvmzPBihZc4MjpnuZVxLIYhnDQAAZFqSqsNmjvXouLUFn8cZjnArm34H09XBVkA08gdRM pSg1Q03SPH/ngEIl1bh4j4ztHovEdGJRR32x5/OwkPN+/yEw+Avn0WQgBe0RV//DfOvBrsTpabPA hy7L+GQ3yYySEun8KgxvxPPX6ax5UsOdCtHwSo7h+9L94MkDpe5lBSTCj7DqEH9QmfuxwYfC7s/W MQrA5OfhlPPKe/azKNsQajuNnY4RnQXOqy5QERr+JKkY7F3eQ9wyNO/bhTRcZZ6mS18M/iLCaydp bZBi2rFR2h2kOe0W38Oy6CZwkoAnSXyeCVha65mEOYMxgESBVZ1os7px/LvZEslAfETE/ADk6Gk3 uO9yofelFYqV+fGBzvACyskMmvVzhzeot1LDd80WXc0+1RUwdiQdDQ9GYlWyCRXvHmGK78lYEvZ+ bZjAqZ18XGBardKO5gbKG61mbtnFG4uSBlwvVvnd6z9uHQ0aXJBfMmdQtdTrs6FXbxRs5woXqI1j HBZEQBiKEzekKueb8OG/B3G32Okd7GQXjur3Bj11E6kzqaAzKot5eV9yiht7oh/FyS2UHndCdNm8 tkAWVxUp2TDdSst/llXKctaiC3FOw7Yx9LpCRzYC+KaqIj+2kT4Jcq13GAY6oCVqvSyHAe73jpLC jtFlfspJn05lU+2FmrCtSjzLlblNfm7h9rA1sIDGgMK10FQ8TFR/mK9b9OvSEUDAI8MA+5t5kYXh CRtks92QCqbgyU5fvvFjnkGuhqrq4oHFAYjuGgYpF3fAF1BgBEGrlDWokFPxad/pcnQ8i+54VBMU XjarMqPA1FBo9zNCaIkpp+hMU/n/Lkk+Wn+ecJFFMEJFIAWUzPs5bWbY927nmYO3dii2jjX9sDql CEOgZBhHToeOJxDbAORhJj9bXtCXSTOgVTqhE5BauWg7GqBJj6LBW2sCJS4yji8hG4V3dZmpPlN5 YaqEhFuuBjg2JaCcAPuhGt5ELBBCvu1RGDS4oZSWvaY3t4kU9a2xqPtatNbQ75523OFMbEY7KS5e Ev3J02T8h/s6TiSaomP+QXujcZayQw1JyduNC5yy0dACvi8XzyYEc5G67zqavKv6hWE185Wlcnx7 qK1H3fUV0CGC7j92SYhMyVx8uOPMInE55iKWMUkvrpN01x7saNOiwho/qB3h1aL6akqGQG0twONG AEY/Be6TXzHM3LGPVyn75U5b1vu84cIzK9F9NJRhA9oKhNrR98QL7ifSxwekXX8/2s5hH+7huiqs u9j6yr5f3YZ+I6Robykuvh3XckMxgwhWgD6jK+8HWZxdx7lyv0pE2WeuOcGgA/Wcimt23rw7dL7N wE511tkUDpf7kPA9ptf9nYFMqTdhIM42uwsUQqn+jX9YKQ8iNa0rsgt+WO/MoXT8y3GuvorMVkEr C5vXxQIHGamPoL0uCwSyQGGDlwlW/2Wat+z4wjK0SE7LiDgn83l1xxh1rNlzJaFkSPu5HlouM6Mo hi9F2JmYN4GXLCB7+yHHInhhu3IFI8eihduXalUtNdprUlyTAzw2dM4BdiHVoIsdYQijnT6/mU46 dIfzDguhRReWqgunoZDuKaAbFsNy/fNKgM13iTOd+cr7j/vdqCoJGdz7vxK+lNJmHjnC41PL8S6I /NRefkjtVcdKg9//WL7aoKDh7zHMFekURhXcS3vLRhWu3zGH6qMLQFu5/FtnXtkMcNx43t1GUcY7 8zOawRpM4ejsqlA+Qndk5eSKuOKM1Alb4y77uzLeKAF8BZIn2TGfcMKLVATT/7pFBYcTvUVumVV+ LDZgIjuCPx2ftKzKUYa9C63ctHruvnw3+CvJPNThkI/9Cx+3H0/mAVX+TyI54vSn509zifpW32+A 5dCy61Bx+V3PJO3toAiXX4aDPDqgdF4iKGpd8qXuNd2m8gfryFijOwzipIlc3dTpkNEpd7bgERym ZMumcS4fgvMJmbBh7qlDEkYuQtUy4CJRhf72eCBE5ukMl6Zs0/txjMRZoCiVjTKg1mXZd4By9hvR zSNdbunupsND8kQ6KMNVLz5jMtRXfMG0Az2B3GS066MPdYAS/4xSE9IyXt35Eyy3lCxI8G8YB9yG NJqITGxkI534hYeUUnUYJjpLYHsFNOavcqKiBEcCrhoA5JW0a1hfEnFrrrRWZ3IrXBQeXeclp6do zzRmaSCB8kGdeekarTJZd6bcGV1eV9tDNOMFH385pHT+RPgeyZB1XnWOviH0+64yBt8BgAeoKDja 1zSDRBDSfGEn7Mk1EEdY5UGcW35t/Fdd6DNhlmMSY5C31w4WN+0KBDliuxHCZlJT+pbBeWKPSHtD HAk4NOZ3AQYHp2W+oAs+0W9du1T9OrCStxYQpNJeNBUfRQJ1jseo20W6XdHJdIzBubWN0YXY6heb pahQtGA5wQx60tE7R2x+v4OB0w/ndk6RJl7vnoBhpprmDX2mzVwl3jhzK1DUmXrtjvXtlhZslQdt F7W5XdXvOlYmeRwQNo0OvA+uRmyPEHSeKpCgZelbG53p3DcSaZ93BB3D895hS0QW9UKST42LFRMB +mmcI/4KdG3pdX1EROHLOUh4OoLgWw1zLKmQZ7jO7DRTlRJvUEdJ4IlIoPmAyyNuIx4oscKFjnvT x6LjBdZBrZIptisnV4Id3agzsd0OX23Wk7Bqxo5pYRZhzuS1wRitpl65i2knfeYzbGsP7AgSilOQ 65zl3Z/QYHinKvWY2B0IADg86UStMe1Z4unErF8MUZ4j9QmDmxerb4HTnMn9iwkR6TKQDlk7Fgyt N9ew9gcsT7nQOTYknlN2txtQD6MQjzNXjoupIHqwNI+jLxj4QBGMYvqtC9X55n857j6Mwzvl23m8 LlHPF09jrBDiP/6l+VqM6CHDG1q+wwCkV7qXd4taAs1NV1+jlQl/XzGTpKDvrtcHqDa0QMHy8Xnu 2+t/2GdCcmU2c8Mo0SU9N6Z/umA1UacmgxBpFn6aYAL5w2xIN99SPNHtsXbLzFchYhUw5bPzqORQ 1Xnjy6JKGExg87YxwJw/G442Yu5hPfec5WNlWLBV+oW1dLbpLwHWccHi2C1lKhw7wRTX//SFHv6M JKe4vfV59bczJtsrjFCj+haJiQEeG0gTfwJiZFIniS5btykO60QwjAB4AiK6iGm3FxhHZlKcw0Qr ziRq4H/3InoM8VRm2cP/l+a1/AaUUcrc4tzZuZ5lTPHgS/ONrx7Yw3RnwNRAgGgDq2Eqguf66n2z C7QWBEL758hNhYPy9LGm3I6NfV+VyvWIhQoti0ftsaHkx5UMd9DmlxsSta1ddZMlENoIZ0YbpDQX DgJNK2FRD1vVUBBMKDgUPWINiFobag0UuxGrUtBDTiOEFFvqClclR/OZbafYG7oXh6i4xP9B9Cl3 yAFqaAimGcKrzxXTcEt0aWHUpIPevEAr6LMLtucEm5AUY+L86td6Wq0g9JrsBonN3/T1KlSZZHni rtelfTAZFfIFj+EYSkqvtUspZ8sEUtZdPoAnAATb+jSqEOiR3bLZjJEVaqNAVs3twd2uUpZ9eG8K 9ABKsUFOkLpq+k8nn1CXsVpsuVXN+0cqyi4VlX/oPKbyX/XWNeXaK+4D5x8pQyx3dMAhaNT6fh7A OFvFI8UdWklzGLIqNBVjzu1xiEra4Y7w7B4tohDZz9KM3My/xWFU0b2LGX+QkzS/ZljJvcwN/7bE dgtV6kjvXFxBM57LAofP/XZ1fIClyDzqVx3SWhJ0X7nNSLdyhRc1PB05UWzRwumXJ32Uyftunf7N VbDE10mJ3TzFonTWJCvGtdMm8rBtEMNtrdXancn9Nwy7uHCpzWuIHgCVnhLmhp3b+x1U3zrSgIxC bkMt5oYor6OzPVSOi35AGa+sefRb9wQhAAeKeBowsUiyk2hgX4xiLB3Q+2MJBujzvkEonMUhAUQG AGfyyil/AJcn909OEtIlhOZxQdSHx9G6BeJxTQv7yviE59PWKQZnuqsDIV4CN3ap8UM7YMEd4KlU D3zxgqkDbpgeAKw8ml6hFyPTtuZqMeeoGr2NHZhUcZjKF4qpjOurCcWlCyMP/jXRokjbg7JTy+aH XQz636be64GhOS4g8MMJ9PYSCTqCBpa83Y/kTFrefIztXHv7F0qzVvgMXoKjEWUTfo4kTiH10TCD WWx/vEmN3BxCAviszhezd2ycs3LA/gLCixcocYXoNM7duUND9q8ORY8r2udbTJu6PoJxOUwfmIdM caAOcsGBmZ2HFOxapx3Dwv/+BYIdtrtEtLfCwuTmNgN7N+82vE/8A91aTj/zsaCL1WDZTWtqcmEb 5PdgguqnhAS7cumsg7ncYFIyJK46DuOhyts/Wz19vl+urPVgYRpCYcwd14d0+lIWA0LCrQyFAHIU dk/RU6s2UyP0G8OUAq6XS9PR2DN8zN2DEmi1nC47wzkdNSsEUqMeF9K5xWrr+i9uAC6xv+9DkOEG cEHAqCbe+C/DH9rkHwgaGPCn8OP45mZWKfkV9qYgRG17qMXzGdHE/dV3Mt5iidN+80eAiAZYdNcx P0o/z8qI0kBqJUWpz0aV5TORW+I8ZXOE4FkZk1E6z9iXx0ShXsLpewbYbAvAQvYLY2bJHeMHilKq 79o7gRb9r0J7fA805GWmw8AHWHCcrG94D7wtjOkzq2FwxRI+GAPsy07dpHvO4RThvM0pUompj/Kn eGGxBXrpuxhk+icAlETGfJsR5y98IeP2YgvqASnFzhmaG6HdmYdiwOrywE7e6xggbKqsL8jAERah U/WYRtl5RC5jO5JDJTJjZ9n5xbAobNizjwIGhUicElowuIszA0VjDQTymjU2zqIhmqTGBARRrdKR bLAX8d7NPFK1u34AeXmDW+MagBlG/zNNmzecuDj8Jrb3VV+/jHrpFbPV2ioYD8VR5DfU1drc5K/v EGcgBU8yo9nv5aK2nDgbOlZsT20LykG7QOBB1Bk45o/0RoOPFWdtlbvH7AAlXbL7yx1ir5qszfzC hmrk7ifM1wezqbmzg0H1FjGDatxhq+cMeqaz0WUR3N9TsEgwA81LaTbQwchfDTWZht6muxlv50u3 auVfuhXRFUxErkzcbMZk9gg3IiH/xOqi8Jeb5SAJIVRpXt5dTfg9Cx3/ZI/z3KGUiO9aQP2SYDa0 FTryJ2fFWf2hYyWu5qz+r9oX/DRsKb07P1vJ8Vu3153mXFSrHg8qnq5iTS8+wZ40rQO8giE4h5Hd 1gikSosrGqWNXXcFqNOTh8qYJTMXLgdrbOl4H+Q1b1o17c0OYkb3p0Vhxewe501oew2zC9+FBbf8 CUwFp82etItTBcCu0QVdPE2zeZiiZ0Zg0k147wyQfpVL2QuEbh0ylZZ4zfR199vaY1XrKBRGbXNx d+0G95XfjRzalFieiltAfGzgAi47Khfn8vq0ttqXbXKsOb8t4pwDw+Ke49G1GB3x1tksm/k5hnIU fYFc2N4QKPIVDh0edR1ghu5f7Ci0luj4nXr0gs07xRVKxvz7eifJ9TnJzDoH2Z2Ma2ixuMw38bIG vPzLZMEIs7K/lZk2swci/1Xmga9gQyepJjMrl9b7Wjp03nefaWYmzBMcQvjA9/Kq4laMcr27vA0r Xiv4aoWp8IluZtRMqNCwRbLgEXlhRWuSs5qHNNzz8nwDPzjzScQNqzwHyy3rp6eW8bH8wGX1hX+d 1rGItq1cjLwP9GTBvhDug8n0RMQoT1hKr6n75MvRVNf9ICyosyNIt0a7j5BWw534v4aBpx177/vb RVoENFsKsdbcb7kwTs3kqCI0rRwh1Tyqzle57jwvsoVHTrMAxKAGuXcUGqBZIjUZmTT5F5adiGv3 b8cJTUXxTYGnOTkpcWLMtpQ7eO9+DWizJY1ykXDkE68PojzBZcLy5uSjIZ53fyhOKVGUVWsll7Ab vXKjGDDnhSRrKf06oCMUO0Yr4i7jnE5/IwFUXQrTlBT9zFmoMsoby+GhcDgp7KP4rf06h91TUPM1 3obDoG3TYsYhgLteTh0I34REhK3Kgc2Bi71/aTKix+Uvkoin8+ZUUppyjO8LuFQt1Hmr3/zIum2k 6Hr3G4RWWwZ4F4MMAgfxQ2IaS/IDrDkcJ1akirCj4+5Pf9lYeIGf3qQ9cxh9MS2FeFmmI0SjcebI zvzbOj6dedkBRZIPrKU2UyGpuS9Zb8ssezjqewxymM0NpPG5Cu71U+kLBmYO4nwrMtxzv531Lg8S wOM6kwxaLLEjgYwj/b77CbF225QPlOGqkKPOpjCvSH+jP/M5mtRVDgVqCvvIBdCgn3SD2qvFfXZg dhBc/nphz3JI9Cxmh54LhxBmhIlKVmWowhUEUuk195lJQAtJsnhn3UCBVgYtpWGyI6Ox2Z+LcZLF erZn0iMoS+j4sCTS6SVCUBPQ/ZDQNI/4sLS0EAKTmI6e3GiPR4kB8/dHv23vo3dm1cxdtQHPG7h6 Gr2LZOCaUuhzQT44haBsMpn2WB8DYZKcn/vA3+zEHJB1fuOmV9DS2Dghs6Ykjv9NBxtNk1tAZ+YJ HOQ+O6Rhve5cl5Yv1VCtwcuVZq93sl3k7BGM/4kiCA3QY5koKFjt0P7sGEGKe1rdvFHkgPlqNkxJ MPWKG4D5K6JWl5As3jEcf6LnS4T6DtN4jnvc5fxkWPxR9vybXdDpwm6qEzcsU9Cd9cbRrOhuSthj 2qjGsZrBdbvHMwW2IJvwoMQ8yfZo1PodOZEvEn1kVU2kWz18CPfIkdrrRhKd09ZPGJbv2bzMrM9f l0IsBSqq/zHbW7S+C/Bnr/o8dMx/Ta6/GLkBg8+3rdYZkZbFeBB15T2Eo85jtGpaC8Jfy/NS3rlm uTYJ7V6hyz3srJi0r8q3gsDl63IPkrQslK5//93k9v5FHkFpW4H0cWqEMuKzjgVGDB5+3sNQ8xJZ ERZQzx76uBvJslDhGDXE69fI3gPxKaDV06ZhZK2yxpUoqN1LDl9G3J4opcqS5leOcu2s87WHqq94 J0e+SE/o31bWq4GY++yk/o65jFij1MUfmnSH/LcOhp2LPfV1jct1HJFDp9cBaARntD9O7C3pDLq6 kYCfIHX3vqpnkXrzhlQDhpKcri7N8w7Wb6riCcCjrqpQczwOLUi9+YTC4w58Qe3jcj64azOUDdnV Z/g69PA6Lv5/LpTC2Hu0bD0f8Q7Vcl0G+FmLnAjtg9lrNo6iGFCtcwCihgJwVO/mS1Yff7H+IHCU 6ivOai3u//uqeVCEFVhav9WKo7di1ZggCDONCEwfWdAmuZUSrlOnawTsb9DzVFkcWUfp2fa9bf1O +/NA/T2MGBamxnN/rx14O13D5V5pNvsB8fBuCzFY9It0KMoyyDOqtHoSru9+KV4xr5Xc5sXwzDPq 8snwIuImKeC/PRAhjCKXgJd4sA/HyU+HkZCuwahjSdUDLahJFykTCPrBCNllCyQm2s0HYT2lGFrr gaBNGmH9L1nrz6CnEyrtNkyvm9M4lLWYdQ8GyiQWlMTX5YpW3xzSqZBObOiZbe+xeOdFUd3MY80n b5C+BwvZjvItUqApgToVt6Pj39hzihYjczenXWh7/zz1G0f4c/d7X8tzYvKkETolopxc/0dTwLGq bS2HlkcFHimqpILPgCR3uLksVZmthW/PdcsYVz9WCQALSXZ6CoR6MZ9Te+ma724DZlbbwaYSSl+I p8rjfa2y9JV+wvyyWg0w3D30qY0xdLeRdn/Q1M8/f97upA3RLzeBJx4uKLeQyx+ysYgiGEWrB972 QMyr9bL556WArcMeXGVyDuGBzndz0FDa6FkSCXY9s/B3ggfjfisW/6TipgL04HEv7Fz0VW1BdUPG 5RlctXK76FIxf6hb7KP0k+Diman8s5HvyfJCusCauaXdeH4jYR65HvJB7HPEqNQ1bbKTyzgYzfPz 5MsX1jRIFbSb4Gy44gabyFNCNFsbs8eM7F1a4d2/sfeu65QeD+X945IIIejtkNP8hTFEvQle3cGi Vv1pjFimB/RQHB1/QXKg9eVDX1nV4TDSEyAe3VHIQqSuxvPEhFXi7JSyrzMN7o+8vjomMqwJMdJM IaKk/Ko6hrWD7J7bT04p2M4N/KF6icg1cvO7J9UZ+A80lPbJ+z0EN7NjhC6ybZ/lC0OOLJ38sWny TvPwKAfcmcQKKy4NsfGuHhxoc53xkWsY0vpROIM5YP2o3kyT0qUxafuBFXQCvabGcaKQ+dwGTlpf yl++FthsfKhjh6lFXCukuw0o9OQ0AvI8H99MDLz9VOP7AdiJowSjG0/OPdtOckYqfMK17H4rSE3D kjCkEmUTFcE3mB0Dycqd7oI8AjZ4I7tfjfi3mv/ssSa3DqS5c/fNUQjwi7LBicxVoKfmP/syjwbi Hjjs6/gn5/dUfxTYxqP7x8XU1nYBRUJeBTRmLIlyIu7m0+z/uNKI5FGzugopKq2p+APnKC1P+lq4 DnHsvBM4zSMj0gpUMebUGoeopWXq75l2+c/DCjel8ejwZIc6D5+0d+CZEFXPJl5p/K8zf77vNzDe WD+upke5rNajW0bnc9BPxo2zPx14+9t362Exayw9NxnyRrhiLG/E3PPq6Yye+VKxVJEckmWrwaaB 7onhePwUJmnSk+FqKGFQn7XFXa4SImm/EJMPL9+Gm+dAs8v1GAgMsvmLiQqzWwLxNNVHX0+oMXm0 0UK58IS6Ur7CdCCvO9Xfg3Ku+yIcJPLXqA9kCAP6mxuG3C5r44POtesVFpgND4UiyQzm5vcub6/l gCdLkIKV1b9vwbBdATKhnx/4/2U3l/V9PkQQXYQw608LyFS/H2HoAaCgomgy/tVJKipZbqkDX8a6 irTIVgUDuRTnZnucDC8QzR141/oFYlZAjlVoS5/B8L5a9xnVnCNgDkrEwH3wvgxPqsshcemO2fWy StAoGOlXYsi/acUEIJiUW4WBKbaXU8K4gMQ5s8N7K2SPi02RGBWkwU+iQX1xT+6hjwoICIoiosy4 EMH0muCg4vljte0K0S9ICKaWKBgTNQZOyDpwEhStsHkyIWeXM55qpoB1H/y64OYkZYsGifamhb9D h1Pn0hrmPVP89Hd9Yy3qmMojnu21POmBnAttSrAYYv1Lohw0sdkQ/AQEfQet7myV5y5cf7PN92bA nB1BCVerNVlUmIRDDhxyH2IMsA+QlTE5zcGcp2hc3xz7dBx3PoEKy/Az2Z/l5oJm9BmmwF0ZcnpL EnrZo3/ntKzuk91+xIkqEY+KPRgB2/mgKZOlF2uA515jkT5G6jScnv4EIqo8VS11OoTy0B08jvx+ vateVmSS6smHIM3JY7SXh9gjyuWd0mqlx+YExpgAkPY22nEw67BULNwgLQFP0HtAFYXA8DpZsiHD e/wiFKcxU1kctDK1AcPvaqoKPpDvjymlD8/VPe1IkB2JEKS2F1wa0GkLB0T82eTyc+0X6dnqCH4g uu34lTWf+GyFRgdbLbcoencaL+tXvrNW7OGxDYC3NBNazoHzRWza5UVeM2UGk6zzbB01BOns+UmW 7CpVrKseDQRG+W2fKYuadUhkIgLbeMLXUKZwWikgUc9Ca8BNXi8DsTJYUkvELCM8N2MKwB+JOTRS Uhxk0k7UNfcLJ22cHg2DqRwg9koh+hvYgSy6So2HtbhcECr+p3VfF4JhUK6l8uyCcR6nboX03TqP Uxj/Z/x29lL+TK1sPOV5LpATpXGfFTJdjBDtTZ8Jbuupu1QhX1lXuTVvq3J8Vaj0w2DMvTg3xT9l P5sICmTVjY+XzbmINuJzeIi8tBgNaijPGuINlWTxxbnYZRqMphzQT22nTHOpcPPDfaLj7YHOu6dc cOmyfWoFpbeM9jmDzPrhpu47a61jJJ0UBXFvtRCpxVwnuQRdy0DPqelDsx0HC/vU2SBL1KLIPpbh 8gTuiwQBQTafwtyyY2KgRipswict9uLHCKobThKvD3hYsMG2Jc0JR7vegdmw13CaFfDEgHu/UB/a SdyUlNpRCgEbLGI19ECyCNKWG/MUzSty1jUKaxsiRhuAOkVlPyrSL8v9h77I8ghHj5hYzRAuGUyX RYF0fsmNUVZMr3iCCP61NgFj0l+/dJHxHvaUW/B+vOu3Zvgepvr7h5Rj0NiX5dCmoBS7s7KOwa2f +CwGbhska/RYwV3i7MIH1IK2b800AgbgYPqPKAt+2zVwy971R3qCOy6J+VccQ5xZ+hhMk2DaeYd8 QLwjqHIsQojQnpjHSyYsVg0SctiCROJ7ofi/Wq+EhfT+zGM9k+aemnrSre8zb71CchMXeorbE3w8 cf53KkdVG7nkNqH+/IArBm6FCoSwvWjUrAD2aBps7dCihQnN5f0nWoojLU6ql73OsM0pItLBLVvF jsaWyDxvNbSZkesdzvWfZ4WmhpfB3xApPOK/JT0eFD1cmBSwKj1AEocC+x9vHI/tcvnftfrrq5ze 0BeN/Yv1WSFOhFrg6ZcZpShnXMCjvjWiYkgiiUAg0YX5M8FIc2b99fHB8DBadbEy8ZjMXrvGVaHG 8DmL4jxpoRJrvUjNCCHpO9KpqaVnch7aKxYJerUC3OBRXoOP/Kd2AQKAnPRAnPV5dMsUIoF2R7FD cR8WopkaUxQDj28Cwz8nZq52idCkuL2vk/4ooj3FkzjFq7Qt97LPY/sYYmAlcmfrpMrgPsM3zpP1 dX9mz+c9jXbo6LAGzfq8g9jwStv4/ldtTbt/nqZZKn083W2L1gMtQCJaAp/R3rz3QQxW7EONyh77 j8eiZmTVY/Sd6PTkEKMaoqilque8T84GJovBZSbl/HdJwJpm39qA9wIIGUB4noLDw149KZGj5b/j Ex/q1iNgnfA5q6N1TiSLDIPDdslYRs8znykZ8mLThMgOwce6jrjcl3uGrsd3pWqpIVkrFnZIEDPe swH5HgEDnC0lU7hv8V2mEexknbBXKIAhiwI6llGAJR6sP+xYUmvREorSAWUVVVSGRNOlPqbxGl+o IEk4L1u6TdV6FRn8AnHBHDglp87cRt0ZEgHGEQj/efjauNBuEU4lw1gAz+USYTzQz643uhYCQ6ul xzqlCKn3UTqdT3BYowEXbVGnKbk3sTZsGxLthd1HhpI3IvZUoXewTeX4ZClwDss0+jl1DwakSnpl ReasIbP6UEGouNsSaxOFG20Lg57pniy5al1Y2Tmk8NsnI1R8ivPjDZ6MorwBcbFZvk/UBdXNX46R atHPD46PC1MBbHJ1w7KzwoTV6Fql4J6R7PVCM8Ob4M0pLPDpybVS7LG18GavSEonhXYh7p83HRya QZQmuVxpwYu7qFfhi+XMz7KZIg8wF9GwADQU2QPBeOCfUOWxW8dcZTlyH65wae8jaNLM0H3+013k 4chJnWTzmOb3KS3wYfY4EtawzZ4dKI3sks1TzX4/UFposM9VvAM2NjPE5Ja/1Ebi77B0gcePRAdO QyDMRon+9IlFR4wlNk8XX95sUbjnxjU9yPDynU2T1xYiMN1GHNpO/Vrcf/X9iNXSxjpYCMt7jvr4 nM0s9MixP0nWA+zSUSBBAWfBR0YtkPN4qsET7gCtCmTAH0grqLGyj4RAWe0sAS/dkqpwNSClp+ni cDFc4gmlDlQ9wyn+UyA4E/dnyU8uoumDe7N7Dtmq5ZggR6ELsxfEAllCUg3IhtB3it1YkMHdMOkb Tzf+yMmRS6mzxzu3LUjE81lZmRTZ7PjPQAw5yfio0TdcYuBiuIKRxzv3e3VL12Cu9NOC7uU1 `protect end_protected
gpl-2.0
0b9bf33364421f6bf0489f2a83f79567
0.95228
1.814124
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r2_pe.vhd
3
22,709
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VeVev3bqCsRmjjIDMeXh5UZbXAexT3DtDzbbtpQb4FkCmn5arV8yDzknC8OIjrsA7ZsO/IF+vVv/ ly726rQNfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block purpptlyHsGY+84CheSuwDDn9nQ3+sjNqkzYmg7a4+5d4IyBkAnxlleLw+0EbSt+Iod4JVchkRwf 64sMF2IjrHokc36Ucmz43r69DS3Smb1PQJcBWKEDQL0qw/CUxt1CQZaBXYHPd+XlIXJjjd1YfVVy +Rc4HcmMXFvVL5cW2SU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZTIXNUc3IIwpZMO1N2atoabrdmP24va9Ln0bsyBq00TnZwYZZa9FlLfJzo7k8/v1nMQHEk4rU/9j cx3VhNjLFnoIuNBA5mYX4LtHUHrqb6vNMNiTSohPGvRRMdidydhJm1dgYcMu+e9viLgJESpAb32r 53R4XxyDBnJGOosk9dbCGN2Vh4W27fiYj86a/2+c2IZHAxfi+QfhSiMNvhxwTnyGVmNGUYtnfxB8 Q/q/YNMYD2BWTXTkBTjU0evpWSzQ2ZBmWqQaPRkCY3gH6HVkJabluxBqWmedgB678OL92aCgojWS k7/rXgPg+XkVnXbd4wCrjYSefwvlOCmETddpFA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uBbEIv36z+FM2Xp/NvKzvsR3mYaq+wu0i8rgdrOzeQiDfFlS21/Ataf+IQfnSmru5yRWTOZgL4K6 geZ0X4u95DpRRt+L6fvWN56KtbzcYy55FPrhT2e56jRt3ewd/0Phxpy8ajLGWvAroqi+96G0EcpZ zPnnlScmiNs0vf77fSE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Otq1bUOasyKDO5Qq+8mJ5lQMd6iGhJXi1v3pw0jnzoPjjBtMtHyP0Gxa8H/m6oNEQj2+IuulpSey fUAwU/ExIPafRQgWzoOTNJTd7LsiFg/CfIieFc5adOlIBABauTkbDR3cpxMY3S0rg7FTuodAOkFu vlZgu54/UIEF+6y+PhKBvBqIhVtD7+6WT/FabC7rYtQepmZAfu66xCD7S97gAeh5Tt2F8AX4mExg nD9UGDm8AjdwbbxT+e0e1YWKrVOFKysXIDQ2eZdDh/MFY664yNzS/R5oTqEuTfPIZlNFkMieRY9T 81Pm+eEGZE02PbD+HvrQ+eHqsZoGB8M3zwQ4fg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15072) `protect data_block +pYLHW4FNWodFeOJOc5wpIm33PKeiOu98qKguZEZyWArYK4bvYWvUnrpiuLoz3YQUer3m9rl6a6h wLXx5TXwtZh8cjICG2bxOLtzDT3MthSUWMl/QCcjZCMRAEvNBKNrhwiRjTZZ8jQ/AZU/6OpQjbSk o6U281AQfyuCDUNOl10vohqD6ybzKiWrnhKtYYivQ/hDuTGKN7XZkknqGj32H5p+v8NdjuUsqEAo +aIQvpvZEmCx4S+wrtoAGIm5/IgKk0xZbv9m1dK0L/K7GkSHtJSxrBo+KB46XVEV8xWezqb3HiAQ pwy69jmyCnQTb4sbo28fty62L0rc49/h76hVEd4GrBfhF5Hv7Saa4wc/LEOZgt7XLE9IDq8INEBT +lYvEu5VdoW7BcBpdlElKj0lmoqL4/voVV1e6963DUmodceeGwNq/PYCg+QbBo17VBXEXXif/KU8 cheD9WjAERUG0MWNO/jQhqPnM+CUklQLJ/+0Vir59ehUS83MLzxMC/BHcqsAoEz4rh/0LzKxH86w vOmVV+a2e2QndByBmUp26eYBWQfdnnbSSEwvWvr5TGTX76HgjLZUKvq5M0dxZYXH6O0IT3Xcjk5a wp65enl0DaA3EC+PEQ3npWf1vpQMC78sxFvAtnhkSOnM0OsasjNdNIH2jWBQ1KBozpEQw+oST8E4 jWYv844DCrU57ym2BDAwU9FoNXyePpY7cPghPk1D6DbUz8ExMPbKg+GjcSXiL8Hjg98WefZjcL8f sK0Sn0tpCUDj2vvkaAzLO/UROTWYjtVZwc/ZPu4Z11ZZmaaELaefD5c0SOcZs3u4W1QBgyETUGu3 /T1zVVPnO0LiHV/RsFmKfgipCSQiz5rrHmIggLTeAZG+JMelaH6eZDTQaUoZRCAdnnlJYDE6WlP2 Uka6Ey/U939qfTW7Pq9TgpUvStU0W8cK2lBB6MrI7o1CGYARu1CKO13vLNCgqRDQJ0q1pj8vLjKW pCsyOsRu4JTtWf/U7RL9pruRhlQ0CgI8p5CgSMjv9v3WuomRvfX0bAIOTNFWx/6GtgaS4LZ0vP/3 XxU1cdMwKMhvAHJ15JIjkqLiYXgJzpvSBSXbuOAsgbGNh63TzOgXlAsXjws97XhiVblhxextbE/m R4S/bEoHHJ95+1t+usPI0alpPSW6Hfe1CyV/3mwMN7A3WRAtoQwRi20zKia8MtbKqMYhEj0w7MA2 X4WKIrx8Bz82fSnS8Vx30rzlOTN2TTdrn5bYYlr+XDxbqZETrdBof2+utlmuRPqeV+e7ej27jNq6 DV7KGhY3xD7prkRkzw/OwKQj3iOLsspiJ/EWLx4ZDKNoqrLUhUZ5bjrikHuonOKGfTKvisjC9WRi FvPaMO8ScyY5sGWbJnOnSxjUxoOB7YmTd7XcRDxRqdx3kGGjehMPmmqUDN46syjDIunb+p+FWPFa TxDNcbclxf60e3RLYbt2Cklty9unmG7+h5S4dEK6B1gPtKArOmwcqMEOfBQefNbc9BiOnnGNh1DY OSnVtZte8eEuAVsz8rmSqr+FlT5PaGFAqGOOk/6ePeYD5v1pd12XZoHDMKwmUG/hZpHq6h29+NX5 KRAqjihwBHvAJrT9h25Qv7qBWnePhaxGDbwRkmgF3iKcf8XrCmch0QWDtvt1zeY17Y7rHopTJ8dP rgn5Og0C54DTN/ndYCSUzmNhlNVnF7ddINVqUHjbzUNyO5ZIVtHbNa++bOMMHNa1ez/xhIPyGNO7 sktRhsQLCTNtRgVEGgPI53UouaNAsnADL18HthRITKIMH5/0s3XbfZ35cffZdqaLlYfl6akymWwI yFIMtqvSMTNMZcWuwzS0Hm6YHxHMl8xDHCKYGUMUTAXWM9C1H01e4mXx7GxvnODbHLvdifvcnq3z 5tdumUwAj3Pg8U1j1pX9US8+0aFZ4tyoEtUCzjE3+0+s1t+QW3H8rh8C67bhYP9enhGvyTwps53t 9ssVGIbnYqRTqfq2EHkApWKH9Oek+cT3knHjglGvKEndCcY1/ShVD+ceSgOlPSrKi4itmfPWTzOQ m+FWFfWQuWsfSTydBAqAsxnGVH0ALYsJ5xw2L+i/t6XTnnrj9y8g6wOOP0WTLQjm8BhngcqE20BF 8XQj3DQuYJp49S41GX9mquUYn+rR7IA/kTtQ7jMRxoGMrhnmetgN6LaXToVnzvb2PtUPW6CCymwl FW7bvbEMOUNsYbf/napdjRjZr4fA9C+eelCLYQVpzgF+INJaOEJgwnS1jEj9WPT3g6R2iQuAJYQn pSfiPWzPTvnvGlk8Y4I7yLz7XpCTYGr6AMh3Y6Uj83YYOfSN62WV3uiksEwX6VgyPeISt82FTUa1 6A88P7/nIQC5sbaWidGZH69dtsz4I16A1XjcOU9cwypm11U2xAPwgQcm1clYFlv3ldmO5Wns5Q4d q2ubvm4P0WoRSHTDXwriWSDEyt1Qic9nMCLmS3lb8m41DFFN8tqTrEgGuFpq3qkb8ebak/XbyrES RjpnySZDB/91MX1x4wXwUgtPyTXkH2rDB8nVQx9cy53uA1Hl6YiSx6gwddMXDw4RAbSwONANv1qF /SyDIf/HrVXO5uGpzHrSCei/3JdsdBewsMxL0XtNqQvSbudyyPJXCds4t5dqKaTmdm6JAIlkdvSq wf8zGhl+6RCQJTIJYx+vgR9QPA6ysr9UcZTOk5lOaxku2r5r3esbbqou7LKX2tT4NOAsntLbYtom ZPTMdweLe2+yMcpBt0nPlRWLu6Ec+E6uNVMeWnx1bv4JtyyiGSJZGzZbSBcAr7Gm3iH2uP2mfbKO qHyhg+rv2hIeK6e9KsBoWSqogTFawF4DBaHrGLZw4F1WimFfL8tAoD9gLD1VVQ+EpKV08wMLts4y EenrTOJuVgZiti2q4DM4Fb1SzxecXuBokjNy/7KyjiNXMYiOthQVaVPKLideI6DGDW47WaMGZrwS 6W98sML+pB6Djm1fi0yaAuF0yz2KDIhgZZ1QCmqDrdRZKQmgG1ZxvFeJhRvr33P7Xmy3YQHp0kQu O2DtVzFzWpfecmYfKp3+NsPEgcfLU6MrYiKml4xmTmFQFUYOgJgTkdZE78jvGo/tYrW6u1Q5Bmeu dAHXogjlAKLV+sAPbdH9//kOTB7u61owwG+7M3TQgos34VTCV/0e5fKCm5erwkm6dqeM0x5cgy7y 1D58gOffUdjYzBPVvM25vLPKKRor88ALjtAJZd4EwQSY3lvdG/6DrdZCZ9RvpWnOgAIVxiRQe9YT T2jzNIJYc031YALpQfJ7ThX/Ahjpmq06UKgfiN6cBhaBSoDlFQ5wMIsOWhUtO6L7RslqP7+2Mv1p bV6UfcQKR9RhAW236qy6D13ovHs6dolFSm4deOpzBoN5tNR35nECYLlKkJH8yx5zfDqzg4czvWIq G0w9VM9rlvWBZelHlnkNMo5/jOiKE5ICHlpRVlpmxoRP2w3WMLi1NMf74hJjZ7aOwJkuFp1QBDHO RS1q4cwuO+uY11yMIv+Nbkwt5ZKh60sIiRbXBwfZi3kcDsxT8dVwmbflqltQrjdTW5LFoi1dm5Di ndSqFgTCTgXxoi01L7ZGb8FUMEHqtG6jhY1XIZdQo33G2bOWUpFi5ndtGjiqbKg8HMVJs5obRIkC tj3RVLsWOIl53SS9NkE+uZk4vF6HIglq3U0OZRznSr/axHJqDAz9Xcw6ftGJANbEoZDeG4DFKW1P 3FL0xDrVXerPW38qyF+q3bDy3rO5qnE/Hig/Adl91Lo/bG698fe0M81jA/bbVcEwSMZRBuUAKhBm vISMEkQ9ENU5xDQ/E99isRjBFgh9SSchUmCjrvz1/T93ujTNwC8d2+oMrZkUldXX0v5ccb7/CkuN u2IyBXoyeP1GNmcDSIXPV6cWYaR3nkMT8JGhpn4mvl79ScHjN5z1QJGLBPEksKsuDbi1jkM56w9a YVcAYnSyYTYEmM5Lorh93OJsRZ88OIFReYE0fDrNKGYDYLE7cikKDCJz7qyF2gCh+EsJGe+/KG2E vaGvC60tWd+3Vo6mOYh235vW92QAhUIgtfaeySFw7PCbaMHjYrNCyWsmWB75cyMDfRrRgWGJ4KyO 1sSaBofG3aHVkwrWatvAd6rNL/+GontnhQ5thOQ0XJI4BsI906uYmwIxXf3TDoE6ojnOttSnFHCJ pMnUD7a+rxkmL+CnqfxgsCP6EPpH5AxxUMdSJvph9TjRJ9zEG17n/1AmC0zTK40olacLa616LkYX XcnMu2WIDrjrC9z4ZrnRYX64NWWQMZZdSSoBzhku06VP6jE/5OxAO6cBQxRCEloDXbDC5cb5RDnl sd4aSEn9qk7DhlRLYuD9c494XMmk/BjOO9okvWe0j47TkZH75oGTKi05+HrxPVI0siTKj/WXp+h1 Ul0jWz+Xo/dOFnzh/qVbuH8l2CSBeytMK4sINR+LvCV/yGwk7ah6cwtx/SrhYl0SaCNclCs6It0U FqrhuHsbZpK/BUo3PJhw0907rLC04Dhrs+/P1Fovxu06GGhB6sBrQ0NjldDTfm3oIQvF6KRt9e8X pvB79njm6P5o7nAd8m/Vn+OZd3PtoU5jIMN1lh/+Y1kn6ao5Er3Q33/uDlot85x1gXG2dmz+J680 dHkvCK8gtvipWXYUoj3p5GLP38sEVTqiVt3A2B5+hOC2/HXrDXthyCTuLKvPIS5xAcJ/V/dT1T6T EBmfgdM+Ae1M/RMHkRSHk5+2rULYw6HtZQ0hDlsgy7BOZvfHyooqt5KjuEEDS5UOKgz2jDtgNIS1 xwSa+nSTyAqmEyfh/IWrlH3eyGLlUfs0WUz9gSeBu0Ddyd8Tn3duXgIq65JSLD0ExgN4owKZBQud XBkDJea40Pumlzp5lYrvEyDCWEbV5ICLyypo+tLg68Pa3U1v71yvwZzAq548OQOZcj7sMpUwilhW Jz6u1vLoF8FxdJu7+e/dvEiiFsvBUgtCzjbwsRzIo+4MmXNZVC4DR9Xqy6RfVzH+SyPEdQn3rQHc e9AtBKvIKEYnIKyHPTqVB8S4KlOUOzIl9sHOvGRr5uXsJL4j4X0RafX/uhbaBitgDabdtvMT4nIS t/tyTLBlfe8aCpgWuCp/dfg1+RVnga1do+PdpJFkOJHC8D9KEffhmU6QEWMFWu4zmlFH5701PAG6 mHEnuOHam49IEjXuJ9KPH5PCt+A9dhwnWL+VG2pgKbHvXbY+iyiEpePKgnaynyzymaBi5NUfU+AE QTzKyQ3tgAqb9azxUtEt4ZZuaNOj0ii48Z/vSamunDb9f6lwjBvTeDXBFtufPRiqytkaK82dvx+f /f38zasQwxQL8AkQ5P4jn9yCz765AjD7LGga28JWXXyyXW3MaU045CQzNAmx/65Vf9OjjK+PAjtV rs0eP3z2sMVm+S6wOPpLNNSLmj4s7PwiXiBp2snpgDF0qMP7Jr+In1ktV+urA0tTkADXkOWM7HQZ dbZtMZ2jyZXNET1hkL88B7trw2yDonE/tN/WxrKcPjG2qSs92EqhWL6yx6pJmZJK7wEFJyw01o0q xwqf1vbC/cjZ1Iysqzz4eSKhmrdjRD9ZFX9QozykgmzaErIyze1tFlVwI/1nWqV6r8MGFcyiSFLQ 77vHzmb9NIgQETM9JYJCihaVm0DCimE9O1zrQbyahLbzEw9V8Xwt3L3m3YNA+eoOyqLJUqvWiNui HST+Q2/G5Jw0MP5CdbhBUBYoql5Pi8mYKVPrmxQjxzEotcTq0BYS/vGOMOSQGGhn4fFnHG/war/i awTOoh97Ep3B/fdGxU1ZebHWTr0e9+gFxsr8tKjTT4pr9ihS+n7IFj5xAkMbiTw+VpHIFEBbc5Z1 xbDOhLMZe2MWtP2gFAwZY6kyckoOaiZC2wZG6z4r6gVdbh0JQB7fWDbTs9e0FUz3hiLg6TzE/WkB NdnlJbjWGex/Vrfr30mhcI8MxelNibpqRl+DkLAO4iURey1k1MMeatxIJhNN2phs2Hs1W9QF6JlA N2evI+mBfalMd7wpUgO0T4wpYXLA5j+b0eO9K8HavuzBfbhCFfHLoevvaeqHgdI1ei7vpCFdItJd qe9MUkzfj1+tSxG1xxmgR3ZKXQ7U/8M0KWHfOv00djy4FlgrNaYAZu9fUKynvLHbsNS8Ak6eYZ7g 1hlacbttYMCgh539g6paqldsTOTVFj86ZvyaDrSB6SDjT14WorBaQikqhLLXa246XLzEB7ntzlb6 XIEgaWTVIBcvgTPL/ZBL/IOFDDIiq7Ueu46L+66W1LdwWToHL2CaiX8X1ngoNarDdUmi/vlx1Sjb Q3nBNKX60oJskUhPeLpU02VEHbgmOp/qmKTLI0xBBuvZ/RQhSuuwRM6TnJ4Af1BRiQpXAozak4DU w6IZJJlnvwkMA5MUtfNaBupMwkJKcD2763+zp0aqzsMKgL90Nd/ZmIsnbLTTP7cSDbv4kFj0RRBS vWpc9c4GrK4MzPj1b4+qhobq9EiSySQYPSkR1nytxodmaF/kxwrnVVHtPJzBGaiW71upgc1rJE8w 0PxVcFhkWMFsQ99H+flqlitJ2zv4MEcpdJ8n/r+pCZj7mpDV6ZAwk4464l/Pm6Ny0kmjTCfE1Oxd 0GThX6x1bfvj09A/035hbiIVz+4WEcINwLl9gWcE+BpcKvSru40pZuYI5JP3j5ScONmnG7migSMR tC3/z2om18+cuWRqV9LZWjoLPpUXdMJarj7EXdTZ4vY4RwUi6pBHJ2xBthOTy84tZHAe372vcOsz vuspoK+2nmSyJuGTJIS7FDzYKmazKkD7hiYUpFgXsTRsHuCLDAKyjkQ0FeJo4IMgm6cwd3DcyDw9 AP48PAzqEoOWwxe/I4LlNfeCa5RSrNm+Xw6EkLW/XsJG0YggP+kDrSAbm/L5DC7y0/RdCBBOdWhU Q5aO+KNWo5bDdPaxqtQD3NnsjKWpIJpIRx5EU5wFSfuN1IwrCws7ZRoN8jOW0GWJ0x1R15Nc1WdU SgTgYi3rw0okPVCxquLWpvS4nCuqUsJWIvAoRU5LJIx8AZ7M7IirAkjVKhOrFWeKNxxXlC9l5/z7 aWChL7XC2661n0f8BflELT43LSyoZolS21vdNvGMzTT6EvjO3gcmq/LUIaZ9afv6I98vFoq8rb9Z TD8UPV7sNN7Zjaqc0FGI2JQv2r9NX96IQYBS4qaNt/AryyejQHRUl3u56Cu3Xy8PGYkukvN5Fbnv wVM7LNlYjwLxWKx3Kwmb8/JB2NnbLKunNXNXdoDjkyxTux8ZEaivTRXlFn8MoZqaiSQnegERVkd6 uWSyqZKVMFkAufYugXvOFEF2UDkw2kl/DPC9ST9RVK3XstdjOsHeggrwc6Yh/dptZzOzNAKXuqTa aiHoefsHdUcpF4c398b+mdIGh3EgYYpVNINP4Mm5WqJ7fnzrnDuVYesAVlHXFxoZ8FYDcGA4vKhd 1ICPO8rwwv57e3mEzXN2gpiLD8It6JHV8VA7PmDcnQHvx+ZWqNPiJIx9Z50P/oSBlnp9h2gUx0EC NuNrFBuMRkMjhPidTfiWnhD4glD5a23S+m20MShV4vFSqzeMfGMNSBQpCbKMa8Hy14zqEOxEZAbr 7Csl2JuIlfO49+Cq42DAay3nAdl0NcG6cjT9bqpJoSg2volH+DumUw85cbZbevKWB7btqm2hW2eI J78HeolAkh98yjrRoRXJe5irc+WiAjxUEybMfvCR61NOO/tbBqP6zKikShkUjmieSq6hZzzRvmpw HtrvUpUpHeEAWefxnxQQIRb0d+pPNZnCO84W0XDPjuvGJnaVJ83gDhRjZ3w7PMrj6H90KmVSb5vO KAvJvQUGI/ExPMt5XFQzJFb0ysDjvTnwdYO54Itt4lZIgnHY2hDXyBNi43pRvNxH+sUOg3sr3dgO 01gKbWaG4qQGGhbQzo7zYTSMK2VSb8397BCRVREwCDGPFT29ac7TOWTw4vHEA309mKDKDSr4dMvl pduzT7HjR8j6A8GHd7vnC+6ZfbimlGPDhZFfVct3MyOkL6zIEa/Yjr6U6RkGPScwghM96BJO0dJa sHRd7O86pdTEky7Ig9ybYBQ1RbX3f+aBYWB27zO/txt2UapYmj8kreX8j2J4+/SzPhOhUZHj8EoU lmK9WwF6PbZkOtZB20ds30ah2AXIYvKReK9M6PLJ+UlP8DsLpKjBHeYqqeeUZN5NTVns0ijgweea 1/F2ku3NOsxgXvo/ABbfsXpqA2n09QjnNc9zeekqN2EK2aT0+2PHgt9AahRryuKfiycyyAPzpoqe IWowKZYB+EUgCZAhZPREGPSlKs0hMPszuxLHoY5yh62HknetUEpf/3QxiblXU6QJcqjEbUyAVAdX 5VlHhnG9LB6gDxqd5KOy07RbuJ6DPNND5xGDwe/l5GIw2BNPTpN+3mfdhjlVTBxamFIBojgJS8q6 Ian1+ECSeIinY4l5wNIxhqzmnvTT14UlPXB6Iublk5c++PFag3ee8npriQNSK1egn3eGnJrTIdeK wQL1bGfTL6wr8UNS8eCHFwdTUL01W129OsNPyuDT6XVV6tDBpdudFFO2yr3ZznsXmzplD8HW2AnE yIiFt2paNxDti2EijkU3UIR8CERVNsytCaWCc/Dx/7POYUveCAFXJN7wu1xGuAJfn6zdiXPhwTiD Pbfg6ieFXcEoNxC9U5Mn31CNCYmVR+i/fUHphEplKvRrPoLufF/LF1k81rMXU7/6/znVx6WOGve5 fk2882uREK94S4CGVVEk0lGN3RnOqpzpXl2o04rRQjRgH0poIzYJlvyn33Va3a1dSiQFJo1NyzUJ tda3xSprNtwQrMDReW+HMZXK04q7b62a/Hq8eQs9d6ozYRwRE3oFvYTE3lDRGV18cX7EfXVvDPzA naqfSEfUy7sp3wYQssVE31Rtfyz3HNNfHxSNf/1hqDpw+dP9tcKu9zXJkjostrYO570T91hp4e5Y 56SQ3WhX3JM+YeBicU2Z4wV3v8Lfp4XHWlquJHSnZxYrdEqrBhShbW6R5fIx5QhydsieWpjhLjdT w1ZA8sjJu/ub8lBLdvfnjLmOkmz6VeG0AKUA0cYUa0lWmtDF/8WII6iLX8OPcVN+X31IuSAZoLLS lNIGjmmH/5Bnxf/VVAwxcT6aPHzEp03wTcgGO9OxI1KTK80O9HYuHkTOXfvbHr7h9A2e4D0DRFl9 UYGrLS3Z5nQYaNb93AvmveaQMWYPRcZd1Y3Z/aQyO7jgPoraA2NnsKkfEVRBhs7GkRlVbDvbN2Ka kBZt7TZmq3v6JLGF1imZ8X/Us1azcOdn4w6wccNwN4pnan+89tXYntkPPRWfhnu6YhGTvu99llZZ xJwksATq6n4cHWOc1o5xiCNPrkcEgj8U4/UZzPabPxOSf4P2YBIBcjM/bcuJZWuxjhCu4gAaROmM NxVBulrFiQ/al/Jnc/hZN9fVvtmIEflF0jLj+EHd0uU+vcaeXWNocB7dTD67CFxzt7tYlBrn782+ jd357xKeYvsZRqGx4cuJbfNx46XDMkAuIBUzI0cbA7DjUCViU8qtsIRfS3Tp8ZWBggucqrywLnVL BUpuOYIL18rSViAXzqUu13+i05ae3Xtmti+TZkaZOLXCX+4PY5PWBTy+A3Lrk5JhaJsZc/8M0FGY FDHgwr6JH3NEDL/40esmvthZcTpyu7KEDpTwKVCZSa9CvKOmrOpY62NP50d1pAyE5Mqavr74RKoB W7I8+Ew26oW0ACT8vOibFwZVNAEBgipT3/+50OAQwf2fDOfpskoIcgjSgLeodgs9s0Qj+UvsjMLF SS4oHWMgiN850ImhJDnW4vf/tGWBmIX+TjD5Hf90IaFtgJl0N6s+di5LAnxWjsuNBoShKMpN424O 9Ia4xpDdZrANMmO9W42RljG9vlx3vAgJcbn3J/bofEPdXE/r8u/opQJ2etIp70BqUvx8O5ixXeFd LWwW55T7vsgtf7t+1edGxQIsD3MDZHG7DH7eL05CzfA7cVgVfMassw6fJ7L3CcTu/6Hsd7qvBytn zgO3TwW9Q9UoNzcvsZyNfXX2thY8gPwwq6hu/x5LudkJzxT3yT0MI9UpZawkz6NgG6n7d9Ez+vZu kcIo/vHJ2cJEbBS7WkOLZWytd51RpV1dzDC+y92FImXq2cxC3uEdyjp8wjX9fvp3Rl5OWoWoocvc LooJ9d7vidSMV8y1kl9Lgnyed3bIEbPCd7v8HSup7EXmKItKCGec9BV5k3/tVcFNXlN3FRZmxzpz SnCTpiUKxY9f2oa6k/0EQocjtn8qoXnlsSJApQ5yx/2ZvLDBHcZYRJq5f8XCXY8nL0y4Mlv+NOst 3BmiOP3CXsjFyUQt68aXfqZa64Nvfnj7AVTds/zyJAG8Dpf194/4iI+ZBUMJZR0baViWtZNw8tLL Gd3csCqrg9FNSxh+Mp6HlkeYglT7errVZFdYOEge4X80U8BNk1J9olCkd1E9Y4gRVfLc4ccgCSwr W4eoXFZAzX2Q9ck1vGsHZEhdLynRKWZWK9f1vX+1uVBstF9ZSr4KDtdkWc/wu4cLGGQvfLusg7c3 WnueaORMDvvmgn2CCjlOqNYfTgkkQYm6c7/MYspea4nAfIXZk3Vkcj5urPFmPJ124Nbgvn94bflZ 7b5y+ijJPXn0mE1S2nHQlFPeSkrOzDfuZ0ikaNhF6s2Z1G7ftgs/k+TzDjGEMtOOOPKFDC6NBeka LSQTCzD4QSCU+/0S/Er1k+nt5vxynfQbuEp6kXY1oJv4YYYnOji7uqSRnmfAJTi+UBZ8odqw6MUS FKtf+Dxq85DS5wtjzbFtNyE4TT0O7+lAul6iHxWZ6YKKmo/zyVfURxVv3dNNYcbuVA55fCHTFRay vdBHY9ZTP7c3f1JINrsvy7ubBU1NeyPjXVOab44Og7OpmLffCvmOmQyLR7SBMvTlpJ8a+vsSuz0F peZudlj9CLjK8wqN9Hcr3OLL/dZM8mmRicEYsn2sC4HyW+FHiZ9Ncne7nIDWYhBtQgtVG4kyiwmc RHdj3+h0mbAh9F39buncKrEUoOFuP/sCkGzrN9j/kFvoQZcFkAYoDxO+11PnMoTCmL8u10B4sVZo OufwkG1eDcgtqyoIj/uhHWlEQwwIUSRJW6fDXSqOmWbARNwOstwQmVJWy48DPfFTy//wUN60+2gS rp4EbhlssDuajWWoBSa8S08Vazi5PcUXbrxf0UXI8pT4YGrBfw4sgOm1SWpQMODgXEEEJ2EXRsVT gD5p9ZDrs0o7Kh5N6UjwUppoH/wEk7IHZ5/7wOiE8M1MJjar6zAAEVZxAnErgEmUC3MitLGlKbrN RA5kXMLyyD8z/FeOoy1oTyM0CoJ6C1knPtBf9kcaHofI4TnwMFqc0loqdmAFVrAhJPAkv5FI8ghQ VZxsgp9dkTOhCS4kvq/xNIAAzofTyjfDAGORwymuOS1dQdsHpkuOW+l8jTiEzpKQBq6Kr5HioZjB SjN76bNNoApwrnQ31A9ZDlrt7tz86JryfE57iccdX7dvC5JyU1mcW7c7Z+OePF34O/DTc5Fxnsxx zti2hVXir0+NXBSCDgXtKYn4vj3wjFTxAzM26+IwD8HJnMHL8DrDOIJOga1JJHegOVvvFV3uHokS iUQPo9enYoqae2Db8TmgDUNXGmrKeVEckjd0jIeb05OBihZazaXJ5gpMbQsCqboT9g5oWSfVMviO yAlFh22fA3LQzNveFHcAfnKN9WCHVvrR1YO7TAZidj86I+ZvDaR1lKxK6b0X6pftv7Wt4jLhXw9P 1Dndgozc76TBKYk5Oyq4A6PxF8mneGAfnxYXjb0dieUGc3Ir1/JzjIM4jkUqB9ht4ulG9Nk1sA15 SgQr4mw24x37ciRqRxuB8ypWIgmeXduJin56CwUv/nNgNzLzj/9T2FIdzX1lWAIl6gQ8MCDouJ+W IE4tmecpcFc36ZCa355YjDRbOVOJGKb7GpZ+bQuVgUaebrnVyyjeoJUh4iekg53uZQcWN6FYoAHJ yC6ioSOTDd3Y+kh7qABKdOCuC4J014+qDdt0sEPL07SbpZSiGNnTx/uAaEn774VwMh2wzPAY7Dfh b4DhEiuFFk6gIkQH5Ii9V7vnJoG4iSClEQN2clWCRIURec0gv5V5jr4lrgVqRMUDgGf3WmVYzBfy dx0PZMHFXZST44ZMQWVrB+ejteWQad748JmAAEO72TjJ3oA5Tsx3XBMfR8fSlPB4lPQGrQLNQiip +oGWf+o6D4v2adUnSrmsEUB/4XIKwEdk63Tl49X3CHe1RSGFP5gtTe7XSiCXpzIsul1aqX1E+rN7 D4vs4dtZRQDplMzVCIjzpb+lQdRGRXbyQ0UMEQEv9InEzsSbuXQY2rbvUVQQigeiTfHoaDU/AeWq Raa0ekEVijF7Bs42v616/etn97BmNRE+UuKbAYPGMfYe3GEtlvwE0dj1bsewx7inrsI+mNnwRkEy k09bq3uGDVM0EkhhX1WPWARZPEq64Sc2F99BuGf+pQzTm63W58o7jyk6KWTtztGQeOjFwXPMnzrK t6WHLl131iFevVbFCBRVVZQ3JzGRr/UHSQ7aJvrVMdEtNNXc9Xqr1tH4j6g8GUp7udNz0EQKdaH9 7UrN80H8UdbmoMayO5liBmzQN75JKmeqruG4KGtXuNFzJCMgsufGWLJk/dV1PkP3o+lWuWkCmCNn 9wMBgcIzfGFzNdj8NS4q9lmfBhaty+luc2Y+l1XhpiY79AgPdGRQh1yXIXAs+BYxrn/bEyWVopCw p0EkrS+kYB6SXeGq7sc8m2tm72LruYwv4nDrjpRjTgYFMEcIghG04RNSFBPl4g2c/ZN0Mf4j/N+H yYpDIzCdFxPq50zPgN6jehC7Tv+aIbHNqlfAoJi0aWKsrh8vDac6rkvhBL+0cJsmvPiQJPJso13Y vKnjuqaemn0WaINCigsNqX4yCxmF73X1jfB0CZBqXFGedCzSFiAA5F9o7/7Lw0MbhYaEplMwl9Ys KspUEus+gPgI63t5rntp2dJd1tcpD3wMEu/ld2iWlFZ1x5IH/MMJ/Yexy3PiPf4sfX1BJudhAkVt /VdmE9PudpldZ0u67EaPs8wlPb1Gbwc0g3oAzP+RQAfdiso/O5eVKSPjJ9/xPt9rx9v8NRnsZ9eC x3MEiUC1ggG6Rgd10Cozhu0oAEHZwd9zddCAmTpALI6oLI/sQJHy1JXwkQobltxC/rnIgP4C4t5l EtHDU6rundaM3/DOtMwuRW5atsCrAx6UA9Z/8hYz4eimrsD3PclKPQBRZAoXA2vuQXB3oIx5B2Bo FchAAwfAoS0ayF3Wjxos6b72q8tuzG69bs/848W+12fs51zbdoYWrfc9RC0Ykpsm4zTnRpdvtxtq pDk+RfwDT+W1T7l3CgqWwhA8s7Me7BkZ61caBiPserVVHJGsMkp3kXlWuZSkI4Y74e6QKPf/Md6H +tBAU6kcFLRHUl62KBZ2fsGwUR78NfzpEoP7JRenGylnuEGjYzEzhWxgouoSngQBrXSzb6pGBS/W kuoq/lMQ6ZOuJpO9H3k3jcAAv91x4AP2Ie+P6nKEW8DKl1OeTt1i4P1H9DzoFY/gYQt+k1BL5qij 3R6TMgctMc0r+/XLF8nHD65wi5YrS8xifb2yNtZkfPVyoCnXBNvdneo4sONMGlIy221uOS21/AtH XTMb6DoQlk0gsrPKQ3aC7Ki1T9XlohtJieZE7TgITTa68VdSpI5Ld77UwEUVWIfh3ykfctfY3dc2 fCLL9Wpd6+oM+/vLJkwSOMQ1GhoemMMhhx7WoiCYwydyQfPJb09FnFWuT9u/8coj7ZF2PPklSDSi 7iiQYmuDUsYEtm/rwKXihaI3Faa5Hw3OYFmmZmIgP3H2Ey+l8QI6UBrwNmI3e+aLSS+vQh407TpE Embnk1OmRommz1pOhpZ4vobmXu5XBZ4PEYotB1tBDsh34oSqf8qQxUy6jmM8BjMP7Qbbx0X9EAXT oT4n0iRdKuQocQr1u8IGcUOgDA++6s0G5BDwZxZNV4pIgdXdThwHYKlnuHe+OQbajbiHG7A8qlIH /SdIAVTbt5eJvkzLkK2p1ACQV8+WNqgshLutk07TMvTFuuPc9GrAbIcxoo+j6wy5JsolcWYoRrOw SUzaXmKAHdeNgC8ngNE4p5YKksspyV5d6zO5zQ8wa6ZazD7B6uLFXDLgQPNf46PHPsY3xcpzZNsi zIFnyc25eDzqHMLtgiLX0B+u74sODy6cfjcGAeX1NjnJyTHTWmgvbRQiuPi3d8HvDpfMWpRAJGse IomqFnIw340fs84BgUgwPdxSFsVyS+8gddY6sgujqfNtQkDd1ZpKsTLe1QvxhLc/jxZYvXcHoOuU QoMEq79wOjg6u7LJX2OKhaa0vRNwXCsvKvtzMSZSNkHBOUE7OPUif5wQTs3/fqsL2VZgd8lSv54o oSeUHP6QW4h9h7U5gCk/u3mWGDm64DP75Pfxv02XmPN9otB7cWJTIvffZGGm2eY8WJ8VfaTfNSHz P6QEoOZigTSaKYNnFc4s9QQPxaJ6rRjV3kn6sn6oS1/I8Qqmg7UaFEa6y1k0cfuv5vQkfNaqNbkZ eWPhpSlgszwbIWLhe8SdpfUMv+CAWedBmNB7vkzSzG69b4/B4aiWgX9B9CApzMs/jkHOYYy9BY7U +oapCrJ/cYHutodXNswPT2C3KJMCMpY5ufsIpR503oI4DX/qVGyJp9ahRPPWb/33f7//p9xMb1mx YmfGuk0f1PQyW7EaAnAZPw7k4jI8JgsSi8Wvv92Y7OKzRzKW2k1BAnE/Ow+53X6caBqowfrJjI+7 m7qrYwTaPZaHHw6sL72vRQNV1Alr8nxseHSUoj9uUPvNndJ0i+2mwq/poku43qsxwzmellca86EH 8/No6VnrAzUb/svKyxtDnajJmXusqhkUhhRjzEVY34yLWNGnyZXwqPLBHD+bwGRU9cB1FbsBOJxX XLTUMv/LarbbKnRq5S6fAlDYhh0/7F1hDteIvnf1nKSqdxKJ3c+zUF12IMMUgTcXdZWnNIW40MX0 wgf9gT6d70GQYNg2mSqL6PNhJWPB5FtZ+nr0ZLguG9lT+OmCAOkWPiUZw5E/Nlw/1uofuN/CmOlQ D4t3bYOCDGK5PO1MwQUX3gjLrvOMMSoobeDo/Cpsvx2nADZfBoCrDpdLATZHOrgn4NLh8raUOapT HTEfo2BZCIaTdB+dF3xI5j9BAIqdu+b8Z5vbxet3QrXui4lz/7y1RmtVLF0lkn0shqGEn3GReNyR WPCzPl63W7k0yENHYTyW6EsA2aHmxhbRkRDPWz9A0LnFYPOTRBZGZedZ6Bt6c18RGZPfljWa6Jvm BzPI1iwvkz2VV2tex+4iodjfs6s62R9AknscRRX42YHvuDdTumg1tXRnAuCB30VB1mcpwcUXJ+26 QoLHuHRFj5mmEChVKX++D63AnXF50QZRRYryc80cr/B5pBxJATTxXvPEGj3a0MgLHhSndMy0kQFW 8t/CQFGbB6YW3kzd0BGOtTTJ6AvfgajC/td5MVICso2WULrBMKK03z967P8gx1uEuiQ5YRyW/SDe H8ElUUDkRSipD1tYniPLikckobzO/VrUgyV9uQ0jpfRYRhvNCzWn12kh8/Id0y/ILHhu1Fou0j7i wPIGEpSgLjun3UKG7q5ZzljQwuDOCftlUDVVXv3bmn+cMj5EGEOTXZtVbfh180lZfJHoMoVaZtcA /4NHPV6QsiK42Ht7pc2O14HA6hncwTqYb6XhN5GRfOZYVd3gALAlEdmkS0ocYnWNI98w2huTgVG4 Sh0oHFtT2EpJ28pYjKr1exOABWnWWhkCZ+tNpNa8Sq5q4XlE0+mvzqtEpyuuEDw00o8OsU9tP5sQ LPJ7T2NRh4U8R1OxTvrv/k4EMya0ZiIy2TfKNS5Kt1XGDINrBCjfTIY8xvQ/pAQhwjXpboA+dC5H RmdsyTMrYA+o9MDxDNygdKaaz9xwqRaTJ6YFCkI3Ck6r67aVwpenA4e6IqwnVCBwepI4GZymx4yc cC2jP/djWd/8XM7ZysrtDp1rFjXxVgQXDZ/bYGldHqM4wl/iCUZ1AekrdGgDofj8BsNmkj6tIaFI OAIp5VAcW7nhDm7ANW+dqlOhBzCy9jY2xVdygD8raCxC43audWh2thWVg8Y7rWyNsIsCzV6KGzm5 ODPJvN08ct1Gv55G5/bfeAW92d6fBLegWs0OLdFeV8VIWg3fY6wsGXP6mB9549MLh/i5801mkylM jPzvsQBVRg50FC78gXIj0I1HIREk0PmhT5y7K8sRpCCMalVUy99pMqP+k6NuKk7IgDoaxtPyoqdl V/Hg44xqZEc3UWXQfYuNtMBacJs1G/v3OOMaB2tpjvGrkz36nmsJo6F8nddfvwVar3hnSOUyL/yV 6EtVy4mST/5yOO8ShltZFGJsbkNRLtgc07vmjZNjj6FQ31vIik0wwklzDQsuHxXSCpJl0rLfqlGI Q1sKQmhshPTR0BcMxsi5WkeW1z4h27MmfxAusihwve3/f/V/DK3wgCXZGOFhlRIWAuY1CsDs0pBJ em8xOFFQOGpj93Au7I4QCWibbBlRpqLf5qPih5Srhmo6RS6myDbdZRIVLPPFm3LHZrK0bbsUUOWX 9cvqRmXB5ax5D9vsF8p0XasVjn7D7tBo8O0Rnz98KxA3EyizaI7PiBxOEifOZ1v0jtsOwcUDv9pP kbA6wAEhD0NVMjuPcwZ8IIYOESNb52ZW2WkjooJ3/t2z8M9KTWNFJaIh110lk32b5D8FtnnQnQ0t O3bFr1LpSa097vUTyVZRVsBelTpwdAS/AD0kSTR2EEBwKBaZSv2SGKuSjawePekEaJE7MFCqCzQh 9EXrnwibR9csbZXq55KIhM9J3zFlw+mJuwOqGybQ6gpovkNXC2nEk8te48h6oD6+As0CW83AM1Rz zJNIHzRBsqIiqHpN5/BdhvFotEL1aFGFaDTlyKwadh9SMKh+mYBuvm7ngQ3dnUIW9glIQ/LBa79h 7UkCXz4mrPLMohrIt026v0+H00Sb2c2EejG48LHF6ch1OJ5tJfj4PYNcpkM7YgsJ9n31qkiTc1hk 9RJeRS30H57/WrqY2vsR3T55AmE9xQ6kBLnT53Pv+24tXZmkBedvloEAY7tgVa8AN4JZqtzHhCf8 0VMAPlM1gKZ1RC4DzwREhUSRoTlJFZCkrtyRF2/OFw/6KkX9h1abCF2nQwlb63xsYcnRsHz0cvEg jRjcHCWPVXyW3wdl9OQJ/T/gjvdHnhCheWMC2rlFXFOdzX9VLNpBp6JBPcn1y56aII2BONAUg812 tWtb0tg7QVTLLmJY9brC4AWjEtWK1ZJmWbgBeE6aolCxLpa/lYBcvx6+DKcJqAn4CLlel0Xnp7Zx yX3B0ov9O6oIyyYzSC9Mz+8ogKq8ADQHDYi5mOMLl/VXuV6DjX6XalurKP8Ft7Tnxz1Aogu9y/kE nOGhjsjHcW9dh0El0zxGVciFQ2cEl0UwaNHpr/hvatdF+gXZM3dss2odweTsD73MW+Qh2owoqIQ0 n5qlvEYEMNGMLSrN+7SSqBehf3k/uhzR7YxE3uTDVUaDFNuZkQE2NQl2ZUbUvMACpjWrt+sEw+hF IOXYwSlWeCm71wRvvRB4U8lLxMyjKbmwY5kQNDqACytZPS0RDF/15apMFAcI9uA59uhjphple7gv L/JIEGXfZQkOQOqnYkG5XZhesf6ihRMppEb+POw0lSMGOYtKA9bzMjDG1CHaMFpOS6OyN49PToul fZAhb0qmtoUDgIPFrE+f6o4FwTMqWM7I8tPJfITMcbvMFMCEResP1F7RPNaOsZfbA8ZJxrYI2x+m gzH9gsJ6RA24oUcJ0nnF2SS0LULaKO6BGOWLcDzNzqPzvawFDmCiS6bIhGDBhP2z6loCHcy9rcCz 8Vnfl946wWTx7HDV781rFBFkj4iyvmpTGleodlEdoRW7dNjq5WOVL+UZBdW84TqpAiZrlprrImb1 uMZkct82rkNjtHnYkjw8qYyFwrMyJVYgVijwyZ2U/kQOV3Mdx0oAdKfSKJ4ZkqmvJzr6ZwiwLtCX 9rQD1Tq6qPhi5EFnzdH8z7rs6KShn1l/eGHr+hRraw0I2ilpR6DnMH+4E4iHntaq/iwDu9+HBqCI YjBCHRg/DChhFp3/AJJru8RJB7+EU3P0U4te3GboIWeFNiBJeqOPUnLk4Xy+Tvt8RSG9XDQzNSeo VaN8Do1oyP55LSnYkXRKy1rQMx3F6Py48pUKMiGR84K85MsLowe1vnZWO6QRuQAN5XDKlvpUVcyz 1nMEQHY+K0mF3JxJhd1tIjl7gncD+nK/5EqD2S8c3UnOm7P/yEfIGsVSxj3eEOSGcLdfnJSUlfEh Kz/vXyT8HpuCfEUYaxHVrmT5zf+0RKoDMirxvhvUI3PXArSvdd4CJQL7h1PTVqt0EHXKXgFcb23H 619l1cogLwda2oNTisQlF9bgcn4n0c71Kb+vyxDziy8ECWipYdiJFQUvq9tsK21Qwxk4GT0reOgP 5iqIBDhM1lWExecQw01IX8aQ8ajzCcRun0OUgLWwgk1zZXkaCJE3+8lhF0DzoO+S9Zvp8QiyPHIZ Am7fJR7aTYrYBSAWceVsjs6I6LvgAUxWW7VilLBnVnWHnusGFbwpaIr7+gcD+RBEYyOXRHoK2ZkM hRiUdSL97SyCFDkkmlyqhU34DwePaHbdPvVloVkXH+a+aRyIVcCIh5H+gia3SURT7aBwtL5ONbEd KNdf9ybQCMYlVu5FYzTL4nE8lma0h+5XE05yDw2dIhPhmPGjAnye/9fXk+SspNJWW0xLlC+Ohn0J M94fnQ1B9vsuIqicRHimiarI1BAPto5KpwUqWlbvL2rghiXM0JrBB+FBdBsEyPYDq090EiFoTmaW SsFa+OlhMTwsVcFYiZDM2EcD3/Q54XzQJ5aXoQOhAKriZD7MKGQZG94j47cNt1M+vCK+dUy4GzFo tul3JKPkjPnLDlHKpA2HTZ/RZvPquUYYpMqvznHX1JmbXtoq1xLauDfTjrsqMwE8PbRfW/5twHgQ Ui2V6PY6x9Qlqg8IArLnd5uzF69K54+0eQp9FXfpLshepANdjZJp8iIM/PngpjiXg+hhYo/+NWBr /sREyuHAlR3RKlDagzZh17XxvcLkjiOVKDRR+m9HhtGY4TGXDgmrA0xTOSFYOgAUFNOXFm+H8yZP zlB3HD30YGXouJRzc3TLq6jEeSVyhlDZICovqjGidVOCHuF7/yGKUYi4riYyLNcxNwiJOtETdBxv 5uOFVl7COMuTwEWQcFzuMfIWnfC8MoUkHcB+OEwstUJ0mFoTC8s0VxlKGjBjTxYiAdjnzbW90q0z pTwmJBs5qmc4tlaR1Y6ZoOXGqC9egBB0sE4inG9fUx3lNx/w+cASU9dIWCQZJkRUPBAc1qm+3eEj rS3NYk5puMJGzxLs9IYFzDUI86ckT8zwwYWb/einXCWfF7ya1+TYvt33BNs6L7CvPZ9tQPlT3s4u 4nm3Vl3i6Jt3FZeVCdu7mdFtQ5dgxZU6Yc1cc75W+BftwcyuDCm3fBN3Np9UwU0+CDAdI6ppYyDQ t8yUFYnL5wgeJJa895SqvJ/DjusqCtOWTce0zVEkhrkZjfTM62rKECdlX7qNe5ZQi7De3etv2D77 fE4mKedz6P/3eMAJrKKs/Bkd8A+Au+Bc9GIjVqxZ9axmcqswIw1cyFdfhqR/0mQAZszE/MsrBpzu 3rfnXII+0/NmNOsicd0QUM7AmflWxBSK1qit5wA3SQlcBBYJZwAFTsGLstgMBuyEjXfOyb6iIoGO lyjO5ECIHYoVrfE40d3nXPvY8m2Iw9jYSEJqSuHthUlHQcw/3GDqGisRacIYmvz1MoHG0IHzcFtZ wLVSUsoIVmC2S6fh04+UuAHxopxBqQCbku4LWJFObK9HLEWd07q6Y7sBsAMIWWYzjaQQf8XXOlP6 iskh5cya7/4+MyWV1JSUk/1sKK+tqtZy8zeCS0SEUfCVt8ZWUODv9WfkcCeT5GUaEQ+BVg8AL7uN ZxazJiLLoT8NKWvJTLSR0yJQ/+SeM76p `protect end_protected
gpl-2.0
f6c0a22070274d66cf9031f8d0367f3c
0.943503
1.846711
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/HLS_accel_v1_0/dbdcd11c/hdl/ip/HLS_accel_ap_dmul_4_max_dsp_64.vhd
2
12,927
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.0 -- IP Revision: 7 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_0; USE floating_point_v7_0.floating_point_v7_0; ENTITY HLS_accel_ap_dmul_4_max_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END HLS_accel_ap_dmul_4_max_dsp_64; ARCHITECTURE HLS_accel_ap_dmul_4_max_dsp_64_arch OF HLS_accel_ap_dmul_4_max_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF HLS_accel_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF HLS_accel_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF HLS_accel_ap_dmul_4_max_dsp_64_arch : ARCHITECTURE IS "HLS_accel_ap_dmul_4_max_dsp_64,floating_point_v7_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF HLS_accel_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "HLS_accel_ap_dmul_4_max_dsp_64,floating_point_v7_0,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=7,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=4,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_0 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 4, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END HLS_accel_ap_dmul_4_max_dsp_64_arch;
mit
bcf5542e10d10e3bafff8fb1d4084dd9
0.636033
3.04523
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/ext_mult.vhd
2
22,040
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bn3Wo2oA4ybKnRrplftGBKvtpW25lYOYM93VQ6OPnFvghro/iX2s599dAsKHa2pXVFfmPKpjPrKS LJ8nLttb8A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y3Tqr1jT6yDxLq5AaQroVzC8yrvpwiaFbPH3W9KICpUbXFuYs5PD1+kDIVr9dSMOkwO4FGq5GUo5 reoimWj2E2bw/hzhxhWAkoBxTnVoQusNlsX6em1jdWinw6eQ37aVb/mbi9Po0EEaaOs6VjTjsOAT tthEb5y9/sExvUxi3xI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mg+0IrsNNvSk2gszU6tVA1hkXhnWVS5MXRdXrPIZiQu/DU7zlVMB6Yi7mAvAZGQPlNaSWoOwg74k ZHWOE0GEwSj5/mg7TqIt7zE/b7xZFC7UAe9v/mydOKgCDM1VlCtBlpQiwM+IDRw18IpjlIh8JyqQ VStiEr0t3wQge7eJVZGveUMkvOn55KaeYBqyHZIoaHcMMEA+UCRRWq2GKlKpBiGSYRMp4AnIJ5FP aI93KQDVLwZGMQRk3hLoCqHMa2aCZVoV7tf13bHzBW3SnYA9d38udvFbzsNsq9808Zig+76mShBw QN8I8H7QWITVjRhVLhr2n/oNCnREoLDQlBzdPA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sT59au/TR58/DZS+lt8OkTDTPeJeSsuCTZmAFCm2Hxtt2gRqcaD8OtisxWodIpo4Iyu4zXL+wL63 BddmR/GliVHIddJsjVZnr7mg7VZl2Bs8eEpXk3wg7n+szR17UnxIQF0r3rTkAHP7w8woPNUBWfIu ty4/3HMHL2qiwjxY7t0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BBIbjw9E+TUKE1EUEq5znKRoeiFWB3xrvxrF+5fMEjEZ3y93VtnbjRNTI5JDjIi5sO8GPRmgxQjO MPB0FNjdmSM+7tpNFifJ1fjvLTrhtF375XiG1klKzvNfxxx64OsDXGIcR43RQRfFZdBBMYxVglo0 u5s1RJ2H6XLbILBJqAkJHA/0+ZcQDGzJE2tmWDsAATW8AdfyxTnTsHA8ZE5HuSL88iak8GHcX9Xf WklGq8u/x3VrLY2jHgvt04PauU0NKURE2p4N1pCYwXux0XqqemkqWfyFHCCvq8f7bwTO7lik1sRt roCyz5h1Ce//rcHqVEcFjjDOrCuxB0no/EESXw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14576) `protect data_block xFXivk23aNrEO/jhzjQPq/HkHuYVb3oOdocW8YN1+oRzWvf5AGGgqfpQ0hhuX16sv+eWbF8YGXy+ HchKcmTj1qi/7y7O4ffaX1shsiJHavAQYsf4a2h1SJbkfmJ6KvmwOfNwda7Slt4ZfGOe73fzAkKe NulniFz+HdBdzjwo1IPsHVBjAK3++VTYv5hRGlKHqeN2bwWj5SgJg/CukvBxEmsuy+hoLI3AH3un TmmB3XSn9ey9n/AxYGb5qzcaiU+iSIt8SKiNkK3mmlIMyQ4wbmdtHsu1E6nFi4ADSKerWlmyemSR 2EFxtkCJHO66FFevU8EVDCKCrfGH6g6QM0i9BZN5yIYSLkIa665v7nZ9M36cdL74dDfET664Fur+ Imvcop+vOSqLk6VtkCGFglMbiq6VFRAXZhm7eLD+H9evPSnAu5m0EdZ3kFZECpZxEYwBC6Lh+XgL 7pZGQfFxvr5qY0Q6yxk5kYyqGUUUQyo0yRWc9y0Ij0++09O4bVZTNEd5gdA0ljrPPZ2da0ya6tLV eQI7rQULYLswJJsIB6er4Dqd3NPFaZE2ICnRJcz4nKmWpHYEjjapcynaSza3Rw5WNWzvCABmyVtD nOfE2rD3v1jFWe6NqvNxlJy3fcotZRvldQU+kzei9Y9RLOMFRe4c5Qarhq0wNynAPhX9JIKmZnuK bNbyVmxu2jT8a7tm4O3rsjntbiABIS3bOEYxXC3FnCCLCc52ECyL4KHfQ4ZtiCNu1qh4yjDhlSc+ 6flRo1KjKu6kKxX1FqFpu9t2PhN8FW/PsQuXdW697iHesCpKJbyUEiCBSpJD1O7bUtHu+1/EtPZR RK2yTLjhgcO/MjyGZceLVgoqDkp+RDag0qkWnmkYB2/rlZ1ZvmiYfnRkUE5rlEePAIwhH+qGnqJK ylHM4S+EyUzpaI4rPgsFhkAO00eq3igo2PRDzaNNPpRMu3drZFqOMEDxxId7M6nWc8BTdgBC7L9Q /kzGf1E3IysCFUfxa/RUgzO21KF1NHqew2lposSjbI0rThXnLGXOmmvXLj3gnhQM1SvhHqKMzCJz ojzq30hu5GQCO4mivZ2GgvOkRz5N53shFPVSh7YEeN5LfJvAaUKX7FQdVM4gVL/fKdW0nJe7h+/Q sYGXxYcR30Jev9M514VNpsOsgqlo0OFHGaeJ2gIRLe5KVcPMqUbWWsTputtfTZaTH3jRQEU1mQzy wfxoYgfdhgZ1P+LwJx9AAs2djccxW9xFbWV+urBBZFAtiRWCEYw3Bc1IeLOyRd605Ve5dMtrfJdn uOCuHjLhILcFj1Ses8HoGyCzebC6ZHwY/468se1+Np9dqM2nGd/jXtkI7od/FHJJI6QuO7QUF9z8 nCp9Wd/sWETi5Dx+cKaoODM1yazTKRfA82e857NkRD2N+vQo8/15k5H8zSbclqtfujL6RV2ShMSx VgZ2gD+KMOcpbJrlFuF6nhW8r0XTKe6crXp+SZKY10gkRgQRUigdQGY61aou+avu4WSMgCbbDfKx oj4TlPjhA26V/m0AuuaBU4dvRvOO8cEzGPFdJslqXTs4PpiCUuKesgP/XgqaJp2RNc8NS/9L3FJk SbTych+6VOAQWp7M5fhXR8126W5wTBFgu9dH2vicQV4aGGrHLZOgBen3vUArIfpPuNpLVxY0ggMT JlIWNe+m8Z35ZEHxOHQWAeko7gjhWLjYYApgTefHy7mz50zrlsFJG9SD9M0A+et1oBATSW9OFATs GHUzZ9HGh5UnlGKdC/ntkhaugzSMbuTg+gRw0hNVY5sVqXdimIuDqrpDbqSMKg9ETUbq8Hxyh9Vq 8lnCKoJgJgTLleG8fY944VvwzWftaUdtGNTXHYhnjElqLupnmYpNBNXVKaudLqxyDYit9oJAETOe w3SLWE4MEFvAwDDFGYuD8KbyMatxkSbPj1Pns700v8TcXN+e5dhZJ+P/h62Wde4zMzJ0NGxXg4ZX VEI6cPOS3ngA2Ln42I5VMmt1DZKPZW3a1p8YWU8ffb66D6vob5WfSW/pTfQTA8WRnYI2X9V3IAij RjQOUqJmCazJXuJ/gFLrGyr+U+pH9eoqAWk/4mxOdlj8N0W0j4vBiHHynIqrInjMYOTSPF/67sV/ bI6ZXOoFU3Gw2DFjYrPHZCDc6aXng5Hwx6WubwBHi8ykjmQOl6yPqH5m/6hB3IJD7FQuaBe1I8Gj 3AdmxjzGasBWRPKMRiXTrELlD1Av0qJAp2ovyhoJTNyB1s451FaYtbBGnbaOHXlwY+jNe0rsBEZm IJQKMAuix5gOUYvw3+TblHHVvdfPsyqyB8QTn7Xt5fLYc3DUFfJaficY93NKPmU6GiqflLBE8+Dd BRXXMqMnnr6cYjOp2BlBzcaGEzZK6F9a79cHNpZsqc1/UlRdSsUEBF8UHmu0gF1YHrSbMwlkqOZ/ TI//GKXj44v2pU+xNbmqzC8v9HH+3aHoLI44ETc+4x+KHZyvbHIsu1ouXoULHqJcPDjni89OuT8c DYvyg3oswWmgryzoLbDn/acmm/yJc3dFY50QFdGUjfZdD5Dm34Egpbg+HMsaOSEdt9qMC6pYxCLe kEoAiyYAeesq8Fyx1+UI0uS0ftZdlHDIOouav1EEvq4LemFRqHNzbaBhGIZ6q439fae4J+IWyjah VtDogrBcyIT0uguEd5C+i028LOchvuvXNClxeYca/nMXu42i08bwHyMqsMFL6l13+/Qpzq77sS6X +3HFCXAe6XbvQC1ZDS+0hFgwJjWcRALjb0wWYlWw6Z71FVDgwJbrPqNuGpZQ6gewtxu/wxfvsAJo jwB50FxxXaKP8NH8iS/+rBpNWaiI10wApjmnmI2ZZ8wtJxOF0S0e5UiOgJul/xtj3je5e4dopLTp tbPkZNJfpdPpe3wG7C0zzVraR2CH3IoD0c8eul5RQPZ9fv4xKD66GXM9eOzPoBUSVD8RexSCqQ48 lO0XkQKZuwg/ZK8YWNdyGa3HtfkLlFYOGSyClZdj+ZP2bXYXOwfBXb38a0mpSLLK/i+ImOC2KszA 4V+r2KN8aEhZKMo52UBM5jSfpmQHBEkHWTE13Rfum+KGeGgTFgmKjoILS7UykQ47P69S8yyZzpAw QVJnfegM4zLppjGQeMaFjxdIhT6uoJIikPd0fd2Ic/czIM3x31KWvGTDSbBzdL7uPQrxuCvHKwjJ TcTLxO6aVcsMuHALB9hyJKyuKwyZC3IfOozZSJXduH0fojqHTF/vKbPTbEMA3L5EAD1Ed7QRCpbw jaKwG0vxIvll7tHjyV89gkOuONdGR70Dj/2lDyDEzpY86PbJsyDl9LXYNE27NB5PNCBuqK7JDV1D ERUtTSmA3IXPsSxL0BGw8hMoNfYEDFCEjiLfuHK0CBpM7wf9+2yR4KpvyF6JcmOD3vwDO2mbsdeu 1k598whKt1NGS66dk2InMEFnDFpuOGeY1Vda8q5XtXxWaSPr/PvQofVKPp/29tAp7gD7fDpjiLqq RKZTJveJ7SHYv1UKOWyackNjZoy3gIWBKeuLD2C1qvdEQ8CNGw0pVKY1d359xWRaPSe54h+g/ASL RlLdi6kn3SBkOw49d8fK0P4OdoPUOlLtoFh2VAzNgaUHuAuEL+8rpUzz0ax8lvM16g3q7Tb6nW3A iZtdekXQjSxzLsf9J6m/W6HAMDMjb3dq7H8u1M4qvQjGU20SGMrinoT2DN5KUCKwXle/5tg+XAz3 Ch89s4hyToTHjmCE54NRvBgEu2YSvU8qqGPcYibWNw8+YQVnY2+g+CepXuBw8H1qDr69oadeHpFv exnNXYsVM6UgmVbgA7BTOzNsSq/cHPZZQOTU04u6GIUDwUHAGJGUrRxq47kHKTjvro3gfzUSICyj UI9n2CGcbFLmcIoElWV767YTuh0ZczxlTfGpTKJ1xIxuaksS2hhJsrlCPJgDZsBaguUL3PBpzwOU MvksKZmlX9Z3mqb8H/FdnpaCyX1yl/gr5viyo+0CwjpFxnmeMg9pREm6hI5jx6KvaJ+3SOLA7WmW W8a2Uuail40hZgZIhxqGd8V2J+QgiNEUInFpNvHxpSYq8G51SGrsmHfi8u/mZ2m6zeIZbh8XHgS2 37CQZhdHGfm/0yrmETTpk8f9xe06n80zOctn1EtVWXLWoxHQZG1wwW+Y90+zPgDSJfp6V7v5J23F tquXnFQBAoJwryoJg2B6EGYfDNCwN/HIlqjiLwkwPg5QTZI2fQgDS+cP31YeLNKg8Uqoii6ejC8q fEcBGSTpbD3p8fyD6QNC6/U3ivOD1APe2qJo3OdVvm3KPAADBpzasnAkCe8cpIa0s5FiN0LTBO5a eFM6OVSK2isLIkU3EXyXkaVwe5PFjWCCrtvMn1rmLAybOiHvCwOMhzXwU6VCyT3NThVPqcyp0wmr zIVV8cg/xMmwFL5dt2B71pWHkjIkEt6HeINvAlDGSu7FlVl2N7Vrg4Kij4xL6uDbtL0yINleMChh pwPoW9DhNsLB9xm551eMVaILv0+8gGRk6gXC++RjZemm1mZG3OTQ99eIYqVCQqj8MN3YdWtNZt7x 46uv/dQDqxQgLOE0BAmdly/qfr8hx1j7E0Np3jop1t9Hcdt/8T2Wtt/fX8+oXOEfhDjneYmMa7jP d48LErprZ2/pnZywr75bLuqgAve4W6p4XdWHIjDlfHglrA/5ohNQD9+mNqQqkXe0DbGZbNWpMNQM x5L18BOUfPuRNC0xXJzpntEOtxHZmWyoMCaz1VYJNjbzzA86b44/WG1oECyt/h8pSX9uY/lTiopK mgCKQIXTJTwInoBMiwV8Qlbjim5owDJ5MOQlKQMPSB+NfuXcbvYqQ/V83vcOTKp8McGVBJXZezCs 16gsJ8JzNxieit/BkxEf6rCHGJwPsyAiN4HMtOiExHPqzIgJZGS03mUytEqMzodhyXUSh3ycOCar /SxHMdHDY1vdI4/wmzyznxYoIbLIwDVvhmSy0sdZppYNb7OVkwFtEgqdHAi0o8hoDCNM88Ee2dxd eMjkvGhm+hIoATI9Y8cW9Sagl3nj23vG7CTkBgmEoS+P3xtAFhOqSj9aQkTwp19jNpAZDj1fpcPy WWCApxIiNl7Xcx7dS7belSqmJbJ/oNull8PpC5L7kK9aKofYhszNLHWty7JBrHIuTeEbIzS3HEap TeE3XLRQQZMANMotBXFbE1T3DfhFhutsL/oig30FzeyA9vldX75g1oHMgymDYUFA3wZoaME9WwT7 NNMgXShBY/BUcVuIzbkJDsoCsZp72OnoUcLSiwaGXv4mmQ5Ba3f/z26K+As4wvTK6DadT8PAl8a/ 8J2TIi/2q5T3P5rNMTMRBzYBr85+AKVjJrRdEpS1cVJ+Rjqv/UQL+F04qslSsBGzoSZObH1vD5ff PJntUmQeHgkAuYYZzL3yGj+jqZBrJ0WGml2y3wheGcQMGAeuZU4c3b5mgbYN69wLKcHFMOLISsdV LHSCv5lZ4iWQ25z82T7is6iLFB4l9l8K7OaymqS2vYqTS+7q7QYT9Ewh8EF+uW63QeZhKNLcl66c u5uTVKO0rCmZD0Xm0GxLjTF1xOuxEn6w6CzNjvI8KYJRjYhql4tnMAloM7omHJ9ohxGZ3+S2L2dK w7PQQ5/EQ8IRHiN9wz3Iq9rPhmlnLbpFKi2uWxWP8rUxvB72u/K/i6iziO3ln3UF1EjS4aSz/Me5 X5BJR9Tq1A8C+mZRU3o8tIxU3WwiPaUslALb2gNU3+dr1OB1IMEawZoYZGDuhPJ7n9mpspFCrn8K M5zofZ3S0UzBHiF2DBYK14MkteX54A39TyXi3g/s5x7oHVmw3IQPPD7RJLbdPqHXy0kmK2xqn/un aNnC7HbOe7e0TnXuGAgjPVF7P9R9FA5A17hYhbYqu7paezNBVl/neXy1LhPdD6T0ByHW5kuO8oOg 480HXv5iGUqVwOQ/J3NPxjQeZRuaualiOqGDJpWbqcimIamVT0yQGpKbuPmM5krKhH2YFczjLWgq ebhVC3KJ1+Ma9XCRgb5Nttu7z6DU27yXhhwm1J4O7Nyd7nKb6n/j9CAtuOE/k7X1+OOkCU8G4dmH PR901OWnzhJfeMHfN/16pCuh05wIhQBIvrCAkK8lRfy7filCDBI5fgkjdBTBm4qV0BWoN6NX2yrs uCDg4XmByksSz78yBrTK5aQvsS6t2OIDhhJ8kJ8RTBscFVWPw92O2gCWwIvmH86loSuN+4M/tYVl 9Iqz3jBiTJ8HzZSN9RoyJj2oYmIhRWtqtuvXDDLMae0y9CEWl43mvZborvpBXd604KpyrO6i0AQJ MjqKPgGR9WLxr8/dNAPybvBZuivGbQ6SVIQqKPM4ptIrtKBjdZU/xg8qBKIt+3lEh7i+gjYytRe1 g3x16moHDsxs2fE4hi3g5EiqHanekcDFWZtx/1ORrjdkY9oRdoX31V4kT/OXpbrF2AV8R9vbPpxT 0nVANAsOI10NZyUzQKQvf4eLOCwhh4vRc0oVNI/hangeK1AUp6QnorywL/uD39qIyXABhx7lYQrK zaUD4Esslt7sHw91NxbpqY6zi3oDAI51FQqtJm5MnC5UUsa2YyghS1TualONZG0fOrUZAMZ72jWG /alwzd68HXIjoD7EQB9l1LG5E28uelViUNOsCnRCEGakpPuNuwlwBlKwRjygx+g3Dg3qCFA0geYI 1nDam4pxNynsIYgioTlYw8qBjndLd7fWMqj3H1xr+EqXWFcZRFCTa0sNfnjabdWWq3vK1pYuuqFI S5LZzN/J+J6a2vjlWbauVFIEiriv9IX6TO+FR0hN89Poa+h4euQYgCW03gy3OTlJFkhDjka5jXZG 0fxNnHI8dhWCtbzSZ3TOVjXw9sBG/kP1OC/SYDa6Sueh6a08X/4DeM1Y+pzPSvpnIKHxBgEbakjo wpXwp81BDnmyBxidVAySn4/kTK/meBOnvy/2q2j+Wk+WHWNEOx2dHlAxu6duF546dRBeqZe3kxsa SExqPUdtelgmL84D/MzTYd7PlxjBB+PMT9+DsbWC2o/RDrLr4yrHXStjtq2KzvEND23had5ne48k 7LV88OsBGZofm9HVYhIRUA+r6TTbbdrUfcK/f0K534livlNYMYYVkA3ZVNBG9s+Nh8qRRuv1xkLJ YMYRVb5AIm6C9JjtrHFrRGQXRTFs5i1bxWdWIjVJh8Ie2ZNjrfwl1+VD6zojo284k3N4aQspSkqW HMI4FmwPZqCWGYQYboPEzkThY/7PaF5Ht5HWTFPjSZY2lemp1Z6u6gdFnvLTVQdrEZkyazMeAHbf QZ2QiWJr2+uXeGapDxgA+ZTIpI5YCXxwZHlD0vG5OGMImu+bcEFbY+4r+1mIXxQlJq56oeZVCYRy MQf8fOGbWaEJwiq8kAr0BJjC8+Bo/HNHD85Jh6+rCWXsac+19a51uipZDaQTenr2MEqHTtbeHSVp izCKdN6zTs5GOxEXuZTtkmY64W7r3eTJdYIq/0D+IYXvtPHYdMPHkFw3fTYEaornzfodbzpnExAJ oTSLHIHXTHH20f51C+lbaGljkbNIF0YiIZWA6no251yMyaK7DT3aWrRfzyZoMLIKrq7z2zCPQ6xo nBgE94AaJJ1n9aV1hRhPH7Mwk22mt4BLIvb+P0blW60POuho0itRhuv7o38AD3JP00Ip/5uB143i ygxBmppH5yeJStOSlPuwGQy1ISY0x2zIImNVZHJCFtyfIcXNHOLJbn/cAvDjOWuk4a10gMaUQl7e EpEDt1zVtOtqU/uhib44sI+9ZpW/lW8IkptuI0M2lUKuEFN+t4PGVZklCQvIw0JF5BbC7sJ9JECM Cdwr06d95xFsNIgQWfmHB9gmN/FyizJ2oww5vESCcYdSiU3A4N4mTGEAcA279NiagbhH4JCcv79X yExW3KSKn4IpImDXEic8P2BJlsRebgS0lgb4RQHKW2IQcv/f4pj9Q5hZL15YicbeeOS3rJaNl+24 w30URvagJIMWxerDb7KBL9bDTwPwYOoT02m7sk2taRw/jUadnGR9CubLUrlpgMhdo8yxSopzR8Wq WkhDoODU5CDYe9oUNNh+aQKS2gsxQjeGPCNgtjts71Bfo0W1CMzWvt2vs7RYHpviuYqxhUsYnQCv UBFArAmPiQKY+1C1DdODQxzipM973ONfAOPNhkXbXZiBGgEx1DJYDVQ0sw7UUkQVDcAbABjR6QnK /HhAKAttC7sL76+bq+iycjXNmAqAJkIoXg4MQPr4VmDze6xAe72nm6H5W7pweC2u/K2uLj5XYp9O eUy10E6xGcc2og4mSxqfGlrqqWBw/PgtyY/cSDETijZokAy96HKA0/9N12/w4BgaM03K+XzZPeHm gNFy+jcPv3RGJ2MkqQcRydXeBYP1ExlYrXyW40MvCxAArUuzFzoTVZxGSmf2lrQNz2UJujveprQO o/O4H0t6oPZBuMIFgd+hyZeR1/EMQqg0UUEmZ7N9QIS80j0Ue+7h1dEVa67eEMAcFTwSPrjkKY5X r/AzAMJEc+aFUwQJ28saUrSYaXxTe5Z8WuC+hO+xssDxdKDV59NKPau2OgOP3yqf3jDNlLDh5TA7 0yufaJGTjjZ8Txda/g9nMFUR8BFKv8da4HJJmS/ISdMIwBfuLfb5w9stEqIiWYeOlNELoaT8vN0u qKJcnq4DQUtvWASzwc+A+ev/9xZBFw57dsnhR6Ml5RhHGhD//P6K48J/ojQ8cEjRICrO36EYBTV0 djw6VnDN4nSQ0Dua8WUHY455wWbfkxIHMFoA1Ai2/pI0c/u8fHbxq1N3l+0UaklPz28OgiZIRqbj qlKFnUkTUg++5wPslDirZZSo03PlSd44btWwnRKwCmqbXMsL/GA5PGfGhXXab2a+8xYGY6g8PpkT L1KY8YcGYD1mWWCdbRzEy2a3Yjg/TJoomSxz9P5nLHyObfVsd/EgNq5SE/6G3+sCNzWyus4kmia+ jIrhpGCxPlPErTvYvxGnS/GDQWV0Z0/UFrW9Acgm3iZaLsYdKntjGk5uG5JC9gRvBcSNKqd4TDO2 PgcJ8PTTZUl6h7L7qwy9GThsYYHwDlDzC0GO3wyvQ5VNMVxH3EQ1Ww/jg9DPMjqnObeNNNmIZmW2 PL5g6FIvfprEVUcYiL3QVf2H2aOPQh59N3MvmIVxTlIBWLNjirvVc1AY+W7ggFMQzfuj51ApfxXm GxnpzLNIxJYhdorOEn5oViiAd5zbfXxRB0z8ix2U21ZUqgngiOD8cvDPgxeiQJRBFKQX/36LkhL7 o9JxcMh2yhZuFtW/UlL0ehzUO0G3F9XNAReDDgBgzpjrXyha/fxPH7d7Xcf+AmG5/fJHVFBxd7Kj Sq+oMyX4CemV4DR36pglEs3/2STTINlai26v+3M4OliLf8ekYQjQ2EkcFNfZRxlO+g4p2jyUbX1l geyJWMlNPoTYcxf+ijJn0BaF6DeCJb821xquVY/sVeqOQ1OMXklpA/q1Ii22EODg63xDvwevdxGy guwIsZy1eE3tHCSQ0xccI+y5Zusxon+qk8qIeobnaC7TG5otR5kTksBc+Ac0qCeOuJUD8D2hWLZa 1dIGfPx8MG9zvj6HO7jakSarFwpz9hugxnqchvcl/mpnLG3cxUDfn1HTu08L2a/pNx1OCaYvrQXN dYj9Y2NVM9+kfvctlBF5UiqOJ/E7A0v/JgHWIuiPS5gibcUOwxOI3l4yfhf+lOyNf0v/yesXwgHK z87MmUom13/MD9RjVA+pTqjoCCGlQXJdDm16gZzmcIkJJaO4Dg9Bj4TZo2P9qG+SOhcpb0bh4vDY z5ZIVKE4uhbAwH/fRwKzEG8dxJhLnCLh7xHat4JoE20ve9PdvJfEu2DWis1rPA39rQQYyj6lvQES 8+KdE65kcafr0KHTUjPoAxfEMWWIwgRVcOhyi6qEx268aKgCOo4zDwoTfQgHEULQjPd6IchVq1Y/ CYDme//LjZsKyNePvgJJfcs+hDX0otqGCqDoDPP27UzDqQA/LX8u9t9Q0QaHX9og2Tsd369Q64FL 3Dqp5XBFXrF7hGzLQjqwno/WgJYH5CeYP2pS5UzUDq+hy8zQeVIieEj8Y6LUSIxsTazcZ9tqZjte v5Mvabl4QKFcS++BCyHYlO51bARkj/37tRof7VLbNU/9oeDvI6C54X7c/aXmq+7z7UvKqDbUt7pF N0UOhS0BDvW5JbMmytCVDxRnVSgX1YHIa01FVfFjtA0q/4oix86URS7Z1eJXyt5+0HmiXXU8wHwz A+sIDQummKLF4CgV46aQ0/AAGCl7ZqzHIwyDdIsm5XlgEhSrsOCFhkFbeRKWTAcgkKmbewmzs1Wj E3fbspYzt0kM62rKXmaoId31lLDE1XyUHkyewXD2IoKd1mT6KGIl32owq+HD7yEDJs5hWP8J9jtw cErpHqj/xrvg7ZqwTZnduFyca2T4dimQOssgK0ZMrJ2vF6JIkj82H2xdF8vWeRs03ONF77ZDv0fG ASUAo4tY6u55b78eU6DG4jxPzOxifmnAyFQycxx9w7LJjmmOqZAlMP6IbUEWq+sc+GERfZW8wSVn GDCUNoyo9yTwSx4+1m6T60rfsn5GfiGDiW1cfOumQXj97p5a1v1EiP1Rd7mUoKxQ4f/Ie8vYYHM1 R9F8YrYWHrQRi2nRTi01GJkCwGgpO29e4R+vIAaVl3gUesRS5UGhdn5wl90VUEo4+E2Y9WEt/6ca qizJRqS9UnR7H70W0u1aD2yazEJYxAXl+U2/oXrah7RRi4pW3muek7Wza06qn5PHsDTv0fY5w1cC dJsBGEsMg0PTwfb5OhnIzVtSbpjJwHRLXIpLdBb8B6yPcLbid2kEUhSdZ1V2ZolP+dbxByibNB2F Rexl9DNnIMKdUN19EqphF5tylj/RzwiPWPaTy9Xx4kwhid8s/aEYdfrR6ybwgznqasoc7FWtwwjP bx6Z7YY4hbV6Pop964p0XBX9ADkI4Vfn8/UyBNXySN0aAupC+OVgHdMzOQVdCypQ6Oe5FKCHQDsY gM19jA2ILff3lC/zwJL0f7tdje/cxRDwDgmUCWhiegWy6OgYuT48frDqfqoTRgOjZgKeUZSayvd8 W+MDd/YaqiXTYyYMe2OtUPyHL3yFIbYFLdqmi8IPYl97lQNERRJAvH9+P0kpikIMWFdFOy6HtZXu jgS+V7/9lb4Oa+SHj3+gUjhZ5vriAfEWlRCilasH08qQN5rLdHhaqDJKTXD8HTYswfS6r+Q7xYun JMyvbDQqbjMiUwwuxGkvkGAQ9dFwmK9AAGt2Xxy+HyrTxkw6SOGLlJ6tHGV30da3a91dgW+xzrnj lI9xmw+2nDhxP+hn7A+qBydrpVNoCXVrjkH6zzsO433lxLRdjkQ9XolyLmpnGYJbRfQqr49Fcq5n GFjUFYLNmkgocARNsGsoKfC9Il28IU6k7wLzweaohWOEbRu94qyKs1lRZDm7vCWOpI4R+lFRVON0 SiJdUvMmT+JCsVmFTH2XB3eTE83inBWAuFajXOx/KkcuF/302L7dKUqEjMyPxIMFfOSryjYIVI77 lxrlsiCvMFfeu9ShvNUqmKQA8OzC4iiRaMEUL4qDBjIg6uuLKoqfmHlVfQViOT6pTghzLQ1WMB9/ M0AW6YfndC4NSjsZyCC9D6cmnOH2y1WuBpT0s38Wpdo3hPTkjCuGbVkIxzLoUw6k0uOS2/0Hgyxm KSTMMjdSa05XHatd4TcbhhzjSS+rR3MmlP+YifqASnyAdBdaAxXiqWHkA9JDc9YYLBGkaOpELyS+ w7CYq6yo8GPLpmq76iku+GZe4It9OW79yijzwIe0a1IYaKnG6NBd46TXfKAtrbHLGiL2RgkcC4hS j0oRH49tlGgdm2WlaZUeO9HIwz6+tM5C1s0kmhqa4Z7hSnxFVKyJaAaVGe+J0w2mSZUrmDg/iL6m pUpuSicKv80sKyS6PUCZJDFyo7/XrQ9IF9x18xQz0Lj924D6AuIGOXbMrLBIpaAztt29pQ3JpbSY Z4yf37MeINWCx7G6atj1iSx4x1/lSyt/VeWFnBWewAg1zbabn656DDOAcfianKCAhSQtdvEEl0Mf LmuTkkY7D3n823G4x1j/iWfhFww1LanEGvYPoWuvJ9cNSzXGHUWcnjEkPHXhznsqZVGnRItrYvKO e2FLEFbf1UDjQas6fK2uQcEH/cOhkuIoI6WwZLoe+0xuDBVVvkZ284FwYPM+0cKdTtCz4PYMQA3e 0QEZPG4eeaw30uaCcyFDuqBz3ukNrFRv62XmIQJpVBzv1NKsWK4dZWHsLBCR73jBOQc4LTqvsxq6 DV0tEciPjB8YjqraX+yRUisOXsOenGXeTTENZPTF8FqzO36YN0OH/mtApD+5QfhZXXDs9+DFaZc/ EecYqN/kqeLA0D2uXW6Gk4RdG9aIXaaAZTxf2t5od+hzGBUZX3FPVqBK2qvjixJDeKijXgz254Tw lWAlIGYVXXBydp5v6QbQpUx0BlLVwoxoeVSt+oJ2rt8nH+bVcKqViLOdH0KB4kIcyl4NruCnEohg fsIwonrwMnpr9rhWP8W9g0uBszUv4MaaeitzAPSV5og6rXu61ETdfGdLbAegBvbJ443j5DQDGXQX /cs7YlV7oVpx2xPGrPH1x5RVH0rjaU3R+CibV4SdG17xzoidwO+bASPY0HWPInEXiZ/uGYJl4Wsv s/j6IfuQwOD3kbkxvOmaWglSwsjMfoOiuFnvwnk5mkNRZgvMLhQi8vNG0iKrpwYm0NbEcsUSZgli 0mVBl5YFPV1CzgzL1jvN0m2SZXmhfV7IkpsN8d0axzzLRgP6p3rsQ3vrrCHhDRQVmk3x26ARfKJm VPuNse/qI4WWvEL0EvnxkkChzdy1grC+CsCtB5cmom76qYV8Gt0GCze+IyZ1TZ3wSmkntf7x7RQs +/jQPDfYg3zwKfX3aO4PxDkhsGHMle295YYeQ63jtcZbUdz0efDyVCsfngYK0qSz85TOMn9wxwGl 44pJ5P++NPCcLS4GDY2N4DHOF3dxcOfdaa+H7AbPcDHd8oqKHjQxpLuwu/JLcPZcCN4kYYPPxUGw h0IS6Q3DONRxAicM+6mpuRBloZVdVdW7/0oPwrRrAYNEb0hlGivqYf/2HatoyDsYvryPOFwGVcgf y2ou0TbxcsIrbTeyuE0rU5DPpGaKAVAwebWM5RUVT6pWF0nG2uMMVW5io/LiLgV6rIvOIlAJKipO xNdW0JwsJv2k36t0N+1jVfKNt6nyR52IijOIGE8YCpxczNaBr0uNnYFQdnaTvbs3oIbee2qNkxRR NZCAoLY1x6t3a93hIMvkARJVFKPPQu20/8ANaFPE5966zI8k8jkJ2ccKwBx3Oc8VpWijVNd3jC41 eebyr5yIDUmol+WbVdWzbyxte2LxxPGZ0Gke/sTDLi8e0cL1YCu/XINQJ5sCwDhTiCSPNWfkyjJe nDEvtyUGkf+m8Z0jKun+BMFu7fHjEFAUO2BYU+rP+nDRS8rK0knTDBK9Nk/E8EfpYnLyNve/kU5o AgMtScDCz1IuWCfoXRY9xbVTAECPxtF8gxxys9c1g86T2NPq6dzSPNH6Iw9SNmvupWkQlnB6t7G5 jKLSgU/xwN4DU7jYDK0ZczyYIheyCV4N9nIc7QcT7F/dAkqiSNekIBLoWRS2Hy7sv7f6aOL1vIhL 5/hwLXqepb3hD+d7e2xeDHu6PzKcbOZ967Ij8kmTIrRY05/mdyinJOlDrVFcHL8CH/9cPqHJLT7o qZR4mzXExAE3+QGNWHOmdF8CCznutUadeG7KKsu99lNvA7ISewn+apMEytFpdRFgDlg6lN1uNb/0 ouy8ACSm0pdEOlobeu0AqJIgUwyn1gJXYEa6wlad38DTExeJIX5XyHOyYgNibH0MlG2v/oPRBPpJ tdyJGtt8WnBfaBie40mILFQz7lQNlwC/wPFa9+6OFzymavK5NRmR6+DET3rljZDt8te066SWhf2F Tci2X2yLRA7rQ7TrNVHPRYRG4Acsr7IkhKtUpH7bpZVgc9aWXKbvNS6TUATTCwtAo7O8I+v0lC35 NAx17gbl3USTBDFG/K+103/6gpcuef6KTdEja0+kOi2LOpb4/BccQLu3LS2NkbuxOOJcjL4MMJTB vYeSEyuPebtMjp1UCJBXligl0YN3bJby+D6e5tgfrLdr+wmEql7+9pLFIvsd/8rx593H9dXBTlkQ tTCF+pT7IehYqvwyrATtTn6z9RiIJ4+rpVxzuk+GAYGVvgJEi6iAgJI1c9+7xM9yZNuFZ8rM53Jk BFPyTqzASut8iWDGhSJmoiK6SsP5aI7eEyPyGSaQq2Hw258HyaNcHWEGlTgy6IQTC3o0Ke5xYJF4 2quvK0jU2r18cLQc2Q4QicRKb6e/GQhCIFwEhGPJ65oDgiY07cjh0EyrN5ow+uz7YqpCMqRzBJus c4JfjtveKju0VwZEazhpwZyJbT1+rlxyjyQHFBNx59ssOcyWqfcpRGsD4q59/3+gXV4r+4QUc8Am /jbvC56sBzTkxaVlXhsvxTCm9JYuzEHmmt5SCKwLlHhN8YWUawQSdPcvlSRV08lL4cNuACcn1y7T Uas+8D0TGTSTgGrtYh5pvyLWpYnJGUkwQE6A5pMcbk5pqY86CdD6lz0BOnDs9FhDxye820yf5WP/ 1A+2sj3VJgPsfXXiIBxwHvIk2kBRvbjGYfPPyIxjw2j7HornLikarDPWIdiN0Ti5EJU8BtBYyY4Z U+bZBFRcgKu8+GQic8xoGTUu43xcux029wKT7QMfxM9kwUt7iww51jYsH68hXq0qhb9jIUnAEaoC 9Qe9zvlhdYouppwOD1o+k4w4AXquz0MYk737oNs8EK0KtzSgCHJYQiUKt9zpsON4irXb+cdeisBo jBeiqZ+DSyMAgEiWl6/taPgaBl6RFPsd/hG3iVXzk4REZF7VpU5R2iklmX7N7Xj72iFMFAtE8G8D 6z8/WPorYmdtyWz6lUe/xsBEqnY/eEo8o7YO5F3hMzmNW7RglDz9sc4uLhciqfo13tRnuJWMcNMv 85gBgqvf5wDWcfJpO2OghzvGnycCFQpiCHwjKY0ot6N2buAlB029WzEpFLocOHpMXWtl2pvUuDJD bnf8FebrbXMeRVKA5QJgWiVcN21dfoiI3Gr1xXK9Ih5tXEAcfaVQ9LNeQ1bmltIlYDzJ8TGvux4E 5yoYULW0zAH8mBvt+h0vKzG0hmb3j3wm5vnblzAFTda3lmj/y68dHlVnd7suZD6TSEhpOkTAMUKx Hadyj8ULDsYhSDM7+pL/Es4RnlDRePVAs1IVr167EzEMcgK0eCbU41ZnA+CWpai95tzZF1wHr01w SYtr0IOwtqM4UJKHfm1YDD9HY3taOT+BmAaOeYJWXiU3p86WrKHBBt/dtxABCi0AI/z9Wk+438Qt q8v0ImLNXUfYrwQSZCTQdljUT9XB4daY6yZMOy9JCZI3fYyCofqIn8v413igpdP9Ok1bP5Et3yRl T/yR8BNCFFpKI9REpAwzfp/PZ5OBkRnOQgQpKWZaJZbUFCFf7/BtTf6vDm12+hoBSmKlymPqIqS7 /7R52WLPJ5Y6a0CAwZNmz+6JWA8fUAtc5KjUH3A+rVocSAoiLzG3eJNyKR6db1k1ceF64KkViYJv EH6G2pIXcxysI21eMGRbOquwAWwfqkB1+yGrpvAm9OoKoDWwKzCXrkUsObQ/4Z2YFIqqS9eLE/M5 9mCnaXPU7F7pHM+7MfwMoKWitKrWB1gBAhNO7oMkLaTlI984agy3RjhWc/glaGjcKqoEsyCIN9El ko7EiKza6BhvNkM0BP6RBZ9C6NLrQFMnlG0WDsQE+mLcitaYw+yfsL6wPeUL9bJoUlr7SUcuMWnw CEqYEe5N3CRIh2c9m/v6GWULh2QpUW5N/lqoMuCA1VwVpP41Jy8M3ip9seJwD9cj4VNOHvR+20qZ 7dXV81fhlHkkmi+Dkvzx/FGv+d3mP0cEBf43/T2JUtYMx5ZtgNAkdM2YrKt3aJpdVeoIllEoGH0k b7C2dfP6flOXnnFZBP9+21SETUT/9liC/pfQvgjmdkX7O+ISTRy+FsFZrdNOfLN1yO7jQzlFJlhF J0hKwVmr99AiNSlx1JYCnS0LOtXOGf2siVNfwBZp8HCcVyxFMYrPVmfoHOLm7IJSNYGkh3QaiBqs jVBzQaT8JZRSRHSEKxd3mtekwQ3phVEMnKyNbu4slUbJLuVAYcqVLaQa6Llbs5vGs9iX6eemQVob Tujcka2ZHfmOiZeroITVQv8cBR6Kez5xjhJSaveIBmHGsOzEiACaurE5W46X53M3+cUpqh4Jz2BU 0668z1uexZktKdXJMXGEPWncEjF4W+l6yJ+eyfAwLGWYgRy4V8STbqIPzKnrG9RcFZalTrLQkekE EBlo6veAf+3M28nxCOsYSg+qfSGCG57X3SDKEbcMF4BNRyzWnAUhs6FWSSx8yrankeXiCCb1R9WV 1gJAjXWnWT2kbwhWGOBbJY1r7pBzY5wzY99b/uH8DKmO3cq7OwzwFcBpu8gmXF3LcKnozyp40DON g8c8w6cQ1Va5cvDn6mjwrsq/sXADW3H9+a8k7UxeZel+CeqVNbf2dxpJ9TXZhn9rsI9yfnxFRt4s vEeT5jBgyHjG86KvkyuV3pQ3LxYpUIhj2IdVecFkXkQhSVmM/gInblBbrSpEKvXa6ZBQZWNIAbt1 OfHVmkcZxSrSiwIP7P0fbmE5DPQszUhej9F0sglinatyhBX2aLEpLYhLv206Sh2CvEdtOzK9PkHK D6TLnfNlGycRiEkpYVl/4U6JIGdeMbyaZBQUxMxzMMgdopNwi6kBW8DWGfqU1RMmqwqLjpMHVM4T 7cAkDzxYjLmAMsMLsO6f28F3DwcsafP02FYoj7zxoPwUiOZGMhhtcINbA2zaOfUkKSx73avcPIAI pzLAGsF9Z33ng36tvzoff4ZjNOHZzYYitGVTpsDlY7Y1DkrPpeORtIXsNX7KBbzWbfeYqmEVmQds u1ng8ULGzz0+cFfvwTyv6SPqowYY5edD/GmmVtRSXyFZT7z34pR7GKXA7kcyUZbhmgOdDzEozo2o rrnXKDUerUIBFbOqX0V5VusVCTyf04iFDTbcOFO00iaw0OCVBrBU9P9Ai/d/bsFfolXVBKXgIBVG zpKw/6mf8fphC9vIZ8M8H0vk9iuBlDLjamHbgvdDl/0N3uFwmRAEWjxDeTEOLd0phamSAElnuPrp bWftEHyG2gaWsN9Y3dwd0mY1SYyJQR5JEeI4v1PWy56CO4eiYsalTE3m0v0p3LR+5vtIl4bbLGLW XGiNIp9XYgEGmaEqvsik8wqzCCy8y8yUH33NyrvYmZR9AmYIFoeaCJOfiBIps5ZsTFOMSa5gCVhl OECvvw0/xieYgYxMIQHioiVmFtzofFHLJ72MiucJ75M7sD7pInj2VmpRNl/Duv00LbiqkY64pF4K +OHpxjTy54jTN1BxbNm65kIiZC1NP+FQNVV4xejiY0aFbELZFb6QoHdUOLjO/p0Hi2rQwhq5Qsog fxBdp0HjlKs6nwIO1UsvBqhaySnHN4ycT5Z6ZfnMYpK+0TPwNO+fuDxJRV95VE/2qQRk3I8BILUa LxDh5hoZLvhkgSN2gd6z+LElRY1w4itRXOEOM4/LUGpwzNoF3rMAEQqWD9bIkDHef//s+Zx3G4Cb PslFOeU3YVUM8XgWN61X+/4YXcCAElm+OcLmK890cWthNdA4aRpmS5hSFjCdHv+q3Tvaq+lEslt3 Icq4NbtGUcgY+L4twt8uxBbzitVmCOSUnuDAlXzNaInnXE7ynRvYdiVrA6RHpiOecukeDLN2qpcj jXNuQutQQ/+s4TUPq//EW9BCpCadUFG2wj8oLiUW8Tkx40qm63ONj5v72TazgbcgS7DBoQQDS/xk wCnCUOh9kAQLrHho+TlkcsqV/82BHoRY5R4AAQnc2D8v0P8SWB3LvJbOBNVoPv0+vQ4Hzhtgx5dH VdRgqMQnlkIToItwY/6ghs7MvSyluotiarun7tGPnbZOmgL55fk2XT4X0JOF3mXIzqkj8dTOQGxl rs2XJzJIBa0uibT7wQDKtr+pjfgy6LIYsIeHXtApwbqiIsR6sjEB7B12jtz6pEXSyFlFc4LOIWmo R9u/gqXZhIpODubHtIpIZ9kRQlWG6j6l4s5EcTWXoIwsID3tKLw62+h1BKhAl5Rw2GDzE/ferIWc h/5gF1Up8skM8BdXH3GR0YVV5Bh0MfxNsTRmN5iTRviFkVaX0lv5Nmb7gL6t6CZSElSUtEA56Yum vMMYaE/abM0UilMts0Tw/PT+4tEXEOd1gNC6L4yfXSGSdnkHZN2+CmOgOw17P+/xoceGgn+dO2nQ CoEwvQj7lSq22euGVDiJDOEIFQ26ICH0vV+UNwIjrYcPmZQVCzxSnalB/r/piBQaPNKCY3I3jQcw bBfW+wXxkghROEvtuKdnNstUoK5dr8+XhKEfbaOSKhmZBpFe9Qgm2PcW04DLu9SjlyII6qL7SnQc j0mY2BHP3L0e3jhLzb2SpcqL1InR1p2QXctgYpcBzzENrDREJnTq5IL7qYYR7K6kmdAgqodvL72/ S8H1LdlOuar+1pgcR039aQxvPG6+we4qqfIa81cEdyVlYU6TeEq2ioy7po8RFJT/AT11glnjkvK4 6xytyMJaCJIlwzRJqHkKj+21TIiyILPxv/9IQWL6G7wupw6YuAnwiVLFe3mdWMJhcfACGPahC9El hRs2QGpSuDSfWXy4iYYOrHynKa7m2xCFSGQdMlhr1+v/b957RgAuu0puoQbJKCReJu8dcOoGsKCf tJlt1bsPNl79neZqUDzRPCUBRTN95gcrCRoh3br19Cg1FjRTSL/t3yEHQgzrgDIK75ODS83ChwIP J5odzEbIsb22ht+z6fM+KVcaXSivZvSRmNalt41mH4dB0uLNtAUqzA/iaqss/T0umId7reH/9o1b Djb75FGOmUCZhgHFGtL1J67laDlrq2JUQu2W3eI3RhktOc5NkLAnuubHvdHbwUrxWA2nPFrvISg7 2e2eUZ4jT9Mwel8hBo1rzuv2rYSpm6Y0NxUsoHeG3Ka2A6SAf3fY6o2rKo/NgmtI4CSNCuWVyf1O pHDPpKZzfj/O+7UG+ZB9aiEeiBVXvw0kPjh0VJliR21Lk8fkKC+/oPVgK+ehMhrCpKGkDDwI9bd4 O1i7Lt2sBm1FSWQEOxihf337LS5PgTNYzsgqIFD9BVNmA9Tk1jEXH8lKS6g6ZBIud1uYBe79etac JHmDYRTMtWQyG7aITfDZK3XUBuh2jnMCc6vRl9ksrrKxgFRNebl+9ThVKFzm1XlyBjL6xGK6Ywdj L1JZRQNEes67QR7QgulYgyjXBMmV1AxcIkxRieJIFseVwmPctl4IrYw= `protect end_protected
gpl-2.0
9fa16d6216d998dec40b932e026b357d
0.94333
1.845896
false
false
false
false
UVVM/UVVM_All
bitvis_vip_sbi/src/vvc_context.vhd
1
1,718
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_sbi; use bitvis_vip_sbi.transaction_pkg.all; use bitvis_vip_sbi.vvc_methods_pkg.all; use bitvis_vip_sbi.td_vvc_framework_common_methods_pkg.all; use bitvis_vip_sbi.sbi_bfm_pkg.t_sbi_if; use bitvis_vip_sbi.sbi_bfm_pkg.t_sbi_bfm_config; use bitvis_vip_sbi.sbi_bfm_pkg.C_SBI_BFM_CONFIG_DEFAULT; end context;
mit
9da5b092fc496596342fc84925342c9d
0.508731
5.36875
false
true
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/clk4Hz.vhd
2
1,503
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: CLK4Hz -- Project Name: CLOCK COUNTER -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Clock Divider -- Lower the Clock frequency from -- 50 Mhz to 4 hz -- 50Mhz = 50,000,000/12,500,000 = 2 Hz -- 4Hz ~= 1/2 second -- Actually 2 KHz, divide by 25,000 --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk4Hz is Port ( CLK_IN : in STD_LOGIC; RST : in STD_LOGIC; CLK_OUT : out STD_LOGIC); end clk4Hz; architecture Behavioral of clk4Hz is signal clkdv: STD_LOGIC:='0'; signal counter : integer range 0 to 25000 := 0; begin frequency_divider: process (RST, CLK_IN) begin if (RST = '1') then clkdv <= '0'; counter <= 0; elsif rising_edge(CLK_IN) then if (counter = 25000) then if(clkdv='0') then clkdv <= '1'; else clkdv <= '0'; end if; counter <= 0; else counter <= counter + 1; end if; end if; end process; CLK_OUT <= clkdv; end Behavioral;
gpl-3.0
42f231c9ce3eae8c795f215ed861c912
0.483699
4.040323
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_viv.vhd
6
14,043
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XA8a1NCIa54duRXnwT+8U1CLRxg6QrNOGXQxQU3tVkcCSZ18f+fmUic5xM+7ktyuqRB+Rw1W2TCw fzUZSX9lTA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block I3qSZD84KxcViOpryHAZtFWHCbZimPQk7imRIGbWg51t+WwDk1nIy/TEYbEhzJ8aP77Naq2NkkMu w6xVxBYwoHxpMUPk6qWcPPgOXA639P/YNv5K3hgpOMVran8n+9avT2ZcyK0G632nCaiJBCriwZFJ quU05OQWXar8OqOBXio= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zM2NL1CHsVsNKizJa8gbfjtjAf23Aa7xQwzUQSpLMwhRhVW1vyEurdcSQ+mg1isl3RJJXPwNHZI4 9FLemcR1uYQA4RdaLBLJyUBcR2kx5sYFASNRwYQWOZD5G/eyoxmD/Qo9uo4sFHEZ3XL/sp0/D3Oq aTPutLLT8ijnmpzkVW62+g5+LosjvRtoeOCVKjGMnXXbkCiqelOwAO4AOsHc/RvO9fWJA6vlLIGl dBVv48l53S6iK8DMEy5yC+E5bTc1GlKg3VLJSZ+BWMLiW3sGvTDHskMkB+hrdpij+8rW0o5Wjp+i PaOI8inHCLwBHcgbg1hMG/aUZZBccfwJCbhb0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jzjzwi1J5HVPcU2Hq/7Sf/oCGxZVDj+viZCi74AhnfPw+RfdKeWXXmaNF9I2OcU6R4f4y/1wTH5i I1foS3zaF3vPZXjwAxEyNm2ZTzQSYIIW5Qo5bic44IgE+nu5HnQavoTbd2DQyad7cPkNR6A2Ijcn KJpqX1GJcFs9W1audLk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Jv5frleGBWpobI/TgM7+koSUaMm5XiDwvXTUyMLek5rjzeDXJvA+KcRWusNoEcTqBqkoxVFRMira dOUYuyaRQUM4q6PsahnHEdaM/AvclUrUg2QFqEGPn3biIE0lFe05sMo8C7vInm3h59KfNRZKSzNk JMK5q937Y0KsX8k6JFGoNTcjm90uTbv2FtSTknB+yFARvmY7NXSt/zRPntOJY2ujAr1kZIyFaC1U G1WhiNvHC6qp1CFhGCiesymopxDvfKFwaZUvsSh5JgDqkZqfZ2UPz/EsBZ5SC8boHEEDs0x+8SWB wqR6AxvZEq6drP/HeOtnLSRDNcoDmPQHqc1y+g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8656) `protect data_block hcNXxvpMsIqPKBs2rJz+CIoFnVC+QRhgCg/RDpfcFbyzF+GfcBxI7vCeA/D2leN/5La7AircwhCp n9uLzY072WN9d7mGL6R24wtrX5PYU0QnGAaGVobgy+JUgGuBG8IfUtquAcVHuP27Vl6FnfQgwM0g qlHZTqWR8UzxeuuRV2R1NucMpsMzmQBZYlTfG+S0kokRwTP+6YH7fK4PXOE+bDWbcKj2+dUZFGwy ZRYDGAPjxGu+QyyZwh9ownoSGyK13ahPqYT60OkimtXOWmJWs8n/nZ0cySk17+vlzvF05r8gtOHx j7jv+HgBQol0rc6d4wrC9IFxv4tPk7We1e+j+91vBfl2Id42mWkESciXfP1Psj4DNR4b8uQmJFED hUmbwLAGyA5nMfLmxzNl2y04rNzgBmgZd2Bk8nFIj8sow0O6LrfRpmY/yL6K0JmexeCqsaYBoOZR XBlq7dzF7uhycBRMuxFbzFlVxohRvh+DPT16sarmgSPKSw96ptlNFaoYzMd7T7A+raxMuBCQXHAl /Ntq7lj5OuBkNwitplLbawffURXHcmnCXXDF3N443vcXW7YrBDoO+MFzLFyprnu+7mIffjftprxg /S6lz+dJjwNzptn7za5IeQ84UEsMPCc9tKX1ib1K/lIjt+kCI/Df+0fKfGPv5uE6tRQsXRTJz5Up uBpkamI/ZOIKuxk6utPBWHJOom+oL5oJV3iHx83ZvEhUfZyxnJxrzodjXoSvj1N7PFtHk8dF+gEx s7PhPb55OmjAhjkw/lHJOrZ0jpgS/ncgV158SP+2o3IWYRzxIDxHko7xtqIMviHK3gLs7hkTODaW r58MFayAhNdtYXRzWiuN2FX6X7H+QnWp1ZXG215H9Iuk8H8awIwIGjIY2y/iIUZMK63BGnifw+Ar pktpWSAo3Vo6iksY7rxLpwg/+eFPZRq8DkYjT24iAeEEPL4WzAZM5YmTNg435LBHRfbjv4ndBi5T LFH+vi2Ev3BRmKgInWljG9td3dMVzzg3aLW4J0XQrVKilHu/GRJlY1GbglcUvqv5+6BiZ2yy9/I3 AFwyraMGheHSrtUmhjOLSiI+O1ISbNGhN3IcLngDxRYRvidEn5PQE4OnBDzEtkqweGwJAukUupSB SFkT1dgcpnaHofpOrUPg/OAlwoIJk9Nd3VYHK5QiUCQsmTrwQgrEpIeBLAMKquyKChOQzHJhSxjS XVprJeAXNZ8+l1dzuyNVyGldmwjvs8l5A4tQIp1nK8QB3S56CZw20IIuHTcNnpk3Eb/sk8AFfOK7 vCMfrsqvFmR5eanlqCmvmP7hobSLoKjMzyp/O1quT1fvuSO4iNy2Vyk1GMfcts++HK/OvUNqG7XS wWYLHZdBoLuBxz/frl3wmvT8AlR7NV/ZCag7sf5llesD6ovoMbMOqRJCSVAV2ST1uus2pOuSC6oo uiH/MugrwJuY+11IwGtiDG0Vrg/KDKVAUAObdZeRpxUkJ0SIjcCeBterke6vmZBNdOx19ktCSg+a NyNEo1PmQMuIpiqfAcfakfYijuiHVEkqnyXSjwnii/sGDVCDnyrVdRW+JbOPAd0vzCVJa0cn1Pdd XDHlmUhCxpg3U4xYe9Zed0QmAUyNzxHs3fbBXw0kBYUY8TwyExoHXpwtJRHKtSOTRlKY4358ojKc 3OTTVGblgCkjUdjmYxvi0TUpwU62GPoFgOZEBtEk+lgddtOGYvYWarrlwf5pP2kPcO5TBQevxmh7 cdTEV53WmyVOLpAdkNhQpgo/11fd2KBmwR/6SI9fQjjgByRmvGjVh/wGfFpo26QSxDZov741fJq/ tsFRaroC4h5Xd/N7gMXHQ9ZkUzfs/x5YujiLq1nDI62cqJ0R00eMh+Bk5kCInmaAvlb/STAM77Ow D10Fxx9CXixJdSbOfEbNURhpD/fPgHB6WEt2o8i1pGxJAFmddCLvWJjoZ7hsnTcNQkNu1dLgM/Xx GfcSKRfB5x4zwLYKrsmvnNuHVJU4XmlzLsJWDT70WlUWM8kmggRHFaDy3werOjl9UxpWonUUkgua qetEggMfnDCXERulhBxD6uh1F/mrMFCfFhYT6/UMfbyAbsIVxoHYQ9Xs5m4SqzLCNNo2HjTi7lo2 ulsF9rAhcUI4EmiEHWU4JNujfcPl8i3qnXo6V79zdX+ZaWSOLu5Vdg1g+oVcNnKeozleOVY6+1WE i5q5k/OcD5HuYLIGIO8E5b9wGqJrreRnPdGUFQrt0+tugsX7hsK8+tpptzlq1O4yE+D+wP+cW8n4 Ga4EtgrRPgy9HLCwZeHY37VT/Uu5OM48xJthVtj/MJePG5YKhibmV2HQMvMlMSLMugId3r0bv58Y BMz2TZU3wVPrfhGXRVHLVwU3nh0UgqbWCaxvzTE1FaCf+2T3Bu2q2Mef4aejR246pOrdaVx5cIrK mC7mCv6fF34yqvxAJNoDrBa9ouffuhw9fDZSrK8w4Ae4z9sfRTPIX/Rc6oP6usIQW9uCCWkL2rZq dChVOTp6fhbfnW5D+tKKQWMpGk8hPL9YYBt5LleSsplIcJd5v6wCnOkCsJAr2virlyELPcUNrNku SPfkO0fmrsxZ0z12IECs0pAU/4PxpsxRsdM+ExigNWHl1TII/4sE5GHBqxr4o/+TanGVEnZeDNqb rNy5hlQ+ftx2rzc/TrzrNLN1tUF7Vt7XhumFq8a+4HzOCcAREkT1Q/aZYt/BOxfmYBu4xK5yAwlW 0hoJhSfvxVbAIdv2q56MUm10cqiQIsM/ED+52STO7lUJvy7DMn5/JJeYnTwstY4xb9JHvMY81Daw XoHltTdHISBHFX1vFfLhDFY6evYE+qfUQ2k3/GEGykib0MAbWjlq/+mjQkfFU9ULZ7DOx48FsL92 t4e9+8A/gMaUA6mOk3KodfPmGuwiS+k8PAunVjXj7y11cCeJfsKWIkD75OLKGIm/qJQYj+fGdqvB 1aajteIUmQHZ3l9rl7fxXAAt+sMbhnCDWYIEOh014K5a15e7dIU+nCz2s9kaArPttgjcpBYUhisM JKhviTrJvxXDcYZSD+ROBWYx8NQxtxPzTClNF3mOn6AgqZhs5BtJAenJIb7XY6EQHiZghP4FIiiR lFSo0tnrqyvPsRh9zyiioIVX3IxI0KxBPlVmTcObFR2TFR0cuxlGdONU1BPyQZB2UVvXz5iG8w2J uCRUgJaFvfdPBt0g71EFb88k2SPZfPTuP9L1UYpBx0nmIewkPKvnRif8K5tRZTN+Rv3zopB7oO/9 h2kDiv2KQvOeNZ62BskfkgcmEMP4jTegup4XTlQtdXxti+S28KrL/wOktm9K+YUwm6pPu4/VbslR JvF7EX0h6xZKLvR76Pe1xnZOl1mtIwgXVepxlKQ5Lw5zYvMZZlsAQc4hxbQZveKHUCWSYGF2xOzX 5DUiYHPEAJ5pWfOmP6AZSmzHTR6+Jxn1zXtuz8O+VhScSenp+W0k3B0Llmy+0j5kTSuxEURGT12h YXiC4BzkH5CSo95NAbgJluWR9VLExGtW0FBLwYg/e3DZ1irPLtmRIXEGRzcf7okRjEEn4ZGNxf5p aUq8zU72+DcQzwM81ZnA1U6/PxyVdpBD3OZJaYV3qwOiCFWvAxNwNKh0zdyjF+vSX2lqX6SfwmQD XZCZImoAEMvcISxWtTmPuGBc5nvs1hwTv2V1IlwmcqLuOkaRSgdSVSSOYcc+j6gybFGDEhh8x5w4 Dkedvthy+NSW9TBrViO0iv7ThjWoDjMgcim1j23Ym8+IQdgSA7GHXCQt/WJo4xjwurkghH3FYdzg z2ivY+sM1ri/tI1a5/XvCry5m8b7yu39Cjj+z2zMhY5UqaVAUD71j5dAavaSRDGyNLyx2wfKwf0f Kpdxywj2129084YXtNMg+XzLdmqn+4AABCRevUwZFm3kBozLinNWSEHR2myz09bZkiNJY3rEHRTF VK/16qJaY5D2sAP+brPSMJt3E1R/UvRSkGUsLefc7VbHFr+Owhq/nPM8D0b+ENMUAgFuy8In/PZl jL5nmy7JFJJna7m9PJFdz7jPGDrg+YHqbbnjSB0V3MldDk6+6fMniJ2aiHh+N00W/dOa1fs5NkKt 7FzmzSHAjZxcCQxYV3tfp13Ckc5hcKHEhja30MkOw+vHYRxcVBf/sGHyMEJd9SAxEYHKR1niQV+f IwnrajZ/72csNz4hfZRxDsoZuZIh8XuPsAjraGv5n2WxNzwXMu3PVI6mKYX8GMRyuT9f1Cs6BKbL oHJCCFlqMgVBFL+XkvRFdr6rpNbGsIliKscT7ceznnpi1BZWpwM/WSzxhd8qDV/CjgFdvCpx7Ba2 hggWKlIX56JiG7GxufBTjH+NNRc1h8F6bMOsK+47KqyzaVUAmtNiyeOfnABWg4TOHqyj0vTb/Ru8 n4/gRDC6p1KZSwhHrnKCynVCpNTVt/93Zi13VmcF0GR8nMhbtDfBrJl1ne78eRkGe5oh3SxBgDR+ yKsKaTDnhwGOdBmHrbq9OPczsLhxfiCvs3vUFFnjst80uqFc931VUNm9Cwdw2vrfw4HR62QpJZRp IuxzHWF1WNso9mk9R/2Ca2r1ZIx3M7wuarrJRQXn4l9lyGPM7XmqIEaZo34aXckHtZWEnDO9KgUU ZRjpXMMcEStOgrfGK59JspLAKMsR9CSqsl3n02W70yHftPbrxOtd73rKBSaC+l2C9S+t4sd2s+AP N0rkkNho29tiwl4UvrPXql786G70wqJB1G40ABN/T0A6sE8L0QBgVlRhdPbrwKOwaYSLFBeMmrju +XJPBlomQsVTF0qOl/XeDm8Tk8nFjAUlzHXHqA1JiUx0kKl/PBjTP7meKZPmDZuE/lIC/hOPSvrt VdCdBSYMSW7kddb8QuhU/IcJTjNkjjNnzGqTF0ZbpFp4x/TdaboSIO7N232uJVIsCEBrcTC2iEjJ vn/O4gYx2HkTKIf4dXz1dAPyr0uMxEA2VVJ2sM/HeYnAvlRU3X911EaBS0Ga9RJnnTBYtYvMlGEq yeaM24Y01vK09SDLJC3kUTE4lh6ii5y4r51E+doahvfpZA4OQEgXe6FuWh9L4lf0LCPuzkXmBEGJ wle6Y8yY+d7LtMOgpXJeamAkQqzqScySqYgcCfH9ukjA4G8yCE4yRsAw8PhVZtYFn27A810ymdMt 0YJNbtwp9WcvszUKtSBatwrDS6BuJCB/cn9Pyl9HMt2cjZW5k8+PjjdO/IIO8WD0F/zbRAsbWYS2 QPpXvE6v1ILcl9Rqv5zv92HfrEN3YEzO3TfRYI9roZVJIlR4OGN7lp6lAUzqdl2tQE7O9J7EoZWb TaCNt01Ne8Y0j+AgBvuM7PLM6+cjdahp87tuUvrKC40FV3ULa85+hUIL4Ew8xXTIUfFIJLWibplf SBygNV7/1lIahBBySDbljY/xQtSeK+iyW0SbQLPjCk8zM9KeaIED89wC/pkAvopAHc4QHu8qYUA1 zRSB/8U39KqLGugqpj0GmgtU6Y1rgDleR/l2d+oQXIFMeN0xtHMh2dVdZSjUJHVfN/6X4Y98pHFn Wzexpq6VR+XxVe6wT2ktFi1K8gMQwOx6R049QZ6vCnmXyW6x0NBgRESswsElS9vzJjvlmYmjbih7 wHWnjQ0KiloCcflmjPqGW4XScNpnP7NueC9yb17UsTWa/vCr5a4147RMd967YsRJB0rQTVHadw8c qchU4AaYEKiTWf3ODYIPvm0sFtACCSDyAAS6cXU5UMF9l+vfZB+azbrNCJqn08IXBSDzKeEdlhxJ PzE2lMQM8yA8v5DX10yD9FPDS07ehsi0oVsbOv9H2rmccWcRt7ZdhGK6y9EgOoZGmZptdgSX/Cxq sZYibwzz2kXphCEXYt/FVbUxvGM7MG2erf2HfZRLVlD2W0p1TSdjccJ+Lz8xcXsaW7qpBNkJ+0hh uKt24pw3L2LLE/8I7sDQDJvCUyxm3eF1SQye+b4SE2I2zgqg4j7IcRk3OpgWdrM6W3dlKKFNQAYy j6lMnkICUWIvYrxNTz0fk8TzPH6g3+iDsGUarAofcL2dHmBjQWIgTPGzINURyhnUQLbvcQ7PJbOO MYB8u+F7qmfFnwlhJaG2VBIaPVGQNZKYlyVSQoPRdm/FDHhzQLPeubqu8d7W3InCC+sJSo0pOqiI lN53A7EezjJbykbC7KRCxYmjzj51lCV0ryNXzcByta6YhgpGz6ImCVOgQwE3yNXZXnBoW3em7qp/ 9yn0lz5oTvMTakgLT0W8LJBpuKIdJThVG7aixBsfaFF8jq2erT62vtSjU43JBZJw5KsPGz0XKYyd /xTtX8mAzJuWOnUZ3qCtkX1CvvNBG0rDDSkQOm+gPL45Uny5vcrxuCK9oqlo5vzf6jQtCOmyV2RY /2fanUuEEPCn6vq4YBGH2aeYUDGKSPtyM5zVjmSW3M8Zft+m1mKY2v/Wo+2BjPg7D0kSZOMe7zRX yjGTkdhftBsl34vsjW0ZFjoFR505jtTfeVXytg36erKCF2Y4t3UBpU0XsOz4f6I9oKMbUC/wheFf LdwP/cHxvQ7AlnrgIwCg4A5AK92skdU/MwXaPkoeS9EnpqhsmhH8ftoQqZZ7Bnh6bImW96Pv4l/G +iYiRBOLQK7ZTci31L5p2Wi9CD6HXvSVF7siYvOThrZNvtsZMkmEaJIJeBkPM/VIn29uVL/Gwchh PRXjDBLxbaH8WCG1PYdYUIhh24SKd+kacRtlJsQrd3SCxhuHaHppM421JQlK9MIKEc3AdJ4ZTvej vQ5DXj4KUl1QRYNFuiJeX6CU6Mrq8sSrVchw2wZB+LAoTsyHDcF60aRx0L8+Evv8W5Xkm8qtGj5d dBzv2UhArP2sVE1KSE3S+VV991ahNpcHK6L9Hczmta02Ph1jdVliETwxht/PnSJyG7CvSEyp5X49 jGJGi21E5u+yXds9Em1XpqX+TegRKsXHbgSF+wzOnhf+vYUjRK52Zd3lPVBM8z1xpUEav6slFxJI Y8q+a8XUKr2XjtsOyQnphG6vyMFkDGHG4TsqDspPRFO7dY79DIQxb8xdspuv4ykGMBb9aUjqowPH jtMUW6mUudWHlIRt7noHL0FE78x2Ols+3dK8AZ+U3674/3hlYD6wO2lbGfwUIQy4jnf2ILGlN8OC GakzAC1dGvB2vhhNowzh50pjhCdA1hr8zk6eS/YQLm0DTxHCTR3GuPDjSluacKmte2y6Yw+mie/P BzXf4gfgcgKY09jca+zMLgWB239Y+6bycMF0YmdMY3Yko6Edn5fXJbJjYCp5vzXf/9E1wtrHLG6o H0vIhK8gJ3fhDEmK0bW75j0kOot7cigaFTTMqL2vE9ATWCx0r27iDKIFmCXYNIWVQXvfqKGffDxS 7qPGBzoRb0BugXUGySWxRTGlnMdNWQeorHf7Vpd7lx+gAo6hoJpkOvtkCF/fHbFGg5A95CEFcPvq +2u0VWG+aAWAzdGGv3b77TrsSux00n4azKn4a2OQqDD0KrbRQ8v5PcBXrkhlxoc+kMtwwl6geRyk 437gEBR69hNt4xjf0ctCVFhGYKX8w/h2ITHdw1C/9Ui3a++R9sSAixUpn1HO0smDfXSANySLfOps 79UYu/mq7G3cSV+KZSYJ1BmnOx5kCtaCfr/SV+4uwq+XTwpLzhBHCs+4/A+sx4uv8HHD0BQXNkVV mPOEhJT165ae8DR3FXY25O8vY8afivu2FSMrQuU1Pii7L4wrBL77ul1z+FxIJSvecP9EKCCvsN9o d+efNU8PtJT/t29SC5GgfYeJ4v9vvt3MZNtoadLkqvjlpvjxcFSU+Fq33hI4uSU4KcyBHyuqBcf3 JoOG6aD2zYFvyGttHw04wD7mYOLkLQBSooRdb3x9Z/6JAqU59lmlJ9ylVhuxYRvUq98Hrit+DVs8 QvIywcA4ofYzsXrozchuk04mH9o4uXDXaP/JKakJNAPti1Msr/4kWBcvGAgg3fIKQ8EWLM7TQ/F5 1AEpmxahyADoSAFTKvu+g34UqUzjEHlA8IQd/kQ5mMf4q4mATy9+29Q+gZ5DnGJz7tPctvHveR6B qqnKY0fknZ7OSMwujgl+AiP7d0AaQIFiJWo9rWsE+FVl/V23VLAgSdzPC7IULgZXcjY0Iz4QHDxK 8gx7Lxyo1s/zcL1gdL90vawVsd67TpciHXLMXBc7q9vfyQ9l1/aN4PaUZtZzpMKEfLfGKZ8xjcVT SiHlXZW25k11m6ACJI5u1va4ktLpzcj3KkKBEt5Lsfq4dm3VN5Noe3izUGT0CiS84B1v/i2JJW3+ 7w6R4g5tOR38oDyG/uzwVp5rJ4/oYxdFMgBH7jyGn8oWLCSiubH27x/hvab0WDz2C/oLq3u3mSbF LhUAmwsf3zOkBvsoIJPy+eLmHCOm4dgd8TNlXw81dgZcXieo5k9juiR79hdjJFEcOTgLopakd5aD qkTs4KlgGAVj2NPvdDZvQnBlaRylxzIPB5KC852SIHfCtHoXgLHmfzH2J4dNaZMplMTCuQF/aQHl vlpa6eZYdYBanR1px5T609weEXPTOu3jdTN5AuljlJI+9+9SqdIqRq+58C5uNjlo7LvSjBqQ0dqq uSeW1Dzn/184OAe15pzKzSRbzTjFl4fpxVl7h2fM3hiq6/o+082K6YF7LAcJan56UUw4RnbLJ7qs aTYORowS9SqujRs1i4kCDt3sKqILo+6zbvtbq+9uimL/PusQM+AzAJ8gUiiuWU21uQoPXU2PP/8s jeWgaGNzZz1wxYa6HoUDLUkIqexhcjMsPhncL11RGo0ZKst1qbeqbQsgn3MBZ4i3lbWDKt7/VvOp B4gdkwXKxq943sW69lF1d7mbSyL7lluZAjVNMd7pScFWp6dnjAkpR4w5LRhe+AeuTW2NRpmrAfrL Z4y5cP1WWnu+tTZuf0WEo3hc6/cVB2jDBNXalr1FVnZ6hSSo6J0g1FOJVwajNmWWvg+m+NukWzhK MSCr8fBj79LaCDUUWgwnEulUOm/k7Er7tg52dxlSvcUI+rJL+8cUrT4jNzh1KmcQb9Wzscbq7IRv kVpw/JjB1tPPsIqPitV3huUSMTiubpnKs96hTSFtP12BN40Us6MnfaEdAoq788aUPzhb/pL94uwV CGL4hbK6DUukHHgeYNVFVtcBJ2cJY45vitx12TG6E2GhKGO88LfSe5VH5rLNYbD9Wy12vISti/Dk GhPoc484qUzTAI4dbGpM1lCXsXLqLD5cSGaBI7RdfZyAB/Cr35ho2y62Lvn7xrT8r0+Y1KugA1Gi bLGamm1aHyzJ+YIw1gTYxvjeugeKeNSzbuquWp6PF+JDV1QNUrAri3bQUiAG9CMZ4OVX/h+Q4vzH tiSyh3+rD+JiTQsaP1hVP1zRXRtCedbasSbGcy0iQA2PhJFd4e+W1WcYkabakMrDFg29NpScurtO C/4QLuE0edrUilmIZagtawCKbRQ4o7zNLET1nHFLplXabuWq0X1NwzmoSeBg/3yrF6OOCAjHIG86 /8h7a//ubDaMqrS6FcUfqmJuaUHjF/n3MuugJGJ/uX40LGFSxmQPzJw7A1H+S4YhZvA2Pb5jBQCy K88A+UY9WKxNmgijXjtqXkq+JOLANq67e+RqCUEhHVCOL+870VbCblDpYfFF2OJoP2s0UpqHwt6E EaiSmk0SDogV6BG8pHQkhPNsLXnUt+HN3W2hW/j1BCrIInUjozvwWukQ1tLa2OzE1L3K3bRi6xFK 67pl75FgzeeGetO3di0L6YgeyhFX7OAPK7q4tlVWyCWCVwb64fXDdOhP5GS6f/8+E9ejQUhWPDQm JB8CqT3CEKgC4scNP1PjbqHN5/RR3dY8gDIRVvdm06HRowW5RjknzO5mUMSQY5HvnHKPa0/VOVRi G+HQ8wr/BrGiNdS7R+6cZE2qJGEGS+z79wlnYyWB5PxfvlhL2eoCvjzr+G7YMUFjyLDjoPJmXF7M j4DuilsUA/BsflsPhOQ+Cmu/4XrSJ87GYP94gQg/AggZyq6CCX6j1A67gAdH3CJPWrGMRD/hT5OH UhPjUnyfTs6ZP9rQArMKNi8Cjl/2IZXJ8wdQ9+izmdeuHaCGLlbym73G8wlLaY3BnuNFQ63t8XJW ewmCbdKwjhk50ndMQGCXej2Xl4FiRjjGwXSoVbFAhvl+GmlhwvtWUtfcAOOk+j7ZfbNbaC37xCig CJLMz76LAi2Y3g04FFN34apwgfRz99ElOZoYoAnUuUhM+tMz/HUVDj/KeoTNfsVR/v+pY1e4zedY TxI7a9G076k++HF0YHlD4Ongu43PlnBFOGvihc4ot1PLLlL5oIaRuH/cOUosZiNXENYnQA6lqp77 NJsjPhfl01MKLNG/A4Eo/tJRL62TiK0bsl/MDo2JexX7yf1pEQCazxGIjqClA4RiQmjwDiD4CLKP csc1mO2zkZ3dwDwVEuZFubc9TA+9tXF+SAeRQZvfkM2BkqQnuwNsv3/VCcGdBSr4VtcoEtL5fGY4 /MApQh+nj38oruSDX0gxxjhTqO1Z/81cXrMCINPHYfxPAMK+BbB230DQK1I34kK1bn9f3qftwDyv stuxncsD+7APhSLWrAyalQDbChGkQgvW30X4KScHcdS7oVieEOdFj9I95cmfM6rBiLEHmC5ZIxgl yt4NekW1o3HennuQDGWoJWVJplXvBlig+gu8pcn/SJ2vNhJO6v8Ksx4jXp7Xv7fT3PO4GSUqqkU4 H5P1hKUiun1WkQLKqC0g1TdNspAbqvT7hUDiPCd5QW9l04AtDGNMwyawLUQjGqahHBX1Qc8VK0rT xawrqaXUcopw+5tWkjsPPWhIIIA1kKFt1plzrWo5Ww9vgux/gXsw9Yyb33Wox8V9l4/RUhzQLEbp BGC4m54vqhnd52+Thlq20iF2/Ot+iaHd1tb3qgxavmEXjd215Mxjr6+SfD/T6hympMIhr8rTN6mg 4DkiBxiHsXx9/4ewIaY0c6deiFDTv/RCOaWQSv35DItMUMuYlAkogLcNJlgKoyayYVI4y0BOsy/u 5LVFbvDIH5TM03/sO1G9LOzNOwj8EPhTOEKK+D+A6d+iO2eV01nWTgEsl1gnSqnjuYgh+sUBVB4S nsx+FsE44fk5ZO6+rmDInbain9Bq5wOVVa2HMWYcum07NKTnkO928jzdMkWFkwqB8hn6fsScG+iQ KTXPH6LG3oxpBRXXR5dqiDpk093962RC8kvr9uAfUtfjJdunD1wsANJODiO3Sg04MC2E/o4R79aS upbIpZGdKpBiWWVPal2zonss/Ia/G83aoeqKTebuWHFZ42RVnZqjTwhiauTsbclZ5Jl3UVZK1Zge gD/2oYGaEo3hOylS7EIwgTwcNkrE1GwJoOxsV7QTWmoupPn74BF7TnwFkszr/dfhIcsNM8VoU8ax EphHiSvGh+7SYUX4pS9qCva0GiBISmAqCoLoOhZJhQa/k7FVhbb7oDqtbB/JsoM14DOvTe4YPVnz oIX6OomMAZFmArGPrTWxQsMQ+A+tV70FrcjuYkNpRz8rnJBVDJyqg6M2UpRozyqLKw== `protect end_protected
gpl-2.0
3e7606ffd05b453863d34c084f481fcc
0.930998
1.874149
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/twgen_half_sincos.vhd
3
16,768
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SSbqTechvC4K6xdA2+K5aID7merFfAvQ3JtM4Fi9LHrsoKczJ7CoJoS/tFDHBKH95OEnLdSrYGhi L2IX2GNPrw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block U/ww1xtlS6ow8P5dvhLIxZ8qXy/XJ/YZfYkqr5n2vI1eu+0/icM0rF9saqpj6xS/7Du9ALLlsXoA pjgyc/yUqn7K6zf8Yc9s2cypgbnGWWZB3DA7i++VHpb2QdoJygMUi8zS0GYNxUHFxKZC5GMhsLHm bbDGcpEKBfcXYR66iuQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cyk2czihWa6OAJAPYSezeeWlMHq8Yz7qYj9ommBFS6Q9lNY/K6qcL2bEDNA9kASEgQNGsMz8KjsK 3Yk3ZKq2aJRqBevM/KfAtc682DI3gKgl0GGBzHCl2ujt8pvMXzeib3QwlibcxL7FVtMu56vH5dRu 7OcFezGnFrFsBSQmyFIE3NugUHQek+zw3eDgUFztREiZrKwYajlL05tO8KHzIqHXAXIzvUhKWsGo 9pKk/cbEaI+EU5vfRD3EC6WuLScqbrw9Y2O1XWta9CVbOUDeOMPR8WZ1iZOpwcjryJIV2oRCaKhO RZUULdgpVJgK5UJY8kHAAnbpufp2IwN4XV03Hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OPJCwMSZgD0gJCQghmExI+LcnvaXpue53I46G6jAYoHVVc5c/5cvcX+tPeQer2jcU380s8nymQZC ZRS2JAbDELmV/8Pt+K75m1xiuAGUtZf9WECP3Y+y2jk+KTuZqr5zLTz1Q0BOeY8ZZ6f3zcS6FU9B 1pHmoP8PT39v3D57eaQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HJbcu6xZygZlCucdaEgQ/xDY4x6qIU47s/w6yWT9xe+fzJ5ZkdaU3IitZ495C5nhn1aIGILLf6Rm 6Ua/VO0CjDhmflaEdIbrWNdzi0Vhvd3uCCCQDA7loSyRbQDUAEZS4lQ3qn6S5hGGForg/VyHVbSH smJRi+kl4kJoDG4GXkNwTRGGIrMQSDd1r43PH6pQWijfkLF/DBMBnkKYzB0aLM7cH+UVsyL6wHUb kHgWTAUXsduBBdEQrY6s7sMtlY8GueoXrAXQH+ujoy3eZCt4TmQfTgO2g/peRwQWNi8UWSIbDPwC GAsHgpyVxVmJn1f9vb/kltqe0im9/VTcWx5NuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10672) `protect data_block TXh9WOq/wgwwYqswse49exE9p/+bjlN0E/og1zZSPaTm1jM/N7QC9ELd6zJ7RUUkcM7fOM+9nQV7 RlRFCkbJyHsPSOBkEuld0wJ+4eO9hXlDPcBFTihfYUCoJy+G0iTl42UOssCJXQI91qYG36M77nAK qLB7W3BWqcnVgaFRXYDXiZWHvbk2jcRv+z1f6qNnHgJLyyVsR+moYhNkNkTJw9uZ4B8swXADqfQ7 vMdkD3ftGhmg5vT+/HEecHnEqEySD82aYjhHmdhFu00rj2kWt3D5UpxO15fSj5lNsjHL7polXUZn wYX7M24S5JwbMf0rlDynsP45l9KHRhOtLvGZFxpc7LQ7ukWueEqHi1hKTIqZZxX5CIe/LZ11jwEC nGtktBopwVEEg1NsPKzGveGvfdQSxiJefPW5xuBDBHb/YWIk2BRgcSkSqd1cGFUSpW7AZMkw1tVs etRU/G8xBRYehZFaaEE3lTCSwK68kZevq8NYC79ONk64ivVXaCF/2xUhpb+gU/nvZEabpoUALqhf NUI/tCR2nPAT51JUQOSNQAK+0WiseVX5qxz7aO/GexT4nfx01nNIccEwmTekDgaCYfLVXc5N/WTc /IZUqyOJC5qSlt6Gd8KPwWdAqMnaGhrOo5SgwshavhmMkebn1uERvOKnoPVZGs2yvW9kwhkUfbF8 A3HW39rSs3pjoo3ifnleIf4p9a3tKqrIGj6wf/lsBFiCHg6hDIc/6aJqqLaAXbdgk9aR9cKFxCTI aufZFPs7Um3m9Q5dYU4B1PqjntxuK29SDuU7evupH8Fp1sV97AWlbPkQh0FfW6c9mC7/tSHdJ/EL fWF1Jh7v29V8exc4TfqQ/RBljSaMfQ+p2uejl7MVqfCADIkmF2anytB9ub4dW5dDYVR+wVPtsJZB ESnvnKqztqcBtw5QKuGKGve7Dxi5mc3QUQQR+tx5Rac1n0/7Dv8v+Qc4XlJtofRdMyHS4lGivW2f F+jN0/DTt/d1qzYMSB3JqK5ZKQ9ldEXR9arM0r3n9o+apy90rLRdBELCMCKobO5n/m9oDjYi9gUM 0mNDTPuD2lmp5wmxKYokUAoVGeb2IXBFiR1rQ4BLVUfqbwTeiKIpVe+g9dbzZPdgo0iYoBvUhmyH uecg0BAb2ALPatCKjvkf//NcUEZRLY8Tf2N3L9ZBrqd8mYu40xKY6hlwY1VrpUbGhhd01A6kJvzG IQsHB7huqK4fIV4rdA0z4iHWnJKPbpCbc+EdmTQbLYkYQ5gkwzHotUTKaJLkMKqGB293rWN0I3BO 4rhyRcgEBHwwiLDuL1ZhTIu+YS2+bUOZgcZxhPFwF5gYgeVDmQl+kMnvh4bh+g46DRmchGyW9M/L txQPbw4G8BA0ODVb7DwSMSKj8EA7nALI3DNuux4DeeQFYDxE13DkiO2m+Y/33GbdoX34yqOF+7xN fQig+G9rezyGBjL15IIh/PGCptLP8MBda+N0b7bLyKCDBIww0AD/Q9shwCBTbnG37Taj/HwA1g5u s2JMQdpk3037D6YZ642J10zdccjpvO8ya4OHf9FA0BFuY4bgUVq0JconZLRSfKNb/GiBPWT7HgfM U4eUG0Vt2I2+DHHFBNTRhRqfT67yqMr54n09bSlyt/6jcjWazy0Fb0JFjnDzWRSPSCGkDDkTKpzF Y5mwTAQIbxnpZB7o2M7gKrW2Ti1OL0PJm5jPhE3sxNRSih4baN99gmsEN6H8soIjbOiEQNiu87r7 W+QS2PbMr1GyK7JRhrG3Wc3e/I48kc/bwZ9/cZilXjkmrzsM1xNJFbHUiYKijk0Ng/j+/DhPMTkk oWe/Q2wRxd4XfA4hh1rU05OoB124txQs+2K8o7xVtE69r2Y9sXPMr8nGyY9PVU4O4OvKRcucq9yG WeMiyjMMK70+Evoz5wzetw1SoW/ZiMGnA/VIMSutinicqV6wZpq17eKMBtJW8ZXaFbB9F30TchXK MQvj0NaEVwritNLpPRKv6KXUKtNJltIXUgq+PZxOvj514HBQg4oEUo7jgF6nH2BBF0bGPRYE08aV ryrLGOBR4xTQuYliTDCU6PElYJFnZhh+XEMlZeN6gdIFVMw8MQ6hUAdLN6k+qiBqSA39eNXUWYaA 8AkHHmn5ZrDNIrNK1lgxY+QJ6wfmpw0+q87nSbfpz4XExTdgAQHURHaNCk8w6dpTxr0FE/dWgCF1 8aumKQgkwz7nomW0oi7uOXzAEAcrFZo/QDNJPAE75JJqwrt2wtl7yPzrIknSIv090WS6qa4Pi0Wz oMUnhlLpbyXv1sZd05PFl4OM51JPqZwsXeJWu/dCKsT1MhmvScanvgsBnivoWRUvtOHujSsGBLnT FQA0T9d2FAgSX2oMqMXXI28ynsTIQvec8JTNavJANbDARixnmK08xGSDM0CG3EpVuZaP0wS7OItP DplhwhfL8WkfIK71e+h5aoyNe54tSSgPHyFMUhN7kMEPv0h6LSF7ZkZDUtkF36Mitn3ZYnV2Gp/Z 7U9qAVCvRNoH3ZIg0QWQM0rA17+RZRQqyLV+JTYhvsNVe8Y3s8CXwNq9G4cLx43AVSwv/R0X7SYi lLUL+BVQeQLCkUO+sZ42AhlW8Ucaw2HA63ghIBG2M0S49G04zbAmh99XUKK43BgkuRZXydXppCj9 Mhl/oPfE6TQuNZ5ZEF9scizFOLR9dJaBABUwXi2VzYWQxkb0NWn6o6QYhAwbtjLwr7li24p9AuK0 or/J3hCsV6tVo1HTQ3OM8sVsu2W2xUd4q72GZVCRTtsrTF4XYHQLZfFrB5ckONVwzCKfLQq8azTM jRKnPD99l4fPBIPlfwzRYD+nLm8FNW7LXilPP5dwZaaUmM+edACVsScSJPV8hvJGReN0OB6xJfuc FYGe68KUJ4T34L/mSYzywId1XPwjvGdtXXNUPi3ikETA8jQE+oTUo2cwrHPRgL2OHzObrBBPpBaU iJwtEv4TrVG96Yk8kSwJFXFj3yPtuDmQ/cAZqHiRKtXQF8FwF8pjOq208Gkc5KMczWlkD1tB70nJ NFFns36Wn4/l+sIAXDmfi+iQedC83hvPJj6egSsaOI5CbxcNXEARNiCBrvofncXgkuOuAM8RVOd/ PuOJ2/Bg7JXBXUmo0g47saDWlFkTwmIVLUrs8dpVkX2DUKApczdN4s1NcbGaAttOz5iwhx1A6iCU fMcc/dLu4fou9/kaaLWXUVZY9ydDbxHlu4PC6lx7zgxBKywqifPbc+OC+vlUwnkTDNu/tXYChxB0 yIcanCOAO6Nju3s7W9PiqoMi5u84K8ySdU//vzmv3l9MLlFPwkt8Wirmc3426k6x5roLQeBZWcGI 2ZlreRim/pd62yzegbuKyocWFSa7dDvaFT0qGrj+2SrR1l4fF/7R79zqshlIvchqXdEhCvWwI43w MfkfCJ3owviiejhzq9whb7T6ga3dCV4l+tbgf/eO/IM/xEdNQPqWTMUFbZJUy09eqtD7nPMIx0JV 2TQwoDMp7WwtrUo8HrYHm5kHk9MclEOTo/Ar44klWNolrr3mMT4TeXikWw4UsAdIHbNJb2riXfwE jo1Gr/am0VoJN/KHZ9LclVvFBHSgBYKGcWNFBoMsfVrcAP/64VYq2u7wK5J6RLU8A9HGKenP76xj hnvxIE/Qr69q/z96SlH6bG0vgO2wapVPbe+qsMn5sHlMGUrB8a6f0fWAVhezcN0BFKdtEMZAtsmb PU709PfKzy2HVp92+trIjI8AMYcHP3tfgS+mMfYlUfJZxivy0qhN+MUBjBk8/0qTdhcxaG/PEMJ6 IYWDAsghjUgKnznmnA3oIxcZ5JsO0HqAXl/LZ0a2I+tU+DrghorRy5lqVDF7144YyB6QaeL0BaD2 d7/QOkO4LkcIuN16VqOTyJ5pTZpSoXr3yrYqCY1hUQ7P6gLqrbIkBIobb7+Jz55rervFvDj7EDrm 1WkC0sHkJLARdZwW7D1YmisPvtDNCe46JprcmQ+cpO7vqEqP47G1N3/MAs+zrKHqlH2LzAMT7iw7 NrdbB9q5DK2cebOa4zzSwiponC22eXBe6Dl73vhnKb+lB4BpulKwocMRiXjIsSoGE+ZqLUgyprPt GA4djlIdqZKbeQb24sEpUBh+Sqk1lqG3bE2A7BOoMk4HKcUxLUHmEpr1Qt8NbevLlQnquANDEEqA 7jtPBZi7DPmXWMBghDaH+DXLPzhxOBavdqLZaKx26oz7GgiKR6lpCPsI9XSEUTzAgMG/gJOAXQ2/ em5QgOEsYVRHmoj8D1XQ2Ukhgj3rTY7dT3OsdPPGSZ0/ArWD/xL0YTUsvhV1hbBmBlVVeEEsz1WR VaqMKSHS2zaigneLKmsjhhXcf35BE5XXFIruRDTGRdmkO+MeMWdtollo+qiCSYvyE1a6om4QiMPp LEz669TWgGoNzY5KOaP87PVShHZas7QW8wA0lIeVX/SvNCV7drlgr5+6UMGlOH3q9fNB64F0rojw 6hDkRmKHqNInZ67iVBH+kBmSSMOQ5oR9TC5lyCncZtvku2as2JQIp8/V3kjkxouH039J3WDsMVXx TXTvVOQfPFVMZF1Lhu5foybJ9D8T7fK3TkoFc+LwHaDtgnAhdDVpnXVWXAvHhxqFAK5zCL5HjACK coAnW/VZuTRl73H3FjdaKljCL9ozbQP375B1SDgNdE8wzF9PY0RdLvwt+DzzNATnzN7jhtIgX4Py +1HIof5j3Lf5qNbUryvgvsYUnwp702VeZNG8aYZfFM6ocQBmskA0J7+kQHDhKAEOrUI5fRq8Kd6e +Uo0gtOIGJT75HQy05NiBR3t33PiLcxk/rH5eFzjrKoRFzgGPW4garfmMbF6XxPVF2jowXgh5icT rFZsgnasLwxrI84mc4vCVcm5YK5FfiLVqucBVmxPBmKhnry3T+iShsulRh2Yla+8/soNtejfxEW3 CoFJBm8q5AbrgGQ7ukt7bGK847+DSy6iWa8kLQ1YbNl3OQnElkL0iyWVfZVSTvmiGy8P9/KwQ4sM 533Gvds63ISvQJV17aSt/Pq4Dz/dd2/4rjtSoRyelBP9P5CTXQYRHuSCqNYrMgJ0wLwcXTQ8AfGd jKSKnKDx0U+Xvuz05zuaxDclFpjbNnrmh5cYTVmwsYZEZDLutE4IQAiWDTnYDkiFMgIclQYWpOrk jSTnNeVhiZr71yjeijuczY3eAdTXi7fTRLIBOYWXJA0KztpCl9EnAhyb8308iskq2CkZOindlkCI OMC5TDHCKmVqQelYLdZT5wvVoDXNmvwpTKLLnK3SAeulh7d+MEeX3h0OE84BDKM5o4B82oicWqMG rasXRsM3tFb7sTR40K+/YlNA3S1jQ6NxP2wwN80D2cd2hlj9hrwIibISMzpMbC8aC0RGlsG/RQTY 6Av80MEUDYDEd44TETeEJeWX8J49s6CCCNMKwRbdZ516DT9DsZMGZLENvxXhugvEMqzkrceuTMiP bquq+FB1REt2oDJKsc/04jEGP/RqQN5ooliEekiyaTGBBcQYq/5VMfNzFa9eNSr2D54GZB8tB7qd VHvuXZfk78iWOCcof4/S8JFR35ZkRBzvcy/e/Xd/8dEe+GSc06wHHdn6n5Bxj4BhutMSVPvpaGhz s0BoWsGvVZy47aRmGr2diJ2SPbCxHq7Eo5wq6xCqp86s+Y1Z02XYk6yJsxr+EnBvQ3NOgwS+hjAM dZRKH8We08W/8+t2MXBg9IKqyhnUnWYN52bdftQ9XFIiCpk32aQ4lIxDOcYkCxF82UuLRoXNEZsS zdg7tsAygN3N/THPv09lbWcY24l4kY1lR2ttQq4QRE/m7JhAFk/xNZ4p1Eq/2u6sVR0na7v3Jxgb cgiTBgvrFizfqV3Qcqmj59s2YzO6MgQN5u1ssbiNVnq2E6F+ERRd19dx4HcjodqfDMs0vDPnNLvT TXO2q2itEyfFWUPLQ+dUg6ahfhkTC7hCZCih3hTsP/EOEQ3XjLX+78HvjYfHaLvgG5hk4a/3sUk/ EtW8AhO8Q+NAyYeIxq61n6afmBdJSuFf3N+SIlgSnDbZ5eckbj/On9AxbiFnkAAzSekBLTH8BW74 /OnSZA25T46J5xZy4WVuNrmttcjzsfwYK2f+7/o2QfXBbQmiVGgHz+vDU82UrumqyugJN8KwUJqn yVBo5EiHIO6JbK34s8fUjcEOw8ioH3FLnkmytdA3d2QF96cj/7niYd4co7+OCt7uQg91jv9qgcRN etsPiy//BPwXbZJoO/7/j+vwMR8b0uBL5R6IgtyNzfItYKAkKOWiAT5QQXLvvQ6VXNI0o3i9LfDp Jmqgv6Z4xb3MxjzApcIMYsMbLRTmk+UDV6vO0ct/6MvUUhHStdvXfUEJBViO/a8jLE1Sdq+uz07i HyDQFoPowLKr6n0G6wyPZY+mYtQObrWqHnIKZ6mfqAR2Ov4InIPOTFvppaJAlq6Ggx6rDMvui41n 9s7kmNOLUJXeUBXCKJ0sN4MLeTfjpZT/tf8zS/FPw4vk4RkhlY4tsx9DVjVWlg2RzwicHu2YaNdm VDCUJ519o76R1jn9wGyVE0A9Y6cDdIJrA0OodZv6Z7sNacD5x6JjD2J8+nzoMbfw2SCmiaxa7/5m FQGONPdzaTtVAT2d5W0aQ9NHwdEownlo6MZpV7KEF/tiJ9hKRgLq1EPxfLMg0HlYkbWzy7hFHjLB wTABFp3JMkOTem/urjS+dq8EvAG6lrxXffDbHi668Bmy6Af6qI4TgkRpShx0HzBCO7C9a3AtZtbC NxQODjQq1KMwxVY2wN3ZacloCjyWVh90jpQjYJOy9x+dq+xde/GFas6K+fF88cEsCWlSJMWWMY00 bJgudYiQOFkhpIYEgL6W06rSrVX7dKoPcMGVkdbrkzDNDw97lkzMv+sm/OvtBc5q2VW4ibeEtjEM 8BNmEoO/aP5OAxFk64rQICxgdnKqWcV5KwYGPB6eV7CYllq8WLwZLHknMxkPN0jN6R5fvWqohWyu nW0uT5adpT3O76tMHT9GVdpgZXs1I+aY3wlbHNN1A02924JH2ACfXO3uNCe8nYcmQ0lEuXV5Erdh DQBfcZFR3QqSAlfF+mWYtpQWcyzrdFwFTgC3a91g6aykaH8i5dQaNF88N6g51BaTqupRks86FkLj B1k2nEBmAcAYfjYBOzRXso44/Sd0XHKQ5jv5FB4IUAVxoSfL49idV9rij55D/sxGYD8DmHlNY7x+ WgdZ/jCvgTwHgSRhQ7TrsC3hQs3lfMVVOzNTweaOUfm4h0v/SS/bc+aEol/CEI0vV9Hzo8XwvpTo Gs142RaYc/BuubDKfvzUkPIDZWFguTQv4ChTvC8mHLY9JofExS3/kC5NMC9CuaqexdfoXeCZ6IXF LsMORmtdz79k+N1xqZVn2ca4vQce26D9RmF+eFEZ0or+5w2MTQ/CPa9JrjIIEKviyrAlS/+TLM5b UNIcTC5gDUc0ak7Gje+QEoKlP1O/6OJ+OzuX6QzFBAFbPkdmXXmr7RSWu7no6e6OsMtFnImOAdb1 dLXQXvN53hUfdmTu/saaCcJA0KJmaZZ8vrjBOMBWWBIVCfVDJP3HQrTAt53H9sqVLXo1T4iKqPgo suLnEwps2BHpZg+AhvHaDCwxUf0zkdkq5mJFu2iS91kw0oUTtb1+cP1paok+HP7lNe29Zwc0D0+c Wr5DB38uYSQAI5U+9VQsgz0VCcdRd3o9zmdHLC5/LfwxdPHwZwq1kQbAsrbvBdRtr5wTvrlDPCn/ LnWUht85oDvy5VFUbzaD0nauxKL4zTKgFofEDDOAN7bH04J1/WE2RYfiZKwKHKY4FcZSlUkk+iE2 uN2Wken8c8asH/fiQDksMaIaPjV9DziiCIclsiDf0jFWO5M0bW1jL5+Hpz1Xy6tiYUBKnXPg+Ybj W7EDPU6FCmVOxqZ9HWaUjAQAXuh4wXyGJXZnQGX8lDJzpOt9geDDCPJiUS/tc5e1FSz7jpmnKx9a +wlXOLKbnCLWujN72hLKPjT/cVTV3W2wa/TU2an/Pnzp+GUpJSjXugMAK7KQk+CsoM/Zd33XDEOi 9BYR2jHIg1guSsg6qj0OnHU6tgq2fuGtpO/kMBN0xdw5n4OcyjXOAb2Q9GFvGuYmKianHkkoEQiD /uRbYyODY27z4raAZ+ONAtNjfCvz4EdtunNctK2JReW6JLAjGpUSjapq9GBfMIxI/VELMC/sGZuv c8afpOMtXxEscHVV/fVRtja5VEtJzFLYjiprER3GS/0ETtuSWgZY+YecDVk+t+9KmezLKpCKJ/O3 r64WLIjDmCTZbm/nh9uS1cXj0Azz8npPNg29f6C/FMf1OSx4Chr+WVYak6NMpdXZ1CvO/66vJVDd 9dzgXmyfVxtM0Kc9xQQD48891BNBbNQF2PVKBFULjFx7aNEI9Z2YVddDYcb4xNvC/9WJqivf52ZM AH97IDzs3lbaDXMGEq3oXMZs3OaDHoennQlMEdufs6mlh87v3LxQCsDjUdE5YHEi6vQmj0TAMVhw QCb72BljhRIoEX91EgNUHo2Cts6Te8MJ3+VGJLdMtB8f1QhKKE7GmeGMM6w8yd+carg3U89Ke3Ob FyIXBMgqe2BFOpr6o/SO1U/0pvwwYxGoPxw0IK6j++JO7qmXPRP0YbjtbprlNDKIaSbz4VUJsOSr gJ7oUDauLJhe3nV+/zgKjwtVAro3nMH7+tw314TWGKXM0eejnKsf3SYLSsKPY6gfKcNIgwWg9XaZ kEMjqO7SjP8ib329biXdpTz9qASfLtXpm7fW34UDyzvmYwR9kUVKBc//BEWq7CEfTIxF0YTbJy6y KU5ozGzGMq/cAU2SO69Vq/DvB9ugKLpy4L4cqrwNfUGt/vOlBM2ljuDuRPG/GNG61sY2an5ooj9n CVHHHCGpr5/dT+ssPfgD26UC6AP0GeEUHHnyQzgcp5clJa7XSD6hZInqwPofisPsIUbUbTjZzeZY xuuiltTAYR5slmSrufQMEAhPdTMdoj5sfDxyluiJfWbF0+HFfnq0C/MzfDa2kjxS4Sxx1Oj0Vmxd GoGy7vkVaTKUC3vFFHsklhFAT7paBGnBqKuyGww1frspW41gbpqvkxvnWCW2NWWGRtFCSjhDTiwO FN26PEgMSp+PrxSO7mfFfhNbHpIRjPTx2Gc0E57hgjgIWyDPrQ7P2ngC8cxIeMTOSSa1WykT4MNz MiEorlx8Q2/X/4dUluFsm2Pl2P9ksd161ulvU/BZQymt4d9zK+IrlGsbsV2vY9R8d5uLeJTOnriu 2CmcWYrMpvUkvlhjb/4G+I/jcp5eaQuf7VtZlNfZaXcVeUFBwjb8xP8asAYNEgkUmb29fxOv5ZM0 1Ue6E7afgMf3DMyDgPpbY3vB5tjDWEz275ca9oIBsPpo1bCU+dM+o3uwxkSPXpCUk5uvp8hFxpYb IF7kbLGJCV+XOfSBuAOCvXBVCK68n6E6FeVRB4PyKs5PRmSj6ICZHZfdYTpFy0qBKFHUxzoErzxC s5ebf5e6yJzNa6BDw+AJHEH7vZbdSg8XM9LQ16bscCrLQZjoNtDyJN0XMlE+C6CBKpAKnIT4DbRn qsrZ2pSN4HCwKu9lirtC1XgY/HtiPkPem/zelij++cWTKpdi6CYHcJ+QhVLZSYcjgO+7N+tLF+uQ fJeGDtFWNZ+fei3iUNfFMBJCIOQN/j5R4r+GIxDp00soMUO6PGgGptRghHS9HrOf6S9DoJc4c4qU Rn6eQzxYT3+0W/m8JdKm+IDOZXrMxW4KFW0bm11Nqpx0aH9FE3zfjrD1Y/4iFkovv7bM+w05MGBz LmkNg1ePcsfpOm4VkU0YaIB2+j2HN2SHSAe6DT99vk8sPrWlPmIFAlkKA2NABv8c61a6BAck3doY AyavJbV6vM7iB7lwkh1Ll9Ujhn5LtnpBoRthkgZ8UwdYyjh334ZYsxK6dvUeDIdgpCjYcJvBi+eR R0rSk0Qe5ZOG7ky/WZ76z1k0SMnDGYy9aYFEu11sealK7V1GgBKgHmhGky7IJhaeLJEWceUyDNE6 zlH09TmRS7ohEWZPSBg35FyjrxjwF1ZC1yinxMMJ5uggxihfO/3ouoCE4X/xAUWh2dqWA6PFxP39 2BC7jxf59692ilD++Beg8x5SypIvPBJmsqyOG0823nWPhJRzx+191HzA3CUjT2QR22dbfwyp35oZ vAhdXCQpiig8tXg416hMNAOHmO659s2tUaG6bvpKoh30U61edAsafmCRctbTJ4j6KrCErQGup3R5 rjgaIbS7C9MVDD+Qek+taSWKGv7wZTzfnbZV2Fu8PyiiY5J4VjaZmxp9hYLjobzB4+rcwAJHBb5b 8omQIjJU5zD5GnYJVi3RxWDRmEuf/D1iEivr9Phalrl+cdiskSEAASKmjma/b4I3rJmN88lgpCDw e0jIg0TWgKDWSqhqwUEpOE3jHX9hNk831nnDD5vMMZk24zkZKk8/TYa74tMWTkDF94Q8wmS4gH4V NzjqpyOu/Jq199CbI+kbPrnSNynML1L5nq+qWvVppoO1JuH8jGAXDyTtFKWvSHio+DGVvdt+StAW a3YCd3xi/rn6Obc6my4FgMkbrUy82vCkSfKOHg5X6/wuZHZo/xCxx+mXV6msUZwPBh29hv2ijOu5 mRkMgqBGOtMMWbsiLhdvhdTzZlg1/EWzbBNDbYM5vOMpmGTppVf3O1ASeLF2p8JztPy36CCzMh0/ RB0ESs/Km+XA2MqwP0XQ4CzoOOQrAIolvAf+RR+Y9so0muj7nGZGQ4lXYuPttIqm4XMj/sfiOtrN 3AA8Ber/iKe0Z7WD+6HSyx/x9OmnVZUo+egEznVKgGp+b5J5+pO3pZfd7ZFRmrzb0hqBNF81ArkA 8gbIbnspPqDdU2p+b259/RvoxHJNW41Y+tJvWuDcIAYAwT1h4zQ6t0FnGbn9UUKgbkcJ0U1EvBob sSmxnZMgVFmXiKCrivBQd7gfgSrAElvhklwGSi0JNBf8YF05JAIbO6sl9eMs62OQhNI2KXN2c1rC H6b1Pm05i/EQp8KkL2csQHNg5EnIQ6uBNMwExdoodNrLTSZWW+nAqQVFHSK9FP06ssgsKeNvwZ1U gnm0vYxtfYP7zYYoNXbU1NtN7peU5iKgS6Kh0rhFGJrbBHj8QwlTZeNm+Q5sd7CJEqt3Nc6MK6zB ZC++l5uRabQGAr5o52Lz5PMIg1SZ4Gm4xWpVMxX7AurmjC1fM47mFGDg0i4b2ucCTSeaQnwSpulD 8PCV6sLCBb+T3dKCMc8ApXZT/Dhc7Y/zWwNmJP0tDbnl4NCXinohOshFcH9qIqL3HMzGQ4ISCpRe TtNYfkRilUmKk+3Gp8L6EE8AIZvlVM+kcEjXk3n32GBWwmn2aXc+OqtjX81gciROowqZTxKcn40N Kjg9r0rSQWKk5YvuLzubLUc3VafE36snsJ/bAN9gzvuLciCRazcXPloYGtfjtU99hneGqwq4801T V+bcziBdh55HBfp6LRnmo7YxkYNaaRNaciCKXT3pmT1yIyO6WN+2jIQl8dMjQYXkXt+8BuCQpW+4 n7FEkwObzh37lIbKha+HG3uEFem/y6ItR+03UmLJHAgAFS3s5CcNYDR8PPlzeU+QyaDO6BRsV4XW O9P5rE0LoDDbX/jr7sXr1+46EXE2NGdk22UGrvfNdlTjyS4zP7c6Z34sy2M4QVzO2iQNXEjmGF3W 05SgMUcxJOEGOsXmOtL5eNv5OPeBpahTvH2hXbPgWfXT8K6ujsKhb4CKbox5yHewbQNP5SbQGgN3 1WlckHMH79cPu+KYkeU+jKeIbLBz4E1OVo71dxokKPOguDClIsHeOxFkeCZdeMNlIM5LU6x8FDH/ 2w3mQe7WoUkyILN9c62Vj7Bk8zHZWzr34oswhUJskmOLmekXazpggZkHIXw60SSTR9M2dVlpiDpL YSCgc6eRx/V27N3zclOtSSp7WYDw7vhd7h2tiX6vh0BD+LPnIidZhhevzboV6H32CiTqmNeJqNMU bt3B4esUZ0ceblbeXveCu1xdn7ngWi4fQZfGpjpW7/t1Pe0Bza2q1JoqEOdxDUd72Sl+if+xwGw6 VKN8Ydw/7vM5ufXOgtAx2TeTgspOJLwkGsoMB5eFzXurRijqv0jKWZ1DI3QTsbtMEu7Yfr1leqTH 2266/y4UyUMHDZE3EGKoTgnJBOPNv3C9b74qu0pssBOqsoJ5EVoDDpI0/X2CJ0Zj2E8RwfgjDH65 Mg179wLPgned3PnPmfNrtuy3tLjfIEBohgGep6gDCjPfSHvv3IiAjTG4RZzrh7zGwNi0k9hGXf18 +geTPLkz5PCkb3m076JWwQRwFqVdqKIqFVbrYg1LD5irZlejjQBJhwx+MGW0sQsX7G/w9JZggmLt RfK9eTJwFZdvxZMed/CObO2KpsiS0R4UQJOf4jZMjGYZcSpsequUTdRWjB1rG56fxXSDRP1gIYvU LbJEGoaoY6OrlVAAT/cNXfQ7NaSkWL6Kh8R59pPKeMq0wUwFfExmJEHV6oyBUHqt+EosnQdKqiJI WyX+GkiyTe5+VShDthacJLTNj2E3hkF4qwWcDsBrNEiiF2QVrmCN+0T/S+Y+7+WwlUdRYd7UWy4i SYlzwqz/feljUzYdb3SoUBJOCAIIKDURxap0iq1NPncvgCTbvNfhQe0Np2J/pdWcay0vFlGPqwou jsJJut6YLV1qozE6pmgjxcFJKNV17qDE4n0KLRcNv9l0lwr+9X/vtRSVFZ8gQgPXbRh6q9F642ni szZ67iukUR+l9HRGR2aQz8S7trY1/AvHZfGjmQsqjtG6R7qgHfU2u2vBPlyO9Qyw0N76iR6Hybhw 0la88oZ3QW3Q44ZNrsBxvJF+8Zv4PpushU3Pza50dmOxiRHnn1t5VwSNGQSf/JQuxQnvfqCHNNt/ rTdEVxM3OiS46cYA2XWH2mW2faxo5ZmhFS0urv04/k84CMXokz/d+Hyvq21zSU/kAVOoTgS/+Ing InYr1l18agywO7K0u26cqh40EcjcDnbl83hreyqqn6tZyPqotfGxL3CYS+Zq4kH6CQ6vrsFbVR5/ 9y3ReW3JlpCEtwysuzEn5nBG/BZnyKbmfbQbhmNjIcoYcHa/PvlebQsVdYXG4SCjpcsbIiyW0TO4 YcYNuGkTg/Ek0hlqxKS3Ve3zGv3IVLgjd4PpYKlbIlRuKzjmWppeovJuijy6vkwgWVL1b/lOdL6H qCv2CSCLZenHMwvY1CPAXSdBafHNuO7RgAmk98WI+/sMLhuwYyU9CfpbQ24PiFQ5m7/vAdzveQlQ Bj+OzIhJBhHv9hXXXpEZ7UvLIC9ag0bTf6SeYJiXSSDK/mFq06j0xPUWUxkGrQ8hQQ6LSEoMRQnx ASG3iYvr+0zNj8w62bkgdfLeFxd8hlECBUXXvKvKFAa4OGGohXsVZE8xbwJWSeCH98fUNHzzTSp+ i0biO8EYn1Z3ufzFSx3Bf7S88RdqrGf0LXa3GS5vWAkEP0u/scgF7aK6qvVVqq4tZuYjEq42FqLP jIQA0QZVhZGWOpqxbRid9eS7hdEpkOnmxN8nUBheDB/4pHUZT3Jl95oMNv2/LY4InazBLPKTQP+z EOC0ch/TCb6YQaaMvf85SOd1Pl9DvPIDlK+XLocN1p133Tf9TyIT33ReQwCfFRAzBe+tSWgSkkkx TageBvpL+Qu7OzFCmqChAy5D/VeNxSC2tE3WlwLlqxr5tjcPsYjolQ0zWwDQg59qB0UtbX8yO8a6 ibYJCC6ma5eh4EkNoVidEoG444CGTZpv0ZD4vIVovGMicci2KeXCCGO0nWFvOi6QUodBGfHVGYx2 bpU5YBX58HOiF0rDej0XVWOBF4lMGNRZKRBsjizR+r+6gf0Y+Urdj+1xUtGtBdQdaGuQZKfp+ToR ohaUObbHWS2rerZnX9krCh5jDoNRMJQAu+C6BPh1NhrU97U8gsyMJgWZ76t/XSXqXgfAOf7STwzh ehN8RN2NMlDYDX2YnComK7QVspx9/Ys3C09Nn2kl0YWw2KiXI+Vt7WzMk9DWIt2aOcR9/dJvOMG3 HJsN1GCD1wpK2jM3w2g2xuWiFfkPyYDFH+ogYoVxtjdbxHxeWq0itkPvMVNNmNedf1v2dCblRKLh Af3XUdQbp/A9MoaIO42UN66t32fD9syUrLJopbfiwtjo9wbDIpqa2KAbb57w/ioaguiXz1BPQnHU nPBEiFh1b+hp/LMn9g== `protect end_protected
gpl-2.0
7157614a2d7e47b6670dac4002bd3113
0.936009
1.860217
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
Lab4/VGADebug/VGADebug/vga_toplevel.vhd
4
4,057
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: VGA Toplevel -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Toplevel of the VGA Unit --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity VGA_TOPLEVEL is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --SW : in STD_LOGIC_VECTOR (7 downto 0); PS2_CLK : inout STD_LOGIC; PS2_DATA : inout STD_LOGIC; ASCII_D : out STD_LOGIC_VECTOR (7 downto 0); -- Debug ASCII HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); end VGA_TOPLEVEL; architecture Structural of VGA_TOPLEVEL is signal ASCII : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal ASCII_RD : STD_LOGIC := '0'; signal ASCII_WE : STD_LOGIC := '0'; signal PCLK : STD_LOGIC; signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal blank : STD_LOGIC := '0'; signal MUX8to1_OUT : STD_LOGIC := '0'; signal BLINKER_OUTPUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal ADDR_A : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0'); signal ADDR_B : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0'); signal ADDR_W : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0'); signal DOUT_B : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal ADDR_C : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0'); begin ASCII_D<= ASCII; ADDR_C <= vcount(8 downto 4)*X"50" + hcount(9 downto 3); ADDR_B <= ADDR_C(11 downto 0); ADDR_W <= DOUT_B(6 downto 0) & vcount(3 downto 0); U1: entity work.CLK_25MHZ port map( CLK_IN => CLK, CLK_OUT => PCLK); U2: entity work.vga_controller port map( RST => RST, PIXEL_CLK => PCLK, HS => HSYNC, VS => VSYNC, HCOUNT => hcount, VCOUNT => vcount, BLANK => blank); U3: entity work.RGB port map( VALUE => MUX8to1_OUT, BLANK => blank, RED => VGARED, GRN => VGAGRN, BLU => VGABLU); U4: entity work.MUX8to1 port map( SEL => hcount(2 downto 0), DATA => BLINKER_OUTPUT, OUTPUT => MUX8to1_OUT); U5: entity work.FONT_ROM port map( CLK => CLK, ADDR => ADDR_W, DATA => FR_DATA); U6: entity work.BLINKER port map( CLK => CLK, ADDR_B => ADDR_B, CURSOR_ADR => ADDR_A, OUTPUT => BLINKER_OUTPUT, FONT_ROM => FR_DATA); U7: entity work.VGA_BUFFER_RAM port map( CLKA => ASCII_RD, WEA(0)=> ASCII_WE, ADDRA => ADDR_A, -- (11 DOWNTO 0) DINA => ASCII, -- (7 DOWNTO 0) CLKB => CLK, ADDRB => ADDR_B, -- (11 DOWNTO 0) DOUTB => DOUT_B); -- (7 DOWNTO 0) U8: entity work.KEYBOARD_CONTROLLER port map( CLK => CLK, RST => RST, PS2_CLK => PS2_CLK, PS2_DATA => PS2_DATA, ASCII_OUT=> ASCII, ASCII_RD => ASCII_RD, ASCII_WE => ASCII_WE); U9: entity work.CURSOR port map( ASCII_CODE => ASCII, ASCII_RD => ASCII_RD, ASCII_WE => ASCII_WE, CURSOR_ADDR => ADDR_A); end Structural;
gpl-3.0
5440ab7cc2a8062410ccfeab0e986f5d
0.508997
3.524761
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_clock_generator/src/vvc_cmd_pkg.vhd
2
6,168
--======================================================================================================================== -- This VVC was generated with Bitvis VVC Generator --======================================================================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --======================================================================================================================== --======================================================================================================================== package vvc_cmd_pkg is --======================================================================================================================== -- t_operation -- - VVC and BFM operations --======================================================================================================================== type t_operation is ( NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, START_CLOCK, STOP_CLOCK, SET_CLOCK_PERIOD, SET_CLOCK_HIGH_TIME ); --<USER_INPUT> Create constants for the maximum sizes to use in this VVC. -- You can create VVCs with smaller sizes than these constants, but not larger. -- For example, given a VVC with parallel data bus and address bus, constraints should be added for maximum data length -- and address length -- Example: constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 8; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --======================================================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --======================================================================================================================== type t_vvc_cmd_record is record -- Common VVC fields operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; -- VVC dedicated fields clock_period : time; clock_high_time : time; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET, -- VVC dedicated fields clock_period => 10 ns, clock_high_time => 5 ns ); --======================================================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --======================================================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --======================================================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --======================================================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --======================================================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --======================================================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --======================================================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --======================================================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
mit
87523bf82c829f19bf5fe1a8f0e0574e
0.431582
5.244898
false
false
false
false
UVVM/UVVM_All
bitvis_vip_avalon_st/src/transaction_pkg.vhd
1
5,209
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.local_adaptations_pkg.all; --================================================================================================================================ --================================================================================================================================ package transaction_pkg is --========================================================================================== -- t_operation -- - VVC and BFM operations --========================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local TRANSMIT, RECEIVE, EXPECT ); -- Constants for the maximum sizes to use in this VVC. -- You can create VVCs with smaller sizes than these constants, but not larger. constant C_VVC_CMD_CHAN_MAX_LENGTH : natural := C_AVALON_ST_CHANNEL_MAX_LENGTH; constant C_VVC_CMD_WORD_MAX_LENGTH : natural := C_AVALON_ST_WORD_MAX_LENGTH; constant C_VVC_CMD_DATA_MAX_WORDS : natural := C_AVALON_ST_DATA_MAX_WORDS; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --========================================================================================== -- -- Trsansaction info types, constants and global signal -- --========================================================================================== -- Transaction status type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED); constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE; -- VVC Meta type t_vvc_meta is record msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : integer; end record; constant C_VVC_META_DEFAULT : t_vvc_meta := ( msg => (others => ' '), cmd_idx => -1 ); -- Base transaction type t_base_transaction is record operation : t_operation; channel_value : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0); data_array : t_slv_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1)(C_VVC_CMD_WORD_MAX_LENGTH-1 downto 0); vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record; constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := ( operation => NO_OPERATION, channel_value => (others => '0'), data_array => (others => (others => '0')), vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); -- Transaction group type t_transaction_group is record bt : t_base_transaction; end record; constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := ( bt => C_BASE_TRANSACTION_SET_DEFAULT ); -- Global transaction info trigger signal type t_avalon_st_transaction_trigger_array is array (natural range <>) of std_logic; signal global_avalon_st_vvc_transaction_trigger : t_avalon_st_transaction_trigger_array(0 to C_AVALON_ST_MAX_VVC_INSTANCE_NUM-1) := (others => '0'); -- Type is defined as array to coincide with channel based VVCs type t_avalon_st_transaction_group_array is array (natural range <>) of t_transaction_group; -- Shared transaction info variable shared variable shared_avalon_st_vvc_transaction_info : t_avalon_st_transaction_group_array(0 to C_AVALON_ST_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_GROUP_DEFAULT); end package transaction_pkg;
mit
0b598a267abdd080630ea28e31d0ea04
0.514494
4.800922
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/floating_point_v7_0_table_pkg.vhd
2
160,824
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Bds85+dGMeCsD7hcir1yMlD7vI3TxE/REkPnx8PwdLXDvto8RvBWcd2kdr6GYLOjf4YCuyZymrYJ 5GH7YkzIwQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C6h1CCNWEgO7EUKAL/CRgXRzcW+RA97RWjh/l30pdyXuP1Xh05NFvOimQ4WrD4pBnDcaF8Hj+jOF QbJOmFWQUyqCbK7gf8QDLcLapOMJv98IuE3h1+EI8TgktIn5/kUDGyhwEaZ0GVA2ssADSiwedB09 BugvAqGcFiYjbWTkwYY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nV+3dfcQQtizCD4IvxWM+E2x1KiaejJmvBiJRPCc/Gr+d6qGz6skRXcO4PVrsIJUFbMrIvGRnAJj mVlCkiCjeo4ilmjmeJnqQMWYUTYXtlGygONuFTzkLRy0cKWc8ZHfcP+bpOt7mrjiqXnr+8QSHC2X FXEJSDDutrGh1w2bjpH4c91d196IM88kh/H63k+lqB16K1Oj0JBWQx+l1qM0EMC3jcQ17vj0XLQj HnDmQjckqBiS49sGOshPsm/A5EV1H3xmNgswXwU/QIbdoKnIT/XD4oGce8obDrXqcNcozJYfwRfH cE0h2WRQFSFHesOydmGusdbQFmlSbRD7ZljRUQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block svRnjtpOOvZRuXX8Kxmry49TsUiIQN5bjiLbN9STt0c6YzwzzpLb/B08IlLcnEmBgu2ZTgWmBN13 AWO6f26CdoS2rt9uJ9S+tw0C6+CySqNp5I1VUHIFZKntx8FmJxk9pPAx9T8wClWgXQgK4UzkyV6o 8xww3UUikaP1UutRqeo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NMfoB9KbXnvMVD6+i+xAR+QUrLsPwgDG+79kPnw0uYImRBGzwd5Sta7uFp3oJhqYmHpfkY5ocgOs xeo9F72CEDi0b1AyPsuFsIGSCQ/XGTcllalbYo8Ybyb9w37hcoAfhfRu5/Bgs0vbYp5ydMBjY+Wi IMjz124Uhz7UxhJpO8ddB7Dk/DZsC63TKBj6WlLi2oPQDiOJnqwwL3bwfaodz1sOGJnpLP1vhWre ona+0YY5RCa6BjANUKbJhLuhHyqfZV9Uy12REgg4CpnUgOilileCpRJ+GN0p/H+UOzk4bPzejNg6 dhvB/vGpoo113JrxaV8qNuOdE4wENReuZORSsg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 117312) `protect data_block 29jzdEEx5D6uOOnKKz7GpR1GnJiAvNeTs3jyFjaeZMCU/qIEELQpECtoCo094CcFfl5Adq5ozWXb Pz8nV+nfd+RcpGgOpWkkHyFOBmBSn0mAkDQcfVBBLV/uMBNcoLasU2UBjn2nKoNf/UJWPae6wjUh kDxP94uSKtIaT2l04TFMDfkHuT8ZQtyjZO9kEmbQYxPXwcgz4JPp93PeL01S1JU8duWTp5A2my8m 1kGDGpDpvctPivkjsObHGLPKU3eJqPnII4gD/NeLRCdZO9BVLmv04JIZaMN5cfQLTsJ90e+LolU9 OQV9O4NDFioumaPnMrSVlVbVhsNdW8/XG10LKzoRi1cJI3KoFEEgzW2S8w+CgpHp2eVsRCy4Pj58 LlP8KYWI6+MO5kcnNIIN7sNblqUSVVLgi3SuYGqGz4eX7/4Lgd6+z84ALgzf/vBCxUA1PId7kwxz 8pX11Jm+61Vf+Q1agwNSg18q05xaBG1l2NcZNWrfVcRfc0NXKjAxtuOX3pPSqjhU5lczM2tqSbc4 XgiV/3FJqZZX+jVczFnnXV01WdBvDJCPVlP2ZptQ4lw4udUTDHYnqZ6cVSqIBkYZjp7iVHET5Poq i0OV8tkHhg0hB0Q7B0xzfLBgu/8IgnDq0AOxaguvkwikTsePSXE/8U19IJ+Od6A6oVEfyOVv5i1q H1Q47AVG5SKC0iE/e34GUvqr37DKXWtG3bfAqFLy3ixp03EN8DtqtPXzWNKqmVAwsQfkG2WEy/lo 9bgMLUTRzsgzQgqjtp0IX5fHP6fDWkuV2hMMi82TKSlQHBhdD2oGcZjeG1lLuTUxk0H5h3TirYpX 13D86ZIKFc8RuryxziqqzEISyumCuwB70R2DPU0eSGujDsoUYVFGjNP+558saFHbEZuoHoUK/Fgn 6Z+dEXnusl4XWpVX+KXIxM4o0Kx9ganuOcN+R/CidAiyZpT7Qm8fmnEhgT+PQxFW9oLsYtx3VHTU aMoDFBAAmtp3cT3H8BdaPmBAZSYWtToO1L3pi03t4W6Mv73Mp/8XDVeVXOkE4Il7DlccIy4oD20w R5ktxj/ZCmFfDrCND2HM7NExMyZ0gFYmXyBw2Y2tCatCeDj9Pb7NT+wGaYPaNivXXHG9jB+Vu3hu Tscu5EZI48C/F9el36sYTMiSEi8RYwk8+5UxvPHdi2EyO6THcwfSnpKwsYsUAhnBjWLeHIkboHNH 0YJ18wLqkCO7Zabga3nKKysHLJVmFWxcKVUjkJ4CNVD2OvC+0E249dpxxuNJDwVyAz3s/WDXnyqj RQuisr+W8u85xm46/BH7kZoE7UgldVG4xmmjs7OKQbkDhEjIRUYHb25+t7rtoGcOuZKf3mhCPqHV w+x0rkPh5XDIh5uyFrr5cDoT8Y4LrMXXH6Xgn/GXa4Pn+5Z0MOFRef8T7GAdhzLiid42SMiuY+BB wKOrueXBc691UP0kkUEHx5zOD00pqdug6h41V20VXd6KUp4R7eITRbrBAcMgOp1GSJOZgD8eUXjA iNJJXRoRaJW8SNsYycyEmqSGrq4F5Dsptz8iQH7s5aXpL23xdN+igoW1xrkkn3MHgwFTAgNL0xEL FWRS1neAOXusspMi+5usc0VJzHF5lKj+k3/XEfWN/Irq2xGwOqO15t80xqjEz5TFPrL971tSbjdd D+UTANXQOqulE0lo7zpVz4WQ/dnv812K8x8GHexYSAaI3oT5IRhHLVFUTtvZuhW12O+RnoKncZRy Eai+kNGON+DRXB2++PG4p214qS7wZy93ZULyy1EviwXbAZpWMtZHs0EHfJgMjrK6LKcU24Waf2+O sBjkEQqgWAhWE0CBVAWd6U48nukPdCBFphOK8HMYC5WSjERbFsbqx4KqJhm53BiIGU1I+lsOcaGu oaq59I1UlzVtrTAM7z92EmXCm4H3IrlSfxQRoluqf8czoEyVyMFGZTVdCZT6nlz3CZs1gQfLv834 Le7PpOTiQdrM3ZhrpTrmvKHC0bld+jCLf7PyMYOvp0DfVnR1KgUjhGcyn/Jl8L7RX4rzqW2Rux8l xhYgfIXnrJiv+W/EO9nJw8qTlX0G7BaRZhaGJnr08EPuMJMigF8nEo7jqMJyXvUXl9epGrA9WgaM u4Llxs3DvghH54KN1ljTn7M4V7BcMAXrXsz5dd+OKy36Tu2fHFjsp3OADz9OX0rnlwh1lJBNLJyv bVi73aOEa8ANUHWpK9cNjewcjHpJPLwBhEDlmkl+DmkYo6A8zrzwQtMvslhKXDSq4BY1FeP90Vb6 729dyx59Gi7eiWu1r6oufMEbZ+m4OnJrPYVSPT6VUaFsPRMZ1Kk3bjtpHfKKDPjXDnU5l2g5oycp o5hKjPDZDOFqaHEoh7yhZ/8Wn5nS2ga84rhr9sN2QVzh+NgJrFGcK8XEstYcclEkWODp9NJZWWyi XX7TL1w1Rs47cCU32tyX2nh57hmsJvuCq6o+lJ0GMxNb6oPBWXmdM3fCgNsGVtPbi+Ts7Qsoo6NA CzPSK7C4JoR7g/mvbOEql+GQw1I7hJcfjDXDZbe8TuYCfRhUohPBb8udBNR3OQBnozLsU40WjSRs HK2naOtqmEXAB+8yFSqsItGPfDhAWxgSYy4Cl9dJq0pM15A8TUQan3/4Fa0lYhOgXgC18klBvRyd ucbStqa1j6zDg78APhJ9MftTO6AnZAZrDzO2aGgmdQcsDw7rQP9T1CDPjDP49Eg8tNE0ZDFu1Dvj R7u1LQJgTfhi9jwuqtLUlplBTbp8uD4TuJDO9MUXjhBMvhsliXTq02QSKf8m4NJJMC6QFGRGv1r5 +o8rXpJPZs9r/Ts2rpptR6Co08wdw00fsW3HvVPFewyIfzvdHh96qwwuxvUKxhAHC8Fj+CWB4lA9 lfL7NlbzzAL/93HoLz806sdzRUMzDdyvH1iCkWzhWri6t2cR80hSaPx/1MudWVgRz9vT/092Kl6S 0YufSecJ6fUqEvaDfLsJWPHxdzmegBfOPpVp6JFCGNeijQVTJa9gbMQ1zS258s4Z0IWAbGeDz5K1 rX5PJjDT21qu6lxvl0eEAR8ZBUa/k5uhj9Ro6F+Q/qekLR5cMNYNen9RPZp6GelbwznpNyWDWqdZ I049evqIEWMaL0fkHTbCnaV42vTiECL7n2qYXv0mV+MDF+fbxJkDDSqtwqEI3K4dQ5kEmevqwOZu G0eUvSu79xyBYRhg4/SSb8HqdIBLfaKRWjFLXIC6xoW6I2XcCFPSfW1rVdfvyHckcOkTyxTopwT6 +aTp2AUG3KnxHBh+tpfSRndlDpZzf3MInIYfB+IjAwZ1dF35iq8ZmrIxJqcgie1k9JdiPVrbxuj7 WDMiGUINpkSOmXknalNZxg2HxLcyqEjj1ho3sZxnIsPv+pu3soSkUmU0ftPcXHKNGyOITS+YXsHr xu+GqZKckoI8mG68UoDWLmJC0mX2lA0M58ZuyK23GSWdZ7Nv3tOomVp1DfvtHT20xkh+d/I86kWS i68eWw5unQgjqqwkN2yDwwfCo26doKPy20R5PBbI/mmcAxX1bSzTtyZa4cS9OI+Ga/WqL2XVs0vR 4E7QTyXt/j+VnlkKYQsJlCqN8fjyWYWnsZsPpTrd8saTJfIBRKEym3NqevBw0WoFsCW/4gGN+13u 8UtYLjUyoiyGbxqoWhKQKo8ePH6RW62y1eFZAaeCL0XsEkv2qsI2bOx0TqZLvEw9Ti4OqCdVHP2D 4gX1VmYfTa3NC+FXqZZ8D2WBMnyEXuTI/opa4hMpYRnHMuHnZ8qg4aEkVDbo/2NWnRh4c2RvCC3f RyTM5jMTkH/eSR1RnWqzL51y5Jaw0Ug0wj3FtZavT5qcfLtMZLGZYSZ11N5c2eSjHOO1WNwrEVL5 hrqwQd6Z/41HzNG8VifZmSt5wdHQAz1hNT40VNeARpORKGQ5GXo3/E2mGstm0Aa81fmGM4KAC+u8 932t7o7Liad9PTSfcAvpBSY5GKVDGwEQSvx1nFq385+8R2y9MQT6ikyadSCPMNAQoIKbPyx+Qn75 4YiQ8kSEmhgrLYLKgUn9u5uG6gDfE/A2VubCNGBtj4maLU1YSBL8uotkn9iJkvyYml4Hsl1EfMFJ 1UExplG8XYl/b9I/kYdvNv8NH8Ks7/HFozVNeGbT4GFAIUJLIi4aTZu8oCjP7ceiWPFnDlgi1qia WOnH87R+Z1Z00cl9JDxOFPYmnvAWOD+zKb11ituQEeT9/o5U9ngp1kMQZW2HOvgm7VesvgtQ0Muu 770+G0JVzLI9yMJFMXTBzJpGL0j6eRNEljCAZgR/jzzEYjfbPtwn8AFLr0ExWm73C8nbPh0cunR2 +JI1U90w8WXcgXxrt7Z6EP93z7ke7c5uz0XpweNFgG9H+GhSjcBEVpD4xPBozP70vjM2EvXZ0GmR iiFpmzJR3Vfp9YEPq0KaJIbObi3Rn5jMqqUg1Zt44H5Z0lToPhja9iwv7pHJbETjbRG0ApQ3QTVj d+xATnEb6SQBQFpmjIB0SCKxl3Fd9YKylQXs4erFMAonhUY5SQ9876fdJwjZDdS4ypp59d1a6V9B yjQ/Oa+oFRQNpgFzLiY9gvojm7KpUO+8+eo5g22D+qXFHC2b28PQi9Tzwoi8SB1VfjBIdHaHh+Ul 8emJQbEHA+xcmXaaV0A7hbsvIoQBjaiVhKIIftfWoQOE/3uEhzfE7NhF3gq4T6zYVVRvDo5TKZEu 6sw5/2kc1pYbJJBPjLHTeLm9OdUI2YUxXrklJ1Qrs6i5wdIJ6mEjZZNF7gcGk/HkRwqSaoSUaDzu chNh6CnzqGJOgqpTnMyfjkb6RSe+zutABM8IuHXqCbcb6AT1UKI9CND5tqoT9KHdn6t+ofa+ewLV 9Zx3412eMRVioEkkyqn053OPXU7/s22a3DxeWW9joi9bPlJnUnyCcaMryP89QA+4fM8iCYE37PUb 4og4JCl+4d1ta96Bkatkl2VERR1DVvNPhoPMew2wXtKfY7hwTo3gQItHsSrhTmv1j34anXQpsiYu kFhUntbmKylnI1JGvmsKI7ovEkIDBXl47KYSGcd3TJj7lfl+fFPrlaQEfdaVuU6Mx/bOwo1PRYVO 0F/kpW3PFRk3RJu2EHAucIC/G75tnbJN/Rq5YiWSel8QTz8pFm8MPZB9Rmmw6EO8iEytByI/UWtW 2qodDf4hP0ERq9YvpKl0C+x7CtqB1CQaxxAHrz18Xtf1bEpMoC7t2pSUKTbDQ/aesM02Z2OEPUAs /1iFLlRNX/FPwlh7BzziHUirMJ4rHXhqOD4Qn5qlNo1V86WJTH8bJkg8MVZzqAs34c1c7dh0hhfh 48PVkhQXP6/728euR1RJelpkJ9+N5HI8zgYbWlKU7BClX/1oFkB+Vvqtf+Ug8uhkEB0EzLoYHXLu DYEvCTJzhY9qiZcPXFKAzkk5SefSnF9lgJUrj9f//DPIKjAAeg9cU903++ZZyLST7sICL0lLew3K qnbi/CNJ7HhkgT4H8AQnhzP/XqeniMYbLmuL1OvqMyNcfpA5yQakGK0f/pi1gdzzJkz2Er7xV1+o SRLOu+7VZ4z6b5EjZetd1qH3mrqJnEPKXhTGcdA4fVfrFg7QnKpkxGMlzyWt9F7UI3scWrQ7ihxp yYAOnD6jQXDNEEtVLlZ7QDPq/oG7Fm8rmkBTd52TrO4/MMn6eovjDpiZKTogjxOHXhXz4+XlcmCN UHB2zDbYGf/8Wd7ngjFJdlMVrEF/nCslI2f1TkB8T65nLb3DsEWLFViXvHg4YTRJHJlIDyptVIaC j8fMbXI8QOLWR59dRZOR1TrsIdgBvwlgTZrOVoCrHdM4Ho3llZV3Hf59Idoo6jSSuXs2OoC0czuf 8hdB6LStL7kAlSmC502GMVRVI1RWFei4eFd+TGgNdfPi6wUiYrBEtn2OcI7EeREPiRcuiJCf7P+m 7Sz8PcGDbWMsIvG3zYZAS3Ypneeglp0XCcjA88fswy9BAEMJrhFg0VirYbDJLEDODzQt814pyNks XeFNZN6rxsAQ69BDonMdNp3vFlCY+lnFvYU0dn71BE0eGT4OyChv160XGrleUrKBQ7KkLyztOIaw 4TVHLrR4EKypYiSuTk3nlKuTs1tNVjUqpDNSKLtcPvs8NVZMbXXThZ1e2Y3b/CttEfZB4l7ncXCU gMpys/nFikSbJunNc/9Obp7+r4OGRPRTJCjC/j+oe5Z0XS74ygPGjmEgeBiUDo2sxt1BL+zh1m0u N2fcqqkX+G4XJ1X2vSTK/HVVW/RxGKllIqBieCs3FMj7rzM54EUCBzXnCYeSQVJ/UTshLxTL6k/r wk4V8NHFhb8WsvmRcGPmKHczz6WwmYiesaU0/NKhDHgFZdkHhUzkEKwepJx78X+pulSSNvPj7n/y LlHg2gJS6UmxfYk42S6fk152qp91f2vHvchz2JIG3Vj4TZLd8WL70vUw4r2hVNdjWo4Wd0R2VG5G iwFK5w0C/VY89PM6lPG7BW4cfilqaS+OXE3oYyDqwnp/6lLClmFT5zeJhwdukwZKvsUqq2OdJi+X rCFaAnNjCKKKfjUshc2cNJ/jdscAEiAaSlWsSWO+JSMBaHOrNdXy9TUw6+1QGjVYqVjbHCbVUb7p Iwol218TEIIGOv9zysz4fwIgb65WgC0Vs919pta3iM8eL6iNeFmgU0FTETyPt2OoxJn2cpK9HN3t DDYwGiO1pOjcXV0ckYXO353+m0CJ+dLUUSl3xIJtcBe6BwC/SmqunFT92Af0j6YTB9c/EHgJLn7+ DRTJZJYNg7Lejtjrjh2LeIexAOts1I8AI+xWyRuyyKVwnsqnjeLCgEqMa3u0qqg5oW+98y/QpYEm JHPl7q5EHN8uRIzvzJb/F+RluEQNbqHAbRdl3PSGDIV1bfdIwYgEKaHcPUnXNDzvOgUFBL13i2II 0+t5cRmPCcwShPgT3fpDZV+azZJB4vAmEgWDMi1Xvxe0cOcKmlzZWi8jesPaZQpD/sDEWkIkgRWi 7EnimC3j31G7jdGqFbVVUgvVYcxkrtbVCCvFu5fpnWw0TPUl/Wcpqm+ETgXU64WcK1tXNzGQUjlu L3msswundbqVrOnoYS8GaUa+Wv1TZITrSbe0X/q8UV4U5bhc5bE926sJXi6beNguzDwnGOldctxA 24YTHDExMDyYlMNkNhHkLSDFpS2+oC2Mp0t9AMxgSNXY2SKN5uLR1SXMvYJoeZ4MFXkzpDxkggZs NC0DSumOBMjkF9M37MDfkWgiX89ZQ17NLR6hwOGexHpktHlDhykc1mOWlGP+rjauS7vi9pf1lGjB Qg1fi5xBtq3N3Q5DKhHDClmZxEXYEwXB02C2Whrxrt6XuHG0TOSr3nd8vavFOQWHU5Yu2bExoelR +n7Gr3Y7ygXjHOPPoRRNlZjFEiE7OcZjl7HYkwyMwXYVALnrQ6r1RFL41f1ZQa4vGwJl4sxfr1VB ao9D5kicLjUkIPEoBIS/cSLCpg5cc3PelQSXL0dNjKARAE9S+tFnlESV7qDWInmocS2LjpkFdbWQ /LQwv2+NGzQCT9XdRxLi5p7XuJXn2BxeYToddfKAeB1M0d49G4CWH4xuh9KX9YExJQ5FXHIs1nkp 4i8q9lFlGCR6/kBe1PQxH1e+/q49sCMmUe0TVk5jK5cIe64nQTYVyxvdq/gmW1X+iLUXlG6WkJX1 HPoviujc1021Z6wK1NyMYc7XKSkjJv2Z+IyGNK+3bl64FKR0NJNUytfLgLLAPj22usQY4lvc5xO6 NxA837cw6LOCTTQvqsXdS47xK/JGwRQtiwEQ53p753gYYupLSN6xREurvdca9VNuy3lWBgXy9+AC 24d0Mw1+zdwH4vT8MbdWKyCqR2O20rdfxN140+0AVdPP3GAb21hgYVgTeDTBAi8MJ1LdwMu9p5Cf SVwxVw7rWEzXkk5jGKI/LY4sKI3OubTzcbitvnAxEG8+YyooqmNomdYlkvzlPRJbpaAuj2Z8pw4l DvRaJkf2DvyTmAWzZCbV07+lS/q/1mWP9jEHmdo6X8rCkgNiV6GbtRpS+sB26L3l8aLE14J5AfH+ uxW/f0HnOxkc+25t/27NKXWcA+hCDIsa+0G4j3dCHu5nVwYZ5WkfWsQkHi0cZglbJp2YkG6OW5GY tyjXe8t+NSBFdIq8bjcYV72NctRvQtLTpVVHXbGjadPoCSJRbIx6WpaoBbX139YPhtvMH00nonm4 dYQHsApYn0xwUZ+PYYhrpx1Yy9CLfAMWZ0IibrkzjNz4ELORVuZ2j9Kz0Ds56cX+cs4Q6IQ6rFGD UmAPnXHTyHkWFUnw9xO+KdHNL9IGJJz4zhGqCvk+nhrZYep72GEsNZzmaWKiYmVH+bqPxee6VzaS e1CU7SRM/Mpo0T10b2kRv5KKhNHwIH1G7eaLfa2OHKbUHqfC6SfqLmtOZo9W3qsQCSx/9l7tnfVu hhLk+/C/mRqCJMxx4wmplAk2OUko7nr3iA/y/bUsy8NE9Obx3R+O92v2bMG8oZPbLQUzBXGO9dCV e6yTobgzuXENxAVspATbPF2wkZjjnSOx7EdhaNtj6TVPdl0dSJTE00eqhkTBrz9wFf0L27HgO+Wk RPud5sOMjs8tECDVTMLr6rNy7TYH+DeTNR3isS1V5Oeh2d5GHIer/xyt3WT7uIrsJdFVXhdn5U+W Giw0pUD5GP5WKA5kmCre6J7kLZNiSWTzKEhvQ+2/oIItwpnt8a0JGaoiGQWcgHFLUHckmSI2jejh DlUnIE7gLOwFsVdA/RQ3TC9jv6ztFtk36ymhviTd2eyuZB9vNrfyVIqoTEbLeDgNTL0SwlDS9s8f 54mIyu69RA1gKOYwXvAdNrnJ0s0MnXV35D76JcuoK1T1FKfo/DBVGebx1Ug3WW5AuyJIHx4kcEMy c0uQPsy42jn7vrD0iPJaUErt00fBVd/u4xCZWhp4+b+UHzQ4zXp076SCRlohyAxFE8+KVuLOeSn8 0S7UCElzUeZHStQTu/cCfukmLD8nEEXjL1P0AYI/AoJOvS0RwxhnrrgEhMCwCHqsTRBtOHOSkusG P1DyQPtvMn7A5pR1l3seijaifGtiihq8v5fCSFMFWnqduoU0Y4aGn1IMhv5SB36cgerkG3d5MOrT CexDHIcibPkjwc1ikjdJ1pmdGAKPE/JVpb9QLL1suqcPU66YExC5mMVU8/hAqd948p9v9plQSwkk owcqSfZdIlTWl1hqeE56Ogso5lPjh5VnIQH8Ip5aqYiE9S1k2TLJYjzLvi1hQOhw4RI3TqzjVNrF ISVfPp5qG+cbCSvxrH4wle9RwaWbagCJEC9NdLURK3Q9RwZDLY8GzEE5hI5qZuaONv3+zM6fTXsP NHlzcK3Y4Ti7Xwm1xeaW/RIyhXNagNn7UeELEY4NeJvgpUi/tOkY4RAba8OmUVJ7a0Xhsh0nrZ47 PFF/gNSjfuxA3zP9iDrAkPqadAIjgWXgBeJXFzeJl0H9Qq1MZiXVL4ryS/zoRdMzF+T2h5y1NG3B z4Yku/NbAYgBFepOnvMYk2omvvUeWxe15KMfiopQvjRe3TJK/tGwQqme+Z1rPnz0Fc0EBNaiudMh FB4uYs3a+dv1+1lzwXmXr9PwHBcgeDCefyC1CW7QDNK53noa6Jrfp2zvHVpoYnejii4N7m7VXM3e 0KaQWtqGapNEjgm3U2tPoCG2k/FOAl6BbldTkOb6hgD8OrS5FwhMZVFbj+2/p5loPcgtnv5u06hq foaxu8MsZkEtDaAJKjRIwLGVYfQl0/EqrQ/uKjIsrfNvOwDzvp+lkLuF6sfCkOgvLYI7ZJKUg7SW WMrsprlsWZyahRq9w/7vAmGWrGN2Wo1Lrj4YWKUYkTSHpCg6ii5kD5PREaSZ1gw1pgPn1/2flHzi B5YNMwd6BLuXdQl+4TuCbzGx6uRMHXT8z3SgkOXUt97rp1Fbq3dtQOJ8xB0QVwUtXIA4NhzuAPL2 SdPQfgNdYiA5rdAWshLTgn1TLwhvCBZ7v77TXmrtVY4F+DiTDg/JV+8F32EAfTuurztxCeRoMfEd tSUGxz046N1jSF1ba91Q86TOl3nqDLAEXdWcekBDsdD8YUPEFJ1VmcfrKbyyfZs2knVJHDOYeqak szcqk88qIBjgPsq3KlMSgpLBXStD985ofdZY7zXnf26Eg//0HvtB87oxPb60ch3ho9jcxn8cPdiE mqm4c1Atju5tPGO9PrLUdTr3ygoPo3nIWNAUyI2OOtIrL2fJqE+48kFvS8R5eSlfLkj9nXpEQy7J VFlALQftRMd2TALDoJ3OX8qippr9taWqKXtTmefg8xiQ2A9zPRDTo2uYRxAl6LVhfzOyBtIiZtsE TSXhUbBCJ431BXfKgLnDYVHgsMGUcEZxxC3+AK9u0stVsiQiGgjHir/JrwR65NiUit1gyv6L+N+0 uiQTlJWSw82NxpSENuicgF/gAHyWCAAbat6uJBiSRyLyGprzgJfMwoK6kkCqQ19BL9z4cIdQg7Ag zEIvQ0VG0T8w4LuFV069qO0tF0BosyYp0DTwkivMEqpFL5rcsF1Wdc9eCPqEar+7Mdksk9u+oEEW O4TH1pkYFUvLUiQ/wiXX30jvoqCEVaD86uCIyBI+aE5LjNcMhWuQg9kZDzU1Ua+vbui1H6/f2Ph7 Ufts80IDr60iH+y41k1ksyaNdNqQ39l5zQ2KpGM++61KXBYANk7HBombuz8l4L7pVViw6ANzNi5B w/7OpiDgYfR2Nt4kvFlQN3l3q3h+JnZp37JK8+Jxzp2FowhLBvrtkAPhtCQsP7B+tIF2G4k12FEQ D7TtPQwtIMxgI6I4JMI/DlxR03PmbT4Mg4InNyy5Acj5xoHusjHRO2P0VKdhtKhf+vLQqhY95b5d MPWwP8oCfdVlIqfWPTS/4ey3AqnpygOsOX9QhM1ssRsMSusJQDQuLcY2MEObiaAiy6szcFborxTN k/5KTREAS9avsPMtZ9GFvMxWHmczPgWbyR0HgJ4Jl662F1PvQOawquCtAX6uPsotBe3aejvpCiUS xmVtUow83SngGvNod2VuhEa/6EWIXCAkPiPi3IL4KjcnMiVQ3cyhZqktb7tOZEn8T0BNJtps0UfC kIt+7qpKk/X+MfAYmtrZG1RqT4MlfricuKEJWF84xG2tzAO6d/V/L5tRhuY+kI1pHx8bscCH+7hK W2FspKDVF6zDh7u6nEHyxvU8Ni7KjZFeD6rDHAQ9w7ov13rRF4w5KIcopWolwh8Ip0gANw1skE5g 7WC92ZxmVV+vlTSCiiqwHfP86EH+npsdJC92GgkEGNzgQRWZWCIotq3z+HVO8auFcA4eR+s3Ecpj JPxN3hXKqoz9s4R0TGovAEpRBvJg2LbroHECLDWyo4G9hllhnokRE+pqCkXOAviuOxqJHd/ZPqhg DDU3dshMaZ9W6mmvz2w+rcB/C34HIAoyNY+pOIwjWnHgxHFw//kvrK3R/HZvGUGARQFQ2KP6DTZb G+D5RqIiZ73s2gYrvg3nQt1uTWCRw/C45FCQ/aUGRdKYPUskh9ocgObjh5RgcDa2fbv3cUyyhI99 3ov1vOg/jy7FOZrt7EUer6grDLBUwE6wivFaVOohqVQ0FTz/3saP7MKULTJa2V0JbgMNMEGE35lh hgv0HkMPTXYAia0zjnurNxnN097b+1vjkjbxku6sIxHjKrGgmsyzBd3J60M7hmQJhn0Uz0MKluZp cN+DTnP6kSIUUDMpk9wpzdurP2REqbpqbWMZJSZ0QZA54fT+iBFsE5ky9YgEFkFBR0DCnC99FmFe u0WMteorcnui4y+L2hhvHYFBXuYWy8DTQ7VUXR6uULk9W1/TBZ4+x4FeY1/zLOqG53+jWrufEPa1 FMSAov+zgDbiSd7XShvaTjy0iJeU9tanDv4tENGMZZ6rwTwHYQQWVgY0mnm6vx0nZROFxiw/FvsD xMNIxIhq/7BAb9GO+WMZPMYJmCJoPR57LTzvJqClq9mpxKFgFht8dzE2ImfH44YYd2JH1WWAtJkB tb7w3jRAZ/jiXawU+O7M3ZY21pTQMMonksZOf+jNh4IVggrMX/ChTreYt60hDygF2ptlx9lCoYmB K3RMbZdwsiPC2fe83RtbTkxMuzIzUemZLOhq7Ogq86Id/SiB1py4JDVdqFgmkgcWuEeW8esysRgv Qxynlu6xZV47PiMZUPiY6VP6A/m3VArXwVAhZ1IPXtrse80iJVJwPZelUkMiMbV/99esQIPHz31D xzp1YUcZQTzO5wtyTSZYFxEVC+ik4z/hz7KtZSy8M0t13N01b5SwIKKS13YBKex5DKEi9b9utSE0 mN4lx+TSRSf1UARrsg5YykF4AonpWb5W4zcsQatK5Esw66spY4Lxjqiw87NOf1cZHG2RaiKmgPWt 1cZa20AnBEvGKhH9swN7FkBliz68RittZwEepE0JNAa6ZRcuxN0LUdBQ3xNYKiNzWFS1fUSuu71Y HqTHpdhQyIpBYvM+7DUdbEIucTpvoUyupoagzUA4Ne6CVAC4yv6FJZKyKRkg981MYjDGx3KVjwbR dNeS6xZybeiznsKKfOzOX32Puhjhog+XO1snErLjhKX1KwwYlOG4sELJ6kVtUV5cAKGF1zqf2xmW A03HiqNuA/bXWMA1fK1d6b22MB+t5JAqv8kYdDD8h35XmFjrUixRtIITSpm+4Hagw/C+l2L/fSJi 90LEzLbYlq+/l1h6sdJDdSKJ354Utah1P5PFIAuTNcKaRV9zSR/plWqwc78I6gFDMEt/GYPnGRix Yq2ZIO0DrIDa10to5lGXgp71BoRo1AgBQpI5SXr251no4+1c5zexvnY8Wi72a6xDDRDxZXkO5oKd 4lQEiilEjc694t0XdfJLTvtXaEroSKDnX7SPX13YEYJ4DMvKUiwK0En8IptB4nfcN53fGLCuxfQP TlxGzX2Sz2OnCsz0YDyCLIBlHBNwfCC+TuwJf6yOXvLwUobVn41ZF7qf1xVnQUVfeqT87A3Rt4C3 CKNjkQgIrNltlgxd5zVQz2sWyePOBKnRuLXX80zOYCdXtPbhOkInl1FFNOZnHzjFeEEcUnQJLvM9 C5r08eBR3p86/QIproU9e22FidwSI0XGL37Bsg9LVgjgdHtJQefYnCXfHTeK/vftjFLP4AzHTEWU sE7Yvm/pZ1bYsv4DDX0vC8k2JImHT8bsHN333dHooWGRVyda8/9iJUTcf//SSTfcNDJd32xpN0yu p5J4U8D1/ooYTe2F8mnJXEhNyXSHfPQLhNUds9UFsXxtBQXwSHSNNQ4GEIeD+9tujBZ4ae+imaU7 XM2DxHXC0hzoxFf7iDY0vQ1oUrWChvv8sdiPtL4i+j1xeAgSr624W8JdWXxJPVyn1obj/tM72TIr iE1aL13wTeJCSq1JK7cdmYA/P3TFueM15TcSP0hAAnWjtqLEt8bXUtuJsr9268EJIzbOMHy9QfX9 CH+t7yBPfTFQG5tA1UBreWRzTmhkl+JUvIEuqNrgj9MEys6QiWtRbYFDy5DXrnkQ+6AmJeX0cqOV O5dtaiF2IWQ6CH3htSJyh/E0AG2jyrwz8NYX0XMfSQYuAYFvg+sibvkELEusLKxDIrRFfM21Tn75 dlJyYiKVDj21AC8QRSV8x4OYAuM5O1DcXaKPVgae8L3EY/axA/LJdHdhK2x6xoww5uoockfc4AnS Cd2obKfJahB//GadRxqOC2MrKMwUsjU9kxv4P4ZaxSYF0gGZBGBOGH+Vf+b2A/6H2bXdEafgU6zC /lynDaSJic7bLxoDxAUX/Zh8aB0i1cYHCLmn/Jfm24pQFp3gUMf4SyaA0ekwxFF4qRfNsJxqnykN Ce+HL+bQsOlCJqmMaGy9D3ZHkaE52rXawDD108Z2z0RG3xOZvtnWbg62fHJhFZu9CwwPIJGjpw5C 1IylzlZIM5iRVigEceKhKS/xdaZRwFhHuekOVdqP/zS0+Owkznu+VvLNOSYzxxwFC8Z86iHT+c/a x4JzUczhDo2KKP2hoaRsxgOQSj8yKZHAHOVN4QygNfr5v9fDe9W+Fz6UOIVTfp0y0oCFSX8MVsLn Vyk/IQw6fymPE5hkaTZ0KycP8ZqCzDi/HmXaOEmQIYQsS+iX6Y/qAydgmio1wu8ZxbGaFz2StjHN Tvn4/7kSnakKHupe49NzwqbcU5YWRi6HdK14R8W6/UctdZwNrOEw7RCddE0LAtPSIq1slvOD24tA +r9uyrU6o6/41XjBhNiWZ43oX19U2VsjPpTheco2KbIPAzKokx7+yaeDbCGw3DQjRIyKpS9PdiYJ Lll66RX4E+PYBZo9IGEcHpl4IH623k3iB2alZs5KE3oIMMnuMAHXSDFkdXrQVbZvNG9/tdQDsUH4 UHdgRacQpeQHAn5zzh39FDtK/P1aCPEdrvp+K2R8WdlfADQ8WcVYUzNbYbTQ/ildYqh6A6Cg5sqF nnBbH9ZOGOUQq4DXq4l8TTRuH0VPtBLdRJRZUlC9CjwCQNMI/B3NMYG1TFcC0V9j2dSz75wPDIUf 8TjhoHJpTdj5Kcc//nCKBRFi5cVx8R7ykV+INOd5Sjj3PqWIeXINdwgE1kCe3HEsUD9CgI2iAqCF qq/lbfv7OTET9YXKpUU/lsc8eet2dY+QsMxUd52xylBha92QNiN6optbX/9nDBULEAiPXfPm0/ro Ff+S6rQgxk009STtW7OhFcCfwQB+qqho7wONMWS9QhJybomZ1i8w2LBNPM1TtSUByhY1oDXerXzd ll6UzK9JjDPRnsuvVwU5JkOAPlj4CXBctrLrePgVxjRvWvbzUGfSniQ3KS0wKxE4loyG9IULfuPP fHDPim0CtWcIvI1e0AfklAec4fDSrLlgZTg6Gh0EOp8FOTwcduUNWzfg38TBIsnXmalJU7H0Qh4z G5UyjP4vLhJBcZ8Z7sVup/CU/9b1dl/mnZkrRa1cCLohcbisPpnIJeFLIDEtWkv31QPNRvgzyHZK Lxyz7FNdSwfFWxrKxUcl+uqJug7tRcuV49LzmAmHICA21EFo8195nVRBFZVxr3nFSZnWeFLJXnSs QNI1GlkoS9XIeQPageisGC299OETO+IsAYKrHBSdG3vH2plEvtNlqSw/sIbIMkJrhiDan3d/mtup 7c8WM2iAr1HfXlvwCP7Zr3T2hr5YXczr/vaTkL+bfpYWpkzfQstexvFY9dUc0Emr42WwLBY7pd9Z kVpQQD8wK2IwxIAo/UkCMdI/62Kg+n1Hg75uhXAvWFOA4nHJDRHUqfoSVyUqJcxwONqxIQFPn7YH 2HG7TDY1rHatxMIE4BRehPBvafi/8CLWEyki8fo1c9nLytCiCuOa663OX4SfIar0QjKNemls8C1F d2cubnEi+0E1TF/ZpXwaFG3u4IT8YE9mxLPpcEdXGzJBEPpSx796zd9C5uvpAfehuRNQSawArJew 59RFV7Mhij/Z9nmTfsc++0+IHXzirv51+crYjPWZqRaJKPkzIoupFemPXpiOPT8T1cl+miGMMihg 32f6oGefvUHrtFGT3Zr8cHrCAT/P9vW3eG8HeGPZzYa0dJgfqctis0zZQvD798uM4YmhiArA0JkM 3umQ/GDLlabnTQFszsMtx/fqNzbLBySZiiwW5wgos7pyR6WM9f782xRnpkDqulqAiu9oyThfCnaC 0hBwUo/Q0QWy1YSnMcNGkvWNhCfqSZ4v+2e+E21PIUANWFmwMgSTE12ozMoVr258ocA5OjN6MyNt CWuKjpbzD0aMHyH9ZhZ8G4Gcv1XhUmAxBYn/WrLdmEE81Td+tt/9pOq+kxuBkBGTkw5EIH1oyETV 2+DlR48Fn46riw82svt/8xxLEBZ4n82GSpdf7sFH4D+4Yov0DbuIcg6lzJ84ewpkDT7rEg/P7vd7 M60J6+VoYRfsVZTWJ8QlycytPlrpkOnpqlRC1j4CylFEul91dFnpEiwQYcfvt71WtJJTd4lVOPwo ++gAngzbnSW0CjB2L/2nZqS5S0HiNkDsofjQwQCBDjRv2tdXoL/YxLKlOoWZzM0CVAbvXaju/eAW yNBMCuyyXbktgqaI+kI7wepCmpn7VJCSP8nutElZmjJYVEItzoeBp0YUh2fqY6B+iYHWqZLCgZoU 1yDfYFX1CUoHtUVDf3mU/ym8ZPEPaVyTeUuvoTCMpr/h59+ECMg59vl/AWeZSfaGZCsBFYz7swXx P1P2Aqwo5eupEIFZbiRHOSxe5Oicz/fS2u/tsWY5ifFmC0/8N0roJE/b6dQe2uG/xtjjHThvrvms px6zMs6Wgz10d4imeYf0bjX94hoLE+keE+3QYbsCnAddTzXZZmRmBxnxmcKKDgtmJ0Te56KZfuAE hjerTPMlLk3FAb0docA/zpXSb97t3zhd2GGsZsG7bKQx4blU2MpPqpQ87mgxrr3iYgvPwmRD288N i9BCBkNB115hCbO2MVTMCwc2Fmf9u1mBsJFrWaZCRXLQZ7bjRDox/HdnW8o7vnlkD/77+X+WOzK9 8vYxVVdxfZfqliSDULZWO8j65zto61+CBvbux2bx4WMO6Yc1/56eHS9BX040ybpnLBM6W1C8kxZb Y5CGzuSaGI0Q3njL/OXlDtAKM27unyi7l1deMgalY3lFnsUPt2mnhwDyz7FitmyEZOJv0dHjgKXV +ZnYVJ+N2SsUOwgUca2g1UkxPBTTx6dbWeY4bjUnJaePr/PA7xlVT47yGDh3Wbnbrjbbr6LBSdVa SMHCJk5VfeSxqNb9ZRsNw2A06ZP8uLJfXKy74r79IXnGVoyJZfn1qPebubzixAMD9wi2pHCTgCZt k2zhaXvVXZTyOJDwICBQ+7cMutRLctsQH7Sb3z35yoQtlqB8PPeMKVYkV8+5D0TvvsxluffoA+ih xHdhHVCf4zafBAUCsAAyizZVkAH73LQqZ2T6ZI1W26sd7t57TUjStsxMqZsCWjgLzeRmuz48EXdX 1G8k5b/ICyBftkKXpKV2CzMUWWsT0QbbxISVGAbw3n7lxwFSNOsUoxuxi1oH0954i2XNtQf7iwaW abRj47yJn23Ivxc6mPtRy0DL09ALz5h+0Sj1Cu+PbtaX74HUurVjiHRvAYbEXx+Nkl5T8O+cgTBW w5O6gAjjR3q+9EVnSlyWnIllQL9sdUPRnyYXgznrRxefJHTHQ41jdMfpxe7b+Z+LSt8I9YYq6fc/ EmkBEX/H2HaDfXwPZqHXZ7DpSj4Rwnr8r0vUvkPu21t2tnPqx6oj4H/nKclj7r7TBna70+KaHejI MNfF6dekAvyCxKn8cJ6IpDEnOoWXp8yLyjtMHDQrOzQcZ0TUyOXD3ozg/VAu34iKhrwe2zg2VVNe YPzRvhy0KFJ3JC9UqRRex69mDN5ExMSdZMA7CN/DnAFbpM80QhN8ARI3a9opYDyZkEHIXA5vRk5t aJDBRme7L5LkGZTOBm3u2PkvbTf/c7pZaUVZ4zMg9c7xgYPLmFIp0E+9sOo4rnpTiTBVy9gsF6QR 6EnFSJnIIbVwjF8c1VXnNrkBiGlWujAFAQP2+qzM+KeNFWTVmAMGxPOtnP0P6CKEhWijLjkyrh8e T2gvRVZ1IDe/ZNgYVB3VLUr3BuLqqsp/ULDbCp8IisRd0kN0zUdmfZs/JjBSr76ksfVfaf9IGR/r vexm3xQVDHeIfzqjXCn8CBaj8c0ZCV2HFLMHpHpAvKU4mzkczVi1CKzGH4SiOscsMdUszRTnTAjP ccT6qgHJD+s8yuXPqnvM70KsQt3tVNrXv68XLzMghhdbbH87QbmXoWW/TAoRuC+n+CfdRPZyR8MV WJqJLMI1Wp1OH+YuQJqsymUVf8ovM2i2KDuDXivlU3NScnEDaNI5ELZMmDmR58jysZEt9e5b3TBI 6zbuFwb4gA2ypy2/dAeQPG4L21W2ZusEMjyq1bbI4PmA58+I67BYA/MWVHwo+VjjnWLt/GoeJF1s OxOJJTbisyjTDvSbwQmRjIaM9kkSvF4r5oQOE1k0OChsBrPxWsK3hQyPwAf2XevWAZy5o/iT3wUV MzN0tlDxxSQ28Y5SKwRABhBxlMiElVS50G9F/wItsGVLVoNuTbFhmFVorjNiwUOr+Ozaasg77MWV Y7luWeNOAEuU9rirAg5wwuZDtY2MF0/KUBlCk/chucwNAAKrhYLFeHXEhJAZJSj432Iwx3PZ1N8p v18sNZXzbPWtvG583rNoZ03ruqGfN1GNdkrUsj/Q3NiE/ZeHIMkxknPEX8o/HBk86Rnh5qFqsaPE Afi6iCJQOyO205ft4fIKvJeajvy5MdTlDDWmy5UbGakGAvhf9MXuXBGzVHIhh6b0s09RxVLIVkSz fJll0EjBHVr59XXh8TkarPeSxns7zx5At+8sT9RXUp22mZrB/nfscxfSnGZ3EI8HWC1s9vtGmvlm xSxaNTCGW3Ppx19wk6IV7wr9ET60rmZg2GyV06vb/IELTl4Gr7zVlOm5OCEKACUmAoaopeWNb9ak 4ni4+fSAFa5qX8s2+SVLksEE7Y6AgBJrlrqWSAAjf3Hpzq59JOEakwqjn0UvDHPDnG8pEuPmGy6Y Vthsj4y0mwRXqKAlT5pXuRJBEFpe827WBZwfnFEQedei/TahPQJJtSHnk0xhlNPITtYwGE6n9xdA JD/HVtT1pEPYdnupNvKCBVISJ1iKKQ88UzHWlMF2VwePWNnYxaqHc5Ew+jyZxZA6qFlx5fZDJfBO NsnwE+1yxTPEb918fYS7k95Thn4lLqQe1DfObZX58BkY9EHrnYRhioobWc/jcTvUI5mgavUqFc6u kaU7z0uFJ63lyHGwuNzRZBWiGAPCy0TTyhdaC0X4OA8ULpw9RQK6aaf5vY0naC4+lAPa0VlJ0Ppn ss0LyFYCW/kEbWxvvvk+Flss+aItT09S5HJWi0LUb/tAFYx5wLXaW349hwIh2tQUSshgSONraCPG Jlb9qqOD8RFA0kqYULc4MkHb2Uhm3bIaRmm+zAJolnmQ+sfVWejVIS12UeOmgO829P3gbLiy639z h30PUwLBwxfzTHxDyVaTuCyAaYvWxO0sgkVd0bgU4nvnILv9p7mCAQFQI7vfKawpTwgOEkB1e4C5 k11guqYll3nIXofgdzkFGpWK8gXxxJiQvkHS3MXj/pT7/Wghk5xJOyl0tzGq7OuPmdW2YSa/Ts7w G7nTH9rKxEU2U9dJ45+3GA4/btEAX00mVFe27YHOzLDUuxfdpXmZHzrhMHRa35tN2YEH3hRiuiUx nubZ0q3wb/4J4+zgbvSwyD9B8MrfWvbARarEEZ32uqweCdmqwT2RxeI1486l5FaNUksD7+6IRrp0 Ij2glL/bNSL/hL15lODa1vJy4qTg3sBEpPE/1JI12eb/P/BCaPelaJwxKVkZJXyjwJcZb9VhY05O VphEcpvdYSb56jQFYlI6P0Sg3SvVDfp2JNQcZ/MbOS8m6TCqtOgDtlETtTLmYei0duHX/2TwXTQw ECCShsJ/ywAchbbR2naxed7D4VuyI8LkRwbKg8+BKHXw62MP3vo1/Re2eUslqFwcpK5Z4M9jJT/Q Jz1zsmbsyLDTMQ1oM2f/9U/Jk5j5afheHSRN7c/pwiBFX6ywZkgFd85ls6X9vjRjiDi/LgwFBWOF ZDWM/J7+QaKpKsm3K44yPrGVKRSRSf64x07eZBVaNn9IWK85ahbObu5CUm+RXaYHj4WHf8TlIvtS +/z2s54lYLVTefis2cR0MKnnvuXjLaaqhNlNLNyDwvaVORWPytOgIdm6sGrR6lMeD3g/9O41cZWd 4CjmGuxTWb/sPTXpOwY2B8zMNITXwC7FfMd07txWz9CSKPOoGXB6mM5sFSkYULgM3Z84WgNh9c5n DIb8lH1dEqy2FUuqYj1LbVH12dLBWnJ9hR7XFGCn1FwOWfTvQKUZL7Uirwo2LfdneVAoRicYGWvJ 1yWgETZ9Zv6K6HmR2QcUi7k7CAxm3wYW3dlXZ2WwGJiIYOz1axpyjdOgJylXhDbNv37rr5pXzATC FVWWacn9geUPammre4uzA24LmaOzkPTVcYO0Hyu11ra5QzxUlGxTw9BONpXwr6LbZDsy+plraCLC 5uHWml0kNB8BxcYC/O4pcxXaXz70l0Bpm7jH5n/Cx3GJ1r3WjEEjusczgWG7Ti0ZkCeSZwZPnNS2 nYH78mExyUgMFaDb8azGweXsk+d/6xlMNROcWFc8LNR6tLjnoINoa/vqeKIJEWEku4i1hK1Hh32Q +tLiJuPXwZnETFUeNTm7B/r/pvF83vXcBZ8Lmzdgw5YDdugOGESjYZt2mIarwzIMrlLfm2pCydaU bCVlrqBHhvnX2xyX2y6DOOb36D0CvTcXLqQ0xGN/3SCH6eQPfLZ2WuY+W+PdPDeD0oF7cXSgany9 77VJr2d6xOM00gmk4vnzlSkPiDWpHHTQF3NBgmFkeg0RnCZl/8HbcMYN9Xe3drTPjKmM193KPTsL sqx4lXwyGd425Odv3/GZ3/TwWn+eIQl/ruertAxbAkei7Xyp+UpLSNSMrDdAdC/7HClnscw64RLz 18gEcPlsMgynL3+J1zUlA26VKXaJKLEeQIv/il5SeD3ypwnvOAyH7ShhtXk3mMCZjQq03U2V4CCr Xi5vWSN2BdF2qiUMOhH9Si9lDbZqyKkXAkWdCCKkqSA+ubs9m+5s1DcXBleoMsEiq7IFFQMsUXln 7p6KWtcsSoydDLvnXF62b77xLCjzYrMmGMR44b9oaW/eQJt2CQ13t9CCPTMWibpSv34mKJM82Em5 eDXIJGosCmTOgpAjWydVhOv6ihKMPa4oqTR7hd1yTS6SwqDsMRJ7bAMjJ05pn5I6qSWpayEF1LhF Q+HOH+tHzY9CnsuVzGnpjwCVAkRa1dNcHpyIXt25EaFPWuiAG102bBE5gp//y858EiQvKJ/XzTpB mWdB00sU24AoNys3wBBo+xIK642SKSCOcA5ALgSxp829eiQL3LDZjQjpCwnkRPT8cLcu5kekaocW eQfzmfRnNNlAMw/0ROpPPxMjHMcKZ8BedzcS32zOyqBbmLl0yxTSKO2TangQ+JtYvxsQ980XXjXz fgtqRuIDGu2rhutP5ZjrNkAr2ivCPipY/JzmozPtO6miNJqxLxBQTZbMb5fd0q+u6kveyfB22eq3 wkQ9UWakeTrDG0/T+ksJ9Ui6SvT1TYG4X+2ZoZ6MPtBrBPVGJtOqnHdob62/Uhy+W49oT4N/4BOk uJCm/O3EXOQBxDuI1hRa+AGW1Wyfur7/i2uo81x+OkJFWHVbH8bEBpXW85AJLdD4I3G0rWtSI/VW JzNGZ5ftJFtCm6+h1LMXJY6o86YZMEdS4ki3rQb91yaKzq/KhDWoXwPSoKg7/dzO+FmkHrR3X0E1 dRkdmP8UR9kb0QfV1HTcYqBifg37IFXX6RYb4F9NmfSyvlToJ3QWdMOXDkrhTWGCbfY+oa9+w1Dl ErJi62jQE669CVQRclAsq5wb0kEWcRlnuWCUM59wycH5EThGMpte94qmkO+cIlZbeuD8+So1WScS sUMeYzzw8Kku5PTtikqh7QyKnY3KslvDE7zYfTrGlrn1VFemco8bWUUoouCEZXLY94CMv2F89FFo 0026rowTpZHoJSKCwecbiloxsWv+Eatnhb4QMnWhg25ayjSS+kgLbxV1i8MmnLoQvyKgNqtC0MFO /jFn/rXw1OrwvB4RRGByMMpef8jpJ5S1rL5YdinE/cbQZ18t6zhZVZM0OXU7W5O1KQQvOEkxz29m rD98Wh60D3BLR/9ZRTv9Tz6X6/4wC8Yf7qT4SPrIlTu9tSHw41y0SlHc1srcDmz6Tz8Qzu0+Inbs UplfoWkbL0i0c9wH1qpI1uOKaU+ITs434a/2k0c5PqrfjX28dJgneO3U9w8mi9Aw50+86/gfbeVM PhWuCwCmCtVzklof1UJZzRAbeooWhLgwMpnva8c0E41lXXe9OAzBWeQYVVG3xpWL8gqcKiM1rCgo NJ4/GfE9KjMgBQ65klyY4Nos7beT6SJbNFDHKj2bBa1cv+h1nvG/S0T9KMtEWs5YHZnTQa1XvPw7 haqCkoAed65Iz7AypWJ3qAoIBUyEtWkp2MHMxl3WSIhbP1A/Rpp52+XQp01dHvb8MYnb83+ypPHu 5BPS8f2FbNQWMstAVoMLVDpWRKL/2QCtieArannDkpKgVEgjCa4SHvS21cpL93ZAMlJ3oIHJm2Lb mxsw7pWnLMBZ6w2dKSSy68G4GbYf13nDYJaCq4TlO/cQjfn4iYV9hKRwBQDb0rl8tK2PYPzX21nK aulnJVvgEkEgnKn9OSziuHr4iLxnt/AZVs/++k7F3jtKdShn7yNoeFwKiRKUXANOKL/CPQcY4taV poCVlIGkT6iSnSnxwH7LB9hwmb+0OSrMl9VSXQRtuOwuIFbL5zbY/BTVZdFCXg+zZYiL8y+N8gfd vMlbG3zvmY/NIOLktmJYMmmwxpDymH/ONhzTr/ALkU1F2bwTU1KSYn5oOaTqTiJaEiUV03fUTizR XKI/4kkdnb5EQlCE0kjxTxakOUsff0snezkBWpAvstcSn6MktB92i2UBVuNVMLjxn3WcpjUf8CO2 4TA+Rssmk5zTTD8d1XR4k6cNo0Nq3Lmy1VDg4Zht6x5qJTp1kfjw4gThw9GAMEJUJmr4LFNQKvwU NxsJ7cJF+4/Lh3Pk4TB+afFP/yIP9wT8LPChsfqbKMse0s+BW/uCsf8dEyxtTIvh//Uub4ySBFlI XrEs5smXBjVZ+A6VilL+dEkUjcI5ilI7mwEZr44pklF8Q7SDhe1LT3vo7uQAuwGlGtou2sOAJumj 3vXLwebVaFYNpEofBrf6Pp8CuFZYF1IGI8lNGgwf0ZF9ZZdTrkGNSqefA0rVW1DztTXK9CcCDglM Gzl3GYZp51JDhWijilVxnzRfdRYIC8e04gfv55G8tYF8AwrCnvVOq3mQscwg6WjhP1sWA5Km/mdX FabCqdcFVsYIbE5blW3XJ/zyyXXBr6t+5s09yuvonPdqPM26ao43Rzf/yHaXVtYnWLQYH1CM+iKr o6YJwoKgTAeUpXZDVsAPxXMyT1mxSoEXiCwDmHx/6zwHJ1jwmxmSp0R0NcRCBsx6ATLmQ9wxvcs9 tc7726IRuN+SrXALfedB9k9+r4fZRiIunojcYDd99ZbHvTnpRlw+cyv8t1KrCP4He1dG2XVFw2kA 9M5qcj4k5ArbxAiZcdrLK6yWQfP2MNvJkSMNGTC+7plZd8QGOaq4XvvZM9Q3rdytbHpbNQbUYbRt X8cCMR5tXkpT+leP3F3HKkwngqvfIXYCN6BF3bW0xEw/LY9OTEINxH6yQGPeoV4XntJPxHYYjjOY xVA8AAk+IwmhqdBmAQYC1l0HAysespw2llct/r+nGTYAEH0uHotpEW6vZbEp+/OTD8Sauq6wfPh5 VzLuDYO0DFX/JPKCPr6ZaKldz//fSEarljbUTQZnHpbxvMJGh2Sfd6lPz7q8mKQFrWDVlTm82L/u T/GhB3PcU1CM63MQ+HB8dCsw1X/ioVsbCvZaRGh6uLp7B5nm9UuzuBSKsglPemuaXbGiux2wAT2i meag/ozD2fNxyViLnVtt88n3nDos5HdIlgO+/w+nccUBAaDg+BKczxIX2MImDRO5WvX2OCK1kt6I IFIc/ZhLFR9opMZaKXs+vDM+bPouOFqAQHCESn9flmS1pbvvpChbpUAGouAF6yMW1iZyACxuqPl7 Zr4OKu/T4lSBy1IDQngunAeGTGSCtMgGuDHsrkfiDtbhhobRN4cWXXrzvmf6yAK9BTIReQ/m3gm6 Sxs4H/vvsLmahPcFD3xbELTudi3l6Z43BW1IyQiHjEPmSJ/pWW+UL9TPDOlrbmv5aMBylgyq9mZu 6SYT/9P6eYKtZL5mEecHTAX4vX0nqZo4W+I25qByVQxGxsU6AoXfRsnIA0ZdCnwqRDuQDO7CJtGs T/W1/Aqt+Kh5m0q1QwdCy5cXhiAO1ZNWcHwoPkqslnNadvPg5b/UXmEt5MiPmkfKpuTfDLDM5MtE /ydiGZO6bGk3KKFVXc9iJ/faPe9L/nkaa35x/Vz3R5JFdhhHPalAqxlK9oNo/XDdeLjU4rrHKuji cGpCVRxDAUHgAqEEue0Ior9XEjxEwEsb+4CC2VtajOYSfLhLr/G1J0yDsRLD11hFQ0hpWCE99xzv 5pgkiXAJM+wT9+1iOftQ4Aga55Ksd933sQp/qZ91PRFBmCnhNdhCEc6Sp2gNCCQFI+f612svieRZ bT+HQQGfTpiVeTa4FlBS0fJA1O298D72ZavECocZ53J26eJHr8mHqSUErcmzBRq3YUlGaALsNTPd epLJf1kPFU5/9cTeHqaV41axlxJFQ/YepqwNuSST6s9QhU/EAj0vPrwWzt+jhvUNol46MzAcNYOy lQygj6RsqtDTumjA/8fvXfadmS0KfaEiVGJ9VUrdh3Lj3PbebPRg3RKG9dJ6cOGsxdhAvIyNRxhm CoBiyqXssYp1DMyC81lDAc2teGqInTBlJfueOWO0ynJ9fqUpkKS2grOKBOI4n2o6EZhqG68dE/n5 R/XhFFWSBP/WXqy4cKM1guyC1ynS+LDi46cpa3utOSOsUxyfNekwVaVDv1QC0hBEdVy9DLAqzUu1 y3vN69t1e7eesahPgTLGsaM4YCCKLu4TvOQRi+hLrZpSg43UpYq8d05Qc5gZysCsYLSR6IYiJDPO kx/s20knipzPva0GukwN98GN5Ih3d7WQ5oqShM/KQG6fs9o6SawbE6vX4JYapNDDYk2O1DkK5a5L xuQo5QeLruY5kiHwnqSV8gJEO9UHDBSrc80yLU6/HDJjDj9HV4syxfRj0BC5BvSxtJgPb+6iv/YX mLjCMfFVwtv88pyYN/d1GRyPqOHkxpgtQvhXnJg4jDP7ql77rrQgfot24eNa1ZC2ayeNQ1+8VefZ mMTOaF9eSJildJ5Nl0QRlFfaM/3ntl+B9QR/QEkXJd1iMtpc5IOoSsoYcWnwNvHn5sskRBsoltDD aJ3hzmtoiBE7kp+9xcCqjJ6MbvOo3vMB6gSFStkab1XHeBZDj5/aTJmhDEfkp9TgEkwwHv5VXshz 35lHHv4lAg4u03lBQZWwr8wj79JeV/Ijxh+2OuiUy5ME/Hh6JbmkkvCw1Q49hq4ieQ7sz0V7vMEN XP3hPZnwiikhg0wCzSYV3qqdvmIPr2bc9zA3GVdkV1+qeLneb2+9/dG1UY363kTtaVFOMeNSjHsr 34o2uTNg71Ch2QDGP4T2qtSdnUwzfW+5ng//xcj84Fz+ovXRy4XFC4cF5JeNOMdRehfZGoNPwHfh owPyI1ssrLtHFQzR8Ft0HMxQTC2jMlJ5Imsk8t7TEzA0+kz4vIAfDtwqrtgKdGyZqynSFDKdISTk 1kZdmteC95aaT4mMH7SZvGDIAAFnsOBUerSI6jiqXdM8Bd5oUyJxTnmodb6wfthuWP2l4kMZ/pCe iMnmxyxh6lpQlqDRMBZ5o0alU/pvHYnCpY18+9COTHOYjeRCchlblRhSXuiGETjitFccc5oH0YqS fUPgkPE4UJcE9D3SiSVeCMYWHt4kdCQdYAHfAZIrfAfe5JxOnqZUAGPMUEnb4VNkADVXJBSr7lgP wVCcdgv+aylXXKDmu3FpOy1G6CuwxTjfIMwutoAN4geb7MKqd7rOV4ARD2mH5jHvnmaYhxNfTFlK zskFd/vkdBcG/Wx3Nuu0vYCUXmGDm8GcqQmTW1NJYSrCcg1XyMZ7RWy1ZtvAR519YM3wfp9B7DAc 8EomAc0Fx7f5wra4474OOSeBgSJzx9eg+4b40zCjrT9408q0BFAejVwyrLIgZhm1IqNy1SF0Rgu4 4bTNkZOFWf0P20E1D5fUqMbE2k/hqGd4yHHysF82uGcL8Q+ostMyAEa0SUClgtrsJI3B3IRMVYUc UfhUZ7zjSEEDDLUkQ4vvNzsGbSlOHT5ehbHuA6K62I7ATMFBaSbrsQMdqP6T0W8ohnFPFLtOfEeE SoOHd3kAIf0RhSLb2dWwBXnMlYnZnkUjuna0ZCagPb7Vh9Bryr1IvX3/kOhfIXFwTQWQGKwWxNB6 yz/s3bmKvfEGTV9ZYoeIsVe+KgwfVJeMoTtIHIi2f3Qll4MBaJ9VSqQzuTS2YYpGF0VBhHf79TAA 3hD29O3E3jPwHjAH4Jc320OMkG+HPhTaDS1aQYmufUgzeC6fxx3THXAQDiIVdCxF4d6R0PbxdZDD VLz6kbsGJLBNyA86wkga5OXA9oTP2JQeLUVZN6gTimY4GEYxZW4ACrt9/JO+m5QtRtZ1FTF0jCxD cCj9n36W1cCtOeWG0gCQsLgNb/W7ZGr3vcV7RdvknCTYmMDOLgM9Qpp0i4K7FcU4qMcWFMYUsTs3 vZ+VO45tSQOQGI9szPLnq8I+Lo9EkXc7Nmpslw/6+baOWhyFuWRmu7KR/AVRdyaZMEQgYgnTxsSU t+aAyprnZVn7EeVY0afLDISVvnlSm9GEdEQ24Mll53IoWNtfSWE7uxH192ObsQx6ensvHmROdi/4 TzA4let09Mam0hvj2OieaOiVeRv008UGBRs9EhynBEdQccu0ro6lrBc71AdnRw4qpSqK1earrlqn cCa0BzOI3Oy3p3JPF+TlU/YReAesYal8Uoh7zlGrtd4UjMDul2IziqAMnsBtV5CjNgTbu6AY2485 rjWUoxtQVsE49byJ/Opk8xeVXcMWyL4yCF9yIHKQcw3dpL/LFzQEhIrV4IGEpoffc7yYsNhXUAka BKHUiKw+Shh/Oygg3ZzvXIz/N0JofypcIi3P+HMGrh9BaTqh5I1m7CSRGkyXrJrQH3ze1Cva0tlU YJJe5Mudl5Uhc4o799s3BxAwdopv6tA/4AYIE7wWhrDiHI6TexoTDINwiC9m4f77OQVnaeaODxIk xn0peDfjX6O3dSTDysFYDXmQ9AzLtqj0k4uNl/GKhPV4Jk3xiwbGXBy6TAsc14+/PQFXD+t1SQVJ W9ia1yygOX8POgvXX4Y5Ltt9FaBYgWmRFY4P7DwUOlm20OTFhs1bBRLrzsjU90PDFuuFWtldwGpT 1w/imuZ1IgTvXTx4UuU4lTW/DnVADWgmJGycIFlF/Ss8/urZhckrvp5fZfl4nwaFxJHm5fT7wp4G DMjs1D8C8bjrmKKlDIOz1ree8J1lv6HmLeveRtlfPGYUW8lCLf4t2y76B7+g0uOnqAmVhuWTyhxg wGjHJTRDFA19YlJb+ahUWJyXGGolRrHTo8S+cgvamKaoW3Nm7vv4hVPfOPv9uiHNOaQ2LFC5kpha adm1zN+b55S2oasXrZsiFvwN0zBYNlozoWOUsvlRa5mA63K4cYwCCpVzZoWFgu+T2ALkutngDgB+ +zSoxg2PTAIm3G7ogAFH+bn4CIDWCK0yQA70s2+MHFZc6PSOoYce6zEYxCrOq24ynhekPHO3e07s Uv2TcOlrXTDk+9cT/xLGoHqfJic2lNRNIMJV00D4uKNBVP8VMBXtwdA+17kHdT6F+O7VICmXRJvS AIMXqniVydeqDjYupWW16UGQ0ikqLWQvCPB7N0iAbpgfP/0/ucBtRPvg2cGnrb0HR4u1E4t1wuoT 6VXGiTTwa1+/SW3FzzV9PCqoZ7aeF/dOgoRd4RcD6ILcKZxLttmaxJTOAD64w+eK34RAbt0tWceb jZ74eP4Omoj3P+VaPYkXeJ4JHuS0IJKOPFuoEZgosLCNGcyynx224La+U42ZcCAWDa20ORvGoH5q 25syjfyGvOXUTcgGqEM+CoLvYmwjFTMCVtmlB9YeYSpidQPO/vKq7YgB9WE2JQol22XtVLDrhbR9 XYnhLP5Vz2xzpAC5pfLTJjuvJAZWTiffkQRYOqWslTNYMY92NSz2djN7OvmcmihOTQihQhfjSieF nAxpKp2w5kbRgh0aQVDV2hoas+UvKsayurGGkb8RkbuctTOGU9xg/dJ8Wrq/e0PSvU7jXEXJK9XQ H5L+wgbqR0GifIp1t3xP5uKvwqstVjm9efVXkgGG5lI9ceFuccKz6HR7+7vb1ROj5Job4vgtyazA Bm7/jIHb19xioBoqvcqQEjqRs43rzQLIYHjfjeNqGy1jOv7Ny+8WoLVgS/yJIvDFf6MDU/Fss1ci OyZ0Hl9VPyIcbvinpxfKMFX3g+nBQqNBLe74evCr8GiLyDlwBZVMjkZG2DqNlx8O1G++2GnK6VAi 0unQjPHuq+Gcqmdjnlq8RgtG9LRiHGtpF2u9aixqftZvnbQCuQltTBMdkMLNNZ1EeICsXUDXfzlF pIZywfgfA4wMVA55dL3ungsz0lmhPWvwv6BQ1+nwt43FemtXrfEjvZzaQlHM4pjIeqKuw7YvA9Wx 9zXuOabqELaY2G9U2qA9ByZq5ayTCJUzxBVuSOJKbvRhbuCXNmIJG6R3vLCWMznBwVXlPKFrTfgp Ea68oRhpR+3F8CfhZoUPX73TbGG5g+VDesukjAAFtwk4w4jysA0gC/GyixwGR4XVdReC4uLiQiE8 bYNrL2MmrvsEyYplUH6BXxvFsmP/DJvjIuqBBQiGcv+ZhgRWEDD00rdAqi7eCKAtuAe2QyLZ+GIJ PLtl3edpgLJ3TgOMdDZWrBMzM6ABZWdus4/b8gNDqXukAGmv0H1c8C9SY7IudhDg+zapDNuy7mb2 w8E3ynKTVq+riV92crYIvoOPxWQ9SWM55SryxoCUQQNs1VFh+erlLjQI2YRjyUp8DE0QyVd9Wpxt Q3zeNi+IhGhaxzcaG1MY0onXV2YNxjkSRqElY/Aj1PQDELOLGdDbu3Y6zthniz7JIW2AajflMZzx oSLLrvcyC/LoRmhCOCTzwVNx0dJ7Smn55s4FhjSDZEZn3te7BpJi3/dHX9JvaANFmcHJnzM7mpId W5c6SZ1cJcZB64uFje89MJiVv8b+AFOwrGCpYeVgqiebxq0FBgYYw9ktWC/vhGu6Nne1EFy0K8mu +eUEpNOl5UfSujO7m6DD2u+M5OvRsPoHJam53AwS3UjwG4s2MSrx6hfmUo+LLamVv0TYKfxiMXdn ziagYze8FzAkc9g3ebu61Swx15UKxpQ0JalDGcqIaO9nFwKMh41OWdNXH48iVLobPucFt+/TnCZp BMR+vxHvGR/HXx0pUz3th0A8L5O7ShTuGncn/v0H8rLfunsNNclGMQHpq46URR4639KiZj63JwfR vPgCgcNgVZEutwiTU0o9wdJRs5bAZGXOsyntMtXmvQixaFudYJ4/JXh0M5c5uFbG5Eql9k71TROW cQ6UJVWCefNZxjHkP+XIw2k/OKimh6mlZ46mJXoScECShZAwoMW5pIEB4CrH6MmaNs64RJgBJDca RVPdp5SqoXSRlttf1+bBHKX5mvAwZ/irl6st6R+WfXFGQP2JA9A4rO5dEd0RfnCxsO9+tjxTuhIy UmqaXCSbesJL18oyRQj8yRMMyMfsdBN7DGqTzN37TxnUwEI3WuvhMMaUHyKh0KsLr1MYO5vPcMSz dyYIQ8s8TT0bwTOwGnf8jYUrN7OX3TvY0XAgFPcYUcOvYUad8yn4ajyD6TnXHYfAEKT2ZxuZtDWd QYcisZRoNuPl8/x7QAJVwT2AZRFJaQzfSJL1K1ytpAYGdt+UM6DDcwpxmyM6KdrwFuPS9QQHOlhv qE+aaRJxIebp5vuGkUPBzzx1wHrGX85w/h2yG+sTlBTk8KUsZAxUl3UNXx8zF3K0qQB0njVwQ8+0 KaethnQjlalbteQvP5RAcraFeIrOlL5CXbCbrEK4S9HgYf6eZkwg17LmMIqg6CTBcMeYjzFlBDQJ vd1StnFY+5O43uNxnVMuZGtAIwTDAPkAIgYV7GCKdanyThKYedYS5qvGjQtHwBtr2i63LliqnUcd kBR0+lsH1inq2vR7P466yzHGrZz04LQprq7UmT7p9JsR4WoSpjpl52gdZK7opeVdDRIVVhE8ErYZ xyJKuqRzIgKL6Mg2vVkXdJLCX1xIslK8BMciBk8gtWoMFCSWwEomxYDWreg7dugjKJhHJ6nRz/Cb Kaptxj0r3uDgNI0uYAaE7xJJjQEaZZctTrRfLejJ9lEuY2TBAl2oz0f8gaeMKUW9SalRXRqEnjIT E6DhuPFsUDi+XzJh3N11KxSTsNnC3mXT3OLEc7KNXml/dN5VsCiGbd/c0Chjt9wLpU/I/wgAdWxg D9+wT1ld7hnXfHZm3rtoC934xPEqMpjbyZBSdY1iW93Q2rh0j3PD7StZF4k0kVSs1wMRZWoZlskz bLlDTXp3uMO4awEM8H+t4vKVS3JSxgy+Q1cxfmy18aD56xaXcyt7Bgh9bljJSpl3WBT6Ts84bIPQ XPBnkBHi6LohBPuNyhLiVU8Df/L9uw/urc6Ymsfh5qhOXNGYeVfioO7TAZUm2WdIgQVSaLEiNNlP LJza9ljtW+St6tVtupDkkq4JaZdBFG8/4G58mKg0z01DihSd5elMhgtvLqgrBtDFdoov0jFyjJzV BMugoKzd+aSsaGxYQS2l+RrqILmDAEpjIL8hlgZI74Bc7e2+hxht3xTIZQt9G7F5JCFpSdj8YS7l 5dSSkE6xyObeQc9GtYWR435/yXWDjWGcw+4xMSNvgchZOiNHEkrsvXVsBDuC/2dYgYFhd1J7Py5d W4C1OVjdFRP1GzJIRSK9lU3WQQQkjSqcjGXV4wUh89Z8Q/t2OEDhexqHnm8CIrsGR9SF1e0tphDW fwcSvKImUbjVucxOHrBJ3M4nMmBX4/au+YH6rfjsKBVHfCex1yj53GXiCszgZvAc6VcfRJVzlbd5 AhoFreeZ8TMB/tS8mpG/3cq+gOfN9cKVKROG26c6AMX0vQQs3ccyjzOZTX0zh44Wz2Ac3reQCp7J 8bWaJVgT3BveBDEo1nx124zmfimSzMd2cTeb+sBq5v4oL3GYCKv+Mb8DHVJneX5WB9pM4ybf0WkK rc2FRSVp2C7rmtTgDHEFO2BcHInEBS3kp6dGbsvofU67E4L6KRe1QpKxL9YKzp8Csbb2TGaJHqan egnLiV5740NFM6BRfDnBn9ZDQ9URv/OAN3GUOoiypxmiUAOu8iaKhv4ds6IWGJhvez2NLxP+3Idc i1blxlbG4lse6Kb7tdB4FoZg8oHJTpw/QCfPyIeqniT9CKM85FTIw5+QVYnb1DVtHcaUKRo9Rva0 xLr5e+iK9eB/MDP44iisp/w53fooxJo1GI/3/zAn50AVOc9U4Js1HwqPCFlxBjs3tVx155puDdqr aQlwZXcen/MVmIxT73puDwRAD0eceHLeNxrQS04mRSdqkUmwb6RvIqTdOJ7tsIXdyaES81KDO8v7 +z6TAFCAB7HnJC6B+E01JA9ay2sroqdi/nq5muR7+v+LrH8F3Wjd9ahNOIkk2T9XoSvbEPnQIfWO ArKDDYPQ0r+Rmo2D7v0RDaP9w2N39rlZJvoBIEZLYb1qK2nGeJEyo8Fd8uVQGf8lhh5NBA1QOApa HaABU5XayS7H0I3+TJW2dbkKuC/ohpGJLhU6+ZMqQURVSprP+OlbTYpgNy7sh3GwGKP9VXRxCh+7 vugd2lPK3Pc3W1YWO8pjEsWe0kK2dwgAr5Yl2wPoHP3U8Gfdw2iosDTEqOj3SArvYJpwcmCd3G05 JdYjcvICS2WouhdJaw9/c9xaJHHRYzFt6hFG2DyF6zPXyrxMO1YMJZ9+uG1W3ratjmzTjsSryZkw Hb3H5+Ps/RI8jsqpYb+Jgw+hLwgVX5jiXPfvf2VsRcrgiFPUqgl0Z75lnBc1oSDci1o9Iz1R9Yvo yws6K0jgDeJ3hQf8ySU3u4ZaX66kOYGsBiK3M9OhGokKrtHGIpF3oT9epW1wLrAFyTPA+rrno9f4 R/3yn/i5JOY75vZwWKceMayNOc3vddZ/igRo6ki9twLIAPHkt7ke6VjYff02tvvhk5HHh3ev6qGb +XDci3RgPC8EgFDa46LCcSU2d+k/YBjXIVU3iexditgy8hClz15QXcOcoggF3ANL7KEAoeG0ACrA Ed/uftXyjNZkEUqGFH9r0Bh+UuqSzhkGSKpf2wYkrepasv65VxCWPg1edmxnoTBXM4tkVkk8B1Yw p1g1Pn+ftdAzFuGVUvCsrKhvbZbObCBJ8NjFzQWfJJOpIQ9NyRKmqblxofBumY+CG2ct1ZqQx9Ep plHDunvv9TVhWsiBX0zf+7D5D07GfVx0TlNevcBR9DOWpb60PKwq43gwSwTUuaE8d0vQwFhpv+v0 FFwwftKFXutQK/OSNxOrft31I/VUpgwHc/3sn8dcu48496PT2u1xUwlwg6Kl0omrHiqiRT22f8ZX PzieXbL0SNBGrIN/8kZxazbuYr6PRGLmnjvWnEnTr8hFl0d1XyzDH8N3Z5XePMgANOTLGq+9CwHs xH4NzmQ7rX/bevE42QsFPDm/P6spYKJkiMcZoATfu85hSwkc+0i8vDjYgJYXZaDc9FUH4xQyIgHi erGvPSeT2UiqwMTytjYWx2hlMT84ikPYbxGzKwADa4g8R9G96tLUGMHawk/JXUBXGOalil8prgJD wMmdXZ64WBEOZlxgSj6Tu3rCrl6BgELL41bf/8YduQxRdRPYLLiQhKbu+hktwd+489SsYIQCwlE2 Xy4yakjOKhpIjh/3X6hxSEWBZo2eyAdecB18dwILmOVwfzCQ+HHUrBj/aQPO3znDEBpBWBghHbYv 2P+AIGh1beErVqt+40QEgBuHkL2RMOYJ0sT94jSUNf9flm4lr4vSdGof0fHrlQwCBkNMAQJFOfQG gvOO5qQ6YH9tVk6DYIQwGgBndTkQdTDMNS5s10ogCz6MNBA4CO4TfLHVYuSNmgqa8kdjnl8ID97u ebbwJB6CRrHpcjC5vvzGYTvJu5PhAP1DJoqbXslbNd0NV7tAAPH8z0plkQvkQNr+rHNVIlxc1Y6R 3hs/NYG5rDOhv9avY+gGAFd1qIXopmxGGiDoKbgNJyj5WcytyaKwoTO/pb+/FjhrJ32gd49sAjoR +WRhYJwp1O/5BISVpTIsnSKmaPqyibd3/gIpv7CHV/CzpizeOCwK46dvq3UsOuRGvHY39s9W+hBh ZyqW/0h21Papel6f0O38pbzrSYjjnv7amdYbveU+hZkGuJfYo82oZsaidol558wGnvQ0I3O0WRO0 O/Hktu3Se4yeIsWDP/WzTX4jdRGOePscb4wLgbc2Yx0SO3qWF2qnQXf+BRjjIjm+fC3VBhsr/gQl g4yQV0frO5dDNESY7JJMDu3CyGfUsHcxoWAuTdoL6wmZhif07ujuqage8vFYrKk8Soe/xe6Hm5bI /QL/5VUtXsq9NddNbgT6KEdY6QzG4hZPB0Dw/9hclGv/DFjmK26V7SL8fpLFUXiT/cgjdWRJqpq6 WUCJ+H9Rn1WHfD+IfDwfXSQBk+zk0iILZ0PhHuoPuCSbsvXErdYTBkagRuJPLbVe+7Q46HOM3IPe kSN1XOdX/xNtxO8rzMwWpfPNsQFnFl4LcTmb++d8kXg+X2U+ALieSLo8yOwP/2t5iVXoGzfSpfUm 7Ci2MuHNMStDV2Li4fSn9ZbYYR3itwds6mrKmQJtobFjyz5PEo6Zi/f0q6MiHhQCPIU++gOgQhJf /0tvqmCvvkzY6ZOomIghmnYXLdN3OQ1/Xc/9Sxz4K4z/jbUvWZJb85PsUh2MugzoTnAVgc7eZp9o 2WIDHC6ZzIsn50CagZvYU5lfXSckvmxOJCqefk2ykdyZXsbQQD9ih8a02GmZSoVPtd6CE5q5LX2j kUfZ+QLVHMegQDOX2iAENZcTXiSGosBsyEGXwamBexMcFokm4J9cFzoUphjv4Z+C27/V501BS37k sTfKkxpnz0UqsVEXk8vlTIkzFW31VkhdYLzWzbI4GX2jbWaqImaEx9u8TY+lh5vcCtpbkl0NUQb2 EzAr0Ym9wDJtYwN0CnkmUTpsX5m0S3jBEFYRq/4nNyMZPmc7zr2L5eT9AkMJlw2W76O2avkW+8QH xL58oDq0VhCSGlp3dQNNXZ5O80Q4IMxUBUwVmrRSS5RDVtS4yK7M07TU6ZMThEN7ZFr0SM/QPvRp zwp/pvvg/LbCYUz5lWjkxTufvxK5PdL8GDVelC849Ufahmej45RciscStFOiO8ym7kfkvF7TLa6b fueblbafbiY3WFJfq7B7Okjbcu5Cokh0zJqVKT+jnxLc4H8MyVK/KiKQKLo2PdV1uvlsy3JvVEuF 5jUFxkjkV+heAA07mCNfgvsjQIBSRyQVaTEWdGsGeqJILmc6Ecz9ZS5UEuCOnRwkkOYITve9BbBX 2e0WGyW6Xm3ITb/kzpYXiFIIcawX+ydQE7sM1RMC+JwwO5ra9FiPjEzEzmwWvMnxUKIw2WtIHqDS ++X3YV3bRDDTAbDsmrLwZlJXDVdGqvH2scYCC6b2ZK9DgWc1AEsKOGDUTtnNRCZDS7F/YADQFjNZ Uu5UquQ8fu3Tksn3gO5oBAzg7Ajf9Csq7mfomQE2JwVcYVVUYS6eAzw7Rt15pUM8hWkbxmcsfhOQ gj6FCOI0zkpfiU2PsT3P07TkyNYhBDXqY/LvGymXyzc2Vk0m7cAq6T2x7BdOlZDTtpXPCMUCPPVG dt4VcGRLM0s60KJjtMYNSyi+pPpVHhP19tkNCuGQaHkmslB8xsI2SVxXPvbdosQnd9nK7viMd4wD S6KAlmYZwRTtjKEb5HXZC1pwTRauvOLXScmbqt+tmbDxiry879GuuzkFzzW8i/GNeBJSPEL/D4+n Im6Q+shnZ32GIqpvHO2ThwXSe7nJHGeGxvbcGSmp41WoQ6FwRLz3kn163eG8kQLdtUm/zWbvsJQe Z+xaIMy35kqwytXYz8lTMryBkvsLLcxeldLJC18oBzMulxfV2YQGJl+BUhPnzYsG7LXcKC2wCoHV tKdMykvlze4JpWV1y90SNCF9pnQ8+nc4GrSucswjrqmO8xOwDrNopbGVWsxmhrzmSC0KD2vUEcBM oFQ2pMSW1zeXuQSmt5DUc7CaIJaKV+3l8bXyR537VORUC4+CLoO0jaWcs2sob3+dQjRjD0A5mHQF 2JPL+a2RjDT15zt7asfdBgZlPjRfDLp2HrTt81/u+cp/uplgaMyets56+E1ENRL5sNV7TrSQ2OpO lYokciPMEKZHz+6cUa2EIwDGwAm8ghdAuvzjz7wACTn4HF4vygpNLWcZS6utp/qpmbS37u8trJUe TSnbJ9pC1vf1vANjJuZz7Ktw1oiFY/w7QgoQfOCp/bIQkq591Mnr/88M0bp9s9haKf09TIbU1P3l v/d/kgc8MQKR7Rmd+ISg96o+ws0K6iEAB6lwTn3AYB8mrCscOvoyIGnrCgrR53F6c99zRtTJQkRE sZIaPfmb/BqZDvquuutA/gbLCTdsPaln51H5q3/irNeLXutlXLmiaBZY3qZxscsTNNFtY6RWdPvk bkrxfcT2bhzlaXaZ53NnPQw7BctzwS+q5uDbE01lqPc/kOLXi9fbXo68FpLhmtaic+fQ7EI3v4w6 EL7GrVYsZbdCrO8JCJMjob5jl/syV71C5Ro2IXtwl4nXwTEbvKHl4l5/j2cjpKDUWqmcDdoznEkb 1vJtUqMbKbY45dMYGJgg3ngpxikm5Hnv7QvCeC0zdnH/e6QB54I9PbTdOSvY4l7woiJjfWa8y+Js TjAMZ4J/iIdi33opXM4GdkZt35U0PACT4UMgWuk6ift8xS7PA3cN9WSrJJb7/keSoiMfmngCDSvO 6WqWRVVuoZJ2TcGCVKebHMXQg6VG3Q8cGFo1yZkpAwKq0dbO8pyaozwHU1T3QGMG+ixhxp94osOF FFycJJDWTOQdG7vXhvvCSFTP+f4XShqPC9+e7pluTuBWoGo4P/TOR3PsnAaMeuHYox1vm5YaF957 cF2RBDZf7PS4LJAWAErA1Pg4l/TiAYHJXUVuoiGDdUrLuPpvrQv1+dEteeke9cBpr2dJQlMO+LB2 NGZVrnL6uki9snkvsXWlfiABRx5RYwim05Hjf3MPX/S3CtgG31bfLZaK7bCB1fwjH1s/bTI66I2I E1hgHQYF3NhaBzwe2RqJRsOmXSxmOaKwx+fPH9N6YRPdrjqWynNRQv+YzKzv3VdqRpeK0PEGc7/P qKTxaTAprA9CwB7lXdP/79SFs/nbxk13913QWdztDr6iiwFsOIqelfiw7DcfArGK8/NwX2PAhynA dJqvZg4YeJeDhu/+o0h2Nd/0+bd2v6rIkA586yK7Eg9adr7O5pt35uQdyd8nnd6ruVrHoVrz+BYw nkEIUoCpybrk+ueNRlNClT94YSi+kpJR42n6JWKznzIK+mJFg7cV5YKqwpY57Xe2zZkPM2Df+Pjz BdnSPqGk8TyuWVuleBOlKuEkX0dOBPga49exX5ztgn15Pn5fXYfnU1vHNnFnbWh7mtTuNf73lihR 9FgK2TlxN4IPut3PrBerpHhnP7qzIk7T24FLviNR45rBErmyYxTbg+fGBVPMMLmes5bKrBYXYg2s BWaI2yJUL6jfJsXo8WyANPAOXzm5q6zawVQnNVKq208ctXEwHOGzQ5k41Gb+bPUumYLrIHYc4nk0 MrIeJ9mXyYezj4tiVeD6t/yQ4+/JIuQe9Rc8V5OZt3jimp+eYRB6t0sqYTAN9WN0ABiXu4mXT12e YmHP0/gKA8zqWvksQjbjKUBiy0dI3oDbLw2Bx2DLyRYAaekEyBAbWRvQ0raH7lSRq2INiORz7T1t tkTNcoIpm1IjzOZ/nXYqPcQL5xpfWffnC+WURyZIaiAOJIDcCHmWh2u9Xd3xQmTc/RtL93ttAlne fnK2xh+m25WfCUvYNIZGt0SA+yJw0m5i1czP7UsB4v3kU+SR1J519aDcPUCZ+jCvzWeKdaYyUJqP iZiCEh4Btf9gk8sPEh0izWrpwFfs+eHWZX5yHvH5VasnO4FpbD+6+n3l8NCjTWXZifXerAuxTxmj nwIFveyxvPTjW/mSAlhMswb2pd3uW6TNOuDB9D94JHmxVhkk2gOIagUpBiz3tzC3YbsOBOsmxhQS bdLfBTS44pG6pWCtLUGL4m4raaBHS9pPKgz9hv5ho11Qg1O2IAtq+IRGymGI9/KdpCzRXtWJdZr4 JD01BApLtPOsmmpTsqxlVhmVs0zPt+2CTKGAiQSnDJqCHVtF2XmGuTm/t7Ff/V9U1KL4pN37ntWc wYgNixnRF25BtzUgyy8ukRwQx0/htE9IfI3byN0rn13Fa70nrOlvA+TI+XoCZnfkRr5C/Kq1YDqa XbL4mCj3txjLRirEG4alJOJc/39wX8ZjxtCDv6/OY4qidI8MKZGQ4URJJSUNnmXZTm9uBEAH+0cC csSOhkl36Q8VZ84YXWfRcspLevZtfzBeIMq98APbKAcCfBJ6/tQK676ukePZ33GZ430tW69LlGHi rkUaMoJiwC41Lzd33E8/GgiEl8S9YKM+bSjMEkniSCwX0LrWAQ3jJGLkv46iJEYOAOREtAAU1xiM 6SQnJ+v5nqFHR2P2r8LScMD6jp3fw5t36Q+7dlSIiem24yxcn4yLE14qrRy6CrBZF+BDjn5oztnX bfGzx98Lr93RgQfnood9FVeNCefF53c8HSpaBNi9uzhmxhG9ByMZ1DOatB8pbQQ35orfFgwCLqNF o8Pdv2Fmy/phWa6k+0y15PlLi36clY9hEbDxyP4TscQV79OLVqtOBa11EzgVQUDpceNUATd1+MAk 5MRmHMXn8KsQEeKuj6cXvesGiIc0VJHH6dO4p62hVyTaMKgaPKJLIN7ufnbagIgPXJc5Sh/MVHJS S6zOhpvMxyrQeIJWfBpFBlXw1nJ1T5dmnWWGoOLe5JvqAY8Yi2gtDCtFAbExLBYs8XP9czLYwIQF N6gTOSeLQUC33WZMi8Ljk588+efXptgreN77EozjQf97FqnIwbuiMzZFLI855UNkvlvqtviE5GlM Mi/3ChxbQLq5P9fTnUPKmbaIIRRE4nn9lpOKqR/QRTxS1rfwe5HmEHrl8KV2Aoex7sHcllDS2oop 3v4pisseplroBgFo0mzEDGPTSN9uuuPC+6yfS5mZVHVScZzWQbGjssi41gb0/KkO6jM/QMfOyaWA 37NBRFaXZRelE6QNhQeHkcioUOz41Z+hAyq7WGrdtk4/zQIIzhtKB2UgiwUX136kHHLtxrT590p9 /9WAenQo6/pAysxs+K7bJ4+piM1bmghZc0EzyI1ig2PY/TNu9I2N/GD8mifd7QQkiyLUlzZyZ/zr AGpGxQy3dWvhE20yDq/yzTjdwWyqMemrmSvzBqWops/9BG1qJjrA0G7soHhn/FBmEGBCDSiLyagT wKCwbjJee5Q5+pjojgC6DP8xipypDPwBi4gLksK62NAPpGp51lvFjpyTPF7uR4TAvyiyZKSg3JDX /dyhdl6qvNxeJt6dYb8mDuy20KeLAG/VDUfmp/vkCJzFlIV8zJaGKtJGhkP2ZdzDDdOeN6WYHweb gOFtHRuNOfbB1rUIOeKNHwyTMX+7EjlKBKnzTn4VKVDSGMOAL3ie699ML6UrXXd+Co7bKGSyt88f 0qaopJGhl4DQ0Xa2LSOKE4HQEVXo7AFMII782d3So+niacbu5JXvIAJ+RoGI6i/WpNB/vKvdbpDd pikC0Z+L01xiOhsD6PpUx1zSJOOMx31ddZsBM2Vc6naD0Oglaph6iQP0lvAfAI2gGfcl6BegLvAN O/lvRngGc41v/7hSe+5RfEZtMmFRcTaDH02gik6kphaBSZqg2bvOCW3KFjBzKdPxbrR5M5VClyGB V/0516+e16VndwernxHqCsxN0XGwhSkKgY4xIGggcX30ThuJs6Ta33/KI271lMVjksQxTDUrGAIB 3oB3AlSEVsR3VHccDJjr6qEvFd2ufM7OFIaBCx5l+i9mnrxxA5T0rsCrrmvQMB5rozQiFuykTcJE Tk0nSbYmi9Fug/W6uVmmvfPgVEpchTKs+oEfxncTYu9myVQ8/tIN07wRzvGxl1Jjpyz0neJN36yb ACDwM/2emDXgmD2SXzob6Zut4S32UadbBdzrwEe9Y8g04z6pI0j4Ohap2qI/gbNhNgX7A1aqrdeG Xtpj1/HB834nw2lo9hMEw/Lyy5rQRpryBLGGElL5P1YBNlMo5DksWYS2BR5uqJIT7/pYes46jMCJ zrGgnaqAswNbix9D7zTY1W405a9XT8UcLTuPpCDp6I7ytuUua+GEwHXbSIiq/euicaGn0Q14hR+0 q27qPxBoysFe7F9nMi6bOpkV5OZhxrwe7ZzG/5UVgS1MBsYq9VVflNUoA8Xn0e7MZBkxf8EjCjGx 3n+XAFX+hvrpOU5N2XyUJV/lXHKLS8kTeubv680bu1R3dFiIgGqc63V0PTddoBW+8nZDUbQ5uBy2 HLOagNNe13UEroFB7fL/hBm1pjxASS4pUfK7zqMVwEOpP0Ig1VURUM5abN0WA8zHhl+xtJZdhtnQ rb69ObENLYHbVtrah/lS8zjq8IUBjA4tM33RMUFsg6+0eQ7wQQ2XyQ36B+N/hKxrB9S9rMzIJUuh ib7RQc0pdl9GwdEM5NQNBRaCXzTsUnioy1Rm21KbqWEY4CzYGrdMAG+6hN2d27/nJb/8Q3iR1yI5 C+ZceWnTaYbY2ktOs1DvQ1SiZBBZ+VSP1fgX2rTM4P0QP1vpeeje2nxLM267WzW0Ngjj9cmcTSFe +N2ZdkaVbkWt6DHqx191Kfh81pHeg4MiZDAbjLLw+WJRRe2UjEoszhpWREaaesmPNvLzMkLUrcTl 6rL8DFR4jMHMAVf21X9fj9RoxamVfZz1BwUVt/8Jy3RnmvvDlbJLntL6teHPFy2IuH+cRvdqg8Mt uNF/4qHTlW3xkFTxhb8ZN+wOGpOSbpPnvrpZe25D8gIzTs+kQGTByJkIPn6c56Jo+BrXpRCKpbFB qEDkFsbhBOmVLdjxSvPY5hvu7UuXg7rw+bsEAGLCVON784xLwZAL5+/LLuZ27v85JOyWHQJkfSBP 2awHo00XZi4Mly8qMSwktI73dL8LhxvUP2rNa2fQfBp41cfM7byhYz6S8QZXEv+CRqe/uDPu/H6e Cmc7lJhaW7Ogse/i3AeJzZmxYa3BnxRvdtW5uLZHhBwSplrVj89rZkOjgKjh7f4IyG2U78rjmvfj DMisoceDce+nVKhjGoy99ymJO1kvbGn/Cp2ytX5LIL9sG5MgwltMD0PJ8OO2TBE9VTaqSZqjaBIM 0Ju4bgdhnPBq99HcOB/JZ195VrKTFuaySdICANijMCXznZyMrGUpif1cp66iGQtqENCw3O3o9iYm AmRu3NyJ4F/yQJ68LoeYq7AkEjnKxQl2HlhmFUUNF/VqBEEtxrTtBkBfz+ik4qPJIV24qYmxCpz2 FR/jOKHQP7bXnM0jTmU1P2aZ++f6lEz1iRfkB2y7Qc65Bqr/F4lP4xXVYUo7vmBpQ3EhC4aiTqbZ vr1xOFDUkv9yXr7Fy5n90DroAqHtad1QaeFrm3BUvHLtkOQikHkv0Ey129MKhIkG6TMYMVDYOEH9 QHGTkch0k5oNF7DDF4pa3o4WEmbptZg01FZhp9eZOev1wwUVXAroZEcnY+/hBfzCcjI1Lfc5QGMn 6fBlMy33HPeg/rClNGjzq8g3t/Cmco9OKuTLbsmE2kPFWJZ6DRMPI4VXLXBY6geb6hLruSzA6cgp bGtsSFTZ2Qo/hsj7VuuMm3tCr5hp+81kQhq0WxATddhMjjCrHJbvONz4xALmBu9mft2OSlXG5TDb 1B0wVfN4kTx0hQF7GvVKxggszysWWGiyGa1r+zAtmE2gammHLVoZRwR/i9Ho/jElUoUxvfkjvwxn JbytglYKRvKBMm8M/8T6Iu7rz7i/y8Cx7aTvqsCPDB9q5aO6f4+geI/bG9yGxd7+XvM8dtRV+flg gn5RIF3SV557Gm2wjCGvjH4zg9jhKedF8AaHw/LeM84tM50FbYMd+y/gyw1SnqW5M4DgkJHww5tg zA5EE1PDCemf0mGrslOyuUv3I33uWGcI3TY4AFWdq/sG6emRT+f4MzPJuwzP2SbxYJIXLwHKncOb Ne4p8Si+gR6PtqWIG2BuTXmqOCouWYVG1QNPqyPk/vDK42erkve+h3t/UswKbivCZSg2eBOhJmmg 5TuEzA+366bGZr7dIw+Xi+Uq04uVmVrSM4xFKIctnPjKpbPEu27dbhFVaqz5biJmb8NUsQAduHMC rnAcK3UQd08v3NjKXcR7IVLSBTdGdJvqcCFqoMTlrk81bbrENtw9/dmlfsKrP4vxuuKha8qiF0K2 UK/vVXo4WF/R9lgh8HLYeb7hgGKaQ5wBKG1X3L8nf3H5mJjpQuJhtoAHDhrsZdiguRSrSiQyhzWU 9JvDKgZksGfIUSdEZXs27H/aN3LSfddR7R6sGq3Oln6AaBsqdkH78yNvAr41UgkR5EOtWd0ALfSZ n9nMpabOCliChuaFLwznPNvSbJWWFQBLKNRrjOrNhXK40Q8/Uf1Q3lRjiX5f75XQcFIkRi+xkqbk 7ASaottdvIOC56o+t+LKu4fYO9RGFmCIl3W1+JRXKmj3mQiTg6HOc2fHR9TyOZCBq5P3sJgW9xla 4AwE3ZXef0tcut1SeIcH21IPrv313iZd6VT2oSwRottqajiuDZ6jZbOVlwk8KttpKJYcHhcVttyq Fj8J2tmjgvthwpKOU0AUAa3s53+pI8z5AbZmIS4JsXKy9yh/40WiNoN2IRc2jEoq6lDf93nU4hSq CvfK0rYzVTyXF1cqN20hCqeE4urtPJup3jQQgbi9s1FNenuwvRhQ2YrzP2TeN13IkGvlkzUk/Yj2 Bcl9cVt29UtiioEUvdDVAhECRH+uPqgeNNQw2HgkKcO0v1nyLsacbP9/jTRXwVAt7JLZlOn6M0kd KBTDbIQSUGjkRYjESOtXRxgRI/50GwJWb3LeiZgG0o7iBK31FCk2+AFPgj5p7KJf9G7042XbSglJ sEDFnWrlStMnLPf5XplTf/qjxJ0IqzG1cTtL79sWcp/AxyOGuZfBTOF1t+FHCtEDeo/G4/DEq/4M NM+qhrlKHM9L+xVsIVaQlrA/pgO9GADxHO+vW441GN9z3kXLRAUvJEyyeIwtwKr+l4mKcuoKmJkg banyo9zYJfmuYKRU40PqEdxFWytg9LtD6p6+l2OwyLN8Jqdso1eC3ADCqNQ1OLDjH603cCA6tIwS bba7m9m+jcg2bcbt54MXmrYHn2fhBYyfCh798OXcDxuYMrnkz4HUhzsE7GKgKjTRlzikv9baJu6j oqBrwbRjQjc1sDPmU/FfMjBHIiRp/+yj3VmUtcu/alato67aV0g+Q8pY7+/PyXk/DfbQN8Vcgu2U sQbi0Z3Taih1zXo2D3k61APORczhq/e0FSq2V9DuRoS6AsMLhN7CvfsYn+toADa6r3jUB+9iBmQA 6GyxpIp0Q572kaBJgFRcyDP8MZd9Oy0SCoWzXEXppsd2sS1iIYTw3JZtZ5MNOCPIKUWwhk88lJUn J1uoEHM3Bd+AIWXXasHBC9TZDwGyAQtU7ARTxGNTBI4Q6KeAQy1Qtv8JQquidEE/tq0pKt/KJfYw jP3PXV3K4UQQte7okIHlnuMmPp6dtOLt7HNl2jkcJjw+gHJprYqiu0Juj2eEy6RrCqcl1uBd/JKi YblDHH6rw0fC/NAFEml24BmnlUnyHbD0fhCvaNvzL8dCbb3u1BmcMVDXOte3PW+jcwQYz3fUa7b+ GRMTTRGFDS45SSMcP5aeplhWgeQ7RlgE0BPqnkw84eJqgJUhVEkh/cs2YaoXy+APqdycCwj2j1ds 9qhoE1hPfbsVYwg9xU39+QsRKSSJiagNY6bwoqpdrNUuL7BxsQu62VX9UhvGbGz+gKyU/gap/q/a dPPhpvgim7tFlLtDx4GNapAH0wtzlwWXsCv8NlZPYw3WJx91bNbjtWsolcfSr+q+ZJWrj+1Z32T/ 63322oDn09W7rYhYEyIBN42iJoGZc+eyVA/pbJd3uzbNSTnu9MRJAnbOLA0egOKwiwH4Q8s1SApN A7/Pl4mdeAaUZWCYIkoBq9Y2uQ6Ai8/9s+cQM2lblCUNJlK0K04+5DJvlKs8pyFIxjrnlzl5qTYk vUHCoaihmL2IwkJmaits3zKzJmGBkVkx194kCNDTeMWB/eent0gI2r2SzK4gK50ec5SQiJeeWMAx bYTnxgRdhesV3QGdLX1EgTjdCwgdqG+v2xQh1bx7DKXgTVH1D5/M4IYek5x8l0FUBF8zlmH91Fxs OLPdKB9RxI6nxDAjcOChxVDNsQLnVMfsrTOEOl7aC/9jeUg9+SolJaoqS4fWkQpK0CLyFRAU2Hyt 2p1q46gt6yfVZyKntyjyIct7r4BgAB5CRTAZZn1iRFLGap+dNhZQmbh1Pqw6YT3jdOpnFvxJRUSm LlWk9Tnbu/0hZszA6dprZ9JEZGpMWIRYFj6swvLDuyHc0/jNbjctowC/lCpgi4qOABgHdp+LilUi MjVhTHPDlZPnyO6dqYg2VSffA4w7xq0KL1gypsT5TXPuwh8iyHDq7rC1ekvLOq9gDnESHRb85psL UahcmiBsnOd3d9BED4rtEyYW2cTMpfE6oy/LuJjrZe6SOBuXkcN4+yhUgflg0ucsWyaljLl0wes9 sqsES5MSC8f7/WA8h4/czalxQC3l9zTXYjLStcMvMtHY7y+qsrvutSxAGt0WqDbqi5lkTbiKCw4Q 8lu90DcoTpWSFubX/2pbjVCNB2e2/1/btUFFbrIRqBm0PT17+XMddyZw2HZnRc60L92V+aGhDbTH Gtu8sHCsuTP5Sv4WSBY46re3mhWP4RFkq8Rvii2N0ldQR8grBlK+igTZnzAEBMzsrAQ8Jkc1J0mj tonVOXlFGXWudnfumkZSg0AYAK5/uRgoW3h2FnGTSV+/cPAVWVuGVrPd9r0YpoSH8dFgNyVbyMlY c9k2931H8dY2mv2ue+rlEkN+ild11q4V5sawcH+TqgLXzdmBRQnTMzhw47wxSH/foWNsUopGO/gt uVm/VaJdj0VWtaasRU06qb3M+SRUF8dVhJ+SHGuV3OGDSjYPCifXnaybpZMCapd9nxyTtyJpIlxt wi15R5Veh6nElyJQwvuWz8Oh8PhmhNErAD72jqk9yMB0+/8b+lIIq1mm2vGwFD6G4WHc3ZWf4e1P aNuWEoKnX90kx1njk/bSJi9rF1PixyPpQV735OHUWGubkDEMenMspBno7vw8l42/zArImAsbraW8 C7bpcVAsq48xR4STrKRszVTWVHn5hvVcHm3TzvCTKAfE4gjizcSKR0WAsOmopaINU6X9rs0ENcL5 VCUxe2NiQt1lwx5W7LH4Ma/QAhWIlyAZPXYe5HuOWf0BaiOLaXOWQCZajO6iqih7HODqVliHkXPJ UgJRwiSa9lLurwlMobslqbE8DtMU5mx+2piKhJsURZ6msrDRcagTk12HZt2ebRL4oDAn/mW3p7wE IcFxDl8ERBrxY0DxTbT/SfAlaCLLj27ssh5cn3jN/nFTt4UpTSPqF3pZSdjJPvmnJivzxalfUWTS nfZjL88zHaYaFWXNFJxYdHzjiKwRAhSsvb2sSQ/LQH/hcRYMQ1uDQiGiS/tzKEA7vlG9A/xjCTNj yRzHvdxWM6gDDSZgI172rIyK58O9pNSDYoNMPb5ND4P8wSe9FZMNclWrBzJ774+EYoqWWuD2mKY0 DbA+RbMjIjvFfQ01myMK18mRXJns4aGmaPirKZvQhQhD+kvDKZ9v0MuCG2ySd7SPWXM8+E/SiFN3 aIw3MtV71YQpmiIJ/YFOMAIOZBZ4Y0ME0PvHkW0Yjt270k9pPN9TC1/99x//cg+qY6NYDCQZn5RL hbrL8UD+vpflB9xOKlGQVmZbIo6rgwWaAdNluZSGAiOsK10zU8FLQhiEETEUKQUHI3AIgaskY2HX mRdwjOGY5cFVu/mpbbM03lwzjXCBLUeFnRGZmeIUi39PpSxR2hjGmbICSQoYfqjSmBfUBgq9wRhc e6RH727vfybi1avWQtJ6xJS8wKSn4HEy+3BEmk3Gi2ObDJE8EpCDDPQzC4XMY5hdqD8JCnpqL5lJ MFrQBa3zZCJ0lEbeDv4d+Ndnd7dX5tEh1NAyTMUEZ0fF+boMO0YMz6/zZHxT9nOLCj3JbpdvN4Jz JZ6F2KYsGJx0aDXzACUAgTpQzewHm7CTaY/G5HY/hkGwBXRrLdBVs31eN4RIdCNz2zxh+KneKzOL CeHFWKhjKzktvrbouqrVk4UMCUqpqrzGq3KXQ53sC77VkAeVXtvSQzldBVT784xftZEisPDQBqnn xEs/B2//XSj1eDAOOJJT2xxhU6v8H0Eur16AJWXz2iFRfEW9YHFlu+XJlnnfasBj5LXJmBqEMD3Y /n4q1Zww9MXkm/RKPLhUBGG86+Q6oG476pRAvDopjBHTqKVZKggyqqqMcQOk8MPK3zWqLec9icLp EqCsQImcjQQFccIGXxymFXi7vsgOZTLKPWt8zD7CfAKqcKJPqmkll9j7b9K2JrMiHsbM2vG2d697 l9ybwDa8TvfNQYkoBai2ySx/XtNFZjIgx4tRmkeLbBj9agpTbVG+Yi7QZiRmQTG5+4GSLOrLzPF9 AOqcMjXBuy1JfvK62VnajJgJd9HGHiG8cujYv5hu0lMusI5ZEketesAE2TYqNab6ImP/H7zRa78m F6/iE7V/27Y9vOV4Y/S2fdtqDD6LHNjhIPUamzSnxHGmcJyx2X89nU+iGcvHKUZqqYepVRsRnMbr pwVs7qEhzN+ZjMrbya7uAF1tBO3kU4sAEpMu73FNughBfHTu4f7gx0pbe+z8F8p/9MP328ghdh/C iPTrlBEdYQ23Nfr9O4nRmEdZb0N3Y9mO+DiZrg+FW0YKc2l2k8zxSmePYPIJEeOx0QvCSW6DuUDF S+Jw+zxZDhEoKi6ghLT4wXDEFrZFHBuaQoxTeDbUWqGdAF7yh3OzvAFFVR2Cvc+Kg+RRPrmLJ1ty 8mfSemC5gx06EdhPw/vOdrwXv6l5ITU7pwniviUaAL9CK1QkadnYrbxs/ooh0CLUA2r5vmNecg5L h+SK0UD1Wx5F7XE2gy+GICJwH9NN9sjnyd846Jh160+mdwC6uQLHugINJPUQOHVTj7gUhhe28Iah mZ/n/N7ytWYezo0w++CddfmzH9Zaoctfy7dJh6rSYoc4sICEDY5ssWkzc0chwJrXNSiFBZVTPK5k pK0ioV5qBqnfYdBmsAW+YK7UVS+O2crVwp916zQz1hhn1EH+U+GnZhwS5U3wsNrRcXcsXabxked3 vzAY6ha/08H7i88Rn3A+iDdKf85Vnu8ybXE8zr6GzNyz210z0jRlF7CmF4YrPWLQnxmsJluVJTP6 pgfJFI0qLmDgDUn7PWXEk+/1tgKBp1ryUxFUGg0d+DNxw4RWAPrDHqeMF6OJWlN2Ket/8MYDR2s/ U/X5dZB3qATcd2q6hVCHQxM/Wy76ulSfcIE2eVOFBhvQva1dHP8dxhbkKx4oCiRXl1sLbB8YxOoH wXKbU2+DZlJbhFmdJrwUHG0KbGHdry7Rfj5Jp2LGHs5USivPRtBkCTPqxZnpeFAJP8yQi52+rVPR TQ/E24YC0fKBR2EihlxEmyadKEl9n5PlKjDGshdoXQN2vv3zJHlZv2N01MGV+kWeCwhjiJPTwtIu zZd064oXhGJ/9Qv+tFU6TeNuo4DaJhbnJhLr3QPwgsNvmYegVfIvIw6Di0nBOFfzbyO9lEvp0Lin VS0u8zdY/9ZTmF5k1XFAaxXO3s/0ehoBMVZ+RkHQyI77PU+Gm/Ge4ofivd4nx53xUwNWTsnTlEiy ucd9CVFaPykS7wuA5GoglraI/sOY9NYYzWNVR/nVtZHHODB6HOWvhr8X9xbaH3yrKrtbXROUDoD1 0Xvhju7KrsAzoRqU+8OiykTdDgTIM9tt+anofbh3w0/gdVDZbO+heXKAvX21xdDhtOCiBs1CgZAI OWrKQjtieLe9D33qoPCBsV3twhzsjU6se1fW3LGmX1TTsz5F8UtWdMVpoc+K9KoJDpJGgcp1BCw5 Q6ZwfeoizQvp/wVgK09Px93lN1SYbyRaByxp0rGERGn7BKFbbnmwVT6JI7P0TC6nDw4akG2efhwH n7B4Qyb9NXPv9v7VvWAXD+FNctICZBvVidqWNAe9CxHzsqdQYoY1TKi9RfLQS1meUZ3YMtw6Y2lQ GGhy/zzuDflB516eJwgd9CGNK6g3xLsP49h80MNoILp+5Fi2zorLuLOjxImovrGQLtPe0revhu5u Lv4UzNrvjosElMlZ1z00ePKgTrJy1XlqtHYC5Aaj1RdyDWsmjPRIa9L0s0RczA4oe69l3bot0aBY oRhHSTPWQ3LWDgmAdfSeQDmA6Eo/3CU48Ih0K2l8z690L5UpEcIUaBc6EFZDL0WKIAYU02iYipHM KH0mTqghy03iw8fHaNKsSeMLiUdVOa/tT75WIg8lEpSyGxCHBiy1S+ZnS6HUJeXFdKTCXn7of7+3 FdyLXkYdtD6Y9iW588nogJAnORigJUcgbOl/72riYJC/0Z5cgc2E2ImCfwI8wwEC0JS1GRS6myrF s3q3P9SIHb/9/E1Ag17G2xb65eLH+pPvzGqWa2uAzIVnuC7tvwQiIJWy0HiXULcRCaW34VFiDsuK Y/SWSvJe6qioYObz5okogkGIglV+7itYB0h1vDMkTaKoEuVWZcObFvIlgbL8xxBzDeRcqRADauOg bofwwnEt9q/eMGp/7giPeoMcsEn22vvB8+B2V1HQ4HFRjBpRhN1OgznNql6cih4P+GFcw/9GL/ZY O95lZujMVA7W8klYTvjSjK+or+huY6/0UOHxOJnoDc+gDxO8fPNk3eIs4dFtE1V6/QIV9ZLRy5F2 fYbZ4V9B7AELPTJU77oXwpTgI8GKMAuwosZ3pxwIV5EfOzXA7+ZTpmcWf29fSu3hcUWKiRb4a11t NB+sJxrVk56HCis3dSgJe6i4/a4iapnykbN2/Nu/zU1DpBXbd/cNz+FXoZFEvDEEl1CAEKZxaK/m KZQ1OZrBfUNp+xzkmhr0jDUZmR9d26v9muabOxKalL4arvWgTUabbtuuf9y/bPhUqO42hN7QYxBp iqYjxcalKVZrVkBgnhanwkCIwt4yBDXp9C54/P5Nmgm6cpsZge9mnqwnXOJ+wp8NlqsclSAlJswA lb57p2PyDw20fzZwaOGez5B/S6WppA4fe8/X8IdxhF4XULFeW2V/u3d8Q8nB/llRelu2rhu6K2uX +J1OvUOQ8kuZ+UPvN3g/g2sYUvF2Que0hkZCWn9JsPWwFoW55OicF9GZS2NUGNaB7oPUW6QbtKFJ up3Q8HIVENCgobnHOQm9jniVsl/jlcHOJKMKDlJO/KoDkmWa64Jnj/FMq0AvR41+sdPnMkNT6gei IFrmRQifHO2X5X3c6CJ3QD3nsGnrcK0yI3MtSQfT7T+hjMRtrQ5U47t57Iyvhj0h4x4GE1RQZ0v4 EE5XtAwgROqzHAdFN14GyiVGeTtNA9WWg4drJFm7a59Gv5+Kl6ChlROlFMLbMn+6QgfopQKNwctn sthmqYzB26UUmUyZbX2EA4tFT4LpJdfjAo3tyv6YL8rC9n8UWEtVnp+z+IqMGQWGZIAEE0PFZK3G i9fZDPejZiXIFsMm/XOKaQ/jGfLE5HWrOrFfMF7FLZP9pT9nbtgkgvpOMuGczLMdmNluQngUEjnh 6MGrrDT/MRrXSO8F6TmKK2pyF42Mo8o0RAnAaDDIXI37rXQZBKyCZbQYr0SmMBuSLuJKXky853vb BeC3WO72YLOVxUYTnI724oSK9Gv73HTn0FkjOcLlCRoV5ptXMYrwr0zmIIQb0QLHVhoaza7KiNuk exjzPApBMnTmOOQx7tXLqZKjFa0MSn6zrme7JbzsPFixp+UnRso2mtPKV/ry9+qWzQt2aFYZhFVF Tc/PSxP2nCtWFcfQ3TYAAcowUAcp2aQYq8lj9YXQAGL9CEzplfQirO8zvh7nqJPD/hFvpsxuE92k 0Hylw4mTropnagbuxZoI97J4kZoL808gHdX09Si5d0z0x+ErsNZ4Nvc4tdPutkkw9VEmPPOrNJOn g07+qSHHrkdxKu81I4VUOp3PrVs2iBaXnES1wY/A6zOW0R8VZyPXWBJkU1dtursZWMHxSw5ZOWa9 gEnmItlaSo6UROL0jNwzlp9Jy0nf8n/c/eH+hWLFS9HwtfCwWNCPh2P4NDIoMgcYJyH1heSW1nP3 2sU45psd2DTBm1sonmk97EQT7hniS9ICOSxzkLtsWGBKh4j0cgEGgCr+/g6yVJ5vWCP8ZJuAIugr rBIHbFDHRMf26+DZswiiVl4SBV52rWALBFfA/u6X2piCq8bEG+lXA9JftpkZhz4wwRGJIl7Stq12 HHoK3fqpSEsDMgjGZ64oiv3yu2A6Xav2/IL/CFFTHYmwUoTdLxT1HXoJQJx/BArxVx8fl48dOVRs +K9z43Mbx16jm6OVzbz6DKXOOKivw91jk5LKP7OV/RD7dNqK1SBcaFzZnGCOKIsnOPnebXRlK9CU 0v0pNFD77Yc+XiC25b84cP/valRHOJFlPMKSe5RhJTBPVCI9oU+vZrI99ha0dpEysd8YFCezsvpF WaFf4o0EW6lkRO6B2vgGd5lPfN60Zv0MceIQ2lD/77D7EYk6Uh0GQ6iblmiHJ/rvJnFj33dp1jKf GU4YQvjVGMQrl8yu7PGHyELr9UP9Xj4Ui8yJGDJ7TR1tIkHBQxjetOKVRWiWcdE9L5KFb/imUynU 8Qg0az06Ac9mNwqKFZj0v8qVJbruGqcs51tF3n6gCFq646DMCrLK564tp/KEeFTfxIAjCGR7Uuek 6yYHyeMSB/cpMSAUSXSLUUydZR7jTzeWJ2AeAf3DXgMyZNQPaR47pz7Nm2QtfJEZp7gCTwbZPLcr bzUS1HnG5/SNcR8PQ5V6fQ6lPY5sRdYlUfGto9eFT0ESSSJmfFE2ZKbJ5d0hdShcaqqWEtg3K5m4 s3S6b1r45xlaCFAKSc/F8v+yfTWTNPzvbvr0TdnPM07vPJA8yZmSZmzHjE50uaUfl2TKxudsV3Ei CuTXqGiPDt7xrZUnH3WFqGbJ67CEksJmzRgCSf43eWMtn3+/JDeZUSkrSVY527/yeBhtZyZABwB5 MPCkV8Z9mwQJYRAs8MBQbHXJfItYDauqaXZC4IN2klxnwdHBH2ADuFPcmZtpNBydoEGk1w+mNyKu KIP8WBfosHF/O38Si3XdBAqATXOnMjN+P26sxU5MkGbOnB/b6yJWdRJWycTN1T76N1xh/bp8+Tou 9zxqxiR+rqrciE9y4kKZqu3xdlITKyaF6s9NUMH0/0HcbqvOVTm7NW9ZS7i7xhuIHDAtXUWs9nG3 0zLmQ+X8M9n+YMDo5IDiHN2bRtdr/uuT5BZqooDADgEDr9VZPIEyxYspRaJwOWMBHAXFzbIb/osP 6z67BYbubHfi4+FYsulVD5A/OQxWofspedIouDeZxFeR+WQ1ia8qMOr61wn/6ToYdYrc5DqhQBAc sLdje4/CgK1hUZOshFTxcnX07EjTvm3dpTwCj8XreepvQcb97V68uclgkgE8jLqujNd7SPdkDOn0 CyhFL8EnMebZppCUXmfEe0TvoLFvZAOM+HJiO7dRwGZ7hOXVY7Qe0RDVcAQfyXCG31IiFuT3ihZ2 8wT0vmbQJCOd9Y/XjkSsMafo9IMd0sAJTQCVUDHo6yBoGLgBLdOvoy6pDpbsPWq63f8hpXY0cOkV iAXjCpt258dB2lnsGP2/Ad3Lx0eGd/d9F4j//nmNV3I2KyJsItVVPpPcc/z2SsdMF/EO1RfS7R5u ID57RTWh2HvgugGWzmy8dpNb9OKG/uqVpkKGRSNPwJ2qrgT4Dt4TkwGHOTPRtshfaaf9UekEylUr hY2r0KHYQ4vx8AEul6u1vRTpn6xWgGiwzQh6QyxUiOw4SPCK00bfzEaQZ1U74eGBsyWIyK6BN3t9 XGfXlT7GQT25qn3MWNtsQBnB5UPVyAub6zrTB0J8kfuY3IKhN4o53FwE0WVLxe7Zave/MQ9+xw4Y wbC3318ziu2yPapPk3dVaeEyMH8WKdfz5asuLphgtmqioL5lOIFQE3F6ZqcpVpnjssGGlJB9rMIR /Dg1eJ52m99ounT0vhwBEKd9upDFiVMq6KcaU7sTc17ZikUixVxK8jeLa2k7O9JUyi8aArbK1J+E iJiR2oDNqfsUYLQLRFKJGWhT/ihR3NC8Vqyaw3jERudmaK2MMgj9pY9O3ozQ1mX4pN152wGwIl6d 3OWNI2dz1qA/aABHgNu4Ohbkkf7HXX14VUy2yHLVSKgjdwlHBpAwoHIzBVJGq46JuZK8VAV+nYGM NcIwdhFhEtzEJPFq4HUNCqhRqz2xShK0C2BIWeS+qLZh4/BxSgNILjyHvVZpPCoZUN3YZ8WCXfCu oi3vsYz1s/dA1DqmS0nXIth/dD/IsxCkR+F8wGsD3+LQFp0DOGF7HzuhrRvwOTvU2o0MhNf5SBbM Khh1o6Ejg35kAkj8Mn4Hc1n5WynOt9U9yS0T3JEY76EzodPD127TBPvlAZiouKxUjChXCG6BtFUl b0ZhxHw8s7FE9CZnYrFdqCjktnRH9uynLcsrlgRO7eOPHyF6l7hd/FDQ/eJHsPzFhRtyFF0GO7x4 fQm/691Zf2MK4ZjGenqHgyvnxtqQ3fCbIvQo7dblJN+Lx/r9zBX4/lhcj5rYvQP3MdwsoVGza1cp StybPe/nLAU7LzCoEDk+ZGS7w4H/p7yFm8KiL/p/qchBkQzNornr5E//1/DH0MLQXRgbCoNDa0GT 8m6cRUNxnofcdFAT2E30MT2LwHjjVpFPfnBZJldy9Z6ogGgbzMk7/Tuy5Xezs6j1TtdRY8iycv0N nv3MVV31OhkTWpJGAFXNxnudDn83I4uv4b/5ssobDLcARqcYyxZkq/RIAi497BvzrhPjrmdqZxJO 0OPaXxawO+w0v+dHstLhh9nulnGB+qiecwIhxanip9GjnVDIklbn1ip4YaQtwvDmAhbi6Qc4qwY4 YlTvIOoGADljfR4FIfsT0SAO/TVbf9+7XNMADY2onnAIRysYwphjx6lEqhBusv6m3/hYgn5U4OT/ LvPmijSJ2pzgOKqqZ5FTvf+Df0x67V4QSGMRrfZ2oyJX7UEnjBPoPCOBxc9a+Crw9MVt8lFeZIYw PRtBvr1V3wjOiE3bp8xQNgdY39c+xJ6r7/CZ7Kf43A8csAXHSs4WiycSPXyYRNwPEEUZwMxzYYV4 DN8fOH3slD6+xjbukssI3Ls0vjRPY3ce+l7oSn5IesPHKiJjwv/lmExP2M7alasP0ZBhEoVqgtDd ZyQ8p8ycF6Z3vm1foaZY1ikdpXUt7zWzjc7OPxo71FpOtf5M77TI8rhkQ3lkTjHx5v15Oq4zsCtU fI8yuCZqTCs3SeGRKww3XNGH2gCp4aYuUQ0rF1CLGTjerk4kVFzCedIHjtfO0P49wZBR5O0dFtX3 gqnBJFzwQ1D37cij3hzvoTYS8nmGVuY491Z6uq5jYcs7fkxDH4uqQvD0zYEQLXVbM33KNcpjZfDY SBxCooEH6IxlgWZtG3oC7plVpKO481m5jFnZngLoXoWRlcKkf0zBHf3/QVe037dLQpriWLf5FCWx um+vYhheN9bWFScO4PntLV1GQmcRo9Brlkg9/4eOdjtRg4x6qw4UyQw0z1L+vLsT2gOACms5uWQM BhtJXfxrhSVVbqGbASgentMUYlLRtKYuuiANG8hwFNFDJ0cvx8LbH/ZSzyAxmuvQu4P4KYcNZzTL 5PNuEmFB+zJvvKmrfqpXY3JaJkJ8otYN/+xDRuhE+6STJIJhkK1LbH6hrhAFTQkCDxCJsE1oo8O5 yQsSb3dx2ppV2MN80Z8frh9AeAXdSWIFOjsqGHkkoWLhmKqJqN9Cwg/NFqKh5TxxgEnaU1ux+nyE 9R0XuXPcXWkJvFXvgEI6G8PmvPqZSsMMyI9a8Qp9E+EDiEwCJwd8b3BVzKAd+o9kmcg8CsWUwbjY CdlQpVY8+NNQvg8u8RMuoyyneA9R8OhjG8dSmBkRCglIQMvkOXyWObg8mMgp/HpDZVPnHuqv4b+P i14bXBUxybKg83AC5Pnzng+B03pXMm3t0lzBAybOds9Nxc1QI3RTu5GjnKXWE3N2zv4rdlokM7qg fYbI6xF4JC3fzm0e+7e1c9sZQ/7UV/9hkHVcLOwtV9fIi5SOTpXbO4HoBF2bHiQDKxENMwRIi2iu t8wIsbktwmHnPx9inVthgqcaksBPvLiywiD/4WxWy//01huCt/CYVelY83xVt9Hp8VSFhY3YGSyp ilIPl6lFrk6lItvCs0CdlFImMLlP/S+us6wjhf2LqAsFrFwXA5NrtV7yBIN96oUXrr4dxnZLawvD /jcTBAd4FEyx+7ztI3WtyGohO73MnOTCv8ZQ2GO5pynbTPoWBexPTlvM5/X+vCl6o82VTVkXXfMm fWvgxJPrc6H0CJMOK/0D5tj5dDUJM1p7a9mXkhp6EvX3iu2D5odEAjJPwpVuYgbAMSLxfo/CZkmM M7S8spx984iskQCcm5u3Sm/vxIrHvPBueHu0sYbgI4JCholsritt7l6G1TouO3xjp9asvYKTdoqI pWe3hfZ/8fa7Kd8bPx8pXqkmkjbODg3VwLbykAkeh9GL624nl80oczNbXCfHClN/Zcry1kPWor9n nHXWRthpN9ucouRsj8IZiLQ1p1Gk3l8kSYXs2xfFyqMKx+kV2vXJdZURDIrIeTQUSYElHGi1CaDm Ywg5XTeuU2hCZnoAT+MBn1q5VF/lhbnkHfMlQMjQqOJIdHaobM/KD3LyslmD5PnXnFz7sHKpaZto haYyzc6DdLgW34uyb+145vIC5EqElcIu7u6/1dt+MxdA4fXs6o+eORXImjssbzBEOzOUE1IPBfY8 ZGZyEM3jhpSzPpc436i4nC0P2Y+maFA69l6p/cpv/lkSZihs4nCjfd4UYCbsBcYkQ+X3doFDnZ6r Ca7QM8Nc7n5D9HN2534nsVTYfEsof35l36PSFc/aGYI/Elr//jBWgd93QUjFFQxOyhhLL32UEVrS kbv4OtYdsYdYUzTVV7p1EHg4r+Mv2Do3s8kv4Fa44qlmj6ZtoTf0MjcEMOb4wpNHN2xzV+3pP6cx syV3WG3KWFjXPR0Fp6XoCH8dorJ6AI3AFuJkc/Fm8wMvrQeG4yEtcxX5/rrOhtcLO75ObaVqP4Bz yBvQNqFczdFwga0BO7Ph0cyPyWSzdKWyri2MdNFC8mAb7jF7DKmEGsJixDDHGuQzwfhRLfN+nSV0 9mKDFLRPRj8/AEr7TdaKrWD3dDR8wHP6WXZs9UI0wmvH+fvmMHsfCL5J9KwjMgELjgk33auKUkYa D8JriwsDXZrWb6JvSD2tGYhnf4CvlQA1kYVmZsFTDEfYO97yr8hSyLupRfOCpAvjGBOiRlpracNi KPVNKwGacEijCK8WHNy9MESb6Un4d4A0+EVEoZyVVifpRMO792WbxfKW4kDf2Lz9MlZcNtHhg9CX cMvWYbg5MoxMfOR6BKNOxmqig+Lr2WML58d/iB4W28Yel/QD972deOvWibUPGKOTNq0vASUb6g7D JQ/5+ibULVqvKaYqT6DjvY5lgzwsDahnYCkXC5mUWRZHKmwaSR+iATC2/Exi8hiajFJTJjbpTWyJ SHqsqQzlXjK6jK3qI2C9iDSX6Ia23uKOgDMp98Qi8INe/gjDSUVNnQ6sCnQLDYmpxf5U0O8Tti9a YSbw0Uej1Ey3Mj6KAIq9qTF3LOfURxOOsna4HuD1ARmCvXgr1HtgaEZrrA9COG+Dph9F8fyRTl0R gAl67f9ZoNxDHOJswRliZZaScGAGo/kRVoojcy5biI+lQ7hnBm1TKrpo4peCiJRUqIupJtag1pxA MMzvem9nDaSBeX08l29yZpNj8Lkh+cpKQqSK0iGnqaa3NmsuYpsIzaDAfZTXKXDMQAChuKhj/6P+ pjoaRhGSlshz5aFtwLgs3KuFlnrr+zsOeacC+hNNwIxDIhbC/PkOWYVVpa/suaK0o1MQ727m5Jyi UqH+8AUAfRMm7AXMUien9Mn2vVEnScQv5LFn1N/Bn08bj0ONDGelI5J8rFaVc2LjnNzxQYD9yR8H nRieDJWXKae8iY+MKCiKm5RfzpuPaWVnCo0DIoCrb6omaKvcK6aqE10mdUAjl8hexWDf7Xwc6AKk n8zTxHU+1EfmedZUHKZoCACE/JuYN4tTN4AlIiekergHt9GcqvHVBGWWWCfejUWxkv+zOa8Pb4fH 9EyYRbbFK/sxhyanDeudxiwSHskICeHLFAvWnUbe2RzaeloQS+1qqzkEGexlbDvxbfyNGaKFwqTc Dq12aiD1xibfXFX6j7Twzi/Ih3gg6e8IKk75i2lwNx5C2F6/XIDNY/6tFF3dsrVOb5YBQ9bHf5AO 0JVbqRkunChCUWbxY/xJO5BoJTyuxJgK8hMKBu3eQYUfI/LmtvG1XhZR0MFWpgW7qN924ICUv/QZ 2MGVvcm/nahyT38/kf9h9DhMuFoGs0VH8qvD68zt1gvDPwVgymdYZh6SRcJ/8aUL2NJWawaDCtXW XMCdOV8+VgQJEwnI6U0b/KdY6WcC9gxF4sKDebKbGoON5upffT5X344p3zJ8hrRW7dvb+T9OOAJj BeKM+4gR9+hZvhYF810gJnxJ7VFuf2zGSmNE2jXLz2hFptSty27VxJ7GipVBF3Fii3ym3QOiL1Hy 5BibnKfXsK3t4ucgs0iYjiH4V6aYpUhcxscH64bKQU9J6JeFPAmJdwvl3GsolQiyjU++Butqt0lH /yhY9JZZfWKsko51FNMm3fTrJpQARXTE+AnNPmR/VhbkXuT8ISWkzdK0iDMpA01RtE4WFbNW1tkO j7LoleuuWG+Esz1javVQiqD0JUJ1f4/5u1m2MUybwr7mxvq4gWKa5OuXCvZC18c/MWVtQUhpk03u S0NFb6BO/xD+r2XmT9+ZvvY3OqDqzLC2IYv9YIkl6pYmNMAmB1DFhSd0E1qd+uSckPF7UT8Ng29/ ILDZJUzpMQt5CBszBebRsIV+cZs0jdFATjqZGAp1zYL0W8UaxOzTfEt2pp/PBghllvSbKaA96oR/ lCy4eyJdFQbOAoJ/VBSMqflIf1yA8lUektQpG0gUVrpvdMqItvnMlLW06iTU5edMtKGFN8UWZ219 PggJogFjpURzYBNnSNxmYkq6fwdFj7AtAqERETJyup2OrFSmD8H7q1wkCxS+EszdyehL4SnnuO50 lNAliI56ZmroamcC8goydMjvGUkTVlO7m8X/0eOGOOyTmvXc3b5Lj9BExQ0fKO29/YtPiFIJcI59 Odg+K9Bq0QOMOtH/uHLS9geaqXZR+hSkloB5Pshms4cvOg4qXOqtnq0/FONXROtKz/E7/9OFkhgS +ESPU59KAf1PyjkonBiPN9uxM6k/5RMaHfc71Kfx7Q1hmM/vwxgRUonGAWh4+Tl3II6t3Nb+x1Rx kzDbsrO9+kf5bpyxf0SfXV0eWXYL1LPsrykMFPscQ/CpqTIL7EITD+50yh9yu1eFA5jr9bprXDja aCFg6a79ZRovWSzUHppMx40t22HDwSrbIwRn2g5DiA8/pLMXa+lbUobZn4yRilpb8NaRrin0Ynx1 Yi9+gwWsr7cEl5fdmYAAXiVVLowwoW5e9rHoz1QvwXn8JIqUZTrxLbQ7qQT6utmA8WpP/ViB7CII Nd64quxyANW2YqAGDb1rPPkHj77skRdG3k7hOz9iOkvdsWS6kw1ldoZ9/GkuJ12EbY5nipd3OW+A VqYuYrdbhbSuOJrUqMozGQC0WmGGi+MHweCy3HdehkPb/rQ5h0Ciog8R7tq8F9L6jMhBd9pclNPQ 139z3izBDvG/YkvpG75wYMqdnfr8U2aR2FIvolukjPgGrz8VnN5XiUCHUbxcJyKRc1EaR21UY2DH TilQQTTNgLTk8StAybCfnzOYdBRRVYpLh+pCP8pETh1Dahq4mOadsZ2TuUozE1aaCvJacr9crmM1 U1uvu723fvALEnMXZVdiM55TE4FACFLuvHha5BcJc2P5OK2w41DdCB4n6eWbuag+3WGnL2CAk1+5 NuMRgQZl6OIba1rLdXPgvxfbM3thCQ/X8/Sdonk4Df35qC8Z5s2NZs0DCvKO6xz3TgX1HJ2zwPkC KNgAKKvzXgiJ38J7Keim6jKO8OvfGdk7JC62zbqMuekL4kSlkiOZdmuP1WxLM3ctkKXdosl4lt0b SRqa/n8bmy43h0kLH6AOTDWkXbAP7YJ7YeVI5m70CcrUp6IMPSN3hXd2uCn6jsnxemvkM9IoGoUa xRVPQQ5qsWSLA0wpK0hhE57zOxnbVlPwYt8dXopSkbOSUR83ZewszOzb+3K7BcxuXdHWVjwbGNAg qJ2Mg7mCeRo92dvKsjAI/asWvHZp2YnGDVKhMG0rPUJ9+51QAMaJVX2H+hvtHppHjux5SDkzT0vA s0mJpW4fTnZkis6xZWKGft5aMdwMftZC9t4tODw2T/mKECBVxZn63HxMQ0KOkbs7thk6nbYTJs91 jQ9PwLJVBO3I60PD65XEaazukDxko/EY5cUi0N5dY4bqNjRgcDSlIt3+6knxHWG6bpPkDMJoF5Ag WbX8T4Am/VCysDmFchy/lG7jakiDw70QCWl0rUu6P5g7e6h7Cis4yTP9GDn2ASbAxVLUprnx0w18 dbihpcMtXVj++b5uSbLRfPiRedJY2/lTDtBiJGhaDUaFLZO5zg6r3rQNH7cVUwIpTGqTxVcSsaim y6+XurSA7pwqjvI6tESU+p7rElEhyMcEndjtpgbi9nwWGW2O1g5HYXg6Ou9+USzrWI1BbAPQq7ZF Axou8E1l+6CcFftIGzA8mywjhqdaB2JYgdGIZd2H/Waec0WSU41QvHTbxEcldEv2ZpkmxJdSwrLJ gIbcmQiNC9VjkigJ8OQ3R9QRgsme0+B8ttENpRFYYiTJQiIcFOXO+0W2mIdWBjUnX3fh1umjBUK/ /6m/mBuUE0ypXE+CGustHtsPCxYDCbN3V9j4i/LS+ZfmKXXW50P3wYjgwBP/xV/1FAz384R7UXCZ BeLLvqjDOB8YD0ZM553dj8Tn+LBBTkxC5kmkUlEEmo+g01XhPxRk/GskC75MKxrZCoWiI/iFusXF XJSjg1MXzYDtPDZw+NYmJVC4xi3iIIa5zwyzu/xr37909ng59Hgm5ICyk4ycKlT6aUha8krCc8lf Uc6peyTPGRrgkIXOfxY8mlE7afjxmAtCGmhhJqjCuMdfuLsMlv0NqS5yfKyM96hhzoXLHzJv0Cq4 e8mpJSZRQN7Y6d8tnjbJ4u8ysYr8CWf04lfgs44jxEUscDJqJVL7M9K847AEPg4ZiTBsn2pMLatQ qoQWytnIkcqbmT9XSrbCH8k6dijGQbujm9Acc5SVWMav4E+kdr6u+qh0BC5S5zVbFt3os/cSuBTD R8XbnefXFkvbDlq/E5koGkCjzKcgADpki7kkuBcYrkjjeLlvIb9NvE2wMiK/omT99yuBrXRsqytG DMnrTya1BfX/fbuEN1kaweOVP0qa+UvkaEjRSWSz9FDzzFBMxktCTJE7dfgcvfji0x3VuHvcZbUm bj1jyGxNVFeWf0EDVjDrvgWuvXqYRSJHSYzVvdguewn05bBMv4q0sxgQbQFr4PCBwZSuxUbKK03f bu+9UUA8N/ksJmSYBtlEa1NGyjPUaBO+vZYxXvUOddYMQICHJGMYQ2O3cBmob5uu7lms2GoKiFPe J+Sn9P/27NCL4l6fuyZ8tunCSIPyF2gIOAFeMMTd443uW1PDswsByV4JvZTTTPewZa+pEL7Y9cZx 4iyGPk8clz2JGn5tpXv3zy7B/ICmUx/U27NfTb6q55YNaKfIDBPsfygJwkrM0ZzrLWFpu2otYf0b hL8JBlSpqwBRAeZXeuCqUZriPuNtC8vCVc3FsLWAPqYFeaqMr7Gz9v+MR4RBZwZ4a/qFyegq8LvJ eY8UWE4Z2Oa8CfxEvjhjz4Cegw9NSp+Oxxo6kLtiji9Pc4xUP/ZB403u8rl0jD9m/KXkQhdeDeCo x0qqxg2TNtArRPWRXK0vzq3qSSc2k2WXACrFRt7eERq0zTU0kKNTCMi1zVXMavVr6k8j94sP6Mov Fm+GgoqdCi5jxMn/Rb0nQ02avni8D0J6O2WPpHwHNJHCOGKtMHsZAl0kdtVtbT0AQSWsRWztBsQi oA/NXvoMYJZ/KG6hveomcilzyZD4BDm8MB56oU9TNlg8qD+RY9aCX3Il2YxjeN6HiOn0Um9xrAKp AxXIddn0bMYUlR4cqa4As94kF2CTrKi+bsq9NU79gO09L7zxGxBHk47nbXclt0tEi2+n+E+SvTC+ vDAO9zIoLyxOJJG0b6bPsJ/iOsQuPvTt1LpWeOnqep+AK1KDlwcHU1mQthse3oiJpgzWcPgqVgpz 7ojumqkQLyTZeth35fGZtkRzcSJW4OwXE4Ug0XvnukcmgN+83rAi1PQ4ljNBhru2p0AOASlaYH18 gZrs1SXmPGoyPluqOxMnyeKsv2FO6W0d5Xe2lbrbQD2ycAzUEOiluaTKzovmkYEiu90FbllKe6XK PeteweUXViAfhm3HtA18i81h0zClO1js6UuGgZviLmIGTkKUJrqnEqOjLT9DsScs6g4sG1rcxDG+ zF8DvnLuu1gcqELbrE8lbDy+5efrT2CHJBJ6wzf5/rbZtOCpxRCfJOwPfpm/l8sMXiK6b0pNXqZw ZdQRzYYu1MUbT0/S/ZN5Krym3fFGTCHO40gy6GCe466j+3MkMWWBcBQVnNWqUvROyJOVAFm2UOWf ogOnJ7tpKcsVnIsjcyRobVhQKc/xPw5jHcSMe3fpmCe5vj1CksbOT6GHmmp9kRozGXRjYWJBInPy DPfVBeV+B48wjsP2L2mpcvDq+XeumxSrML8M3LYXvjZgez6ZsUFkQnPee4MtaVM4SIuz4+sLSTpk +NqnHdxn9CXLCg4vrkGpBllmUzJUkYbACjgHdRTTogRUqvAZ5wfDiXaGgpgeAdQ1N3Nc9JfUixwt Dh6ZTQeqV+LtmBilJ9LG/95PhylIAwzCE3uHlf22vLp3IPfaiSIH7ir+KWXP/4p0Eip9ixPqChTh CToLF4Gv9QSdlAZGBXOpwj9YDaLWzNsEVCrdArJxyZIGDQF+hyyeD9103YRfya7FU8kDaOFDbsYf k5HM+KTH6f6TDHPVza/JNEq9ScuknKuAN6IISV33oKPvFHHVpqCMb5GSkgERoWZE3RkcQndceQ77 q16ENAj923TOqOQlO0KxflRN9RHk4woxSzJSf5rZqyT3iQZvDA7FIfl+TugjKjZdntSouhFiQWls C90wobe4qBFvtKR8UBctzij6gt+TCG96XPzifetXuQ5ETDfx3KFSWp6ogY4L8oxD9T1cdTFxCZ9E LRWnPZsi5dgsjts6N6MDD73iBOK05Slk/WEpGydfE53F+Aupwhdi9JWZIy5Tvw/DrXpF0pOogPKC XH0YtvH9g7nc1qCJ6ntqqJHCUxiCtSSy4rqA3icq9cY6BbfbgvSCmP0AGgcdvZyEl8I6P95ouNx/ zOMY04xrbHCXegef2Q/zHHKgpxnVLqtIXZKFOR0w6b25VP8bPfwwZfL0f4/dtOVll3EQpBaND9Ac dIvDUej43EVHvAsjX5PkxNZF4tD5j7OEy0pNxacOxhl283RsXQjAii3hlPPnx9enGT4PmtGqUpGr Tzg6hKz3sMpj+T1hx+P2/+lB+hqpDWK23JtaopQnCSpCi1aWFv6WFVafD5W39i/qxK399ukhh5eZ H9EuPlrf/1krxQarVXYi8QjH+T7a/k0vUhNFmAnawEo6WmR4RinAlmIUTqOW7KknC5YtxXFx27mK gkXwq3qyjWTMNhMYYXzUrO00vByXhNVw4cwul65/ZpnNIE4Tj5Bdc8Z0Crzyx6izXMt2RAR1DcBa yX1cFurVqdzEpq2OqH6ZOt6NMuPTA51yJYkFy20gEphf12KEEPyec7bZ7rngQWRTgO+l9wmpygP5 RXBUAqb6zUDyLtCgzOrl8Yy2topx9tEgmyrFYcCwGhI3NJlVv3TzP0l2t9LDYz0DiRuuZR6FnSE2 n1BIzJhfzJEs6pRUDAFXqGaWDRtu2sdOodX1ILLlx+UebeOLrYdmLDa2TUs1fyPp8OAkQc06R3GS RzVRlHRhbOguGuvLUWUCr2N4E/7cgie4q6d+AfVg2Ka50DT6qT1pzmQFVSFmMObI49LxyjPO7gMc xDuVB4iOe7NcBkGi/VPcbT+1Ba/uUWRhegSGLfa97X+qlelUVfmDFk5/VX46+7KY02TcnUrM61gq CSr5RmIhKyOxtSWm9gO5yFN9tDc/iTS1WuBbETEvJ+c4DUOEFEjXbw+gYvIUh3zM7KF3oLAH2LJd PTXXVUbk844z/6M1hUlGDk7bWvZ6K53wMseo+K0OdmwjyrJVljbZk41MIRtyMf7tLuN7prmXt0nI /h2tAwKa+ZPxR9bf35EO+EJrSvhSHNSpLstSR7Q+miEWMSB/Q8vYOF/Mbe2JNGBOjKZV+zVauaLv EJmZBEYY5n/ghCBSPEXE8LjPS4nkrHItW35GPJd88u+CrVFoO1ydS9xYKXIFA+O/YLxym9pJtlxO gRbT0uxoFSYZEU55a4j7LBetFE9Gc4MZZU3CRXGhJDoc1RzRz00C2UceXQ+ug4zJSrsFZBE7R9qw QPdAJLHsnbFQ5Z1rxNoSgAqL88wr6zmFiKaH/RhM80JNJf+fOAZXq9rrTqCIX+f6FeKAUnHfnrf/ EUZJTYsUyNkk3kUX07/hG3UBdJr3OwkYRGTYfBccfo34ku+LfFw/NiwkhNaMPnDfZ2wk9YI2miSE BhXNtmniZay7p8v21s1dZ1R8nXlnBFvXSBLCfSxI/Nh99YxXRU+m80r9S9Q5g38kVwpd5+9QdKdW K/WqXd/I82PQpa4naJ8AejlP1Mgh4sC9udVumuXnHRDsXs3ccLyp4Qcd1/mzn6rQzhQ72ln9eFme 9UKG/blfKBtLYtuMEsILvGhM9LtU5nzJDtkq8rYsuTd3OvD12FaLftXRjM9eCOwGz9K+jXpgi8Bx GqYx4hZPPHXhI5av5hr3WTxmFbgwqoQ8yiE1TvLTka/L2lPpl3YklLiyEPzhWxZ2I3DgWqU2Ye8y O11TBkIN9wCFTS2tVRb5oBw2VB74pMTRK9IXRe27yxfxy1OmE9iRmHCFe2TKaNHVRH5BAH7jY8Cz OpHLBLZClIEhlwpDq5WH1TODve3ECHCmuq19yArB4YM6kITTAuwfqX8gTVYjOp1ZwQJLm4J0w1nm Lq/KpUJl3mbvBv5cU/dJc3yiGc537f0Ksk6I51V6n06HAhaDrE5FQaM7ApXPZSJnoqCVmkUKILxs uJLCfxB9ZD01uS/REfdokkIJXZ01678I5U1ylY+2ImPf8qPcO+Bb0D96vl4XGYoCbk1B6H/xRI9Y stwkqvm5stU7P9SlcKoSKP/lmTtsvKCigdZtVZNuzMdQTAGNiM8CRogK6x4tFEcE5qfPy2mGFbmD 3/lFXQtOMwrrlhlrmYNK0y3aJVzD/pXCEoGgkpYTND9Z57tKl5ee7jb8z0N2rBfHyCnQUrqPt0OP Aqxj+sjQl8ro3HTbOqwQJ19uya2pVOgoH61Rj8C56SNuph78ABozs/QanTKy+FcwuH0Lfnzr6+i2 LtRvxk4WXXa49EWVC/dhChH23P+MTEHsli87hJeZBdxgR9WhNFir8SawZvbUlSy3ibsfHJ7VQi/z ccNW8CBOWYgAzMD2cnUGnE0vWrLs7I3zpSTqnPkb965KovtUFX4m3H9Q42Z0AXRrXOEcu6UZ9SIw U9vUm1MtR6ChJBm2uNQ3gqEYzzsNkADGA+xNgqLP7tz9dh9hhmiTKKPVVTknxQZhkbMq7SEBazG/ Uzl+qJBvpBnmU50SDVkb/YIdJc2zxrDF8ufLuhrCSiZiDd0QS1z+PznIwopyLLocr/XImVD/wRiT fu0/jii6z2+oZkolzGSmZeEVBsDfrM4Zpxd3X58StetPE+XSnEJkc2Zp3L5aOlps1jMTg4WgO/OK HTWJbFGFuPgbT7WzNJ1YyX0bwOV0U+NC2fPaWkp6SoWauc6am7Fh/bAHsJCPBRsiDReO4cya+9ds 1FoVljooxyQggBbMTmPNqfw+p7f+havdrKeN7exEUsfkVKGZ12ZXynm84F3AaygQMMyEelJD/oXG PQ54eHL1KvDNIIdSioo0loQHv2o1HE7ehPOCTDyvvEs+tYX/rMcwszCWZnYK1zXqhlE2QoQYRdQX uKhITXj3FhIlh9EJJKMzaWStvbU80QFK6SS9QlBIw8CPezQDuQ/4QtEmZ8VeB11ss5tgpoUMp5SY CJ5Y0a0ox7OQKnCqOhW4Ma0zIQgF/lXwvbC231EiLg79NtRXE1jzOdI+As6N1soGRc55nOA9Zkez /3necv8AAUqI5PxpA33wOZfuvRoEHJi70tPglrx0zy5cIj9c1GnovA7FBlb8MPvL/sV2IcDbTh3F dv6U5w9O2rnKrXka1WosJiJfckuKHC7SB60SwQym9cOoagdokVJnjHE69aUvYTwXtiSRdwsFWIUJ R7xr8jH6p/LmqK+IYqusdO54D4uoR0oEJ+2JRlk7B2fDrL3QU3NrQM4JdKeTXFGkfSZ9sRbXVh/f FqytBKJskeyFsEeKM7bh6+AAqw3daHZeX54L8DoHq0ejI2wENmln0stF9W1B6qqoQr8d/Cl26B/f Nf5CNHLr3+bPYd2wKrnlzHLs+pI/nvdLET8q+1HIVF3KE3iMykyHAfLpfxsk7ii3rnS5o8Vpcxib jZ6itSlFuowwlgV1F44HgMtlmEvASJG3jrOjhMlGvhBxYGA50A0M0NQblRoKgjT5mT4Q5hgXli5J d+hMW+ldiCzxfSadlmM0LWz8hgp5yGK3ERHY7W4iHOGD3TPbh0cbpZnG0qTbn7TLQJ4SLKoHKM3F NJ8KBzjZH9xnuhn/QT/kOH7H4UnBUxs4Bt+uLr2b1wlVu3bsMD7/s2rStWIGNjUowiQugemUa3o9 p1jE3Jscnl7Qz4sopfGUEwfaTff+2aBb8PvqHXs1R40jf79Ua8Fxj3+hUmfzUOiJ/redDOLHX0pa OD8OesnsQamko+BgPO4t9+s42DqiteBdWGTaFw81ZF9Oqrz0eZPVjtMRsHaHWmAIobP0YPFVvg55 pRdk4ie8xe+nREyBgj/AzSnonB0ctaUKepjtNh9h1n3tQbjb3vimoy1Wt/ncPx10wOFsCZ0lA1TH 0ZEt4HBm9IIqYqaoltqpmhU2ItPGDNBhZL2gLhddaxTTe3Ygt/RFD/LB7Vm4EEWKk0QSyC0OxSQo KlNGzfyTuXM6CNCaLWXNbNYUjQ3LHkgVpbqRN4IxgYR6lVXrinhNNmGuzBUJ54c5R6dtZWn7JiwX +Juq0gi9JLgmXYd5YfnQ+olKOzWearyi0AALdnOQt8ArWbX/8CjSnUXAUlQ3iVlHN9nZxAk8R91R 19b0erukrOkr0ENtVAjXaH8rXVdf7gmWsilXK4qAfQgTfapYfPCqnta99Fp8osscJRg2pgQgDNtE is6ZTR1Yg+2PPX7vR8vVkV1RMM8Rd8gDr9KF23DWOjXTIPLYgqYjDUXdlZhO1s4af/LwQwCe2u6K 9za9yiHFYJiMMBci9+SsmhfnLYbgsTn6ZBwSCfLWS8B33BmDUs5dYHYIlhgXcSP+x3eBSwhdpcPZ g3nV0T6F35TJ8WamDx315ifVOKZGOsZPs2JTTwxzlOMN3Q55oinLsZvo94nuQ0B/T03I+bH5QWcu fOv7mIaNQurtwmna7sWNAB67p/lxrF2+YxKUf2lrpOd3ohVjLwOxqMUm9xfCT0Ext7kzVsiDNBLW MWiwmrh8hlYm/BBL9+iScmjGSeqvdCg0D3gJRyVCAP7CpQcth928YB5fb5rYXXFzRqwNz7HGSteg M7ZDFuIUeI4hZLm9UNYctwHcZB8ZevAf2PFJ0jlHi8GH58/apTFuoHjwikwZVGrtjBGrWXDXiOPX VS1zBgine6i4c44wR1ISJpaMJzIe+Qr98XApSmkyOtud2iKTvkYStwgFiCrYNF1Uu6ryBAWRh320 i0GPN3I2fW1jW+s+DJK0p8Q0Qum9UHR2qPxJhwxfDdCoK8IMQYoyYcTnt4zeNdT2cxRm4Im6mAUI 8VkWc2b9Pg0iTwt4yeogAVxd3RaqB7SccACRBsriyUWcs12ycPvw1ZGKvM1fq+vROL4FH8GNsl+T DT9bbBzFgy6dspcBQvsO4nq1WhkCFq6fZTEvc7B3RYqCKFAApB91vbkzj6Rc3MgjUmkwYUtIFWm+ LTWMgcAMoO/OtIG++nVR5z/Qms+7ELn4SExRt11CdOouQkR+8ZapAAgSji1qEfPkRAxq97MrYowQ nwXhRlOC50cGQlSv2pu+8WsiIhISid73UKeEX5CH1np/WM2ycl/XFGLjNpnwLMf8h1s8Ns1wU2bN aIzwg+aF9nT1HnN7I6tTcJDJN9Mfvw4/yRyy9UV5IvcY47pdpM8FpNbq3/5FzOV4CDAnTtOd8KjO OUWtmacabMLNeq2dEp0Lnvu8lh7NqYRQaqcN/QftjpjF44qYuzpuGU6a97YMMXwMcNHx9m1+aSrt 1aWqa9lHwb8JW93r9CyF8p75YfYoMQuKJ4JsXVwwG4Y3qjernL9B4/ahTTfy+0nUrrD4L0yo9oUK 4twkAPegfWKAbI+oAnt5oQWVUIPUWIWRSaLHBEpcAjoBCJpdphipGAelkHPlsEgLNDQYXtGaIoFu mlZ09SNKE/VcnHecnFxEagdmilqBvlXS5coOMBOt2I5ACYTfXnzQdlj9Rdub0pwfiUzKWLO0tjsR hSG0mkK1/Ke6omQ0FbZsLE0lbwRahgx9MwO0AA6U8QLl2aPQ99LA4GBebtTw1qRvj/19p7IezWo5 9+SwOIoD0DHTxqqRnaSxQU+Pn5DRigyWxWX3arXEjlJXakMYi2NEMtyZ4PPPSWQz54s2jigQCVmi xlKS5ueFEStEqXP4BmVpJMPk2Q0QUwMeKIrT8v/XNOqCjTmqZ6UH1RCikC7WOiSojjtwTXnsDroU G4P4Eu5zhzf7EXS4uh6IalEZaCSkKG9gaUL6UTc3V0qHRUHl/0jMSkV2X6I4ghMIFmCTL/VbKPpl DILUNkgRTxAQkFs1KlFe/P06SpjK/vYT7FAOw82yx+nZQSMxoIKNW8RCvkLe2LIJgZRONwvCbKWC MpL1M65puTfhz79rXr/gL3/ouyeXOimcUBiHn9uOHePolmzESY8pn1U0oUVYF0RawqByOB8jEbK+ R1dZ+mKx0YKNNQmxNPBZOaZLPp6s3pftbB1sG2NA+N3XrXLVwwUu+f7kJPYZnHutt/z7LLx5Rq5b iu+LIPZIYlCDROFu+l8cMPu70S1+97T48XDXwdZ2gADG6RC/1ujGE192UAF88VDUm6mwsFmBGvdR Lxp+PBa8pMFGCIsSmUECxxvM2CdljXo5n4NkMl88d7YX2OXdNxjQXvMRWzP9hlA/xK+l4RNy3GHm IBgOoH1g8mqs54IOKuSnR7KnaRJqKzWljBryqFUx2GXb9wws3uBfLxy1BRiSR7U8k8Eh/DoeNYrw SmdjHS75hoWgFhbg9xqEA+5HGdn+KfqnsGQp+WwH8BEHZbMclF2qmEK0W0fCjRtCQoQup5GxzOYI NpoQ21FCZVIibK0XBmoRZ/w1tItY4F8bZ9lUAT1jgqcOcUeoGHaBfSLJAdYBGPeAjcNCrXv1lYcm Ko8nH0RUoqhk4EhvzqxEs20Hrw0+PKstdrsypUCnGOfIGkFgSuD9jPKrGqAR+l/M4l/bfgOAWVe4 QsSV2lKhaHAnSISyaSlDe0Hikb2ivielxJOBxZ8G99lN8MemH8Ov4ZVHqZGE2k5HwU/7hv3ksz3n MODqO0TjtIC+vG4V0vaX+7lDEyP7UsfZgOgwmaEBOV8Dd/cZ7ecDD7bP5YaH5XY+1bR73hAG2YkY GfnMrTZYRdUGkYlUv1Qtl2C5FkNrJcAvD9qfrN6tqrErg7stwR/C+0xylBpoKZsDxpwu8E9zmFEE jNNE1ZdgvaF/v3sNILYKsz31RKFXTYxz9ibq1Ed0VXgF+5oikhobuuc76s2z/U09BYdU0b3KUfwC UWu/fy8CrO1p1JC4hA4V9qT+UamIvo4jQsUhk+e6RduB2ZAo5ee0sIG3FEPRTNOWDe8IwkEiDMdU HPBiUB2xb48YyzwWgBviHugKQE15VAkDh9ddudJdZSHmUV3uEMSqQZT+BFbGrF54WWXJYe0c6z4d mdcGk/W6t8nLcJAoJZKKBri+I1vS6Z6EqGWuvPTtopHuxGtWTLTlEcqsLaO7Bs/WN/FS2k6u+/Y6 zInBBz481XKyu0SW4uoYnZs3hWBcIUeYt/wfFp+ckDE37zwsZpe2KJrRy7SOMjHff+f+W0yr1h8z 33tZxIitCaEO24oLxx3S4ZEVStsH1f5ZF+F6aFsYo0NPBrUXj1YCnFXQKfA+I+gNoRPNBN5TkLzJ Z0MKXvoTBxTHKTs/eAdWdO4/CcFGcK9cIO/v4PEYjJYbMt+pYn610+QftnxjX1llYvJdO6k/VPTm YogoIVM0HXDh9aL6I1rTjeUub6FgGN7KYkTbqzkEyQAbKxn/whYtY6LBY90CAnFjvJUXSCK19wcU S7t4RexXq9vhJH+FhdwUjpCpW7S2HykzKuCiAzoA+vQteEyrErlzIAzGhWKhfB3nmzAoYciplUIX p1nUlQdDsI0/Za/VM5TfqWFcvahdCKcRgS5KWwqMYwBQVi8Ug7eGbFWfUMXlKRkd+MOUZHPdBbOS zMIh9EijXj0uJi/pgsgTc5HCrc6ovFrLk1gO7lsk4XnPnSfhqa4dMGjWf+Id6QQovaqllj9Ce+f4 vaWmIWMVIyUETEm9SJQkgdeR1b5fpqLxY0L3VdBZG1Ffi2p+Osz2OmUb5IGhZx9Upkq8ySFdPu8w zuNCKdkiu2RjgaU2t+XPZ8S1EGzbICH1xeZppPxlIMrko0+bfVa87KPaFq1dMaqhTZLx0te+mtzr 9x0ky3In/dyie5UmeNqgOe24Usm203fqdMU/3wOzJ/pQJY5byO2hJFRYusIgwQAH2P+GQUlNE/L5 fZoguuVJQehHzmi1T6nib6OCyG1ETrklVbnOgEJrDBxkUSuS+V66x1H7jfniRpmbFgZHoUGIZHpY 6wvfFNErY/Cp3F5lun9f0BlRRTZAVOz9mGKv5WLrmTOm2l64zX6KSnfVIb1tvMS9K8C1vEuZevY8 2157EF+A4nOCFvwMjlzs1LrZoM2HyfK4nVW9lj6gJHfdmEh1FFig9cXcYGtrdpXunkxA/yNo78Ma 5hQT6k420vrENe2BbCYZ53G4yDprspA+y0Hkz8XYzlNUKeRYtncghSRM3xa99mRnHTcKQ9xUfiGv 5zlZnmoevpHLkDxtf9QG6mGa0SvIokyjggGUcYej9FuXANA/LLd9x6PzehoBdAufHPobcVKregzt +//OahhNW1jWN/PE5Vew7ZGMF9g+TvpXGhGr/NLvBOT2wROx5dkJJXqQfwYe0GGa2NvnsYEDHMeD GTvpWvQyySrp0vWHDgUptDjzCIhpsOm8OFI+BKyih31eNjc6D0/N2xfx/k/GR/WuCxUtDZToOmlW k0q02aTGYpgiJIlnljblMSBX+ZTOY9ZvYpFrT+f9hxUFbICHJ8DZWx3QHPOUohn4jgZMG19UU65b askS4UgbekfubMFhkD9pZ4UVA98qgFAYG+ZIwKXli/g3YCzrSZye3ZUnljmU977e/wLl7BS1eDVm XrqAeKLjhYfs1PppMUTT8atd0wchMJ+EZ5VT7/n7cecSbBZ3C0GVP1ImiW9XAisIJJ6OJCH/f3eF GytifmaX0VqS37I6G7h0Y6vimEcyBZ3ir3v5PnPwdmLA6D3+rU+5pWc9Ci3cbl88YQol7NQmGxbR V8CllNk6yvHUZz0tAGRmL5XcXbjDJHeNxSF0rEgbnbdOlphb+pBrirprq6ZWBDTWOjtn+uOST+C7 lecyD4KqLATaVfs5yS/D1BnC8wqbRWuOLM3Oyg9NiZIzSKq9A1fqSzjUyo6iMTcnsuAfFlgCqsAH 6BiZK8lQFb+JPqGTrtyL2mRSDdrtqysYlLeYBrf7K9ZmpdnbbM0RMLAo+QV6orzpJDA+uTYHCgG0 /lgm5W08bDPwv9QPeqzf0WDShIkrVEbEdFK55+6/VGuzasGky34Cl1+pyLKiKNH21yUH4YRjLkAp zVZJ2/ntDFRXbnnG+lmp6HM45Y1Ifr0NK1Q9yZ5sSZ6Asb/AwSIaShkwJ+2UM1QfIiEzNsHoqmue n8yq3Kn1SQ0mb1iAKDZ81oAy9m2LCqgTW8K9C3fTQE7KiB2tP/HlunYvMf568dDxBUW+IJLn2oeA fBtl5UVK1047+L9rTbafpaDk1S8Q0xyBgrvFAtFns/mT9LXoU2Sc+uGJRInfcaAI20Gv64ooPgy3 8p8lReVhhfR3zTJpAZ0PT5dckukh9E0lDI8GCaRDnGRT4v0jTMH2RoPai+ZQqF1YgPEGJiaw6HCh 2FeNslur9+magwWGgeHxXnKKfDj67qhqGzva9RqD12LWCcbNFzZnirkNuEDBdpf+A+jpV3j3auAH Yyj0HHabmUjT8ekiG/KKF5HC4q6tyU1jfW8Xaki7E/4/YfAFvZNROBAwte+IA8UxOKUeW48ND0vm aZF7SD3HXReS5VOxAZuHEbuQ0S/xlCj/OKD1kdDk0989b4AkjLVr6/5FJhTNmUiFqSeL67lNO/bs kTQ0n4gVPU8Z5XIAKJplpLwa49LX1Eov/RNZFrm5Ab1Y9XPzS6of7fyjkbLKOibjw+NH7wW4MVT9 92b6IA/oniB0sPfEPD0ZDzfKWXseXzYYh2SGLegaI6L1XFn1LNBmHg5ugo8MxJsF2wZox3oTAAkT EwREsPUe1ocSiEVkaWMrZYOzu4lxNAS63kEKm6ysg5LYwdEzeS9KTTf3RQaJNhyhiDFIwfJl0X70 8YMNJBBdsmMqM7dp6/Np09f5ptGZP9nZ0pegXhkdL4sbXAD7DXtbEBNwAqFIBa/PBiDsfcRy3HWa wNWNTMvVCD58FZ4yxxV1Cabyers2oFMl3yhTws0Ig/pvJBXJYc886sN80tG4y7BNREXCvUVUSxLP wGdOJYhFGx5h0OEkV4FMcL2P2c8vxdraqaHjB8FX/vVl6Ay7AU8hjY/6t9ejAAK5JPN3J6vo2Sz0 2aJy1ideicO22stn9diyPCvp8nCJk1qaRGTvqA7eXZ7TBARNNNninzAIHg+QfDKMt9GI0t0ytrPa Cv7p+TBaJx1BE5oX43MUj8suJSSbkYSF2O0Fs2Fil6pgE498/dU8Mz0UhdLK9d6t5svI+02roqoP 6O9QDMd10YyKBUi64HMOpvYR6/5fcg7YOPCX0zLRpDn8HoeU4I/GOZWODNLioRmB6wUCL6ty8bUP af4U5vGR20r+cAlaW6+1ObQXeC7Gu8ycwm/HJZfg+DBAMV7pieM6KMhYpO62lbmsG2Ao5LMOkxL+ lk2+AgscdNVj7YsljAIbFxv+vttZ6U6nhm/euft3AnuhEpWfbcyzQLfQmTGpz+KseBparCGOWU81 3xsXOcgnhLMM3Uj8DOOVA2tKgkHD57jm5PkgzzzmGdYBri7B2kuPmL5FuThHy43dbn+h5fqHBUWu xbMFsc07mTG1tMIz1yOeR5Ccoav24bMe9dnDa504wXGz3DG9O8C0AzrWpS1qKXdLU5wJ1WzFO4sK gDin41jNafFKGvHb8s1uXKGaPT5pYLCnWNht4xsRWTeOSG7E1J2sh57b07q+XpEeN91KfQ9Yj84v TyH/s8BKYoDhSJ7OVrtdctOG2BC1WnW/CqiG+kUi2xpkeSN5oxZp8lMWC+lM5PavYEuKRIvNwU2g Z9+/SgsacXP1CKIGzsuy1DRk0bnWfQUaPHY1WIWnGUhfQPG90xwqn1QpjgExyGcSQsJI65HiF/e5 auV7ygSfh9RRVuI3JJ8GZEniaP2EcUxFZisLtBgir3ANxvF1xpL53wuIeMhaTogxomig4psxm3f3 R1eD/yO9xVZsDlXCRBLA/h2HIeTXq0711Vk76Yo2lN8CZ2j5IQ3qV5Aml5RauPWz+dUjxvCd/aBR 4tH9BgcVvxI2UsmtSVaaZx1XOArRU1VTMPdxRy/hUGI+oImtIRsFfwG/oZjHoDRT7xvVeh5y2dYx FD9qJEyX/JTYo4s7P6esCW9Pf4fYv+YjbGP/uZVfm5rDMvJVR/0byjbOniEC+ET4VDxRQuJWV2Yi JeAGNww51j+kRC86vJKu0grxXM6j70cUVb+6zSSqP1tcf34PDCgT5bspqzdvWAm0JV+bn/KAC6vL L/rEV8GXV/6V238HzlB6cLOk84pgmJw34dD2mv1edGaVlCMkIZmo4iFz7RlvkiNj4xp71z9ftakN q76J5npsF2Z5I+85K2Ompdk5IIAATtTj5aTQ1rZRN/875xEGcb/K51jpIdHnziSkval4U/IivB2w QRR7piYAdlwHkQ5UxksZ1c9cb1TYPIPof3j38PnXFIL1LtkuCpXeF7klAix9J76QxBA+Rf/lMG1K OWe9ZIhth2mW1cEYauLUSzd0j5KipjUu6OaCgBDQwHduO6SBwv92uEAhsPVDR5W4jh3dyRJeUllJ nJ9RTZfdxAvF+gJtKZayhdGJtGirYzSnNlpwPd3i14UGHJiOZKyA318MGNErqbDklv96OyxSgFV6 +sn2VxydnBq0hZelGenqV6vwIUiIJy0NGGKw5rdZtVqWcUnZXngtSQLK/qw9DaXPerudGckXcWTI csout1mECkFC0Hcx23N0BjHU+kNtYEQo5kAyfWCABVVYDvnN7oSSbeydUdroonwmMY5Z8eIT/oQI yzy1cFB1A3FKay3YRoq3qTF09myy+VrrmNKcqb58dhsLkhAhkF+iIKHXtbSaKGtI7G77kYHhWGwU EVnQEH4uADda6feEQqsOYRjy98u5gzmIS/gCpntkj43vg7o8Zzd2M4U4ELZDfUy2LYYluwyS4vME paydZU/ePsnIN11K7RWK/RWkzRpwKFQr5KaEh7/lqrAm+d+4CjWf1oSRvi1cQygKDLOvbILrK57h 3W2xdDiQnnmvCQeTRmfNQ46XxT8V7ZjtfSK4iDnxm/2/IHlwX1/lr4Wr5aiIy6NQTh63nvleIejw 9Dn5Uh3ZOIOzqvM0n5t65CDeJ1b41aaYUZsWGVrVbH0UHg+5d1RxsI5mxySvAdS1qZ/9qZZlJlwQ n6f19cQxGan4dOFRfQSGWNdkd4AxkrGmtPdUWPWZYULt7mV1xa0SHxBt9rLefJ9ULwhDyi94T7wI cgKlOTMDgFU/Syn+gAApnvpuS54ZlaJ6i8uYcpyxu7tJsMAw4FXj1B6GUxyNUb6v+ycfEuahF3sF 3alffFbnVY2PDheADpXt3Wkv5FyfPV/AJbMKExG2aYC4D9UysF7L8vWcqp5Nqv9kh2QRc7mS2pVs Hddp54DC1M1jtVLwknHib52dZlqLxpD44GOR/EmhMf/M9YnWy38UYPnhddFLMLahv3x93pL3fr1g WuhUEx8yzfIzSq0syJOE8Ug7UoBR0VNasjmfnJ9XCmYUoopC2aQ808MrPYojjYjuy7i9jq0BXMrU u4HGhu5W6u+cI4GmYZP7M2HTUbp2xIjJkVQ3NRDNbUVi9jbqhICpujaY5nce+tCzMhQ3sybEPCfK nezl7yMr7lkjYOuiWusWidMzIsOMJYK4JMZDcKbBXsUtfiYsaMExFnlq7vLPqjfNrGYssUXkstZy 1OY74fA6ULRSjSaowjjiifFrd74X/+7BnZz05CVUnM0RmBpoqzgKQcOgXv20GEB6kwSZ4anuahfA //adtm2huyBp0Ka9JE3fkurnf7RfzzetSd1hfa0C2PCgNHIQuEzgUBgj1kqkWHAXSrkFpPsA8CNq Oox+/IPGWquh7Fib/0Tt3zJg5tNjP4S+Aqv/PTlJvpmXzBLVcd9CxIlpGvwVoaPInfZj3Qfue35e gyH7CWSRLUKlQEIYUtsYAI2rXEyP7UlVx8L/lnDTO2/tU8aViLd3tXx2/IB+0FSVzY37rgELzACr hY+I6SUlE03R64ZqJ81UDSHi9fSO+tSjFr7saVAYHfjtbHSN/HsMMoMeSqbC6F+eLZEuPFz6VHbc y+wh7fVkiOCrhowyH4qp8RZBzfnS28Ptw8IbSax+neQwoxIWeVUF2IQKOMB7AsiUE2qX/y8kOh4G sLdYllYIJVPFadFkpwTDSPgfYnhAtKv2b/WGsYAHu7SEfPqh8AbGELTyKUDnHMXd2sqdXkxGCh7l kkyntP00TPIfSXmEMSUe8SWLwYjysLictPH7ix9ZApVNI7TukrzjZpturGi/TSFhKqsBdJX2S43B 1qdCA/hse0uSLaMveEGQkuaI/dWBceOyshFgLE5Ly9/lGDH7jGEpx2f1pD0X+9YUfuH2l9ktFYGq hgg6NCTpVHo9K8x7g6NaRZ7H+ufD688uSJO/9mq2OC1xBX566dyB7I+lcZi/wf9KXcctE9Go1DVi Caq8uiX6kI0gUotZndURPoy79xIKZeMtK0Y2W+3URNgWxE23Dbf5h8M1t8Abw+fvBDifVthNZWUL 7E8pMWwp0OuOKVvSLqctKlL9Nhi2nI72gHqy3P4Ie96B2D7HbAXYuKOJaSqssWYuThWGL8BkrPJd DmUSdLF5thknXfov8DLqxf7Ry08uifeCw+kYHxbNPvii528OU8iWjDKyfnaJE/YljhC9tFSh3PiF IuG42CYqXPMF5xdHwzzfTrPAIfAbuKLYGyvqfTHYZk8om4QZOydMHeqO6FpjU+WAsrsYaJROyyEh PoQP0xXvVIx5mczUVGakKzDt6B2o95y64+XV+ygFbDAN/GOZjECMN2DOvd7rms54eeEcVOKp1QfH nok4L6+zo6wZW0x8Vb9to2rhFnuqccU8kJqi1h5e/CDWd62E1OFUljpHmqZnPk0gWxTHQfUsuYJZ EHvvGFJAi/Clb+NbTMVBtsfg+iIEbqQ0uSB/V35e1NSyK9FljfnL9t1+efHrR2ak4clZWxQMZWMX /hNfq3UGhDzaFyTGK6kgpMqhR94NuFGKnP0Hh+P3AqTuontsKfuGjT80HGmM/+60nGNtASHjIZQV wjCtTxBTpX97N/ws3Au+ehy2UaloKFC1sdh3gfb1aoVaRiXZ/5iLFiFDqF9XwJpzU5zL8S0e2cne BwJkc0trtxfySw9O7+tJJEneeOQhavUkXZcoHtDE4OJrrceraO5cswqNfY1qoJ7jY0PYZqfr4j6a gwZFNJQy1czDPt5VAEb9ce2vchx4z7daHiuMKtbwArEnVQ6uwGSdpgxZ+DOZ1qcWFw4AebeyyDJp SEDS/eNQ3X3ZIaFtqm87y5LTgUYLg0/Pg9zhddVi9TEoFTbU4bULHjU9mp4rvS7JLqb7JMu0yico z1XO+UpVLasKVkO48SpARh/EZB2AC5GAq1S4WwlhRhP51YfwR+iYIXmwJEn7DW0IlUxhXUIK7z0a 7LgwVfSj7UqFpBfF7Q0b5jq6ps0fz2wkdIHdewhYN6uMsJ8wpeo/C4D0OM5xfIj1RRdOows7vv3r WlNGUd5OIiUt/0k7zvWnPSNNw/pzfByH0bFSg2BL0eWl5iOC65KoxX0W3oWYL3wytx8Wq869VEaQ W+eqXB1cooIwLji1PX9gLmDsHqC1p2lgxB88hZTsZCDdKhkiZrQTwrUG7B4C/emA4yKPpab6/KLV jA8bte80uCr7XtTybUYPhT6cSelvymJfo7cVuyx9vteaStG/rN0RVe4q6lQy8KBNujebXjJlYQmW tUIDxOnutOfBtufx7485VWAJF3pefRdg+Mv9VCamE+IFt6TY6wSc1uefcn1ylXM0IYacY5IRrs1U yZTtjznlOxV4w9J0ntXu1T7A63wuyIs6HL95Hpq6VUBcVgssRJ92zw30H+QTacaiJYzcDCxXObGW U8jjiTTVXwrM4afsojEO0f+kqAPfWjnycMk/7N4M4Z4MiS4kwUfc0aSM8rU7CNabRCGGPP3e+AIh guV08Chatz4wHq5mhkV+gX1H9oWQf0fix7RfHj1bOSBcSHQz065vUBZNmL0ooCdzEjnvVshaB0pa ZDzm2jeLexC6Iqx1zugV8fvFIQDz6iDKAaC5HI5LUxCD7qPhmRzFAoYLUFRdpCwepHciKE3eFqYw dC7tvSPQ3lsEb49zZWZo6885f/Y+SmodcpmvQn3lYcIK6CTohUuJZmUEIz+rb9Ew/4OyYOvM+vbF 2oYUuAjnMASdUaLzKqwCUA3rvyXWg8tg2s0RU1rmtva/1iaOxdmA9Gi+6oC3iZvjXNuvzgWrysb7 g4RiDP2L/9AjnYPUkW3mNIrRwhoyesxyDMlNx5aKBm1w90O8hSErxaIvXxTGJ7jlXrU93X6CAut4 TPpWI1BqFHqtO7/cWLvOjlTG/387lX+7hU8fO6+cYmr7JLPIihZu1aoCYtN8nFoU4Z682qWSDU2U JWP9HnU+4Xxqc60y1TbcWfpk8rqar+Ep6f/1lVAk0SutWUMTpmWuHsJKB05NRAcgtdTAyFqpA/rV uuGzELXVMjkm+BLC3NwkBuUddxe0Vo9LeLd4b0w/MctcuPbBUC0r5mphMvbDxPyseQXHlMfSEdEa K3RE1b4HBC0ww2Tf04JTzIUInYpzkx2oOAVMkxOkvcg6CzzOTtmr7snB4oD2piDmNQhDcAhgSlYy M3UZN4br2w73LjfTlUB1JGvIo8xK1s/5wqC2e0rt3+ERfrKBkxcmEEEYrP+8tg6aUSwYYB5Pbbyi Srf88ul6wNSL+CwqPBUAPaYBqqGeChoDIPEOlQAIlFXiiJLV0SwXpPmJ0xjLMYtn2hsLt9sSzP9l c9u7iCjiuG/dRkLnMueSqWRWoHhfRdwxAwTUO3a6Qni5nRc19gwKhFDLJ+tZlq53DCeBKdmtKqXd uOUDEZlYugr751ubvB4yyjowrrWMXySwIVYYRwfZDF/kIJQwXypmaA/uBp8BA4bZ8QHuDRJhqAwg gua523Ov3aFVSDjPExIoaoqXFwTl1/OiPaYsqvSvZ7NCYjKsQ/CiU1wntfYd40j8+KOIGNclJDSK phHl88YGFtmQ1t8rQjOS+o/DC0Ypy5WkrQBv8BDnfmw9R7T5KpfZiPppd5VA85h/FRJJZH3IXp// b5VWC8NB6yx2yj0/oH+2xEtQQi240nRqW+SNb+cLpp1AIGjArvIijq8hO9BSPq5bjSmA+xjCmW9t buNr2+bbPedQKtIs81UZZN0fL/z7NeNUoxnUAAxlYEZJxwu45m0L7Jb46TkyF+wukzhcfSUCVosZ WsQX/Fjh30xS7xDI+CH+oJzstgTcMdx5hIVjoDHrYqfLEU7rKEePoKXsU8rBu9xFvX9+gi3sJXzK NmSW27rgX6fNmZPwF4nb2yc4LijZTLSWvO/BEKvpxYbP7R8gUUpWeNuevD0xQmZ9mUKdx/0cC43P VpbN7xZZbwLg/MiWOvUFAG3v0Vr8N7d5n4J56dfdplgUEqQyQ/ujplphUQ4I7vQwCkbpv9OrD8Dh 8q3gP9ksnQqc2YPmIcGMay+AvPjjHlgtEyIqXGdE5T0v2i6qVIPdqV/okc1wKOUmNxRVPHuqoHl2 Zpx5g3nsMVcguOslocEnF4lopBusvQuB8RLFevvsoe6PHD051wutkRZ8EhaXfSGNJ68RAlVO4QnR Ll6CV5nng0eyJDQP3NA8N7l4gNPY0g4dzEpcrKtt0xQo4LNqimRHa/XP3dCZlAqDVA04uROBEgrJ za5JDLdfaB+n7poQ5ivB+0hNk49JpwHkSFXISyMXcTKVLMmQ50tNKBiQK/CP1EdNR+1v7xNASI0S IT6O/Xb4PpBYIYESvGF5tPFkWoMQsL6VkI0eblhdpSbJxkgrvsoE7/zfGdBYG53w+0TKwXJjmB+V S+Iuq5iRlDrsVS1OUfiCc6YZzvPibCk5dzIBN/X793kRvLRy3Q3gnAZlpxLyL7dlVeez73y5QSLY foDFNd7OJkg7DAB+fEmK4KQfAvV4W2B24SZ+E8Or9KxTK/Yo1wIp7bHTOmfC5/dzbsU6ARkPonhl mZi35+t4Zp1zxV5u+9auYF+NM9MLoqETXN8f0S+PYPL7AjTe9BZ4vJVE2+OloqkmkrMWiZn7sdli paqmFdKrrOOEXmsKcXOEWKzstVxcXqfQj3Ur0Jh6y0N5Rwbp5Oo1Dd0bzRXqoEkrFAf71zu5VDHR ey4MIT0Ta+SDQGFuvudyurgKalBcRdUh/m6KZ1dU0kg48U2OkDaBhrrY91rioCu0HVr37cVtUrAz xxE7WyQ1hTfDdJbc40GuxVPcHFqqtxxbAvzF8m1Vfs9TJQS7UbIgqONUy/5jqeNpCMPRY2kn+w/O S+mElt/5O+HBxRAsTDbNLn5yi0Uon2iu7NdWvnU7vhkNDS0ApdKpE66FevGHJ6eJS//b/st29d0m 5VQVhQ9p5G6MzfDru0I09Z5XbrRfrgTflvGT0mwBHGk8iestdZkXp61GFwrsaovIThlPeP0qNrpo 7xtntg0yahp6ubswXpJRUKYuwm0W6GT6vFPcB9vc6AjO3VpnkNjxhUCo8G3Ekg/dZsKbk6IXvJCD ze7RfQmm9vPwaGN6IXrZUdcGWH/xS8zX/+oQw5XEt/CV3KHksGjcgfHK43NRbHgNEwF5/bybC6t9 fTIv56vGowE3Q+XPdSOWmwa3ePasFwiSssIHLo678IXl2JTo3dorN+G1sssKvk39KwindffkFY3r 4rUCOJlJuprKszU4DVDnNSbQyEoNhDN7761juUbtxwsBjKLAmLzVSOhlS9fpUQnNl6r8+OcLq3Z/ /+hJIGBPFhaJmvLa6kyrwl2acmkdKlx3pgD8evCCKq7W8rp9utZ8Sh8Gbmw1s2PAh5CKC8iE98y1 8YgYgfDiGI4eQ49iqrghF2J0I6TVJ35p8I0m6iVTunRJxq3wpHsp4e4bXNiALFpomJE/AvV3lwjI a+828eNMJkPZcn0iIBW0Ee4vA0pO3xtgiBfI/qVvOUKmdmMqBL5JK3AgeeJteJK58lnnOaoOx6/5 GgIAgXy2vk7KL3YAA6DNDEl8b7td9k6ixJh97y0JBR58jJ8fJmYdt3zJqPvvYbPsus9Ns1hCsl4U KnAjgvaZbI5B+ccPz8x0ya0px946y4kTqf7FghXCXsYIjgz9rA/S1KjsDUu5tfqSXnWMIIz8Pil8 Ll+EWGoEA54MIRkVQiGWmkkBg5VmJbRfGpDz6hXbxsb447U+FkylhL643EAzuHkiEMi7DUk4wTp+ FZRmXLpxqPaEAQrKO3Yid2vlkHtW4JdjJiqKGXkkm43saW3voKe92dObVZEdRakjtYGt4n79SYdH GdVnJOLthS/CmCA+ZG3WhNoBGVAk0ZLa8NhYgHUDpUbR1TChHnFZ4Z8OTKiBNNsGPjKZYF0+cVbA EIj8pZ04O2DpQo6tQoYn8ZPGXCHmdh35mFHMVtXFJDmNK1b9Cb8RibnTZi0Fu0+xzGM8pirDZaRS fp7yoZdv+J85MLuJ7uo1+TRXSU0jVCzlzOu5rn6qCethnyR3Vjt+TiSSIGQsJh8TSEFixgcimQI5 Iegr0iMLYc+7b61i8sq71z/lR4kbHv/p6rXg3XU7XiUU15dkBGaIZlUnKIcpn2SXou2vArvNSGiO MGZspjk0g2txrJjCAKM4ay/gKnSLYgYhnQBpGSnKbkEBi6TFTblfTfqbd1Mh/tWgExQu22MlUk5Y jA942vjZ2pK2yZEge1eCZdu4+R1HL31b/VvISxhE3Zx9YUvr2/PDsgg0pRUEBLRKWYZv6l6z/6f+ 9aCLPRe4J22T/jVndDmMfGvO8swQZ/CQhsA8+gghK3wROv2/pO0djtvxSAD6ig7+keGQ1aGyeRHE xC1m2C8wHq+xsLIFqnPW0nieEkVz1QQNq2L+b1DnEaKmN3vKLdPyXrS/HDEy1MO2ri7c4HLwP51C nFBhoqUOS9mzNiHt3MGPpZJ55IynjoAfDOi8QfcUvzKDlR9IL86ijXITI8uGzQHa7NsZt9WXz7Dj pfuzb9lPFLwYbA+KS6L6uyMXFfi/X74PPwzUMwm5it3dRqtT08H2IuYCWZ2k84EVlwM0ur3gdmki 7B4GqB8jhrX3xsB2UxfWJePJ88w37/tCRJ3XEXoJvgFLcTwSoI7li9MLjc5GBtAmKMHZaMe8bAci 1y4ZKeSaC3OrgsQ2roL84t/qEwwQ038Bb9HLWLt+fL5rL12iB67UdRcWv/FtwHFDl3SrM88Bs8B0 3NtCuEYqDKkbjEtDEviEoh8Kjh/6ofRw9yCPfyQyjvJ3KiUT+hyCdMyp0k9OVgz6A2fT3re+lyK0 OeSZuOpNCBfmiqeaJvEHj0MC4/RkTMMHI7CGxLCUnvp1lR29JPV5DwaZFREyFE55yD3ep4AsmEPX LQzDF3pFZqUycBvpV2gadELiYGvBUBWEhZYLwBTauH4OXlLL7YRGQR6UHUUYIsXpCIGGdJu/YIvn BPEpSH7f7DWjW8Yus9UVUJaFSG7sq2LhBNDUiBSoTmpHUeWLCM4WyxgW22KRAnrV6HIeF7xeZ/P6 guQ28syoaIPODOhTth5K/2uaXQtzgZ0mtegTXaGgwp3IAqdS0oqgY0h6SoD6AoVx0mYqjNHNwj6R RON5e25Ga7ugsXCrn4cdaaHrJJnK2CsUfNh8cI4JWUE28WXFUsZADZANjoK93Ti9JAeO/Sgex6Qq cLiCjY193t4wAS6EqPfuHsubJun35sD+RAAXfBVp5He7kz6wht7YcMvVh5CZs6OqEhTu6T9NAVyc TDae98cecNvFMD8hS6D2ONwLiLjGjBfQkdEcC/OS40gWbLQ1+2XxU606O+V6iPQ0+Kfcpl69eS0s dfqE+JsDkCRnv6kroBsn/JXKooI6/85nCTTg+I19AbCuQgZosbbQEtGUA4zUy/GPWNiMDHBK6Fq9 uIKrrSHnQvTmp8FHX3AM9Sfp4Hd+FxU8r6O/e1nRp7l7+zzhD2cRfZ+E/SZOlpWVTcZ+ZbDaspdN TCvWFnalw3L5hA7K6UYdMb3QzAQavNBLx1CKjciMUWqrFnaBHbV8mvDCJBAwrpR8iuiFIgypY89q Z5K9u0rtPUoTIObVHQV8SS4JKRWCZ0rME0KD4dnprbGs2qcRoUEqS67DjQVRc3L2qXSbWJfc/WLd kr27liS+STCLjYdQCeGVGcd80DN8ppnNEgTLulSR8sDiXCAgLx99vEnut8HpaknlckS/L3DAbfFY muedq2sB8vRRs37aQ9J46Bknu/sib+xxZTBGy7cSYPygcZ/zuApjOisn9QYnXtr2Eu5AYGgkqcX3 xD8RqU/TXD4aq/K3n06p4ojp5OrCpTdMiURaBlTcvFwzLVVNHHtgmWwkjOjCEXFaxByAUf4ceECD pdI+/LGCf/Xj97Nhpm1H/4VF2Al/gs0sBGpwWc1ezip1pbLD5tDcEQNzyK0wdXPDdG2SW3iTgz8k NgToCUEGlWBb4cPNtSyyxle4acHnzSz1UksZpokaQeoeZQxTauLNC8v7uZJEKNv+qyDSd1g8SS6Y EDHIjlHcEBVJYdhmrGBIIkKLXMLIxcvwTL9E2kdP6XNbJJHpvWZSKBhE1qpn0Ij8f1yxZlZvZu1Q sjfVG49LlMDMcpJY8li/uCI+Wo1VEtMjXkCseswvfbScfobjVFGMwwypXzGOjkKQ0yjzE6mnTvqd ffBsc15LAaedHAn2j+f4VpRGChfajiEmP1j54plt4PjSuQlARx/WFgchP7nUNgRs34O75I96OT2M Xe5iHxBh051+QqUPeiA5qMlBPoRS0n+iqGVpuybi86fEJ8hrAozEEWNe0kOtJvzhKnqCooMnuluJ 9EUTUkTT5ywx/2Goc7BNcGCe/m9b1RbiIMsmvgCesewHAO2aKP2M+oBn0/ONuVNJzysz009adifZ GE7TK39UlLLkr8xJScxq3V5PHDWpb45BlvgXGTUob6jM5EtA1L/+v9SVoaefeJRjSehUFUsIJZ0F SmBI4LgX3te8rdaON/8qKtdpwWGUkPSZbAkpFVou7688kILuhQMcp3mTVu7dA1+HoIqxzfFvnHKp tmy4wcqe7su3r8uGGLfAQSVHgs3BesJwZLrL95mgfuFfzxMSVOZAKDIad0VOcoqg3e5QajSi8HCS V8uOplfhsCnmx/7ZI5ncoNqp6WnAIaIqrQ12ceRMm0XwyAUiuRUopnKykGe75r4N2lLNMTq5yazG nNguv4XEH4loOpVkPSkkzMZhCFwJCbMCzVcdD0eSEi6uhjaueeKohgW63+ZVs6qDgWSsV1/B8VSU B4Cwl0qRKWKCFSACuNo6UpCrZbSEZhewshR8i3R+Gi6OaSq/jVstgIT6Hj2/HTT/VRGqWJsKp4Ow MhgFcyKf+zYMFh3qKdj4SIRxxn+7qtHKCg9AIWSHEbGJDVzBVYDYaYQJglJgrtvYFheu+ompUjtz VN/Os0zKVvKbdSwIkhfUsRpHB+cT+dWgxXksDaHLNt+OZYbSeeqdTtxm0hsCt2pwbC1kqaq4baaV kgnIAuuTT0JbqPpcrt4PyklUkQai+XSMgXEHrMhJzs0lviGVchV7ByGFr0t10uUjboSeGl7YZzXx 9foQTkpOXwKjuRUIAKXEGBfIioprXVdjW2SMr6dFHEdoP94JoBWYeKZ6tNBBwZcVH/dkbVtUzxGw gfAi4Oqe0FGfNWv1K3mWaf1Jbl6UkLxRAx2y24yalglNGgqadf/8NWv5RS6zx8ALf/IXVdWggdcT Xm31gA1p/SyHNoLrONkMx3Jmi2g/TfTaR2MnPaHe+4cdtvHn5BcxSyb7ATOM1H0jlVipSv3M3a2T NRcoJ1UOy+YycI5yY1SYmxxy41rxrpKmIjz3vcI6T/+2d0grEpW94pPFANhcxYSBAKiTkFliEyKx SpnKbz3Bw4m/UJzr01JjEjz0AP7SOoQF9ZtH0hcHeErgct36RTCkgqZdBE8F/tU4wlO9cBM9zuY/ Xpyo2UVHeXT+zVcJl2T1NmvRWtTgLCuy08ZqqvZMojRv2XQl7RcEbpe7QEvb3vsh6RRzkacwHUrW 1Nuf19yUQJtC0rGejt44KRJiZICWg7dJUyWR/lpWSDcZs123pZvmWEAJzvcorTJtjqd8pudbP9hk 0pfdy36MbVq3RjBViu/z0f7eHIcQPUmI/kSiIrVjF41lar79KBbQGGARk/aSrbGxHL+Y3fm/O87G mdpEkEKEG/jj66UpE15VjAIZmqLaE9pGBncByQckywyYycx+MLbAb40yNjbwlFysbk9+O3XKWUPm 82RFE0uMh+yTAzuXoaKM6dcrZqXJmTq6H8P902ZEblRcpQXTBIREd35l58I4d9Y2U+SI2shnKeSB DEmajqqnVgk7EJI9NkUVLakjaZ4VZp6saqqmX0c0Rt0v2dzXj+tdPniiC0X26OR/u0EvhlUE+hah fqwLN98TPT6bC7BZQnsS/xGVw4BbsuRaG4dHhjbZCHdkIyhP5YjOd54XZU23X8+63qY0naXzp8ZK l2QBVn1q2uWtdm25u4clqJfn5deRiZWaMeJzGIwb70x8i/GQzpsIGfSGMhxjmG85ESGRn96aDYth gFQrX7RDHG9L1VLWFZXM8XPxsveiKY04qTiBbfHShVqjIPA/l5nJHLrp9UC2grn9EpQsiUVN89uv rhWFbJKbzX3Xu3XLmQY4uQUW3W57BVexdGQQDN1k2mc4FontJN1VMve7QSNAiaE/Md60A7ZB+pF3 f3Odo9N/BO6XlpXHHBpNp5kaO3lS+lA+QyT7feW/45PFQdoaC3AZ1cAg/u0SRN7qPKZBfgZ0vfs9 ulTn7B5YbFxIGBCbF6lqxmiJNmqDLyBj+oOdaJJwLxIK+NlLHdQrlyBDPsYDuuPqjO7pwrl4O+f7 A63XS4D1PztIk4g5JL+Nl9clHRM6ufGtD6GSYvtIm7WG+3uflX0w9zbwofRRisAmb9PpBy9tk91Y kiXH2IGEpQ7M8sx7Cagh3cOKn8nBKA0P+NgpZC20twLnJqpmpzlzRrXENRcQojObKumKs4ImP84E kpzZB5pw+ZvqQ3LhGbHZhXpiS8vi86XCj161GICZA/9oAQof/Ve4IBuAhue5vH+FNpLf8xX8hZwJ JP6N5Xek3dKfGTkRu/XlGlKZ3pHX3qBZa+MPulEcvDsLop2jl95cgXxLmEBDLxfuRoNT2kJ/Ma+7 88EDGJHJKoQeJR4+rTt9wSNbwXtcZkbPYvuGQR4QV5ZzlO/XbuTbEB528CkH9P3/utFnVwksXnIN rKeO58gYhQm5wOz32LDUdqudyuxnFstYocfdpzTWfAjzixia+YEKteJ+Oy2wjUvmQICAQl8k9xX5 vAAHe/ekXAF2cmVXshIJk0A4+hyVwKg2+W9H3wtf5F4RY3D2LWGmHqJ5exUsXHdsm4ZHZRBBcGQr GkZr8I+xAfZz/Ur6WfT3X3Srm6Z61FESVdg0OP1pSXZ6uqCRkF3vSenMRWZixMPKexXDU7EdW/2W 4BpOwN4spKLJXvarIhFtFL2QNSRVimW5tUASZQHc4x39JL32+z4L3pFGjixu+RSiTlrXr5VnY9p2 m788sOiId02XS9cHlqtk1eGndHjaXuq56Sxl9oCmk+QFZKhLLDGJ/nK6/NlkGFtp8v4FxE2cGaCg ETjamn4BmiM5zg9KPkhjuI4ffvee7e1wlklMcblTO8F3BPOprcHh1Ru2hrKhXYTBtd7YRHlbRNj6 KLW3LlKRYP3T/nI5HFYJiUQc6UV9JVC3ocNkBfU6VINPBSr7Lkh0HEhSwUJ6hJCA+SX0uPjTxIdv GP2yI7ksr28PHNQRWp9Lzb8glWknqvORYuI1HUPcC9jae2wRn7VKiLNcGTx8OIcBxEoV/pvU+PZL i2Pfh8Vzx3kVdhPEsNMSPZvMZJttZ5zOrVLpHIMjPgmtkRTAbILvLuhnq8rs7f82l1fx6McsO5uj 9rXYAOSrweTESZGaJ2SayXZL8km5km5WqZvXYIE8RBhUDFL2hDpm+TFk0qNd+uK9BIfB0byRJ/9R kOuidFPoOfJwE6/LPDY5GQpL9JJM2ZrulAPh5ka50mQrqXTez957QlLjPre1R6J9LeGkly6kVdII +WukpnwBvrX3jZ/QiElmiNLt2o/I4XvWheg+qrzI6EfLnNT+BTkU4JH17kfracRVv0a553mYNoxA yuqoFWZZ1w3ikJtoHOTupXPsvpkMIVfrICwX0kBWuF6a7yHyFSKsZC9ggBPn3bP5cZUvsiOEEn0t rN5amAHkSYVZ6pwzqPch+qf8/KGJ1NafuwmqWZLYdE3XDNNhIbtCMFFL+OvpUNs59hUMhy74HqdS w8myEguX9glpWUokRjW01Jqt3Q2b4DI1WQCv8JscnxLBu4H+G3lyUG16uniQkk162CJL7Up9EtaH WzsGsZhjmYpTcF3E8YdQZ1d6/xZM9iQQvD2CG8wczFzcWupnHttvgpD5bnWP2rc2rs+QJqLTDFOR bVOGr3SouH3yC5DD1eAiNsZsXWqRBdVhchIHffhr6aGL7fsf8Pop7RHvk2YjgeFPiarFpeDjhCp2 ZjknVh6Tj0b3LcKK25CqYqDxHZ39momJWQFGoDDfaoXs4LQRwIXdZWAZT1eqTkwBaX5ClzDPwfrH BQ2FNNqBlI2ZkSMWt2uda8DV7WNEwjHbJuUXKNB9j5+G/fwubEnqpQMqKK0ayj2lKkQzw3q61wUV 6IOy1FxSHbi1I3Oi4T+kzlXkVoI+NC0y14GTFQ/AI3KszNcGWtRjbJ3FR31IIDkUGsP9kNxQvwP3 jM0Mkbed8zpm3wLZAczW9X8t09c1mNAbmYmjr5ExUX+LGzPrBvU+FVDY3TswbsAjg15k6D+yPshM Zn7nazUIJ8k3GijeqddZk0xnC8/gK0hvf1pbmn0DxltQHQEd6OU8hQetlT4LrPN3F1gZ2vvfsb5m 66YkK9BH+uI7C4RFPqvTMohGL+LD1LFVSmMsFHXs3tvGYQiu1J3PvCi7EUa/uCvsODIDcyv3BEmZ Za2qT0oJ2ynEcTU8cFUyzXFC7KXq7GPVz8oI6lq6EfbbGdsPm+uY6t6U33Q9CGybN7FjGgDfkG9g 1I54hOSTj5yX6KIuX+qri35xSwNYmkobaZqLoCiYae/LUIYUBmIRcH9ZWaBRsp0rw1zFBRh0du3O i+LO3fxrPxyQDWnQeWXIRkFUvFwBTwMYPJsvOP/bpbTup01BF7gr8X8Z7/Dk8eMn/yWqdxEdAAk4 NELtU+LcnfjsJ5XIRv933smyx8X9HOiqYS8qzRak98TWeihKV/x8IHipq/r4nP0A+Lv6xdJxa2bn Era2PhDOvi+nCZ4aROh3Z8VZa4AqhkhIKRTy2ezm994FmlSojqJPXdRBU8BWVDxzll0ckmu0mRwR 1tUqLk5VCpy8PN9FYbPx+nY8htETCrSm7s97wBjOEQi7nUGZBrE1RzkL57x6t0iyoO2P059/sBmm bEB7dHX6v3HFlk5hrIMKeYg5rN59oGvDJjhU6g4yAUcGj5Wllb/ZGuQfdHot1HLY36B0kTl21j14 PvbKqX8oi0QZLrw5AdyaWD0B9pkWjwr8+I1E5bG+f4LFgPjCEo8O9q0NHZzEQ1wCdyIHBxjZxn+M oG0UXcJE8FXw47kqhIFlk6ZSscNNgRk8qs6Ea2xmXY26mhwwUzblQjtQ5b1bMDBD33V9ricVziOt xx3UH3BWc760U4l2xM2ZnBNx+ESRjxPugeU7JREwHxcordE/pzQ2x5nx3q7Fe22xP49TZpQr10yl FomVfpUYj4P5EsFjeLBTbTyQ+jxRIlV9so/8U+dyjSVJga+FNgIMRARdiP/G5O8+3nX2IRtmTgi/ NbdvhOMoW9/lOPV5STzV+7hHVMD0GpRaOvmJr/TlcscSfIgm7uZZT+UBjDFtd3STw0lpAOEL/+PY Key9uQ/BqyXWkuXSy89su1aq9cnGcSHnMUTRkQ2BwliV5kFOHCqHuW1S3uneqoPQf+sB4W2hIba3 DfdSyeCbGopdQGggL9yBbmMb1PJxdxrgnfedVCdx6oYNyMrUvCucYTAVOmm+40pJsrRpT39STe/W eUGlRGbq7AXoJibn1OyFnQbnex+SOA+ljvT03CL9DnZ/Vxyk0JWXcQghsebKpvXY0+Y2hwP3hdK0 vQSOOOt15YEPM0tKr/BiFmjjfggeyIj+6zHD0jrxxYIJjJRDpNX0q/1DH3IC55elQ2IEXG/m5opF dER05m9S4djKUkkcnZ7JwrqXsruSxjaC96eNylPOYAQ6f9V7OkjKcAU0PluXEAcQ2/G10i2BB/tX imYnmMI3sYrZTdiecAJMF8E6F9hySRScskLVBb6lk06MWxYy5IOo55o56MTFUxteT9R/NhV0TgC9 vsforEcIeXaNNjMkWp35bh+NlXDT4x/vMF6A4BgTsMBpu/fdXI5T/gi0Q++MsGW1UIk4o5wh/cb5 OB7E1q5PkICrzZND6zWPeDF7qJEeUdXPCgTY80Ng8eQpScKQDw/BxwQSUjdfevYJ56V+eAxQkAFV 98X0m7Hfb7geodqfuceHAlkajB16OVtpDXdveUkJ1tHiJlVVSjeW9CbVYOPR48E2Lh305IB5rXlZ ury6WICEx2dkDb4rf6LW+CiZ9Hr2whA3S0HTvnlWCayN2yKBoh9SEy+TgwF33LX3jRzp5hR8HONM 9EtlS0hUs6vUgloRwHgAKDvNmAeRCBF1JVTy/xmpfqgKAQEgDwiktJZpluhd5Qf1fuuJmOLEErxE Kgi8++XiAQSFjUsbgaZ1A2o0g+npErWPg85zIBObvueCQUyJFCBWh03I3S0dgIa0a4LUq5rNQPpv PO2qpki8zvTlv9D2KuNm9J2WzBxlUR6Ww8+qFV9vIYIQNC5dYv8mNVurVnr6e7y/Gv3qna9UHDVH kp1aJ1SqkNt7Kqh6gS+2kSe44/wCeuoWuQVfrqQAHeQI8UNbFvsabZrbRjd1r0G5Ysk8lRN7yBrP BZ8DhAeSO8WkS7WFHeRpCYVJs5cfmzOpfD5mOmtYmgfM/Ke2Rcpq9toBesBLvwAjY1rWePQeizVN V+AJciVhH9nilwW0HgEeX5iLRQuddrika370fORMl6YYxY2WctRPFkh8yKCdl69TYH91cWNlg2rA 68tmAhEedVuzBvFX69wAW/xVcSA/sjH5hgFIKroDXeLkHLm3QlUNy+AkfZH9U8Xyc1EUuc5F0tkf OOikiJpVLsb2pJqU1uWP7cQP8rVFS7KWo0Y9OE18VTp58GP+JUQuuTyfM10OJT3ae9HTG8Miu1D5 +9OwXWffTYpA0+JxSSMV15H3j9pG8craPjsu3tAxOAd/D9ovmH035FbgQfzf3DFjiPdnDDFBMlJ3 TE1qn3uak4asCePG0gRL4wteluYQhOjhb61dcAaMKrju5G0ehicSs+m3Gh+TcFDxOFOE6qQK+7T7 8vBRFDD5oEwcYg9uts6KblV6U/8wDv7XbQqS2g9xTwDw8DozuEoBFpFTnMO0qNlwJzWa1EEKs4o7 L8XCM6K9KUO/WpC1010m3I1SJa2tEgW/cGhdSflzMqvqSrSaWClQzLJY/Jd5gtmHxXtMeUR5qCmw VeR2OIw6ADATy16coMFKjU0+ZxBzcvrYuaYRVX8QLz3+ASj29ptjlbX08q9UqwURnPPSrjYBx4fp BHcFwk1r7OLZn7wEOkV0aNXQCKwIhQ5m1P2e4GRVUGAnFMLrmAFoJZVnWrFdwNICtthxsi9IcfDa ScFBv9WjmiNgIcazJLaAfG5HpzNoC3z78ctktgzRffd1UPnabZ8LpgDSqS1V61qW5EZzyc60mtbt KbJx8h+OYXJt0c8KDzGPuLh4zpCSoi0/GMyNbdJBsPvIjAmJi08NIvpvBMLhOJ/37s3NEuasb5jE ipNqTDXR7f6MFroMwy4s6SvAzY5Z8KP0pRjMsfcouioGsVm6X8N9ZTefvt6aOwWRvDdh0pBFPxbe SPY7dUnInOXysroELj1gl7uOBux16sYpEg/l2tZNFlVt6KAVC7PTIg6B1PliFjbGpozcQ/uBdLsl q6fohino9SG/YqpUi8h73Mb5fytq/FI5QlmkNK2EAxBwIAG2wYJ9lB44lxO0qFSaq0ogZGaDyxao 3tdGWKY/HlzzB3QDvdagteQjywH0/GNvC9ubhC0jwFKJXbVgu11VMiv29wOf2Wn+ceSd74D/3ctv 28mA3WRMUDM7syE17fmGwpfWgGbdRS5ev/qzg3yLZWonoQLlly8R2Nn3lSCtoNq0U37nJWrdFwxS EHDlrpEbBjjIKeTdG4OGSYJ04zhfZ7hMJNIknEYedXansCL8JUoBPOSBLwQ7b1nw6zBD9RUIp1Zn Dsa/ZrERTlCtovYdJFzh010nk7auivAc0DsoVtUQvsGLF17RWzgvt0THDOF1EMxGl0b7ZURf9pGN tImsB4RLxTyMlao2vdMwCugtQ4R0VdjSrGF8w8zkrw+p2RXnSRKaTdc86TZvNUeHob5wVOzLLCAW Rr9bwGqrua2YfWitjLEhDD9r0eHdvW8N4IIFKzITSVXPgNwYt0fid7Zb62ZoALH2zS8rKEBs6yLF E9dO+eSKzTIGZfNwqNfIMim/xEfPCrnqY+B6uTCJXkcrGo2Wjzx4vwZTBhOlIN/PH6FNpHuar13f qyCBkdtE4m3pRN6LWk6lSsd8G13VPAZmwGUvUyA8j0l4D8j4X9Gyer3phyC9x935ldIKMZYryQUJ AB8rkXD04jaJHyA8oe+c+BlfgztL2+bg6R/O2JHGCUgMeinPm2rJDpBoL7YL6qufuSnDf8M/HKh+ /RHkSXzEoTb3F3CqRbRgjoI5ZRIoNcB7nbw4PSFLM+gK1aMBQwkk7ik+RnCGS63Yaee+4dU1VrYU Qd6Ga+drJOIdqvaP0EIfXVr3ub1vONLuSCe/V6L62VV49nYf/CF1NywTnSIEr8++eUe7x5q+n3rM ghQDfnz7loOG+xpbgnDzgi/zyW8T4d5m2nT635tmk5VValK7soPu+7jq84JYCE4Xs6gNl2YGkDh+ MNSq4IQQUPhl+Lhn4LPvShpG4/44PDMdHPcj/A/pdyPsHqU56km99ibyarvH1tqzRteh7mKouOtN tWDkBBi5SP3H3vbqjlmZYWzc743Tq1RfCgFqD5bjYlHdpnXjabiFgrSyzixLNvqbgT1LPJcI/NZV bkbDqBkx9XyFn5AfW0AdDnYpYyTRffulIP0hnh/P8pKukGjIcGC7PfemJVHJTfKBP+pLlztZH7xf M/B9v7oZ3NtS5KXzrH6ZhJUMPlNGs5F/Jshdfge/GEUBRt4FLEPXg1ZkEBTjW8nm1EXHK5Rkk3Ov gZvN6Yv8kTJzxRiI0fU8FAxzzsBYyHfx9H8S1C6QtVYcJoKUbTNUsfaXeKDr//jmHZX/p2DE2y0C 1actXBYLKb+Ak7wRzqgyNqa7wa0mio/OfYELUl2HjS9LSesfSDxRlPqsYO+/bnSLNDYLZcmc1DMR ZPHxXlhsp4BPp2IvV6FCYA0RNC/53buY7oFSO3oN3eucxWadPg9mEcQ9zPSq0L0iSdr4IxBfq2QQ y6y6fXLfRtc/nAyhCatmPk+SIGasT/xvc5l6JpUpzTinX5VtJrV0QZS7HPGjGxjTi7MPwrsCJ/RJ Ln/SiHCCG9jC6pcBY6u7v+FfVRfVQYzD2Jx5GiG0G5gaMV2lFXMvE0EdKILe32uEZnb1R8Xa7EAU 6UHy+g9Xa7Fwl2T/k4fKr1jOJRrrZt36vsRyYTQxLgE+gssa/REokZEpz7qAhu+eat/8CMqmru3I 0d9zVuzb9tfN1XfcIrT1+1rh686IdzqOqce4K8eveOejCe92refsnX38rsl2MnJad70x71w9dXXc Jy6+3qzZkLMupsp48XYwZ/xD5t1RU3hSnAjoqYpRYuHF5BOQX+w/lqWxXkSctwtohqmwHyVOmx64 c4G8MMDAvMr/JppapqTZNbuDVHPb40/yLogKpffZ3CFO/JLqAHPgOYFcFna5Uc4FzSY33+cHlhM9 UQVe2ZZ6G8Fn7muZHlMpdH74yl98mpnN8lLqgl+oChoP37e2WfvovQvzkVwkjRftTGEQc8DH6Y9g RGlYl8VU5zl731vP5F9bAFZp9e2f5DNkVdb26dSivF6jXF82roQXoLTCNFWycgoamfL/Jnilqzdm tU7PF6khnGi0C3N92ayr7QL5HJYt+RAYI9Ttn71414UY5KvR5ht784AxXWCY5C5lH1lC0paHA9Pk yY02gg7b9SiGYbNaATp0HoXfJo4cp9dRErt68QyukAqenFCbaa2ElsjBIMiKPpK/abRar6z3Dbtx 1yLDB5xaGxmK2g5WYM8O9ZigjvINhUmvc2xbfZS5dzpq+9sei4ScbKykZuM3UzO278G12uuPLyLk eb14iOhOXm8sf6cWvPaYyhBxnVor1fMdAWDykYHGbx6Kf355kGpL37/5wqbAddfCz5mwv0d5Hvaj 3IRqpbLaMbr6PbRfNTDMCRa/qxDN4AxQuTwIdKZzXOHsB+pNu1r3YjrbsK6NSL7MtCRxC5YrYI8t LlrqYF9fZZlmWco8JW4iXrIQBGz4buUwxkqlcwilxitzZDnWngKGCLwsuyBtXHBdZZ+1yXjJ1YyA 6PH+7Io5xq7bumdKe0lsCTQxNHhGAyMoR2BV5h74BpGles2+XPK5p8LoyAGSwgdeE2djtQUVHuCA nohl0GQWL6DjhbkbgtLLxV672nSEF3ii8TSuz36ErLrBU5D/Flyv8suvUoFkL3LhJDmc1q+IFD0x 4h4Of5s8e/7FIz8PLVsdoe6iqg7zl6gvcw/NFwZF3FOcGD+ygwnjkiNN75UexCaNM5TS8/8+/2Yw FRHR1kmsAYPyHZsl7G83V0/jkdkcUVeOSmDb+VcHiU7BVPEL1ytwKDw6pwYoSEWrKirWliV3V0AU YEPGkZ/i4g+XLRn9BSBCV0wZbmPcK4od2qy6mVnAFcqZTeWpkAQUuKitmdi+PSmza3wMLR3FTe2y m8JCLZ1ipGZpbF8mOJVZbMt2WDggTwk8Pgqqcv7NGfiibahvWPXaMjZxhOjNM6TSf+WJhN1R/JT9 plwp3lcNx0HQIpG98DfbQhZmYC6d2oQbR38QZzj98aMHNEN9Koza+t/L3FaqxYAf0UxJSmY+2H8u RBI4ejTn5hOsqR1os8m0TtgxtT2xNp2wROiV7wQZggMpHUSNR4epJqWdaAp6k1+kLDGpbDFHsbyY yrSXRDfXxAxxLvGE0nrZhQT72ADKG3SkhzPfQGZXVOmFMevxVPVB1DY0Wu1JCLGH9rhtvmXDF28Y cF1z8ANBbWitlxTPygSSF6RS5B82b4dlb9Cw9A0wqwtZJ12RsYXkswxy5wtuHGQDTMtOLY3BmFdK CuCv9IF5BnnCgOPeyCKcVrl+gApyrRPy0vPcJ/64DfSO+YQYlZMI1Sw0ZJGw2b86k/PCwcO8BB/U dspPunqioUfchP4P5fRKQRMR9+4yexNv9xCLd05Cf5+W7JQggSclCf09eyKhrEhtNmbpr1CY27fR A93rMVUBmzXTeo/hvqmgbeTs0HVJnyGZMUtjSE1KPnCp3Qal9Ubh7rWeobjW0AK16Hu4vjszZAzC 8CWkG94ZX1AzJw2ovA5si8sT8vM3yCGIlujVS3CVgDRPEVJMGBsm3FzSVI0XksxqhYLzIeGJncsi lGd27TBfMe5wjJbBLCX/83xlX9xxjrtGn29sxcdGLb6fNR7GMeOV2Ok+4i0GQH8ldV0wPWIRAVIl G1TnPV+cuEv5YwWjCObRMs1Jts63pUXodaR066v5DrRCMmpTHahvJIEusybIaVUfDL5eCz2vLHvN p8R/br7ZeVm4Uk32gz5MQsXbL0jBpwo7qmL1Knyew0bb3vp07YR2GZN+6frmlqiCuPeEHh/0AjTc rz8HjEtstJaISh8uOaLgQJrX8SS05dF60F4UzfL2cnWhhTVBDyDDRmtSmatxqdB5wLQ6fO88X13B hcQFJ+/qR5KhGf9q/Y0cyBKjkOailONiq4VfC9L1IM0JXAP6AyysBAMz4gCcQEFdJASFk/g60wE7 tw/hJXP0D2OiHh2QCxkgp/mafpmFZwA760IVPzsGkSw4unp8G5eHp2wpaDYMH9M9lTuFa2J4yVhS G8speABGar7of5z78V8bIIawZNkkD1MU/WIEk2wUs2qMY+PSoG/LiMT5rc+QxAyHu/ip3p56zK5L AT9gnzKegXEzop7YpROMNg0NDKB4wwKr8EhCena/+inqhEyNYAnQIrp1cqMfY2ihvk8YirjHLQrW rwd91zg1HQ3MwzNh6rkPjtIULRJBKE7mG6BLG8LYP4Q90ITGSY5+j0BZhVyO3PreCZPf7mQ3LM4J MUyzmJNqRTp0Ukn6M5U4x6jsMfun5H5udFqd0UrjyM3CTy2zxBEewCEOMrJIbdCzCkJzd8i/1uUo 6Wk5SG25JBtwEWkGwONBYCzIoyeUiikRoZXBEgOxwjaP10XMO1TpA1t207Pa1tvPtIgxu0JPnHll uId7SKYklpBhzqbAc8AwLAk8o4Gpq84wohgUkesuFQ1StEFpcXZUVfSy3wPsQkDNEGW/WgdZglga JsME0V+1ZXintfLc5LqTjXu/ffdGZoxT0kZKKZ9he9FFfb00AsVHuZLTv3y4Nl+54hIzWuqD7tVe qrMKiq+R7STHnUKoL7R0iVd/mw80kzVlw7zx/0ldaKFLWSinL1543155QMOb2TbsY9X3Du/1w8Pa mwQhlJ9NxYMqXV7mTl5rZfEvvuU7zugAIW/tiNPF12RbHz0lfNV8oAsKTJA3HTgUrusIkm4wY2hq 3PcU0P9VkNBG+34f7XC6wZnoFkpPNWLsSwRRXahvDc5tbD11xOyirftKUPrCvsCEOTboNJZRRjGb Fh5td43YZU15BKidpQFueZKx4fruhNYIlEEjvSOZwdTt/gB4dWfsu2hpxBmt5HBEJ4+GqXNWNGkg 5s4ulTHD/WEpEXpFOxCJ4TBm7BxTjUQSnEeJUjOWmUrkegfy7J8HG1I3mwvux93a10W6X9RdsVRt uye819GQjg0XKiSwdLTAVCAJSDYRPeehP5Igzle3beu/gvh7C26l0DYLSNHacY1HmrGe1gnVLZYT 7roKVYntONAPIIndMPEshdZVy9KZRldxrDXQYnnOUl5zYDdORWxnVpebka4drKR8gwSLyUYD06e+ NWbFX6S/eauHhmhW4ZCTDTh9HxkWtX6h0SirhULlDST4AtBczIVMJzodUns4XO5j4NswYIcpvii9 lZUS6iJsuYIHKgs6BnAs0jcs+IDvIxVRdWXYfL2akGFlEwaOWcGKXD1mC1s3/tO70OWZlLUy/ScU /Hzt2HC8tQT0I0f2XU+UwOgN/0ZI8KnsXQJ1faDSpUH4BqhQO8WTwnxEx7k2yPKl820O1Ow18D+M wkTHfDALeT2jPqE7182VbIlJGN462n2gjuMRqOyRIXu6P2wnslbuSezj+NbgLh11psUucDGzb610 4zakpLuB1HSnKWQNSsuodV8k2McEB/Tp92Az5ibDpA99MVCYAwpI4vt6E97hsbaaeIEKnVTDP396 W0R68EWaAJO2BhZ1N7f9L/Z1xSksLb/9BI18tijOOhJ9P8S0wdQOa7Im/ycpTN8alykEACFmR/Lt 44hB4M+KoEMXzci1R8fxjF2BbYSjuvauhJ9ggEsnRVEj3jRjU92XFjxUYSnqAfwUoJ9ki8qvUl/V jpYv85SclLQHTQadweMMRdySz0qwR8VDFEd3spvgD76VEesUGHiP5l8GbvnK24C9s1f3NkpXU7ku Woopnky3XM2U2XJAdbTOnvWNftu3B1eOrIn68noMkQGWXTMCzmtzU0/uawBh2UHG/c7IuidJk1By REMpQIsrVyXUR8UzkrCMsGvLp3hkqO64OcbUfllrWP3Jx9QhfWmIoofOPc/GMDX+9suXpXOJDupx Two8cABjGyXIw82Hzbcm24/XDF0L+7aclGicbFEQLg+j/OawGjy8bhYcXor+Ak21DeARRlU3QLbF gbGNFKEjr5UhmaKl0Gwk+cNKAPJ6w7fCnjbI1t6yhEVNcP8hKXmZOErSY4CJhqaJr7CkoG8HMB9v HIF5Y87hGWcyPRPr0vACwbQuX0cSsyHgIL0EFtdmxAh4mk6nXDnWf31KDUebfIp2Hcx2Ug6TlYR7 NGYGdm//ajtgUU3795wOCVQITvHHeOTeqrYiNTp3DWXvAnmokh8lzg2hRiKQPGagLQdrgphLRFG6 JNuKbQmBkAdSTOUGAWWq4vwQkS2cESonqBU0ZaqvwGkcXBDC+b8UddC5vwHvBC0j19YPKj7TTX93 UEwTU/RGdOAEImVOQKSxdYdWerzpAt5NOT2NGMx4DZoPyl1Zc5y3kU9Ts14V1yRcSQ9QSXcfdoIG RQeAY3ldLs/al8YOfq6/G+DUqc+kzBG2EdIwd69Z/RwIMwSHZxO35eJ3Vd/awjZkg7aVXvTtiBiA 0mqFEFsMrzDVI6H7x0O2zIG6tCtLCbA/L6z8asKhVFD56bB+bwq7k9LCWLsuf8LOu4NwS6DdSd6a 5BoBS0TUB1rtFtZUKMdf66gFW1mFpxLKEoHT5kAXsZnOyzqN0XK+hsLVtus8Sj1GSdSJXNDKkiw0 wd4fxnCR9iNOJLHKYFYuT9wt57f++jeASqio7ciTIMY5f21bmXNWgByyCyQ6op7Dvex5mT/GwGyL 77/psscPeg9ATogculw+sQH3CNSPj36tnQcXo8JzXy9k1Pfeg6411Jx9lxKRddJjhQvi+5KeNSB1 iH/lFXvY/ATRzwQ5gmjqXkZQz2uYTIBaZGgqRD/DOfoFAz5pu0hPNCVTMNfjIFoqNqwXY6mBVf0o /jl9tX+M3obB1izBNp2YigFr3lv+gNXXf7yXMs7QBlTRo402TyNT2PM4aGEW/kdz9pgSRqVubb+4 cmoFL+xcTOsLBjKuAb41rkuPehuVtXVmMedIbrmWDtux4aEEumqw0VYWJiXjPc2RaPj9q8UJ15g+ sh0HP3YLmJPHSfgTBZHZSLyWMZVd8ZfjY1kkuBCyj4KTxmergPFz8JeLDjjT6tUTa7C3AmdNZgUD tQ0HP31iGrHo3pThvJp8hNFqRVIHWA1jld+ROiCho5mR/Vqm/B/x2izXdAfSgDK1ewDzjz8QfHDs jTUuAXPxypMz4oRjSnflBeq4ZfLhbQZPkLuZW+rJy8kggKWyx8JzeruNk4+U6cxzeLHIprJ3nWKR kFPYX4oSbVrmckpZbScND+QeXwfXfivOz/pAc3fpd+ZvteQpEwxaKTiRiWqzFNCri3PGDt9GYpO0 luo1hHKHZWs9jR72kxdOJfd9WBzlqGYdJMu+W7nW8NBPxWzEIkGIRB2eRdJjCzhbr4V/H86Gmkm9 PFL5zn4HpmASPOjaXCVZDn7adby7ZhZ5CZhNbJtFrm6DLAJ9F3O97zBE4lSJgQaurCCNQz/InhY1 8ZEqC1Fpau2R0NCD42VougvVmPFRnwqfA4hvLxxvpL6zmQplVU8Sxk3Cc7OLwDhrs6QZn0PXmWIW 3F8JYt3Fh6b0A9C1ZrSA4TYhOZCU0ZIGW+y46N4ak96puHgE2UNzhXhrR5tmRqJgsf95KJ92p/+N OydXfxujcNdJog8lS2vhZkiKj1qlMK4xOdTIfmkXLjwHLL+43mPScpViTs8d7CosiGl8c+6cLZoz iFCMPL0PLRtZHwdZnziaOQ5aCX3Qr2LHbDaV/8wdavLdLPZDPEyjNDUW3bTww4xZ8QBxBBuY1jB0 JV07S0ilhDfg/dbCkfO87KS5h8SybCKCSKmdHRDS6/pUC9JJBZPJRUCZ42QqgSuqz2nXlZOEk8s/ ug4PXa7akNfs9GKCRkSqPSxLsCTwWRnZWr/N6KwZYSDZY9wmbEhjj0eI1DpIyQgB0D0CLzaLmkTH /ZCFPhyWYDhZH+cBAsbDcUfFAlBy/d/WC1KCPO0R5J+Uzroc5GBp68e988M+Cn3pyZm90VmFPv84 BEYZLDTeMljNFnivdjyz+z0fLYmbWR/EJ9UUcGzZaIapPPNbUCkKGXWt01jFBU2xBuV9E49fuVEA SNvJIvay700MhuStPao2eRco32KkLCQgQ2eS2eYoVsyuXEbbcZrAB7/pVZ0tGKH8ZjalVuWH5yAh 6o8qy+zfU/tof6npO8gKTsZWnaTWoMJ26P4LCfpVN2eAhSw9RzXawTQDHpxOSU57d4Og4FzFYMlL f0dSYZXwWQ7JL2sNYIFStefYUyjvMD8hKDdJNB4KPBIdCJnUZdb+4S+6jUBR+0jJyieXhRzp2gey a3Sbk72JLhh8anXQk1RU2u+hsjCQRyWaz3xqg1tNjF6ivKhpgYFs8vuTLVSYX/H6rRkFB5JkjagG XWBkvdu6iuaGVsuvG98qxIYb70xEjhTYvyjerzvgeb2wkaBQwjNyXwkSMCKhNk/KWd538fjHJ/VH e840Kpr8kfI4cjYZrp0RrIJUvkszu5g8C6/BZHaQEZJ/DQpePO227XNNR/qDMigUXuz664BApYSc IYv6PLJoO1MIZHv/S/k9vf/+z8s4RmBFXB5yBKAtPZaOt03STfQAtZA8thUENlTMqVeqYNGF5x8q BBhUirQaxi31rEPMNdXiWxQuyoF4iIPd1LjcJbtNw36Y7T/FQoYHOwnt8F4qwy+Ph7fobpMg7CcX TPowabjAsdqh7OGSwlzuVg7rquaB1+xdp4uAAq8StCs0354aQfrchZpES0mv34rlZWeURhmPxPg3 qtbRBBwE0siIyHedpRRyciEwYmsTjMBzEv1PmqVT5Lkb1rWEbiEBC5HnzLCIxuD3hCc5qQTEAVuC CTL2o7Twe3oDuwaLLEmhSwXOgInmYKTwem8aVTL9WhTFOJmLvSspGIcsjYnRbZNwQGER5O/Mhvh1 O2jgzlH9VnRA84N6NacvKHZ6PAibPdolq4LHeY3UEBsilE3e0NHir+lazgTGKQ6yCLEyMnEFz5Nt WboCEjg6HlbBNqc4Z+4M6R1bzHk8nvq+2Kx/EFdh+aSKYE/m0hVcVc4GvoK/5X2B+TQSDV2Jg2iS u/qh7ef+zoflr8zLgTRtGncHsKKkpHxVl5qwfYFFLrz/aTEQAzob8kKR2Fy8S7mRcNZuOjPdtTnC TiONDjlSSQDsqBUIEbwsL9biMdlcL3YVA0JlLCBgUVtudwTKFQjHLWhw9rUwbUEVtu4xu3z1AVIw dRvOFEJSxnvzX3p4cz7fedPffMOf8vPlsx2pc/jN7g2kN1ENJJVAZMYNx/33BSZZVF/14LFmXqX5 WMe0IHo8J75PDmq0DMOZQWUFSrNBm//uR2jlqM5wvX5uJUMAR7A5HJbupEMlzK5eDWe2Al9t03od VfhxhQ8ttRxgMZQu5IHfy1j9r618yoFJU1pexZ8fa1jlsqcu0SdLttkatGaGRhqe2tzqsjDKw5vY KPawi38EjjyZ2XycIHfQ4eW+Fbi3XvABZw0xPS29byD0S9BZ9oXLkW3ApewPrZvzPOKWkGBhximj KiRZvnk/3QIjTA6L6XHZwuxGvgSDKbp1k/Dp+1YKq1nkZNtkV2s3j38xNqQFWuy3Ip7V2Po8E9jO AMFiCgyMKQB8//HJIOA44x38KLIQLF0Da7MVhxlqf5vhcbYM686xlLNSJgxdZfQVpxpCijkUU8rD vTdNcQB5WRxEbeprmXWN0ZsgObMtVdL4JsYuhB352nZnaMqi5BuOcnP/IKAY8nRKOg5a2e2mtYMP O1J/QQDoYkn5SI3cCEkda1zY0zUpPPknQPvl1OW/NRMCdNlbFbw35TqoWrbxQLXnajsLM3IhgtNB kIbt5RocV+B2mcl1NaWE0m8rPAiSc2Z56JMMua+HrwqLuJo4jXsbYYMquIEWsQXQ5UzE/tUcp0ut ov6TO2wV7YiENhosLXdX8xOiAA5QdJcAGgkVtap5IM0BO1E6dg5UbJldVXINcaImc9cekgVYyOSD JaZpuBTrjg/sjUq/KNYihSH8I0cSnzx05w/eZBQPAnRMTNWOp5+S2hqJFPNtX1g3IV3WHNg5aOKU Rw52+xVc4RxVtqXsIbMW9sYSv5cPqY6YZebJotJWlVfJmL9C0P/LPYD/QsTrNde0QftoWc+VkClm jW2EQff92EbVFXAv0ujMQrlpFxFnvz/7gSc8O59GLu0Vbxz2gFF6nzNZsnlNW4NV9eZPimiOmcET w1HoeKcx/hVMPLVP/u0IDOYwTpf8sVMbxz14cdqsAVCDkg9wN0woFUhjSGp5eS3gbLaSaeZ/jLxE TZ9DRbLS2By6n2JFAGGTehOHxvSZZmOdVS2ulnz1n74mU8JraIp/MGKOFecO+c50ZXAqJ7cM8hfO RrV2zP13Aap1DbEUJA7O5JwyX/jK8eb3Un/VglYkxPaZJReRgF1H/Vtxam0kJSlKshvlt/Gud4U8 a9kMzkesSvfVv0VDVuqPE9EgFrhg/+dB3ZgFSSCXWQqudSTUdlLFOhQYeAC/mY7/Yo+XuLVNxlG5 y0U2Tz0lR0fLf80YBh2ORFcIpztipIlX3azgy4PRmMQXgB/+mvRRATCQWbvxeup9mWbPi4pBw+H9 PA/Iz+fS4O6Xd2a4/LtOhmOL4TpVOT/nVkvWd3VW121/D6lGDmF8hwBn7N7ualciMcKVM+pAyGj0 ZuM7YbcxiZxO4to7ZC2Sa5WRWgNOxj2C7LbEZ/m5K/LRfLJfYrSiL1y1S78MvTfPcq8LTUBbRQaU PxdaMtdv7sbpF8s+3Mn9xN9L1TSGD4Tf1Br6HUIFOCAr04mgoTNlULdk2wE3+l/xyc6zW+OdJ731 ndOvwMZxuYgEBbZGyUWuo4IcKIbHQUeZI7hBLyfC4M34AW8Os3go/QlYRnpBk6/9XSa6UTPmku1n rH5zOZVjzywq71Z/8sS1AnKxIfE2Pp8QuKl5NcJQ7K+bzIJpgPsM9CZv0DiOZU2wUIxxiSDcjesD Wi4nWuPDcHb8zHEivdnl3vPs9C+Hf9XaJ8OkZ4K7dbSP0nUVZAJVJKk/uIP/xXJ3OA2oqKVry7f3 HJh+Dy+lFpFqr184cE9lxPhHREEKlQyl2RdqU9mPofrw1GdcplCxfS/NYOmpSDVtsVN7Acgrogoz 0EBzkU+0/fPU1ijxbSIetndfU91mz67MAqz4pBtzdM/J+KomAoqQkhiR3tB1q34imJZyauWthz4O AVSiPf+PH0jRk+71vRqdkJ2Fr4sAfe+LkjNfxP0iM1Xt3ksRnLmrafTrrrASC/qLk3rvBLqSl+CX e1NpIKoyg8msTbLaeVAPTJOGuBpSFQYKJLaAgeh8qiZyIEv/1GY518bRYJt1slethQ7PRecl+/IQ Oop/wzGQa+VX2Z9CgFWeqhuao8mN8nwmrUGZlwAQSbqRtxtf8dC3GIRfthnC+OkrCl1MuNsHTBVt YuRZ4HY2IbPhH5StVqNTCADXyCoTbnA2xwl0Bq3g8IZmj8v/77TSvmdPr4zsEOnxqpRJkFqwMyiv roeoOVSNCCCegGwHEhQRYmo4MtyQ9getIrTEZqUS4Hf6XczkWie723YPiE02UiczB9m2fTHXn/XK vdWYRDBxehc5HZQ0EsJEAXf6OsXHfge1zFK12Scyg7N4B5gtd2/wXq2DRR9GHzqPvmsudWyzkdWo aLQJBPUCHvY5WA+qftBUEdfH2WgB6CKECnEAAlziy317F9SF2VLMk6zfh/+ihMjq6q6TmGY75SbG iCaw00swbqXuklBqGTenq4QaYLHkGlW9YUCjYQJU0+BYZqCjP3Oe52BI+AVd5RBVBYJ2/j1KBY1V 9rPL3A/j4ov4FLt26dpisSZuilekeYdXBldYrgEhbtf2R0IiXi8gjsr8yLWtKaWu5E3syoO4cwZ5 svNxt3ZGhuh8agyUBoeqI43a5nzLJ1yURsYzdgY3AQuil8J4/BkpSeCniNFiUM744XlGf+9S6QXb zvoUS7hatqriQb5Idl1EFgOXBCmX9j6kO/SU27JFIdT8u5OhxmaZ2il/B/YQx4E/KuVkrC19hhW2 sywPmqz3Z/BH95Vl8zmjrwuN20yV1J3iLKNFlHgOePM2o2g2ZSKG3Ke7HSneT9ucFFUkL/KxiQJs kuBEETcoYVcPANVX2fAE0v7Rc/LYp0p3pDGV24alu8WoKNF4s/PGZiJlBkEwxaI63xv2lx/jln6p Efe4qvrEuXO8GA80oGt/5LOCOonAY6flHszqdnlGXBD5UJA3SanmGYV6qNg0r0+fJLpZFKUf56nx 1h3llaC7Pey8EkcjUWCv3iICNDqZFdpMckllxA2Tm0Ur6Dsg6xld5swBZrX0AAJPJCvUn6EPINcJ WMZzRhLIhV0pdVMt9jgXFfkiYv9JMDkR6CU4Kmlr5xmnXSWDmzRzob3eMOOoZ0wMT4x92x99JTNT NCN365GloH61i9Z2UJN2ubiLSbuAuk7BLOvm6UGJGkepvUGDmvtv3WeLZCKYXGt0pWnVY9LycfTW HDqHbl0Jb0WyMuG2eGKIs07oe8lOK4S3oya3izmFdArqTMNyByCVBGBGaBxf3KECg2B6HvUO8n94 o/C4OI+aXoLQ8B6xEzcHfGXZvgoxYoiHMhOzwldkkzmEvs4sP8WQL2j5b8rNL2+//L0Q8mkPjCFf BSvyk0twGU1C0/LjrqO2mecA7e+Joi7S0MlTyk6MkM5gKPBOjLgmXUsHZ5eR6FDWa4YHDt6F7Ica M2UfA7ZWRGl1D3S3a1vJTa8tj5qHVI/FWerad2Iy2VnF97MiCoYmPbm+h9I44ubYBezLqBHQBHpb CStivHG781hhNbVNfEuQT9yknL+aLmRlYDEtv2MIjGxQp/RigqK6zSpJJ+4DJSphiJ492OR3jYn9 1OO4EtFZYxL1MFfEuBcdZSXipmkipHG1bAWzksitv1+uflZ4V8aOjTOjsQbGzELqC7u9i/3hqcl6 LTA5Ni7NAfHmcGsgS1I5lf0qKcXCnimj8nafXjSsHs8h6rOOsFn4IFs4vkxN9JCW1rOw9owD38jK glcaHnXBpn6tqCdZd5mAZ630FY9uSUsNiDxSEyjgAnj/T9a6jj2eHOF8Ks9ptqO6oa7//HphEULG 7YmgoOjg0uKhXQHQOxqzl/ta/dwuprU2/bud6Sck88zpHXus8k6AF4Ksu+eaNkUaJo2fqaQR6//k pPKe+JB4ZHIR2EA0I1sjfZQwhj3YYz8xS1LySm67RvarsZX6WuzjEF60NlCWfmSE4ddc0eGKk1pB kNFFGcsI9Q/ikbzLa49ZqkF4T8g+xhkwni/FIMeVqZosohNwS3K3z6QTQpr31o+MBmZ9S7YRBsTu xiC8cbLgdxuX97ABWWacOw+KxUbRNaNM0QyfrU5bVQA7d5XD9rQeNcp41ZTDy+s896imzn0lvTMN 7loQTNBldpGadQ3Se4W9H7ikL0SfxIT2wEDWEsEpV25IpsKUlWA9DOL7xW35HWz6A5ZAdzWKUsC1 br7ieYQT8KVsZDziJtWcfft0t78648BDW7SCRBwbCGvffSsuVOvhghJfSfHJruBvXDVEirUgEitv CEeStdrXWsGhC+R4/a1nke2k/sOciyvBTiT9JcPChEUrABUkoTyGd4rE20PaUKxqKd//V3lgljJw 48hmhx2GzqNk90q/5VUe4gj2t/WdbckJfUxeCw4QEDM6mBemVw8v7qnaQq0AfhOsen2wYuju6do5 EVLaURvkLFu8GEjlJWUT71HJS+uJqSyRg+aW6F3+gCKcG3zlsv44aN5M0B/C6fOINgpRXAe5TVIj YIrLkp6rb5QOHO5s3L+QF014qWCGr21AiwM2Y4khaKbVb/Zy1ZG4kdfNA2yXh2w11MI1hh/p0CLl heRBcJ3ynR5rUbhP7M4Q7Ly0QFHhU/FtBsL18D15cfHh1KSH9ABwcik+bGD+J+CSAZIpKKdpgU2c 36CaYzZ0BUwgBfEWE1+EE2M9wrm6cNteTWzw0bCSIKbRFl9wbYoiP+AC7fc7aD3JEqNbVC2wUuWh zj2O6/iH7kq+v8lIt/15UH3I+PHg/j2QDTUlA0nv4hqyltr5vTPL8XUNlcTRPqWw9QpWsB+01xSf gDpm2az5x+2r/GmgO0Fe1Ld2661K7iGcrZWR81wyKwPC7/P1/VOdwUuqnNVTk73h2YyNhCSfC+qC C/oPEZp0IHvGqmhfc4wmRVY6JjIE3lbMNx3Nv7VnGyttzBzJ6+N6+lW0FKW0ME7wxCARG2ldcyd4 paI99YImXXDgFjXGsgzFQNMiu+w30MgBS5oJXfD1D0uq/LgdsZaYzNr2IRZB3gB8HWX+nMcS3L8R lo5QgkK6CHKQU0yt63yFinoSP0ueqnXHkrrZwyTxRdqoKr3UqLiUYvFIRYKfoB4i5f4+xHYe8V55 gBT3aElbsTKapZE1WBX4BrPW0fNIb7ZpMKID9pjp0dUmmjDbi49nBF9YETCGgOZ/FXGvBnOfk8SZ +bv/OE+nrZ9w8MoPDcSLk/HPl9l0lCrRKl9GtVapqB08HwFoFypI36/ZK6RYml21x1dJRTsM/FGw 1FxdeqMs7kD8BC1MsOfpKGrkEiszWv23ladxSvjGKcHcwZRgHXY0MUyaenz6aE0LY0AJU+rurhwW x+GLgPFCbNyhW7qeoEcmtncTQGP4HB5E/iSCxJmu8F/pvHlHbDpzNZIvoSvEqw1LElX3sbwEQwEl F52ssvegNk36kaJ93EOxKfGLsZFiIcaEUNAQ/t+oY2C35rN8bZ5ohPUZKyAU3EoYb502fGGaJpng VobglryI30PzV5Dk/VRUCr1PghhE0yRWH8yswlJW3JwR/wjFGxoPF5ybUbYxadzKzD3Mxvio7RSS tqpu2SS1H8gOC4ooadJpMkhT6sy8XFVscyotk4L0uAkQ+APLT+ykW1vj7Yu8OxvobaTK9iwkrKO8 MW2M6ALMVo8VQX0G0TbCrkGWI8msHrVBYOiRgw5jl7lUi/m8tdb+dHY8aGn5Q6oPhXMIr9Uf/OVU 8bWF/KL6tcYoWtJqs+vAJnqq9zhbmnIjs2e4iHNzhOfNg7l5qU9VNoD+rfy1X7XUPTi9GaCLu1/6 rqba0lX1yDB9Mg6ZUKwD4hzRKmADaojPh/jX+A8b61M0jv1YyUybV0k8cBgbqM/IlbUmrP1luLgd fGY6J/oa9ariJELRNodFai4VUgbnGV3f83YYlO5sZoxQERBQnAS22lCJP4ZCi1yHmIwFXGBiqag/ 6bVFtfpuy96xc2+Qm4bH/RaVprIiBmU1RWK84qVngJZJgLqypn5WqqPUVE6FmhfIcb+DrRqCLGP8 5ZcBnc+6obClY0r1jc1tpdj9SuASpWV/dO/kOarqtyfWmm+pC+yRK+dACS7oW4nOBosoluZe/bMK QfeqgZcIYiQ1POWhwUbLIn0DhRxIBYBSNQgLvuPCyaEcwYslTCPZP44D8lZcAk/FFOtvRSZIQk7z wPSIO2c8c2HiQxqsAthACGck0cN+Q7/MQL/20uUzVNzZ/w6xfu+i0sMMbYWBL9j8ofLn2cvIXI/q bDc4xTRktuAwxS3mAqLSFnfD/2rqbm4Ru6iMj5GrxXMFqB6Tf58JpGs2r/1Va5ygzr0ALIWrSzfF TPYZsmA/OJ0knI383dN3MkSVLbV6enxpdlUmyAOrWs9O+SOGLTG49i8I5yL6k9zp6XuC1Wk53FuJ fVr9xqSi1Qm94WbRjCiIuMnm00HoF1IeMrQ5ojCnwa6dvrAoaJ4soF9bj1DotdSKfej7KT4o/NLW U+Fz+FGSy24qVAFOVs3wyUraaCXmpjHvaenD4QExmzxFaOc268XXhB9vAyrEV9XasGmc0+OAO8F1 Bn+M6nVmRgi0cMXlcHPvVtVChsmjJLZWZ5Qhgzo0Aob6ZYNcddAZWxBu3lPyyX/eqa1LXTBQN8fM nNnq7hLGj+JKX7XNeDUT3TKYP4d01pb5n78ahVDbnobMngFqZEkCs8t+YrCCJF4YNOOrO/Uoof2U aWspvBbd4I0Vg0YiVfVSLami7dR2lvQPTrUW8LpefSePY8xNO++nqPA12f/YjbcORiKpkMAMl7YV 8mNY/MKgNq99JmYLyiH0Vx/rUkAH2QepX9dVxiwWGegX7w0i/UUavYPDLC57KIqurDJCYUWqWfpc 4aQ/ZdeOsDHmOAsasKcuT4nODCEhfAs53CNKYZ59i7kA/0O9ni8bBvyuJ32ngqcVkbSQ9viR1Oqf rv1lgtr2/vu0cW5yFVoSisp6n1bUG7cKY7GXKyBpkL9tJj+uE5rNvDG6By7T34wFFZaFHdsJj8cQ Gtt5tGCy43dvLR5McmOyRKPwGC9Pd0mzx7CFiL6DTVfpVsrEweGtKdnmTrTEiIihrFIs0IeKxFyE +La/g8Sv5/HqiLfWnt4rJn9kuV6+KD0ZccFwgTwwTMKm9UlL1Wd4PpjYDVmZ039ieTmmLv1rdtHF Xu6+kFDiiwHQrNNj4tWNTRhj19lvf7g/m6BtKsJpCJaeZ2mBF8b3GpScuRZeyDgUe4aoJEtNl0Wm iW+QXyJQ0FTi7CWNkd/SMCGoD7lLPGdSlndEskQxzrZMWSxotB6/3GMZC5AKYJjNwyLuZa47p8Uq oNu/58IQYC64l24+5R9Fb1nlmOTQfmVNKWMIimXa0ynJ/9DQ7Ic2niFJPIwpEQTYDMGC/UPC5sAM Ao6HKSrplYplK8jJffjsRMoowLjpLTz4kg4X1FFCq66rOIHlYY1kpb9XeFyJuWlURQe3S6kVpu49 +yr20LzGlLlE8hHOYbLnu76nC3DnwU9kn6eawPD2HGb7FL+cvtT3aVngy/+pCTsMnIvNf2u66FKB AdUDgbnuF4UF8wjsPKtu829VYJGKWQK7Ltv3VGJlTJxS/GudYsGr8xiKAi7ucUnzoBJBTiIvACId hNbDROAT/A3fJ2ARp2oCwi45IGVf6pMAh1nd1mWa3wXD8ikotyVf2INeHxJVS4fXtF6nU2KeZaaX 22ZMVeePuUVIvNvrbryNErnyPPq9NbpWB5KYVNEE4VaG1bZaaZ90Zod24Km7GABxnLSfYP3bbkVG K80l8JbuFyNr2ArPy4QfaPyicqjR4KDynJw/Hqo5SqR5kH5N5XxLwhQPC8MM0fV95uia9mlhY6d/ yMDKzL76m1onc8Z8G0KT09UYADDuzw+WvIrd+jn5Rqh6MyiBN21xx0fr75VzW/6AKbJMMT2FLn65 H43RhpBZuFbwgEBFOpjgb4mrz87fN4EFH4gQ5hE4/ZadhchnqSa9EuVb4FQbM7ao3o+d2xkf7fXu d78/BNkGzawVzG+7wAifuxkMPrBtyy53qQbV2SDsaLqvj29+MrF/32hA2B9OAzLuXKYbak17jwTE 0jSXbYDZXoAv9Dak1zxrYuugOOX7dCaIJ+8Joyf4xvGJR5OWPKgjAu5NAzdUCw+ajRlkrzKkCZLF U1+/lQO9WIElod7C1HU6oR0lgRaeCDf6KTMFGw5jt80MP1DmqyVvfcXKtqSssmjblI2Roefdm+2j 5YqJmY9C8I0UeH13VoFDK1j4a83e8CwrE5J5D+0WUrHGp1ElzHd5hgDPbQCrZySloNIfmEOaIAh8 Ory7m97eKSO3y9tdnvCaO9vZs4b/H99Mkxz8z+jh2LGnVBqciL7Mo70eJ9OaJRXhBKujpjYuqbxa YLUQAnKHluvBU4ysaiEh8Q/y6fV1GFpZQwxDu09amyX4MKIWEMGGw8nNupHgjEnMzKTgwt4fBz5d yyJMrEhdJnxL9RNE8DlqS1woFb1Lsf4I6oQSp1+NNZSWgDsX5vwWvPjAa//qfHzs2nyq/B2EUrLu XEMYee1RrTO1HD7YKpxC4sDDJkWcDmE0D6zQBwue5bvEhIt1HymRH+if+G9dykt+IbYn2sSQPsRk CVKftIDrncu9NGEDxzBwRMzfN11wX9p57l5wlmymDIpHTaXW9/1QeRiI6wGKbcT4wBAo9K/YvKPr xE15xwd0qFx0H3ERMecQADHUmSjDdkURz2q+MLcMgzm6T8SIVtzVM8o3JYTGlEjpeCDZHzFaGiY1 h2KGpq0W2zxa96NckFGSX0P/jdbNv+FFOFH5/mTcJSHB6hWJMF3wm4pmaZmafw0LV7BF7VwrJA7m KLx7S0lYm9gx/xfdWhXRg5hrfA0dMoIYZAnG70w5ytIP7ZWW8Tb/4YG06X2XrMH+YIjmBpntLKLd YUtgdpEdDk9Xi3MCHLO330q69C0C+m7yhDqTYDXcIhSvwA+B7+TJKMlJF1V2Eb8PKOnB1SmOz7Fc fJM1MGy2aXOyLWwddwHGJ58BmaQ9smrvnfFaShb0F4Oq7ZSBc4d5BmhWm+ERYm5ltKXK3pvamo83 c/oTF7AgUeda6y0Sqa7XVBylieU7aU7b7yTaK13BZPcLSoann0pf/Iivm/aRieTDcloNZbHt5+V4 FBXGhH9E7WtZaVR8J+n5gijcwphzhvsJsgnakBjQBovz+VLzK0zlA2KIcnpFdtPZtYpJQYgRmPfw IFhAYJlpShOlizSaPzXOlBn1Z5KdzyXkRoeRLy1GmTTXL0+gKHtlxAJTsK/WSLXp/gaZxtq02oia WGfn7lq3S138amBQz28N5Syai8Vsp2jYTXKlRl5qhGeRFVoV9udr2ZHMMRm/YrlzPzY4i6LvZ3tZ SqEgOYweXaiNU62NYtKja7BXhDfIQHTAO+h/TET9Nl06o3xsnOnI51tkla7BXzBro8wryCxY1suE N1ZPCKWzZvDXocpStwnkOex9fHy9L3ArvO7jRhrUo6unny6ou9EgGkUjPSf3qH4kkDZHmoD7mgYr uqmJOQTFU8nRovRuleVSch+EkhicFnnfDrUjsdPsHgoJ/0yIax9ceQJPHftSSehHJdr5GDoIn1Sq vaJ+6cJMquEF7NYkUa6c/wNskbWEc2nDCTF4HaD6wfwEJ37xUxNcTBmA6MrW29NupN/MECOeRawE gZUrTiwi5Ga8uPt+if5wP4yqy+OEXYrx4D1piMYNqNFgYDMhiqoMQ2m2tNgIV8Cb09uZRSoKgzUl lhxPzLV1qHDeJrWfaLeggLoMaq3bV74Gpxi5Ih3pMe16EUIC9r7IYCq4VE9faShjwRAvz+5gNg8e 7BzNmvrmbN2k+ws/VJpqalpKf1ZFUbyE8YRuVWqPcGQdatQm8PWpPKdLL2ZXEQN9sGjXtue8GgI3 xYJcnYcHRot96egSbqlFi+eW5mBxS72q+HrwE1IR02HV2q/U/rd2sJhI7Lz2KRkK+Lq3LBIFJogc DVK8beQu3xnQGMDCOAgYPbTUTEe2q/Kk2SIWi8XvKLMJB20o4HNXS1QzuB2mTBmz4pxDxoZsMShA x4REKok8tBGyc9l5JIjBu+KEuHouYGs+0NbTOTA0HxX5z9tWrRX1R8n2bhruW+eENh8yX3nYKVLl cXzgzAuVNoTUUy9EIhE/XDy8zY3XKDQvZGL5w9fR4OL4OSwwsLO5yxp5FLPyeAuxeraSniOdaqza zWAlQ0xndBAJh/mupJPAan8WaBrHaVtaLEk1QqPR3zVp1uQ07HS8ippUIUmdqqaLudLta1m3YFU9 r+Bg1nre/+b2XmtB33qB2xjlcEkZVhz483Vpv1iq6A7222G+m1UntDhN8P8v+xLc/+FU0hfMffK5 Yuk0X8cShHUc37wwREMama7bw59/fp6E0Euk/gUwvuxB5NUn22uqcymKyamwfsBcUzQRhWO6YdL8 m1iZm1KpRwASU8AeTGTDVAq8iMgKIUDeoD+ImLlmACbiVPNSnrzv4UqVFhWF9Slzpg/IhQg3/sO+ uzQhxLPO+jmt+uLy0M9O3kZxmBzcLKfzR97QOLXUhiyG7WzEqBJR2Jn/f2qeMUn+0jH2ndXtXzUl Ig50yqDm40PnS2/ORunpWz2dtYQF49w2QKYWFfU3+fRPaXCXSz0nzBvsxXrdQOL0dRk2OI6seGlj KKFlusx1GTE3qqbxPjibCLcyPFAn8suoFf7ldJ/9DBa9b4opOnqUY6SzYWko0lL7GW+zQSfjt+pR RfctPjrhPoopxr6s6qpT+a0sPMtIAE0kjPtX6iJNoPhZzODFf5oKPuM2FqEdnP4v//ZftONAcWVk yQoR8HfJTuUhvA1rVG9ijAq1NcmXBJUhOvFxZrVH4JqxPAIqxkIC+QEd0s/joZcMNGaIbyN8t1gN TVWExB156OhMearkoY8zJahi5IASshGRSdReWy0FRXoufLdanaVeIokATr9ikFRUFRPRrkJmekKA KIMAz1CGhmpchWtk7AYKYP6/r9x+wZSyxtd+WD3kHknZ8k5yvrEKYnQLGgmG0IL6QcKzXyjLoEuQ GDdN5TE/tBAT4sY380qk/P2D4DoKLDWXpZK7Y3hjnt/sbyTBZ79QGjo0JybkIDxGmZp+RaPKzZho 1syiZEQhVG3ocZp5EYAr1A0f8BvsEYGw2eAvhkggDOd2ner/H2UQb2S0vvZjI4EmdtJ8vAaX5TAr LpBHSEor91Pksp0FvbvBC6nvsmfF/jXRrYi2nZstgLjm6AsWY3LOt41NXrNIjlSGwz87GjJg/yfD VcpUuPpPjgL47UahFdYmv+nQil82VqSO89HLTcRCYCl7Pe2hVdfJAACqHhvb50rYcjpfheBVQ+Y1 TA7MNtt3QvPUszzV0G+LXOUuJ9xByABq4sHHNPpQmxuxEx6Z+M+N2X9WaqUdE3gDzzGizas7MRHj RAfFY87RDL36A0qki+wbfhgUnpEoska51mNr4AD7A//v0pJ/E1A5A6WGENYGloekCYhUqDrXpI92 qyFu8GJZ4PGpDLZAZNKVCHvyKMT8TFKZWQff9CVIJA+9fr2soDUAHqReOh/HEf1nRXm5vpoP1HUR BD7fUgb5rPmHyspz0/uGoZubtFD718b3fm8eAs+ZDT/uFYUS5awdWlPsnLT8tBwmEgL72IC4nEYB v+lyti9JXp+dqSw94BdSLVJ68SovMEMZHIaV0uFQAUUHt085qun/QyJbhl7j2jb+quB/mEywTJ6g 4uV7VrTJF3ZUzWHwFT74tPWSaWqXFDjZxtyNoQwIvcOWgQWR8W2parFX5QAkYbvtzm6xhZ15zTOm FWX7NCtUt/qg/Nr+bXgJPrWo51bbTjBBVjMD4gVrGf0IrSoPoN69wfCzU/2+nxJYJvZy8UoWLrm+ GogQgafXBNXp+eW/QbZbMFzzIsH8lllo7chrX2G4eAr6ccdNGWdMkEep964R6sWhvieYJ4DZIUUd 1zpqxP4T2HfZ9ugjG888EGBIZg3w/eAv/aPi1Xv3sIUnipCupOZ6DdPwRQeLZ0TmTWey5kvA7R/D 25CC7Mns16ORYsW8/nGFx4XkNiH00HBv1wHSE5eIK6INm8ci2dCUeLFuhBAMe47HRh9VtU/0rVzG dXBfyv//LRQQBu8OwGQf1IO8iIIsbg3Gz/1lyMGrmN3lR1sYgsd1Gs8rwipks2qa7sJ1peQcuR8Q FmgqbOeanl5lkas9ooK3LZ2KPY0eIBWtq6cngwjzXgwefYRX1Vg+sAVY47+zFp9XjPdRfaz4tHM6 3vBA2sot+4x7rGZcm3NLQRfEVpq5N9XLctmK5kgSmCMe6MDwi7fXlFlPYQcd3vpMxjqCaxKkmUA6 MtkCflolCjTTVNibcqCuVds1wXyTEu/j1m3A9fKFOwDOMCqAtzqi5HLboP9Rn/ZQQ1+kNhNYcfn9 J57cb0hpwQnQqNB6BbhnBImNIxagvsC5AyP4mBOcFBUtb0fy+Xlv1tnqLBhiP7yfbrYk+uvgkme3 LDBByH7Ig9/NlmLbxkjqWoSNlECvj7LZMPdXdYtGMvD2uLl+1oOK5/muLDRXiRT4DpYFh6XkznSy Afps0qw4GfpfJRSVCnl5BwU5/tyY1Ixj4GuzOYhlLonYAccSUCVS7u3Foq9NQ35z/YZK4nzky7Sl AI66DRrf5U+goGfqO6ymXpKNvSIm0r7yB5doJatcoFzQXuyJknL8jd4E6pVtQgHK5DYTnOke34VF JC4UkBg1xENx9czt2M5lykSLqGJBXN4pOK/PHQNcj7ygS5Ex5ytOI7X9O2v0MLOffb+ZqvU7nh39 yRahD15GvTSDe3+G6T9oswfBcvTmOTI1pLLLP1TVwwSQSZmbbnaC9MKzg20GkxLfEO3kh/1e0oxM f/xY8cvNN3dMoAwM7U4gFNvIvBqQFl0/3AWiL6Eekqj3+WOIO+2S68wRGNMgooO0OrogoV+Xq/Ta n+rDQISAHBbUNW2AzGrSM8yKRDl2SshIz8hVn6h9O3FwzJbuPc0D6JFsM05m9EuKsdpkLxYtQr7B 9XlCHWOBbh7bSjp32dk4GoNIJ+gwzNt9s0xxv3VwLrHJebnTc6dJKTv2EX+WEozD8YkfJhbFUivk wXnVhe4fTz1kQ2q4F9kqBo5q5A/x3kfjXZMnW9fj1hkYXIR2dN2tl1Es9v44UVgAuv0G72jL6NKq 481S+cD+NUvcGItVpp6BVQStDVs2NioCKUNG8ATujP/qHRJ05tc+jSw208f+VPGXQFbsjRnkW7TD lQC34RI9uEc/0g3iVRMSTTySKUboT1e0NmYwpIsBCAoVgGz9xqQPBaz5LAgmaXQCneHUqqvDDsBW QBNxcDKpPVh3AbQB7woRz/70djGKXsfggDD9Qhn26xCOGuFHSuDcMJcUSDGCXdQZFx5F0g7Y8EzN xecKsbTnG9Yr9gCtLWqmhXOnP7gOLQsG5NluEm6AS7rzlDnXTcE3NFy/N7IX6Plo2v6TTONmGP9A zMA2+K7T7KGFYX0m8lUqU0HnmnnpNwvqpDI3ENQiaykaz1cgzFnos4Z9P0ikwNLWtUuqsDEf9FDY aaY7YBUQr9ggUGpyGU5IVRUPsRcxXOpx4rYpmCuXFSffFWVbytQ53PkYKsgrxHhgk4Toh6U8UXAS AP2x/t6tOqj8CX0Q2uskkzjes8EtBkyXsNzmW1ScM9vN5DG6FdLrTY9ErrJ3VWsN8RRMpgOFLJWL UfUbJnAgzwQeDLKQm9CKEjZJC+NKdRmd9Dzi5PqMykgcFloXXOtZLGUIK+AxblaZiBnWlAtB9cXD WIXqxufeL+WvK302F+FO6/JoFnjcVtcNkulYyC3UoUycRM2Aygo2zF1Jwcv7a3siL6BMA/pWZoqo +SuT78YUw2DxWOEfpbfc7Cof4ontrr/YQuzyhZvaEWb8Wx7kjqGJnsnHH54ohEoqiuWIkxqmyEaV DwsUUJq+eRdzRXipfWJr05BDvFB6f7XFJAJvXlbmDuXcsrCI39WtF/EMez+itXpNNWpwpl/C8Dwa /m42Bkp1E1XcaVfj8HjMEcld4PIHRSIL+EAhLgujRa7eE6JizhJ2qb6hGfPOiy78DUYYDNbsAJnB OweKdjEqr6cAhJylR5J2dW7ZZrh9EHIaiQsKcMKyC1/dhWJwVtcgcVHTkB6OGR4Cpl7uv4xSkXfB xImGtgIKIRgdty+Sl4vxXlqGamF1ANyRMLlDFZYraDhh4nr54FeWZpMMdaPUrvkcGsXzUMjpHydy ntzZWn6soPvTskDML+FdhU6B/4rrVPCub0PNYgVvPIXoFV6O0AQlzZjUgBm9tduRvg9cMX50NRhr xxdGWH8tbJYev8WUFnJQ3u5xYKTMdKHwLjxtgAT7TUAbSoqyK2rUjUSisNrP1Ee1+BtO9hH2fCjg qW3bBrL62xpSrPtnycelxhGLn5nfWekJ7/XzmQyeYybgPvMHqS7iqd1XC51peZ1UgCLMe57Cd1aU GA510PRx9ke2nasvTrMxgo0syECFyGyoC/od86rEHXKfv7tQraZTg1nm6cWRLeaDG0WYMgJoV3dP itxt5PNpDA+YEdeLgo2DzG8a24jCJvABYphJf9oJfZP+ZvIEv5M3LD9lA2dkDw972FB4Xbo97s3C jyHGQeXx+JkAKolLI143jLktRk8uTY075DB91dbM1fQQALTswfH95rm8BlcQcG5Cln7sTGC0XCgm hWSBlu/FEY2YFkD67AjNdWfLY2/TdTbzgFdmYGrN4CLbIiEXKW3cSj+8Fa06TgizOjRASPVxLDKy XqfeDVYz7+ED3bmdbMjTwL9CiwD41lEHM3JvdRk0CWH6Vtpxq2iBj9jqnvwFggH5YhXghwjBYtzL as8D+lNvVCGCqRkxYaZROO5FMS9WnptRNdtN7qxJFe6Q/s8Qz/RtWW8SN1oSwlcFs31fbcpuXn4k XGNx/7EdH1zG7b8OhilJH0f9/7WmLQ8CM5oflrjpIEO6ZyBUqoSz+rrlBiFloR85BKEEQDoZHnVj DG8T1S/BpIKopPz1r1SJrSg3mgqTfltw1TpClswDp21D4VYSs9POmitccLZJjpS3TjxFVu7MdGyY OJqfE3RKgCngpruC/bLEAUjILxPW718WJj5SG5J2EvTKyqk/8w1cVBuT8aAJ0R7FNFY+yNoUIKdb yk5tSWYnYBW+mbFTeRn0B9ZJAG3H5Ao1i79Wxn+29EdYwB9kNrEfhR0z8louhtGKafJKztJGd8Ve liRmzMvrkAYsdOUQOPrIg9S2pjh/qPzUzMZjuT0yy8xE75Op9Vd6GcTpunWqKlvcSxQnI8Md5V6y hJHgIUlSbInGkJNEPozUvlR3Ftn5OJukJdm4kF4RvLD9LmS1mJ7TpNsmV79JDKwQKnyLEIAd/IE5 1/VAdDtXBjr0nYP4Rgq2Bjx7mMWwQy9vVwPugZsh2+Roq/qExs+38LbVTw3z/kVWTmU416eirR61 yIINnUlymFzvGuLBDVdJLI1daQGjkDejWswV2wn6M6Y6dXDEHyFKEiylTMnPnDiYLxpJvtYaPJNP moQPj/MSrj4T6JN5fyKGt7jlrDbMBQmWWz1QuG/yas8KPirK79H+8jnxzWYog8vfLFnVb6zIY3yK uJA75VmJ6gR3Be783oCosietYR1dvtFXqGb20XMjVEHV9+qIQSToiJGZJxsgUKmYC5168+pfzhXW uxsHGrrHIJln8VnLxdBOBb5lZztl4lm9gYwMUhKmQg7fhfacepoMpLSEZ3yjrHZ0s9Q9eoXhbdd0 ZC0Lo/U46OEiiilTXb0T5UWL5TG/ol+o9ibUl707AYLPSku3t5RNhjx25vqITL8ZP+cEg27QBEVW FwN9XlJ+dTz85nY27s5GKPEmPI0j7wg9maXUilQyvyAJngEk0kDytmJVe4YtQb2NIR3Szq8mrP4Q MAeB0RoONAHOE6Z84KSE4LE+zSwKVpYDeBINAW3Bu6C1ahqIBhw0WYpquYxSw9knMIPfe2KJLDsY 5wfda9IyMVemqEz4xsDclS3apB1d5BwSJADMkFmtmGIoVZqL+FK1OQG0rBvhYDgs0V474q9FM4DM ARzTCZACbPQ9nBTKwR7IuXLu55KFbdEWqLmcWo8Lli02pmJrb6pfMhlA6a2+fDhuM1h5YZqirQjb rJAQKUlCvHuFagWdhKhAMF6zuxOQCSQ413/IkTq8CeoAQqvVSu9YHym2EA9/Iv7A6lnMD5YkU86z GgC68C4iBfdI/R+KrsGwRBrDI/4s0NyJ0pZn6uE/Nr4/BqN9KhS0ekOTnzw5N2ziUxdax1tGRKke uW4H3EE+usqbulRg9JxyEduudCmAHx4WzTAhn8oPC2bR9zCax/eft9St0uz0NOCrc70JOKzhy6Xu V2GGDs9HSopTciZ6xYlpB5NgCUdBCz/YSlqW6Rws1ZMcKJDo0TOIQ3RqXHMe9owmYqccM6XM3a6h GVnwzAYWTNou2gpPmBwVVn4f41qS2F8chY1tY0zFM4xic5qC+JKWHrB9gc49Jt/gQ0E1cQu/02pg l0TOLolYzqfj3ZCNe2ejiL9ah3nbABpZPQtI9QfwoOj/+rrN2eGdJ0H+E73eyj1j8990bkaNumE1 +qEbdNMd1Q/+n266HWGDP0eS71kJa5Rx7lR/7QoMJU9/iqwvSNEnBnAycaei4brsRYvWZnKhTDBz An1n8yHiYJtVGIc/3lWIM5DwLdM24LUSinJTvGxbfCaNpnmxiSI8O83/YwBud/UrNPY1RnnjUfvZ tc/sFC7V/wOlvI6DkJtlWYwDqoB5CBjx5E/yh3ZgUtZIMbbI4NNQIdAwf6UkuWd1RnJXFhQjNlEI M5LaDdvLMGXC4HGvW86gXpccdNKN2grGnaqIszIdd4s5xRu2U6vPiYcwwZo5DAw4KYqUZecqzQIp yj9km1MBtj4+qsuDg/Ef8CfOP44S2bSC7woIBGZgLYsY1yxxwGSS99fXQAreep8x7AtCHisgx6zJ nSMNyYsKkspuwYU0Wb0vJcYpLFO0IzcXepJ0cMGz3MAic34prjE/mMc/H1RO4Feg0MzEr8r6ufTp HI1YQc2abyAPu6c1uijk7/abJn2z2IX+U9/zTQFmRHhomPM6i9OeWQ5KzBTwIjlM/CpIIojvmZ78 nLZ1I7hGmNKYFI1k5cxGqoJOi20NYvQG+xDw7BCYhNMZ+/YaMDFD+qsvoQqsAlb2q0V/kTZ1A+oM Wy9wC++cjV2fgE8lMa0mdtotJJtvi0Coq5ugLmnYj4pM1T6rtrJM2SnHY+v/1KHGb7tKxkgwvjTd OkXjlWgQYjntROjdm/hZr5J9VEjpv6dcaAzlYoEUTXpTz0z4VoKdI4KLF7r0UcxKyJfy2PPWXz5r JP0FfSp5QbeeLc+mJzQ2xbzdjjUCdFbFQ0ff9XP1aEtOjnc0SLqbIZeoNQKkFJAe+FxH47P6WLVQ RBlh1+nxu9UFC6eFYoJaf6MZlS7v8q4pnLX6o+AtZgugcKi0TjBPGFAIllYlEioTJCzjizPi2TUV T9OAVK9wxUacaO5AVteWGDNEwQ2GvIZBLGSYRzqbsb6NekQLdl9TWlESIq9b5g6Q2tH+RZOlSH/A ognYMOe101ldCq9S5+ezbftvt+VHfRSF4N8aG5/hObBEpqYvskDDRMC5E9CF3vdy72+1/cMrwqoj A7Zv6yuduB6awoy2FmqVTlAdKH1k315HP3npP81g5O37QcBwTiHbOIzBAHF7qLF7ZIlqRnw3BaHb QBi+foGSV46WjO+WmskSO/u/KT2dHhunm8ZNE1RXJ+rdDm8yw29saMM7iahf4UMcHE/zYNgDbfwF HZQ8m3dORQaVTlMBGDOu3VCWTHWXSTPSP4LCWrqM86Xzd+RRhujrXpCm4Wlz6ArTZ64OpqlQYf2H RYLcjU6AcDMuPd3NHDsKY+11QAgyDlNvyjpVeyp/Q2KS36EcR44IwFpgpRO1uWqiUTwlsjOimAOX L2UcZCddwtz5aEYHtvMVETh58QB4G5GjkVqBqFUXXRLZeD6FH/A4kT68t/NcGcm2p+S7tNw6yMzb luh1y2kF7Lhi0MbMjkbBlEMAOxb/wZLVnUpK1Jey/IoMSnP9RcshtgeiBNHTu/NgHk/ESMjdOpkz A/EcDxGfYlX9fWR0IvSrckaVa3IWn1/7Hvg0uoXzmEZ7ZciV6saaeoOY8VhOO+qg/0rYsk8AgVr7 PzIWIRUVB/1BqPc9NEDfwf/pWdQKJN9HHigQaCIKkOxWiiN7O5Bz2P3449LN/w8Xzfz0rGFE+/du 1GqHNmIiLtks+DK0U5fkgtRvwatF9shnZIIfMRn8i1+/VUkIB09iu482wlkOp0Gy1NUvuV6hFua4 qxbkQoOHaesvnhs8OQ4KyokqzXHR3xhGPBRG9j+mJlpSVxrJGB4l/YyGywNmrPQIAIl48cRpek9X TYn7dFgut4LNOjIHPs3bdA/nlerVcc9ZCUm57za4En4Vidqe6gsZZqNvdgaQ71rBgi5yJKQzsxcD wEFsgfaSAUBIZJ296m1RGi7NIEs1uKah9nfDdeZzDsEGVcfex6SYzibGdyeNVceW+Pm00nNYDUdG iWvKgwpdWJZpuqgTOnzdiWjNrVS3RX3gcbO40bKwWhzK7SHBzQEuozaO/YAJtWQ4Zh+K1m5aD06H pgVOJ7y6GCu2V5ErbfF7CPPDmSNmgCCfLb5mgEYYsf/YEazDpc5ZeRh2TqhWhS0wtAOs74wSNeA2 3NyuklveJshBWCJYmxGYIxMxyluDYpY1YA1hwBflnR0uM9W6VJAvAK4HSwlfPCohOunVChYQEVF0 e2ws+E3FXuqI9Oh72tzZE0Ip2xG3eH+niZw1Tz5H1NLucm2RKGzBf3cF32+x33KJHqGw9JiCZS1P 0sHOaDhWsIcCXTxa2+Ide8KC2xSqOuAF+eOy9ZapM2KcQA6XyQBAW/xrt0HAamRbwskaKuI8Lt8s SxJy+32lJZqiLdGV2bW5SIUOP+OZcuHYn9ANPltJcOTDP2DV9buZcgF79hAxzp+hENCYXS/WG/MH WAhj1PKQDSx/p2kCGoyAzMh/+uF29MRIUDYI5FFKDbLf5+R8dCYFEqq/csyViNQnJV1n1SYloOTo Gx7Zi9nPT9KgWk72JcRAYPFxgiZhpifk88gqGiKt8Uds5EvHnP4rW64Rw4QundWhToBmXDqVsyD5 KVktySRiaNDY3vpmzY3bZrTRr5nTvasEKpZUGwZsHGuBf4MCISa3hTweeSShVbB9BdCoI41OulLl GKpJnb5BU5Fa50LvzqlbZjo4cDOztAm86kyg2JJI+AZD50N4Suy1GtMkq1DnUdd7y3QaBi85ln5Z IN7fnLGwHIP73OXS4YTn6v/BgEXg/yzJn0eCC09D9ZIxZif5uusy2PvS3pHpW8LY9AVjx7coYWbM eSONJRnXcseiFIyiNkQpo/9eUC+nPZUOydcclxYCL4OKzf7lWr+yXN+o15CUCBkUNzP7Um0+eglB 5eMGW6w6/lffIn25w/Y8WlV7t5XKmwgNQPLO9xOkTMdIiI6wWLuPurY0D0ezLV9dr6IhYDAq8N1F iqGvgrM9ofbx3ju+ZKtWVUDl3Y//BjiiT+NTohja8gbfGACEgwu8HuJQL1jhKVBRqE6nXXDTY8JR wyFjQ8/9RyTVFenTAljsQZa2e+t4R9f62NwiaGnUOubN7XbS6WnSTcdlJLMoxtUSQqxkspLn1yTH X6u/DEAl5OnQYLSYM5/NifNi+C4UD61VDcn5wexr3iwUI5R5dMHW+oUt1tUUK4tfHWWUMa4gVohl Jmhh1mlVBzjCHMHYHmsJqqTxqtLYp2aOwfIbVN3xHK4GuABlv8K8GPCJlTFhGw9A7QSUti67CZL2 N/szlW/jOYZL1VQqgIp+jKusDdcTZxa7ZgO9qgCmgxrlNO/LY3g0QWBVQR11QKfiZjJsVHmuJHlp KbU4Y7DNe2PwoxQs61JaYITMsWzpkNtpzSuFdkfS6UjgIphykP0f79fG+4bB4FXCLOJb13kn0/u1 vWjDDBmpMqHSfZNu1LUQn9hEjl+2eSh0TCu2C2ul4r+Dby7zwhlNdR09BGZLnRFSTCnU+9n0EHGX nWG88soPeJqsdRo2ymQfaWoGT+8bOpjc4xX4LAVeyjneeABI57s2aeur0mkxcbZj2Mwowps0hjq5 nv5f07BpHU9X73u6G4s3gZ1dY1d6n8MwjWOCvyFM/cSf3WgMN5LbZ05IK6+AfW3UeGHoZuWgQIOV dld4a7yl8QCD3Ni891icNXcpt2sMS0w77SJJ3/8yGrETsczXfRyZmd9pROBHFsKV8OLRYXPbUXsC r74CbSwq3eykgNmisZw0QVeLPEOoMOaY+MbLmPqW5VT/EY9zz6bs/o9/JymhYqHlysY7IsQqKlZw QbtBsXiF9+jjySJO+zM+gZOVlNU2BlhF3ybPjrXYr9FxD9XmVnD9d8bQVu1vT4ACH1JLhm22HTRH MoWg4bflpgFKfdV4vNcQ6d/1eOcaFtYYuk2ftrrl1yiE9Xp5qfK/fqSe3wYv+BQ0Pb1m9vVWL6iR FwGs5HjicL7a3bUdBF29BHb4GaUmKH+caIcJCpvjY0vXuP21sUWwrNPa04UOtj7eiSGCE0K+1R6S fO2wx+1w3u8q0Hhc3KGaQz6E1rcSpMcG2HRmu23h/2Oz4EPLlgK9XleY42Zc/uUSWi/vo6GPsd2J stO1PiAULWrksFz4XI1TzH5TD5r+go0qDf+i3i3cpR3NABAkaGG2FDJ5Zeq0Omwm63SMM2bepmgr 7QzTbAtIQ2dmj/zcoLTHn7ACye211S4iaHzZbcx2TX5YaPuymkRsO262vQ3qv/JBEXyimtxyhjOu 9k59ahggm/5fS6k/fkQlFT5bowvC/KM3wHbSvH1wcryR4evcaEnm4C2PMEs2Tej/KloQmlc0UT/a dVg0+qsIQjLRioBHy9o7tHKvKw0WvF45gusoPTEYvZNLls1UzFgqwSx9bu3uFoem7C27xNXKFzbb RycCmAeCBpeWukDxRPK9UNBlalSedAmtvle81wP3fcdnt4d0+GLFgx9CPXWwP4CoP8jmg78OGONQ XTh8JmIMr5UuggktJcfBZWWtLkdiLLvpeC3Wo8WZv8UcSK/qykqeSgokNYGF4Rps+jJfS6SS+tuv 2IdsO01tE7eQMoTiJUvDzVt+862u+Bop3wQa1IvDyntFoiq8TcHre7nJZ845cRvaLLu/3sClZOWa +Sq7M7rCR5J5fHei4W1PYLSWJsMi2vJZ6i8bfTFNaSsCIqa7xeAxcFGgiRqme+z5mMZvYUndm9YS zad2m3r6L0XkA9bRc+KXVai/yZKGTWsgKIHDF0GZ0FAammOea4vDMy4T4Vkr+ik5whMRPnGjvQ46 /pcZWwHGfMJb7+BpU4TGwAO2xZYixm3wqCZ4kRaAIdUB5Ql15KM9rRSLftI0KEfoBR6g8zkTOcN0 ymSj3TDq6cKGwC3+Thss8A46GnthGyx+P/Di2sqJlqUoJpwaumJjUZ7yQlNCUaAw3NXRqCksFkD9 F7cfNOWL/sS+/nyCgJALcyYPnbWMZzsVdr+z84zXjtonYWkXTSlIfsUn9YC/xMo2PlZUq90HvhlO xlTI2n1rFbpYr5pOgHpsfZPnMXnlsrerC8hFi+JBnR+Ek+q//y9sFkSJZZDmTIj0Itwcr3cJ/vi3 xDf4SoWowTlt1UnG4JvKylax/CjzkFg0wUh2TsXFRnxqu9shyNxYfA6gn70mOBuI0LkeIxGqAbA5 cf7K2F5XHMba7T2hJxaCSV1lot1YzMygm+Gn0Xzpzd6GoV4+lLQuVWYzXKGsiet94In5d3D4jJMa 2UhvB1g7qX/DkF+BvwZjwwTWDCrcOvRnpMe0jV3LNn9DYYDTFWvWoqph+thgijqwttwz3Cd5TcUX 1ZIsPCyufn2ITWICpaP+U5wTKoKkxsmo3na4QQDmrt26iMzqfoFFF+UXeDTkekEBkilfQOJ1eeTh sfnWqFHYdFgs8m1FR6pIyZbTQ7J9VqzsBzLyVS7+oqTKu4G8O004elme5JoVS6JCmFyW1oxZXvBC SJw5fC4hdXLm0RgumhnjvphhFvzLUTEQ94J5xjmlD+dDaF76soqET+bFtkbY/zhMM6a2P8QVzqAO 1iG4QDp7UO3zKAJJ3NDNbJ1rqVgFQM307xWNz0udOAtq3uxf0s8eWAmUAWDrs2JSW+gQpVwWB4js 014iRvgaMmrWbDfLfBwlnj+lyOPujppaXQ12DeRLdHt0+CJTqpXxqMzScJmbBzkp5JfcNRDVmXRM w2SMwI+rCc29UmuB+ZsNL0jsDL/9vUZ5JbyWzxkzyHKusK30p4MAcZhSXm5Kn7rOB1R3NW3GGuqJ nvCDpzYqidREPC8YyhWr2wyt6r77+48005Pkr2ccZbwSIirke23JvgHH7MknplXvhnf07cViu9Jk 33lbcfjtA24r9A5rW7QS3l97HRtjpCJkHS9ouQInc6wTMahj3SzNZsuATNsaDfqW5M2w+s3wQnvf NP0LsYSPFFc9NaOIqcmDYEiUNQ77TLTYtCfoZDP6wcsc2XLiUt3HRYMa2nT3U7zzESogWDPweEbU rnhb2Q7DoqdAyv68/014GxIC5cX1RqzbWkPqY6lQMRq/bfvQPH3jzHH9PSszc9RKZirJZIn+c2/e nT4TxtUGWKh1CvoR8ZuC/+xJawes2wuyWNzYOTxfOV95uq84LoS4XSRR5Bm4vwDovsM+FFJPJRVK UmtmKdok2tMuZxBMNdtIYhlaivqyga/ykeZI4/PGh1/D3Xd/J1o8/d9/GftpStLduN+lrM6mxxv7 t5a/7/5Ci9iIqIumjhh+bZEmD+ZG4V3vc7rS+RbxIkY9GKGD2HoxaGNX2W6H3KCNQiEp6W76Gj74 Ln8y2EXfdTE0SQuIyb9xVoqr8CLtRi3t+UKO0X+70l2OIaOWpTBPDxQ3JrWksyQBRtQh7VSI8FPM ZhZsHivjnWVTcJk0hyJ6vKVLdN7dY7rMmcxI1OaFEDjwMKUs1jOstGKcWxicKNk30aFy3dfLo/pT XGxl61LNZpj1P2RqqdxgZMmLU2uyHGVQcUmc9hgwNzmt5lBhQL3wg72ZgUe25WbseLTaVq/l2MaE c8bxvUBo7veCJqBwmUQfvq5PgHXt1X45sB0utXDbPAf4n2D4VoAxdnkqQa9W6VgEvSgUZMgvdB4l 4xCzA29dfqxrq6L5yV8nbSKFoCuYtS3WZiDqD2s9ahESIHj4yVgnXfnafr7Z/4f0+Rte7MLBiCd1 iS4YSctZ7B739K2tW8sawF8onKdUwoipHeSNfOtiw+FK8uaGfIq4jiuvEIV3JpbemkLGyglGkBXn xLPVTKmX4fErIuUH+zBKGqwfOXD9Hcb363JBmAowvZ+tr7bWcdxoJaVPC+D+2aulDrkmtksExqzC 2KsWMUv3pgagEXwm2oxPjSrvoSI5EmTvVMmfqjDec77oQGrcpfcnhBmpiIbEhpTtBi7PdHbJF0TJ WV5+f+waRL6L+HWP3JnqTLggywKdYd3N/Kwis0B+XPqnIQN3GJBW9b40rz1bKTumrtu0TDTI0Y67 9gxsECemCXXuplkFU3IuwmdSHwoAhtZYkwGCANAYQlWw2Xa1SiuITt7UDA/8f29W3JAvPovlxG48 TYPsjPXBLW4hrkgYYNFxbKV9HD4IwethZ0kGrnrtdWF7hNkbk+6oRKjzLdf58cZ6m5k5SyMBeTHH VytarXV1EDCXkXldQlp5d6Jbc4IHozEEvrl4w+VfhNRynh9cv8uwrFXuX+ns57Xjvoi5KQpRdGba nFQu3UKu/ZtMDZgFXKsO6oNOkMVsXMuP5fGDWJwEC3EhLlITUluAXpvAj6pLo9VCqCXvO1Fwahq3 3S4j5D8xxXSVlouDbVrHKe2Fi11a8+6m1TlFF80RVVxxkRCNb3gE1BLZmEG4i2MQlyidTIqx4/hz B41tcr86B/UOjFzhhnBZFUSFxb9DZ+JGNQu99MylP8Lu25r7H2n6dLTSJ3UMhvKJ3bsqjMNYS/XV Aq7nuXg1BZXVlyO2zCH80413c8NRiBNfMTLKky51/krXE2WjqchZ/vYWX3nPTgVHOPRJDKz29HOU +bQ+/IM1DOMkK1HT1ns8vILtFCcUffodNULhiZ/xiILqqmmtvUTZmmNdOTnqf53kLiGRrIGA/6xc EvlGF2ReTstUSh4FZ4IuWZGuqCr0bXdrnUZOkkXLbt9NHJxSLmcpZIDjmbpn7UmwSDLuG4qRyWHz Gz28ciYysgpaWpxiWQ/fqauv7D0cxMPVs1Aq0Y1rn2HhlTpq0PKecBU016uf3dQv+IGLkHU4uE0V Q+i0tMZuBAaI+7267QFHisLNUGVvFvbnxnn25337PvLITgiJ4GwibJ4F3WXpMjcY7ktShd2SL5wJ cUxkM3PJj3gnNz1rangK+gawhbcMgcsjIW610Q8A95Wjlol+N0EVBbB8IWtKgPde35XBRtAI/4YL UgRI++LbH6xiAss/d66zP66RkvgOcqyyEM4kZW5pBrTt7hr9fFnJlhzX6S4vbi8zBpKojRlklW8a ZyFVnhw9V4UANd8UmOV26d4zbEZe1eSHZ8qkpsCRn2ei2nqY14OL/5lq7QzqpXmRp4EqC6SWPLi9 X2yFGbgqgVZL/n9avFuGlS0wHTHe+Hb9pUgDRpVE56FlHbWsls2bLptG2zJIj6hQLge+af4InFmU xx5OjPY49KV97/kQfGZga8HSgmmUnMv3u3jGa61z6nhE5KPIqEBTc7qEcZzZSgeePuwKg4DrWwKx +kSPdZ/Q9IRey2SZKgFqwjLerCwr53PAqlRkuv4ieZqiSGnpCKdcOmct7ItgswcRIl5RaSBDSx1N R1uljy6XolL6SxuoTbGZTbjeiU9JCRNpCt8v7EyPzKi17MGTykTZQZpkfm8HDfpkf2V1u6q8jBMb g8gk5GLEe+taMf50eIsKIrzjSKqREiIYgQiqz4AUonwy7HzbKJoAygCEeEQVq5i7el73bN4iGuV2 xUrzu5ES3Zi5uy5OO+ZDSQoRN31d2n+xQzYmB8DHPzY5vdfUOegi88W1ptfz6R6VuUKXWhOFLOAX b07gqSfOUJXUCXtdHZjM/hLmHZQs1yhn4Hep08XuXyeiweHoTyfmtBCCjr2Lz2X1fYi3O3dj58Zn uGYiejYEKvjiJwbwuD7yhwH27gRzUjD/AZgY85mgec+ACNJDlQN91IirSOikVkSr1WJVhtXAndBF aas3uBWRt8R2+1TuZFGxJjHxveTzfyVVShmAzER/N6y0YU0zykMEvUjVrTv+41p6PTaRaL3aw5BY tpmpLLkQz3Z678+Np1c60At5X6BKt5CVvN6Z+A3ovVARPWknaQBLG1SGr6HQddtnCPwaog90j3Ua wiabG/RWT4KcpGciOZfJPRN6aXkijPJajXZ+bRuTen+qyBKRaHEKvsQF+8hNUql8MpGsTrVl8UUw hV4g8Q2C88q9b5vc9Za8ObR3wHAxujjxJ+ycsz5CY3UwqCEaRCCLE4ZP0ZRK7vIay0WOsJ1y3cxc tCmKxRKqAQYjqV6JJ5ZLDV77U5xPyMTjQP4E/RFYj0ndKDxsQxPOvFXL6rOpT0soRIvOihk4Ocy9 zeM9N97lNcgflOV0tuL3wHXCI43zhtE+jCCvTjbg8oSVgVrvTdtJNgXcGV62rRs/bFYcR4CgrNw2 flcMWsQ0KRIJZtI9yZzwzu6JGwG59Nck9eu0rYq50OoMNGZZ0OSgQz4mtIXasZdtfwcY2gz5zpRy HFb7FE12wATagoievgxcl8MFeLYnwoiNG2XPKNZMPph2Ra4qF8CP9xLmNuZZhWHgtVhpNqcmpcpi +zEkMZHzICK2WEvu7X/LNR/keI78sdGg98X+1dOchwQa0//SHuODmyF0sHMzge7R6IaDUB+zijI0 0673HT4DvnwHnvd+tqYRExysGVh+HDfA+858xTFQZIPj3E7lKH7RXVQlZSchFjio8Cu+qs46UeBY lwrE9msrhTojsBqx8jyN2Ztb8znHbOgunMlZksA3lRNFSJ4OOgQ0xl9VGlL0BfXO/vMZNVXbk+XR 0FrCj/YU/GUaYwRdvdG04+3ncgHFaP01GC3/rgCCYCftmVJAhiZOcIGkbxOHAJlDCkhZkTto02/M IBWWskdNjI0EchXngDH5xjimPeXRCrQqkfVc2FTbxgiqwIX2TQ9XT7lBooIqoq9wXQd0KvpCTZba rF0CuBdTZRriDa7L4VfQA0GYPYhpukOWw2a55m3Q38xc+PDqOFjZvrGyTabJ3J1V9zk4rrhboFo8 YK68ib/FV2N8cYr2HPSHRMHZGEYUFZLG2WI3Pfyl+ioPM/JyRU+Zxd/e7Cl0p1do7cZTa3PBSvGj VGSI8YRXkMkvQ3bnyEcApIFuCcjqJ7drXnpXNTbJxZhY5jd80w7kA0fEWt31BE+bzaV8PRnMJ4XS wmnPaxlNab7xrrEN0HY7obbmyxIN5lmtvPDrZOyOKxntH7ixb7D3lezCwQLcIRBMTm9ajzGhBfjY 6Q7MTcEF9kjImK5+TPCTEaqlQuzeKS+oV869UHHVi2cbZdZ5GbMs6dSRz37eonx6DBlZX91TN/xR n+XF2BIREUhL/ZDXL65CtJ8XbKze16QHB9FPf41npEYMppFDREsrtG+EuXVAE5KeQVVKlVCAV17p iCHR75bJNyHFx+Gxfe9QsS7awhNqBDdsES4wS320qA5D05YeOE9ouXq+ktswL/i7ctyOkoO+vsI0 WGLLDC2zv+FUfaA9vICHgqItPIGqjPBMBZ41XRuyCSoie6BVFHEKgPO1myBm3r5VJB0zxGwVRLrv fWHHx9wCHhk7m/Gzx6ZPqFvWosSs+tQdoxeLvBmnaHUUFOchWIEEZE/0Y82P8IB238E8elaw6fLp VKmCPIMHaQATMCmdWoThOkoK+BMU3GM8HKkjEwnvohiAO8d9wzOdEhfm1NoaVw4nTIhaNdEomhVr nSMpNvxRVKOF0uEWoPXQk5R2tUYvCC2BnrJrJdaOvZs/b0EKXEDnpVF5aNqlDEG0ZfbBiS7yf5Fi kew7wsB1CNVanGZQJoCKxJCvbhYgUdOI+r/64raKSOf58J7UxxUtubWZ8ux/NeTQRRUd0eJQF2bm 53SkSSUttmNQXe/83xe1Qr/qdhDOejxXSNbVrVou8yPOZZJPShnFsQy+i8crX58DJr9/6DMUWwSV z3ZfpNDTJll57xykWQx1SD1+zXCEHWKhYIWdYAOGf+niNVL8ef7tDw4V7IGys79NxlP/r+2EeO3q +z7xE3StTs1dv+eNQjSKUWUNy2+88GVIKJrIIzYG4QsV80Pk6Ma2iZQP+TlIUBW6VZx5dNNUayuq rR+CGlYFnamMlkBT2Wl921LyjS/JsQkzqbcmf/2Ig32yMjQjQEMReJGC9NgX48k4V/HCKEpJ2KRo 5Kdv+//9o4js4ilQjFeUPPVANh/+GxwO109NMXlujnxSz5sdr0+G3rhQ6t0IZwh/6Ori7NiqXuLN gnT7qC/PdVBgSEe+bxj2vgfJbhsXcUg4kwlUc4DNf5Lnnj/H5BdAtjv5FFo4sCDzbH32nnee1R+1 AiioTp2M7+ZnO6EsalEEPrz/jsbnLFhVqLmI3w+EBPuwmtw3NMp6n40Ghwztez3x5rwrxJSrTETs M2UanyyhQ14JpltYl9IWmBS8iiV3Q3tqrSNBXOV8MbST/FI8Pwrgu37H6C9VXh+3UBYXqKjrO8QT 6JMgug9I0zyQ16EKRm90iWc7ei2Um9Mxgd9skwtoBkRkKUMV722CYSPCxLn37t7xJsZdFliTshL9 OUx3kNl6ETviHhgXI0ez+t9WBUdxh8R8N2EbK4MekX59WWP42TwJMmljwkwzWIGV5GJktij2c+0f YRlIktKdmyBGVX54M8Yd9HEU4J8vMSG1kacIYySRISpkzbZ9YufOwmaU5buSbE8cgmNkIlo9WRTw b4STsIeGeDnP/nzvjDbOzsqt2YuloxSOOMHHTCD0MDVQmizHOWDHUIjuxPO47tgwmmyVv84etu+T SZ4IPRvhRYS3uW8pgaGG6etAH7n3yxTZ0glypM611Vc+8C7fhDvB65XaaKJfoMuvjd5yDxfQIpR5 N7OjCZI4EfBdh3+X3tKhP1FT1Gg+AhrN+NIg5JQ8ui6TEguTiesEw3wo2Xy/9wmir2hFSpNf5Cus YokY23/yHovyC/uVReweYVm6lJkOug7GhIcsguEQLbFG3E+1h2qKEzjY9m7tZtX32UdC3EN05Osw Rj2LSjmILOw+Eu3j6jRz7x8yF2lKlijq6HmiYUkqEta4lcSTIwnWnTb/IH/a52uqFRfnZzAUDY2W pxFImAyC87YJ9ncXRKXXfEuf7myREmxqiH59DByd6N6y/gK4Qo6STn1TiYleh8qOvj+HdwBMPrUV CWDil95/QqUiXlhhk6d6dFGywnlLMIhxCXDZ+9HkJoiXwXCEbb2/9L57stjM0jaSpqUCvp5Kdzt7 UMZ+BRGh+j0ao655FAzBpJygCZA1qT/Vdk8kMQAepdDQVGi5zbZ9LgliNOVFfUlFl8mlQayDM3ct paEKx9Eqwzch3ip/FDybpD/PWHeuxqxGG8hfYXlM1IshoGllDO+ay+7nKJX10qSyiEJU5RgUL0CT iysQ2rq/iuPwYqW5NZ97wPNhSIJh8jvmP1CI6R+PRtrAE4fuk6XxMtDpsN5idZEVIyWThYyU8DOI GnAcOTILDrEWVX3onHRcS7C6L/qcBttdNw2TKCywUy1WuTlfS7msAs1t/Hx7gF+syU1Dir9mIgIT BZJH/GZ1rfz2WxAUlX1rK35yXytLqsFM/CcntIDASocZ+AXnBfdtWRJj69ZTA1iPjR0YxJr8nAU+ b40Iv6irnPCse7oK67T2gr1oUF3Y1g05JD2EDHy+2dK+pK/8AOm2WP3u/GaV6T8iR1OdkS6xUiBi 2tqwDuQCrJQUPyPzJWlJgJ25CPYSuMAMWI0RnxSYBhMTpxWdxnxpWR7Dg45Ke8wWM4QRlF8WFH6c LUO8t37aPwp7n3+A1vbcA63hnzrPFGNOJIQVf1UbAZxEMRyAkJsRDpWwIX9ObU+HeExy/K1tSjSg PLKAE6EtxtdZQ9uVKzpFXcMY69Rk4IqZHQkFUdCapsXMa9N6za8L8MTqr2IHs8XksRnYX/Kdv+f6 1D8c61qYaBcEgyaPK+neJybdgE7E6qv8xT4Rkk+Y8BvGx2FbG2M65JtvU8SjQXv061linz4AqbeV BvUoAfBpdGJvvuwQK2n3voN+nQJs41vkPCk0UTi0OD3z6Fcl3jiJ+3JihQr70lJWV9dwXwh+2qEk h40hJK7S3EUpaP4HjrWb4/uyeMKKddQ/U6G6Z51gbZJ/hFgTJjQiJ/niXE/ZWFIdPy5TRraQTvtu NA7MA6pjGYa0UYP5VIE+PtQawiMSa/iSkWpX26CHPyZudD/l1wgOXGlMpBRKOqo5SkHmUEdSSvt7 XylAzZ79V7nw6O1MFmpWM5XtRw/SdHHbaV4CvpFGNeCcdOST49mQZpMNjflX1JTYGhdlbFuPzj6J Tl7xsbSk9MgJ32cxsCg/d8hX0saBG8WNjZj4jFowcIHuH3oobQ1xZZhFv2bSCPUUFvNwkpDk6gBm JpZSZUnZAqgT6lodxeUCiGA7sGVo3CgyGcZDc7PxdQmiNV/VWvNc4xFZZ1gbjRGAIJqNHi6kNNaF pcacq1aR0dbS/NPXW9FIWf5esywCRspwO8pxHD0MXreerDC7VtqB+g4NtzpHLJbqyC4Nt93rsc/V vMOc+lyRqJIdGQ4WasRApl7iN8IwxTrVK/LQ1V8F/geufnYW+UaRr9AEq/OdJC8/XihVE/rmcyE2 OF6IVk9vZRNauMo1JfDg3PBuMmpdn+cJlEncScgyif3Eo/hRpGwK4JLz6ALa92lA4I+PctksIa9D UWbGXjNJd7Tjj0PriRkEZCqilSKxt+NIyCwu20wvHWrGqCSQcVmbjvVmxQO4BhW0yO+Of/Jj3BxD Ptx+R/YLZ7gM6wQfpSFMWaimzirqzs2xVAO3RAH3S/C2qlf00dwukL0hSar2HATbM4Jkb/A6R6CT w3L458JG07ZIxg1Xt3vH7GylglPHJ+5QQGk1ZacN5WbWdyeNmIWpntlcLa54D/vAsrF12B2D8vIx +icEzLOln+hOrGFrk5zKE2D/gtUFLhDnJV4yNW743kuf09wwrQkxRuTAO1PVtw5iYFFelRVLA2uH sHwv7zE4hY9cuMxdQ5BK1fcrXrLndNs2wh6bWV+LjF629ALIdScD0S/xEhGuJCGonWri5CBN4a2D vtAskVVrwry6N8jJM8FY6JPfgbRS2TQ25jDEsAAsqWCqskB1yq25Veu84R9IYCGOe2TFEyxvcfuC cHyGT1/wlCXpgPYsNuWLp+3Vk64O1UK/+/S2liJ0s+03j+qmpT2xgxB2ZBeMEWV73qbWlLOWUdFn 5oKZoLh2vAx7upng8nhfbfgkq7IO32H14ORRvp9AvdLDxLEiXBOg31qBLDYRCaghXPCx/bbW1HRs r2/vNXWG5wcHJe1Ei+fqqLtpN5/50EUOEOlkBcHWQdVlYnxHmP1pSaf/xy2p/yThr6O05c/TNPqA AcTdxqq0yHi6jnRDEGBlK3la7D09YMYC7cjpyMX/BlfUbm6hkGXnBr8ECQ8unPY/4xqN37IbTEck a+s51fH33B40hIIX68ZyZ+et5CFhSx8cgRHvO+0uRRKr/u2ppzXZJm3+miiFJpdAjwf0AibtHYeM hMNGgauRYSnMOYPBJoubwvuk4i3BS1tJg9jIkmwAeBP/88XMxR55JbWD30Tehygx+m2RWVhjs7BN +moQ3JnpBtqkOXaZwZbmMNX16VIGH7qcYdjWNenRMI0b0sYigZH7UDE2yT+YnsAv1UxK2Hx/+0ke pLyJF9ru00AJ2ah4JOH+TXe0vyOUVrn0zBD6OIQxiLRO/vuFBFChSoeWQ2rPriWf3oE5Y2unzGgT aH3CnIWGFNxcQKTFqNtKtgHX5m5y4LumIEmfpS6fziY1xGbmGkUFS+Vv5fjcCGwPpe9D8SPKfcrT dgZEtJP2WteKTlADxB+i5oSG97U93H4n9hxABIau/crMmw4qMEWDpAIhzPsT4PcFFZuZ858jd/Wz FPt74WfsGO60hifDaOLAm/N7eSsTeZLI2/2JYkvRaAq1qLNhQkvzkJfOsUnjS+IMV5KxIHT4g8lA mlMe1RPiZt4wcRqqD9AHkm1lJeK0dcf0o6ithUTFuHpVk9VDNxbDOyWFkNvx0VrAtB+3DHoALye6 35KnggYbz1svz4B1R/80ebFzYpeEN8K/R5zby1qk26jwk/uqytl2hP3Zyhyys5Z0AgEFmXNEBURi Iqxt+a6saYasb/q0Mzp1u6veWDIOxOHqV+LrHWOyD6IaVOGmF375ZRRbLRyVGf+TQw1IBiiU6QiM o/A85xgi0PSn3WAUI30HrxJjoA1LFgdQrP2HST9xLmkYb+1Ff6tKJESjkAtzkwZ9iF7sGrracUTd mgNuwu0mWqAmpcQuCGOE5axY594MvTGIrSE3JyqiL/l4EmVHL+K3Vv3U5LiTWJVfl3r0ZGD2MyNH qnynsaK6aLzuy0+xd/d8JL0v3jLtS69CxgwgAsyQNHle5mUEQP5aCSjLF0Wbo8jic+OjveDEfPfD FKDWNB5bEzR2tW0qKqMl95RaBtl9rDzdDvn5eSF1IRnnz9u9PB2GR4NRVtnJOyZsi7BVTr6hOT03 K9HL1+pwHGjCT869kCfKkOPWFuwouGdEA9OgPyO+gDPNKwO8u7bl//gTL3Fv9zHAwg6F8g2ycPKe FcpoUn98DMXtPSooXjUbY+mTdzWBjBn1hh3g+gWpHWJ1SclKL574zuWqleEg7PZXM7GWRSEijktq vHFP7UMiK1ecHQXurK+zBWzInT5Nzr9A+tjnxoDt3LABIC38tv01GGGwhBOvTeJ22irkO453eGDc tZ+jdupSuZ0JICJOGUwwKv5Ca5J/Vv2Gy9CbHE72/u/H45Lz89GRxfb4EDhe7sApwQBXtNw8ozXm lS/R7twPZw/AJV1wEANGp44cmyQUX10lxdCPiJ7WgyLFxL9bcNj5XCipAGrXREzYby9O85BvRLYw gCpe5khIQ3CN9bVem9w9rBSb42x+Pkfeotdwj40hCVuFbbYY/n9gmlriJ7c0dbR9j2Lr50ru+g8+ UuP+0mgrc8kx/UdGTrSdup1k67++JyTAC0JTMurdHIAMAoAkhZhiz6JXXRiorGIio+8U8Pz766UJ Ydjg4QfhvLPq3HUjFY37WU3ZKh/cegtLOdfjYn3BVAe7miXoGgEeS7WKV6Kavq4zMy4mgNf+Hbf6 rFL9586gvA4oTHPwyyi1Wqi/BIAgJYus53ody53L4UXmTOnqQ1F5xh5ksOmlp0qQBW+upM55hHcm 4uXXvdz8gi8QgBS4ZnTOYtpnontPGh28dHMVZhaWHq49+SO0b6Mvl/9YlP7ctfbesr9PRKInh92V 7ruT9Rhci7aCas5Ps/1ec1WfhTQnrT8RA2Mk0/TAJpGccnfqIutt/o0Vj1v4YMp8lzk9pApqTWdv NY5QxJrc8hGHxKbZMCwkZ1Xzk+ovHLH+7qzdJOXhxPtUaIEqGttI+7j1EpkLfjmN8/qFclLqtWu9 XnKzFJdMA5Su4A+5ZUj60ZIJydEwHZgyNeUZYj69Wnd1UhvTYZvT519RqGRird2iGAH3EprG3l3G e2vc9l7XH2IG0iSZIro30BHoX11cl8g+QAf1fawwQ89EbTLQQQPbFI4E1wRHRqW/5EsloLVW+YP6 X/GLZuvCYZLCx/s/QGmHh7Nmn62d6APxGtLFpRV5ADVdC66kGuGpZ811CLPcnyYjbwsV/WJtTtJT dS08LWayJSa47LhYAUPs5oZ10NNUALD07eX1QWCWjD1fpccyHU7cRFp3CQqiN3vuI9vG0/yYeyqY 9LmKEyuYl4CwcocmnQaUuxW0a3rbRrdAQl4tUmUivTO2Nvx3NpRF/iG8AAnkieWCTlcJgkDnnWK+ 9FVj1tOK83/79wDdRHJtNWXeWJG+kB2sHZJyBeFvTG2fsMU69zSivE/j29RNJbwo6xec/mP5NirI 4PA6whJI0Fj2LRkx+EpTWyrwUZC7jyC1r8dy/UJqTuFYuZL9dMuqnb9eqVtSUaAHsQpCF+64Vaz2 djvDnZFU8vcboq8KFV3wWxuNH0o+vwvVCqChEH1/TAUI5iP4BwaY4hB2ngaUMYUSzXXIk+qC0+6U 7KJMb8Hx75BcvwlujQFyhSjRp8FT11gtZ5/iwPfRlXcDF/PFUjGQc8cMm/V5t+SjSi9fRDUMpQZL gutjvvUzwA+xB9RJVbzdzpReWJFyfVBfwOm7SnWuhTf5JHiG2Jb3y4irZyYBfOWSr7nGd9LOK68H /Bce5F5ZzQFpj8gHIyDlim47fSoPpE9HWqY7s7M0N1hDLTAFfXF3nxnaB+pzGXLoz+0Zb9tZm+Ls B2KYN99dUjAnalPzTGu60e4z1hK51Y7iaY7rQO4lcVgjKtTLkLDYsLonUH7hn0TG3G+wToIpTwGB oGzYYuwNFgKzRmIUecovo4/DScb5t7yU1pz7989OzFio5EC5L/cs37PYnPamI5bZyGhl/+2ap5I2 nx8+AAX5phL7sv0dUDOIw73sYtj1RohDb7shQ4lyw9vdKOhjLja/fWnAw2Lz/1ENZg2Tl0F/26AA hWdBClYiyddTiQSno5Z51JzMY40yhUtC4lhkqGttCScC0uxVOJFPsQpqS9iVK0H2Tw04YQAxHKPT nQsP72SeZtUGbgDzA6O0WhXAMgwC2OtkBedNU/ePQ4WIN+g5R8ur1rbYcPY6yr0cypfJxeLw84Nr s+AdNQEt5mr23j18FV/Q3KSULG3iA62ve0uLp7iyA5pxz/UdU9UnUHBV/N2P1wPcNsbhMxhtnnMM 1JDBzEEmu+2Krl1FehgdHzXxohX4/zpaeLzUAQrCVByO5lQw20un2lW5VWH1LGAqAwsLz1mdKroZ 1hLy4ug4M0Echo3pd9vU1HoBMAcDJJg1eflW0g2tBJcprKyJFOCU+W2f7j3koFxbGmzHJyvEs1UB eSzP0PNAtzedzw2pTzz1TQg0zrPMqwl28QCZo2ZwJBE7/ngXqoEmOI8cDFFB/IcQEowXxw6UP1JE eh7vMAI4/3ndEPgzy+7tCqrGKBf0BKnowiGjmx40mALXJPhPgFHNeUAzXyw+iAT/nhDBcB5kdylN sKBBGlu3fF/UamSzt34B7x3MYOxvubg2zGYILaeSyR4+wooNf8cS1gyt3hXblQ5iZON3P/Boyeuk PPyeqBk1QgYMiDJwdgD2/V6cwHmIhwCaDX42H3SEC9hDHuLbYW8+geqWWu+QEG5D/LzSnirDR0DU CbcaY1QCSav72C1gWvtV+QuFs7EPFohbrwLWRZxfdbcE0UORlZqQdkvyzJLof17OacO0676u5/t8 8Hf/j71+6zqHQvUGNCqaISaD5QmsXVtzB9u/mXovdS4UPaVzLJyQzNr0IS3inzgJ+ispVCUu5wXX WWtiD8D0ThJO5hoXUbnfFmDK+fjcZUFUfnzhckbboRpsqyjGzs/KH3mZ8cSM6OeobuzwnJ7HN9oO abnKRYh1ZY6cFmkaBvrENQx+TW3NMNGpKXL5rW1/DfjuyMnAmvVNBPYqEfQUOoPb5Xvi8y1Kdk1c GbG7suJEz0M3ACLT/2xjtjPs8ZI7kcvbicFtR2yWk/pYIjU2K1CEQf4jtxZwrzvRtpR5/s/ci0jN gYrgymhDnAdg/3jQDpbr8Vjh/b5RKp+Gy0dsxjYM2tMAIXmMWzXS3XzaazqoA20rbprT1n7EW2kz gcxfi2GrocbmpabmwU+Yvgvl0NZvy/FcNS5QA82czy+2QJZre+y0GgLR7cmvFmwJM63mgPciA7Op 2Up4Qg8VQbC+Sampy6wXlgJ1bhKuzbWUtpUz2+TK2vtisILQzekX6tCAlriaDFmrB+8VGWJLElaA CtHSgdod6aqvJpfM2/XJbBzvwgJ+RMDdpqIMINEa3orlSAY8nDPKaEr9sfp8f6ZWrmU+GXZkFJXM mGADdbpLWuJWinkGXwvgGhKhXG569rD9M+kCnAB7UScMadHvEK3bQsr92/BGuz7lfa7tIadUESBq G5Xs7Jfa35ceZploBZYdPv6D4/F4eDnkwbMtFhZpuDDmKkTac52qpDM0L2Yw1C0FPNGHc6OoWUhF XnrGxd4gukaQjZRZK8BFCAXzrlehHVsvvWP5nuuHDGOG4EhrWnuwxIt+sZvEPdHjGo/vWAAUgkHw f43CctECIjwalMtwvhf29zylcP2+vQ0SM8w22SOQ/IbpXgcTw0qxg0jQYT3KHEM2bVUaVErK762t qU3do7wxif5WzHc8ufijPCXyKmV2xLxMm+gh6BIhMFvj6A244cJeJWOXDB2bmkZCO5smQtgQQ6+Q KwI9wGCjZDvq4L6c2iLDZQpU8+ZtZoECT0YOe3PTGJQn4/5/K86lMFFaXVP9X2mo6uoWsM9QeAZS gW8XcAR89/FlklrUoDEG1tHp2s4tqCe5jHFSrpAj6apKbeEy4nUfHO9WZhTXtuz/LPVbUt6lSd+y eIIEaV3/ljm9Bldf88PM1cm18xooYMcYWa/OHQxorkMgNrFdQGTAaC/iEIKXPh/ZLqCRO6rt6CFf DCvzUNzIb/8n6m27Kt1/ZWwPxQ6rKy8xfY7SIn1/Q+/bl7Ma6IRJ4Aslfw3Emrq7130dbrGvsbxt R90x1XI/9fbdm/+oP8Vf0DcqDkA6n8ZO0BDpWEtM0AQ84UZ5In3W0PwUe39tzBo7Dbado2slYvj3 9pUCpAkdmon2/3puNZ7uh2HbmoGkpv4wvwVWN+94xEDgr5fAVm3j7PMf1iYYZ0HhNhFZbp1+pDh2 jLenrKrmG3Ii5bXf4Hh+e/FTEP5a2aTo7oHYYR+l1h5bsXuURYiAynqTmnSe4he9fULsbzrz1QTT EnJ7pxJl2OEg8aefLaFPljgavFT7K5zJ2W9SqQoFq9CSxsT8+X6Vjs2mHuaafXjo3jkwS01BKwRI +mliqlRR8K/79DIw0Td9SkcyUkwHBuGUs3e7jHcTraNBzU/k40VyAFnVduV1l+D5SGIWmmM+wu9I D7KUcyjDORvza+X9169djLbG2xVZyZjbkFE73KLxZqDRuIxiCZv7ocZITvwM/ok94hWNplvygdKm tNFr6SRANIs9klrj6gl+fhPLdXx6VV9acenkTGeSLg7a67WzruwXP1K4kohziVKaofde0atzhUGG uZ9mPldXhi5cBpucVTKwGCrHXvB0jN699mtJXlUSOLFdFNPAGdOMZybXGAPT4I6x6quLrwfd9Qmf OMaXuf+aUFXdNNej4Lcs+mOUljxxxIn5a02oxSZih8r0NjtMPHENet1iWYAEYxBwYScJjfEc4jg5 BiwCcfX5sqyYC15v4jN5O4Gq6+c8jDaBz+Zb9NTuRvOMxpyloPi4hPcPF6xbfUfZoEKadtGLeoL0 h3+azd9PmER6Gz+r0BX3T5M4k8ImPrGMGOwrC8pSsFYZFwXVgunrzKitW6f5agUkpVAeXb7us6UQ rMWnm/67ahH3dd/FOF2pXMytQnmOxOsqa1Uq8xLFP5NbZoGQGpXFkm3vifboSUfhyI4JI01f3LO2 La/BiEz45KtwIx5tj8CvRWh1m8fdqjmAgMTfzOJaBLbndvMj6glm9ELXfadixG0MXJ5AdIi1hG98 KQpHONUKWmvtO0vUvttVj3E1PRs5tubzVB+aWUh8x58tDXOk+DsCkLM3DYoqIQOya87/FwKLOkWy jW09xQHZj4seJJheirjxLJGAo7wocfPZ64iocjBUvs0QWqXuy3Lk2zXfzlK4K4UHK1Q7oHkHQC4m 9nyvl4Rc5lQLjyXJqVdDhuzZvzK43oxsAo+AjLm/ojN3CcDVwZfxLsRjcr07RF9SFWEttqVOQ4sM Rp5rwRLlTvRMSnim0vOCRryVKfunNObDTAryUAfgPuCwzSVfPXANv3AX8f+8tOg/fbjrpDmY/tQd ZElc9qCG1bHN4HKtiOhVXbg5X9CedO7EZgg1lNP8bJ0TzZSrO4NFlTuEHVnGlK4h4ZpCZF5qPvfX 3owr62Lj12piab+aajk2eejEYHKkUGgXDEBrGWFoaNrRoWgYIzdcMJ1Jut0/zvh6mwuHLrCK3RI9 pLricIyZ8NLhFBR8+kC5moruAlSyDP8d+1+sWLi++CT9hHEJrYwyTTfiwFPw03Jxw2ptEiBqnNdP EjpjjuOM0HX4L3E8R+7kSnnOLS1Q8jvKniUJDAiYfZ+iSlXjeobhMVi/xftRwvcPeYGbY9xUXWLF MZ/gcmBpL85gIF4OQSrltznvKkOAOSY8YPZ7U76nBWi12gIf6bDftLOZxxsNQk8jKuBvKbZwkjIm Pffm4HUmcbJpdtnvEDO0ZCuoLoQ2TDz4nRMFWOILfQRDWuEsQE0jckVHEXX0nXdH++XZJ+xkJmwH nR/Rpvgu3pB/DZ2d0UXdHtatbUHHirkvZoH/Feep0DFOF1Zu1FPOnJ9jjCQCDPXJLmx6f3mlCp// 8tUXWdIl/VWQ5iPRFAW/9S7q3Sd4DJ8jXo4OxZEQf+4A/QjMaLqCiox1aNK/1KOO6Exfcj35a5MS GYKzlFmZ/CL15c1JaFhw3QpexBkmJN6o6/SEBgrQ3ublmLXb76y46TUurd5nJ1rwDBE0Drdt81bo P45F48CFHsHyt65+JBPoGA3LvVgTLNAMWGAFJh2LIYNmQ2ghsoounkWXKJFJzsI9pKr5PuIunVls yA06/F5n5q+0ehu5APEdjF6EUGceiCDd2bBqs5VWjxByTqJ/Es2UxApmHSE80y318IR5+npCXUHM eBClqlp4iOkXcz4BHvsQm2fuMQ6FDz36NuR64bO2X3RA+Mk2gv6rTIXfoIJh+8KVuc36z6h84ssv JFAM5z95+kpmx5tZYQFzLcIvlDOQeauU6pqfEOqC3cG9gLYvgrHnlnnHYePlNwwx7Yw/8glJgxvg XjOw9VGalzWaWoQdlDX6YZgdh57R4b6OyOJao+26sgq9hMuy15BARsMlKnRKNk0vqbFDmrdOcNM3 TpBGjZreDxrP8AExFD+iS7K7lsfeRTTUXRLMdfRevRKVYUVWEAN/qyruH79BZ5ypqIFyE52R8tNI xaP/FmUDxKVHfahWJTtOaCu38Ik+v7kUv7vNqAODkiY9zD5HZZuDJcUnzGacNtyOLCeQRU5qYb+F 711ICmL+KYbPVxUtSL1kgXS4I7WHwvUccY7uGgcmADgc1/ktNs42gkePIZYQexNPFNGJBtlVB3IP WZM4vjbmZoWGUl8Vdimk/lLdwVtKXEtrjdFF+F6Rzmy1HGm4bS0iflzKiOcGj5dKQM98ljXi/bl/ e8sy1MB2DEXqEbxZoK1MonC41doT+Mc9oKmqAb0qNhqmlqsmED1rbLKmTG6L/YGfLyZMPn8hfj2O Yxv1QLkQ1vvJ1b9XZ/kBwbWsb//6VITL9E2Epo+PH7CVpbwOE4PQ994FMOAWp0zhb3MZ87J9Ux9Y wQddKOz5a9RZ4D0dPmXc/pf0AlhoL5reFVSp25uORgzixGYLD+XOrAFYFE6nUlFQ2VlH7Yl0dnSs uyiajLPiJgkpM1csvOGR0PmD+jZAfejhETLCpnTWBqeJ4QcrV1vPeJvnvTCUBhRfXWi/7HuwiNs/ mrDQgNQzmAvdDTevTyNNwfOcXoWlE6QIzuqP5tSLjT6anyWDBQiqzCg3/N9XiAspDJNIeOflQSdZ 86ptXpF+YG4NP/wgWUkQBTu7u+10xIudFwDpYV/Z6XtZSv4TZC+2i+2e78c2I6ByIXjrR2w1lp6M Nx1144vRYcZR3tQzHXVN9vZf8PlDzLZr7bT5kSE2EFod/rFeLY0WP46TCs2NKGaLgTSHlgaBuJP/ RFRGIdQW9exc5oqdftdQlXtXD0tPnw1saTo4SJXAoSZ5+OK+BnnYE0rs9AVelzYV5BC+kV4P+6gR gh0+KL5StUsxw3MSdO3dD02LU/AieGzMLv2mQI6rV9678q4xRO4lBXrAGHEtVfVDq9c/x4ackJBF urgEzTIhpI8MzKDHB9olqlja/EfaWrli3ATdItfq9w+gyeMfK3hEo/aQ+azO3QOMpT7hc1zZ7hgE aKLosCclkNeA/HG8eBAo9L6abOZ7EbX2OCF3I9ALpPVV3Q8Iws2vfhHsBbVuSRhnhybV37j5HdG8 lJA4IC90wqJ8tGnDvOhnwHFL5hIa+qWmu04PSMTrk8o+GgnB9MuGT6BzoNg/Yr8eQ5gCxyik4VCj wZo4IbIcKoyXapU/ETKvrOvXqslEThxCD9BGOMB9+5ELBmAn4zjjEnv/ffEw+83I3Y5/M/Zfvc4d U9hiDNsxVuUjhRz0GRmG+Kbr2MN/Fq0QuHDx4gOE6jEEwWlBhzVKYtn9FHGDRB70SPnbOvAaI1Ev sST3T1oklOZDoRPxTzhJ/6I3f74Dh+SCbaRQbLknsF+tFk24lFF/26d/kDebWE+aW/ZNJVMq58kF me2p2wxBwfN6pf13noZ83/NBf1ATZcsdb9Ekpmimu/XCyRI7GuTQbUBrHzOKG4bGV7GF20ixa8UO lXVfBR+AvtGazk17h5n676l3qUeQVXv4FWW8JH60fKudVJ4SyTnvenqNYZIgiwsGe7TBRxFYXSH9 VzvqWYQhEkCMaIQHnfjPPB8MamWBOvpu/B5RxpiGoa5IZh+SySvmt/0JYdTbNqjGv4ZeREcajKJ/ HkVZOpbigIdtNXKOlmtuwJFVgB1u15HK0GN4nhgdVQorWc1sysGFyZnr2oJbsp+mVfIvRUepoYpC R5kE3WkVbyigZRolou3wsF/Ltq10nkcg9DItSlRdkkuPZX9L4orcQBgZ6KKvwvEzMQVp68rjUOkp jIL9Dzxa0evRvKNgQVrLi3KcUq65/ca9zwDPru60iyEDWmIIDdj753/0f0T/7d6ggIMyp93f9K2O 6HphEvj4FGvYcTwn2QwSGD9/vrJvBHh26/mn5uGaUFD9AA2WSZW5fPiKMKEnP6rYvEh83FxEUuqb AztQdSFNVlTpHM758m8J1YHintdJVzAJ9bQRwEtF5tvjWuwhpi7+pVMbsVlVOF2nKkt9xkLd+TL9 oQJYFCMMzy2cVrjJ5D/nmjCLE2st6rq2X2zHnzqb+PA52oMNmLruWQ811pIYu5fnqNnTbG9PZ/sT wrt1fAhhh7orZmfp/cLsbcKEFZCBAVyHxN6SIXNlaMXAYTdjfMqhTTeauWGL7Y1vIiPfpl2rubr4 jw54n0t0dtq4rcsJ7InUjAWIG9UfEQ4GxnLCE2ouGaJk+Q4hDQW2K2vfygHAi3TM8H3AFaPSspoc Pkr7IPqdVkG6AAmIgSzJ7g7JZtDA1oXoRsNsj/++YaKJ6mISypxkTLtcIbeh815Hv7a41U4N8Yun JyROQXjBKB5ucbe/g7KNqmO4vzckOWEjRpfjAnh3YZIRtu495rOPHxvqX/lZVysWweBbCc3XFBTr reRySe8owuYcDIaMUIM0r2j/nvOjJYKaRM2whgPm/jt1X6FV0QMb+rR+jx3igSC5wpkCx8GjH/ib pG+CHXjvCt9eQ7RiV3+yR2CqL50fzkNJk/NgrYlNJcGA7gEuIQUM3y+kl0X0qUwAZ3ryArkuSdU5 G3aE6hSQRSLNetZLSkmuhl/AfHMJXvt0hkJZoan6LIiuCYve8DLALSlu5J0E0Jtttf9PVAT9wA/V /MZTILeaIXP3LjIrSdB80Z/EzCIYwtbnBwsJHM8p6G3PRsEMylLxX9E8yRjkgBemOo9jZXEsDGRC HZntznSySqqBt2/Oqpwl4xuESm7L2t8QvQslRx90oz8YmY1lS5rwnpsSIPnj6M6PUTvWgUR+7zv6 Q1INx9cJ5Bb2G56HH6prJoAl5mvVnvasmNtNMga0dFZPi9dLAQDCGxA2gw8kGeyJE2DYr3UXfEqe P4hsq1jyKzGzFui14MLbsWved8bs+ZlFP1Ny/Dus5hf4oniAnzsP3zR8AwgPVp5YKdnwNmLDfLLE dR4BDoPQE02Jcy/iDve7w6O4hNixJNryanQLlKfuaAO4UmhP3pC7rrlXMQh+NLmFq/EtbXW7lm/3 tYtwB3Zl0Fe+YTENOaAITfeEp+UTSXYaB6+k7IAN1Rb8VCeSTeXHrzBpJZkkTJwnQQaVdIy6G/0K 18rEJputl9P4ZodXFmb9rCxgMei/BNBxC25bAnBKtlrPwSxEkujrlqKgWG59H8x5ZUsYaF5wjwwU HXlX+Cy1BRqcQM3B6ZGBsIOQhQVWtCvmgcTZEg3r9Qi+Fkuoj+QjV/Q5eAa9XP6MP5uwy/4Bel+n bghhOsMo73Av8Vbp39V3KM5nzzVshMLf3QcspHL1huUdciM5wPhPwAXqSBYXEfvFJ/NOFVJpJQ6s Yq0mI/eYmZdfWxTIRe9koNVbO5daJ+FTECIN7D+E7Z60i0yTSdWAjsvQ+SSMbr4lNdIn1HURTb4p TJTxWPWn/R5B5ISuskYe0wvZaAUuEaKBDeJGRA4c5LeR9GowV1MUEWzHmcTs55lYe6BMbKSD2PLC 5VqxZ9uvwut73L2toZtthWzjq3ukmbCAopWTy+bsemB2Yf35pVpBJyqwgM3mQGKGGU28sF9547++ ywg8E8i+WkMIjlk4jAF2nrdeh9J2VBevRgNNypsKsSjmjplPZ0dB55z5Be7+9fcAgy+ZyyeGuHUM hoZdbCy4ZFcmJp1NFXDMWeSn8RR24Ri/78uWBuPTEiXqZhblbTB4b0L+3u4S3GYXljcn7Yci24mC hu/dRPPUoP4dt1mqJmLI6LM3GEwmiuA1Aa/XD+RJnaCkrI/5t4/7Q5Yxaawt50VEa0Ci7OgVonP8 CL4+xw5QmIj2ZNcfude5Qg6GD9wzxWGsHaxDYjb3s3FQJGOOjHOJW3LX95CSyMw6soqvBjfgxZa5 JTy3ppHxunuZd/oG2IH+MtVSppSg8XWjRewrLM+bxF3U1YTD0dx4s/Hk4B+sKnZWqDvqBGmG0SsO 2j6Ge5xJks01KlFGmJs/2s2DGliElHFwkwoeLmYGX2xnMmZGYcJAbhtl8gHczXEWMSCkfY/C9bgx yxfdaFYuMD5Gb7SK6TyBl38dCogVN5G+oNblvPRj0YSenXgT742F2enZYwxsaCFx4meyOdaSTE4H jJl8Y9GXWOik1sDV4na3d/BE+owBKfItp2G7pqk+YWUAfeEiirEQKy8RfYDnfnZbLSXXsDrh+OA0 q4JrBGYDVqJxq9tEVl/jOCzjKDM9KsKnBxNs0+j53tY3mzYTWVaxXvo/N3Nr8FFoy2u7ReJD2dfM EqCRrKw1xZQEe2VdTrIkm76salk8Eyqv29EkdEwzYDpYXHkuYoOqfllHItg56TZ4KwqR0HE401zt nPvFWdTPiHOhPsY1VdFZbsPvStQ+JHM7R09YB1bw7u5Ea8rDdTq3dQmupZQTOjdfgC7BbW8C+ZYJ HFAu6pOn8vFyU1IZeZFD780Snu25++0Wa491cl/7mJzGQ5kqcpimXy+Eym4sH12teFlwcu2uerDH RNzOvtPW6UtIn+sKhHIYBu0VDW6ayTac3clck08mz8NcEoMgCigv6bQyZILc6InY/CvPI/avbZ5Y ChSgzFYV9Jxb8hdVNm/6PtR3js2b5yR5FtOSmjnCp0s1e9VFInwrFnq7EzO4rctHGXCZT5LH2deS XSiqTgPEeA4wJG3ry6Dbo8OMvyCi4DCDv39TLe4qTymZ4rJjyI84rs3jzPZJyDGPq6yuCfYXfnh0 33+VZg6E9A/pv2gexkvuAhHY8VUYKD1QkZSQJ0f+nk9iA1//8QWIA/Y/ONZF3g9XYhpHfvJTofpN 2S14n+x0tG0/2HwliXWj7RXvrGxqyjdR17s2SnGvI9qsVmeYnu83ct5MxdxwJ1cCaQfhFM8Iq6u8 yqIvKCRhpoSH1uoSyfmmqni4TbKhnaW66cUEfP98bVkQw1zaQhA35+Vxhyb2Zj5uaoB1rHIJPx5g NGUY7orD65mxLV5/XVnE+BcWQayCAkY93+LEOtPtk0uv4wPDD8pnEQ65cEVAWLKEpbWIyGB6Hcgc qKcboxANYbdBlnCBn7zoU0IzFqpR/Dlj0q5t83xVep1EW66c+AvOFgfxbT71ZssjsHMPeDD1a1PI H9yfAcCEM9dA6V++afY3kBrkUA47aeFOeDWARQ1XrX7uP/iIwp4CLqArQ8TPmtNstr+7QQXDsiNY KsAfpAa74YX8WtNIrYMHX/RZxaWeqSBOkwN+EzrHnWOE98yJeGckCRD9gWracSIEmVX8lkrKTuR2 XM16u9oFknA4L0VirB5GMFrNgilN53Qh2M9fzdaBqjesF2UxDYYKhlBtR5ZTTu765nPfxT/nvFaE nKOBBkkKDY59c8VFbMrgPBxoiHlYDC3J0m5nbMsRg6B8UU0ruy97zfwGsWFWvpOWlxYlLp71EgyP HCCrekoEPRCtSA7BpSvBvSPlXyusjCSTGsJuJ4ew/DKhbICBdRvhFwmqVnXK2TxHTzAqLV5HWbWX QH50WCtsR8h5S9mlxb8uGhp0arzK5JA1xJgJGk1ZWzh8ybHSQ03N++6T6XitzbySvu96C/HSeLPR HGfsLOh/MC8xYUlid10ipeIZKb1cmWEskfP+5kHzAb1vPS1tjiKTHSY+L1jHAy/2OGLd8VGv7IyL jrkLiYTFcfJwW/7rwoGTOfw3gGdiYnSZZreLivb9qGa2hulDCe7Tdhf7hKwITLrtkWvdUQuoZ1r5 BNgcs49p+tKr5uU83U9dFrLLtqge2qey3tMby6z1TQbOeaQIcfW6gmzDxQyRhC6bepaHrDtPExbd out50cn8COUCD+hs2r8ifNc4GxeKDrMeezRzGIXFAdbwLmFxVGJkv33ABVLqYCRzLYaNzrPl4sb/ fhmkP0XsJuaErheUUIN2q3g3eqN7a8iSr577p6lmDHGRL+NlVXBON9Ve60DZihCSBLP393nOPcDZ KBtPNASudFHjRym1Z541HiAzikZ7XVbPLPfwxspAFNgnS3oG6gfusNXflzRH2ofKQxbyX72IryPL sg38F9gTA+GTWwR9JPg8O2uJdthbd4wNC1pt47stCq+sqIZCemsxQ5yLVeQSxmg31b7dJaOvkLAn +CZynWbXmX2aXM+vgVFEuiwvAKNuJfjFjdiuU9yW5xzVSZ9zQSlvTWrTMcelsn9MR65rNJBCKBcn BMUy/I0mQIbGCIGe2zR3HIF31uHTTp6kHcTGMN2ADRCbPfpbp//WhdNBsAypr9dr1q4KgR7kFSO+ yJX1ARw6DH1xCGJ+eTGV/4KaPi5poUj4dCbYkckYmKuO093VDO3RlrheJpZ5vvFiihB7G9efzwXT G5G0bK3090ra/kEQRnAjESDusgf8X0z2ioWQy5/CbhKfLR8QE9YeYq6Jh4teBe4gCgf9fw4OggcN 1vQ+h5v05k7TJB/7TikUaQ0P3Cx6QJlA/TI99raw0+UFVBsg5/abZElofTGJRiXuxpB4qkusLMBR Vm0kezaVxI6Vgfc9x2Lv4cx1cOBmw2zyqMNhilvLSqE7Oi1ZfWmX7jjMuzQmX+xL0854ZNzPxaA8 0yAvpiiMTIhC70kwt7AEaM/M4wAL76WUg/948jW/XCTvSC62Qobgt3XKxemmNMNM+/nE1YTXfOqp T258PKvKTiq2p5jMk7R2cf8hUVNBKF/nP5yId+3j/VtVytK7Z/mp2Ix5o8TbveFzjBXXG3OxTC78 ETG5efkUPs5/oCQMvmNnS12Iihz5YrYsB3/jDK2jVG0sZMVXy/hnxCv09+JKbU1iqgOBmaxcuT6g RJCNILVoG4tbOEGWkZcrvZK3HlvRyxxE7o8R/26viU+yEi2MPr0tbBPgGRqSY7XCMZVyTQoII9vT ggh8wrT/dkb2Q1AjSNnW6Rr83ymXRqRfmzDYcFVZBtkYwIH9PUUW4EXOSBXI+oSxxkJF+8q43FIy NyiYcA5E/gBVE1m+xoVDlqNGnm1W6oQlXeyEzlcTeMw5WFWPZzRj2fEpzTlfwbFiHSAuxqxmZ+hz FBAdBN11dCpVYDaa7l2MhPqYtwoRN/p502mziDmJoGMbOPZ31GkPD9axv1EQb4FO+74b7lnmKSdW 0m9h3elB5cTDEky2gyStIT2PryYc+BB7bZjCQxvLnFEMEvlW1tc+QXSNfzDPjM2q+cULMX9D16Ny FCxrjfln8LsQ4n7Q245GDnXSXSe8DlG5NfgHqvg+aTbBD6OsDPa1IqTVr3WG9JtFZrYHwULAuVgz Gqk3DSt9+D7q27AXu1Zmo8aI8lHP3rYEUqhvqy3gOw66bK4oGSeOFA7dGAjuXpChT9Dxc/46lmUe hXajXhwozvCXzDJdfI79nckXwGJeKkWbvYvOXyDSSwFyaLpjXCZhybqFod2PWW65fV7dWQpeRYwp ErovNSU6nUw/U+19y5lxnyTFgzvPjQzwPcNnGzXfgJtQIlcYMmdlPtSOvFsPLf9hLcYQYHpamyV4 EexO+5OtyTMiwHIXIQarbJ9JpWwW9rB8dPnpqcyqj3JjUvp14FdRJ5PnOtv8DYoOLb4oovV+pZsZ cg5MPQ9xh3zsterN9dMJJYVs2fL0mXjtl0rnMwXIjIP2GadteANUzcElQUXSZHMdjpMFCamE2pYk 6fsAv+KONSKNqbaZmCqE1I022T/8oYIr/9bIQskxnvqjush+23115bswB5CC7F2RWdIndPe2zjWI I0pMAXC6sO3CX7tNXx3PtiyRiQ1txjEhFIQOYW9bgD+hJtAMNmC2GcvSRcxutZD6zNpykgNMJOvb 12eGz/uZx6QzKwREBA/0xWg+4let2LGYsvm0vIYQHBP/+Wel6KuQMQSRvirp1OZ/0Y/yFOeYak8x Ki8El++i29FNMvg4rdBm4gTGrHd0PlpyQxi4gojAIgtKdSMM+/ed8FYa35ztRqydApQaycmEPluz d7RBWGiJQHccJ//l59tbrrKRPbu6Gc4uJBE3BOYLqWyZEJ4hVQLtWsC5GA6fbcwm6dMMP9rtln5f HaYF9w4Qv/OeaWWVu8z9rRDE/+lSdO/Vo9k2vz/ckdU8Y3v0VrssuCxJwc7YCBUQjKGzt0AXSpO0 cpgrAkkpJG2K8OqCl9YCpnlFjf3nkVB71VCX5WRI8krkbX59LnxkmWRXKGMPtnfK/6plOp/WpGfx mwRwMmWNNIqlijQo+UaalB3BF/qhWmdjMGQsJdvIa4Ap2s5BBM2M+aemr0treh6JjENI13S6B8gF CQZBH92vhKeNaXYH5oCXE+62mP+VAPZw5zvbxMewAhFStUdZ5sdmy1yDGtwbuT13x2DaeOJTMYUm hTQCi5KAu8Wv1nfnqHpiU20zp4SEm5rp4nEYmd6HWqFdnBlKseKt2EkD0Y5Xnt44fSTFNZzBFars kVt2Plo/m2as3ShxYcP25IX8CkzGsNgwrkxP1W6frigndJ8/6hkvRoqkCE6CgxvL9sqjdjgMV+Ns 4uFvs93C3eLt4eJsoBSbTRZX7wfOFUYHwRWoVfKI97IowaxzsVNaCWuUJHmuWvnRaJngjcy1x3nx 2V3WYJ6gHTV4tIFRITvS/Fl+aTCP2JEGn4J/QRnflCjrH+fRJcURGv2S/d5UZAGqm2DzvdosKwyt r+EqwqywIK8s7bzsVq2iWyiqeK2sEUmRpXr1qGpDhKmCCc/9JF6VjQqAdIU34GK13UvcEijyUayk NXxSH6bywfbmLaLE4MHL4MxZ0dfS/HmGFRGrn6YRkO8S509yZKAFOCbC65XC8cchykdRYyA9rTpm o49wyubqvH8P4xIu8twQiTE7SPm7jwMawiUQVxS+bj5qFYltPK25+T1HDIziqazUdbYiaJBKTWYQ VFSU1PJPhRfg2Xv5AKPClR4zbAQtVzIKhJ9ksrwbF5kGadegeabhR/0wnHpp119jexKnrUmpSDMa Y+UK6jxCYWTQZCyJNdgH73wAaVnkNEvC4J53yHOtNm+gvTo+R+627ASrHMNQf8zyXOQjjHbcDm8T H2UeGEx8G7ma/ntjZWY8qTrDlMCyqxTv23Jsojc1NjadSXyBOUyOY8Goy4hxTVY9QX146guVMjeF jpySjKs9YCytOCQqH2JZCq40OlwRLlXucwi5T1pnlreJ0XoTI8fpAvesM5SQW1mEHGKBgdul/0cc gjKebIoI5nQRP6jfseCG7inseRysmywBOdat3cfSJTlofAibPgSF3i8rnzvJ0u1RLD2xWTWiUJj+ CyflhT1KyM37dis8zBG/WjTJXKI9YC6GFqzDBBmtSrqQ08bxLMTf2GCzYmJRo4541H56iP7KC/rn 3wyoHQYrlDsOCc7Fxqt6EoHpGF2rd1RBP+MoLIZasbj+CQ4glOEe19Fg3abLBC9psuYelC1MGOUB cdKEnP7VKp8YEh8HHP7TWXDwVtPk4nSBXXSpmcDcX9apL3ka5e1AaQ9BXQrQRYoXCkNFRVu2nNUp LKs4lMd3LQo0KC8EvWfhIDeOLlh5dXGro+28abbIYVXH7kivqanvo0uZclsm3MFw3SY4Ap1s507a Bq6i2SddYWNkdai7LESJDQLJ8FAkBqfUIK3Z42k3c13ocbE0F7STCehBlDviMvzZsHo5srzrThya J9YlTpgZhSRzp2GczZf+/RSAJKhJXzp3DuW4/L25tPPoAlAzdZiIivP36oAlDkl68xLiXEum43cK PX3+wN5Q2Bb0Gn/yqcmYw06LNCq3/FoXPI8eaNMt2yO9XhBw3aFpXqonkEq1BS9yxy4yY0ghHZSr fnKA/L7twjf0OtWqaPpv4fwiuaLI2S0vQYECeRDJEgKuE9e+971h4sajZhTimjeRbrti8IkIJYYi +UxvTe2tM6a09y1oTWQTH4GSi0HrbcwnoCemMNR78eoFOHQi5+m4XrJmbdjs+PoN12bWtsBiUBH4 D1qMFcKeKqzyLd7bvOhmRjbJI1XTjoR+Hrn0uz9eNQ306AggVqOG/c0UxdBUJfhNn434d0v/8AQ3 8h/6d1NsYXlqTNN2W/VwJq9vzmuv2la3cK+aHJYoUbpKy4mAE6C3jEJnKb/I5LTiORLg5J+Ml65o nKxDdMCPVnwxazTyB7Az973n85/2X1iOP7qbnsIYN/S0+2jAaRcdmn30o+ACVrfTNBF+wvSgce6h Y4hisZSHgIvHdHqKXq6TsLo2d+clRZpaRwgq2VT+ELr7A1E2Co2ce5053BQCvEOsXQHnzmykIMUk EU1zbmiDpQ3tlo/79XS27R5HR1WwSPMr15dYq3H87oRD0QbeVJUyjnd6fTAhDep9cXXDsVUO7LJ+ 0rvyzUa/VFapdna8ZcGWG7fUWu+VacinJOGCIGG7Uhnvja2WvFytBadSycyKqD2HC0svhJG1yzKk 5cBPix4ia5xjtmD0qLRPQdCs8SkgQLzrPzIDWcIZwrx643w082W0yuX0yxOvzcVPENpsLKkWV0sL APk2rFfaJG8AyOapkZl7TV2e44GPhKZrTNVq1i3ErtcFzf46COo5MfyADfP2FYygDtSYF2zwK1R0 bbDFrCueNqHFwtRXHcC/5nepOFZR+oZLGN2U92YI5fozS9BZPsV0EC4F4WH1E499fnixjQmv17Jr 6QVYtiQssX8BRsrmyyLTDOqIWh/Qas4c43Z8g5QlY0kKfudfdMq8O/WAjDr32FAzlgwVjOvsKE2Z VxbpJvcNbgVQdBGl5UyUvZh1TtCqKmnmewE5mPEKuZmwsSdG38mK9VYUOQrFIsECcqGeJayTud6A OCS1mDpyVCN0yti4f7/FBURnIe3MfQXCxKud1XQxTw2DxOFbBUkyl6ui4Qvms2/effcA/hlPjJBb 9djphrKTzRNSKVibBmIMnL7gtd0g1BiNgVJHMf9vr9WKUDgiFkRJ5yso2JaTkBFkSJGFyeUwDOWA xZrAC2cLMba8httByXI23bVsBMr1TEstiH9GgLHSqGfgIyoMAY3Ij+zMicLY1U5OdjtwK+Vg4RrW 7j8BlpsuZC/AO7TvJxfQChzHpeagMcRyTI3gPdAUewXtXm/DZIFT5cLdBTR+cyevGfk6bQORpmxJ S7bxUWE6D8PVzIzUTnGdr3vTBk4FmXhRFCPSiJD4h4JNU362dS7JibmhVhH521+cH4g1dbuqLE9f KLWpuvJPe89+xWsnIwD0fOA3C1/55cfXjGOELhw8RJX94h9SjKh9aIIdgF4LHKNz/y7iy3+uIYnL nzUD/l2F8G03C8bOKPkLwgjiQbKW8MLgPcKPm0z3h0yqTmwNbDDd6Nrbi3Y5WkCkYTOVJ+yCkrxm yq+QJIrgdAsyUuCLlxKE1Ijm3JVUmUXUL4MSq/IE0GED4DvWNnsrCV8OzC5KT45YjW5mb+0dy7fU 8EQeaAy3yWI2A3gjfQkaVNWkdFaixcljmbYhhxzB0xTWmMpWqN9q0h6NHLKD/uEXEa03TJ711Fw+ 6bf/tZXZfYjsg4ba1Bq8djKz4fXGtnvOD5Vd2BuSW5vHjjws9lvoTYamo+DD9YIRRaA5CfCXJLVn DOyXYfE03Wx2RiSWxjXPTXMovyHuDd/izXDwCC0dSIzGqKRDo6LZnDAqaG+w5lXrDL56ML2W3lra vLtFZBcakkLNXrry3Xl50Ps5f9OOew41wdqnLh2wzBr8Si1w861H1DPb9UNxqOyAU5jqSy++BIPG TsO6SBaqf9DL7izIfiNYN6OTYh3ZW9TVQiQU6Rs0U7YbTy1tAeRcLbKTUOKk8a95TDW0Zr7hcSCW FlpLsxXiEUNIb15MXfkiabzA4WHAOVy+1fKhBN4pgx81stmA6tjOevdk5zYwNoztHzMpeRGlU37Q Gai+SUA2OKi6wjgVy24Ot1jSUHebDFQP7cT6yn33GmG7QPaUV7d9Mqlt1IBY/19To2CdqtMVM/uN Tes5QxC4Y9zARUAeSJ/rdLVJzHIgGrr7OgsYboDM9LqpszhvYdVUf9PzK21gBJnoqP7F+rhBdxiZ w7yAxbe7uiy60WyadlrqnPhtKPgbZAM6mN7/Vb1ZIkSs8kIFp0opTN+aShSQKzekEDpEUXcNRD1h ERHcoOvesGkSdE3k480bthxvngJZxi7oyoXJGTSLiz2JLV1lAnJwegidi0LVwnMu237U/gwcTt/l kJSW1iYBqoYMj+k/bM7knyz2DjnzCIvxB4DX5CGQfWXsMquVz5VCEos7AjmVhTs0AJnNjE+u///v dZWe/wfB0KaKoeXEC5gJXqePeWfZyXd12JqjWVOziwf+GtK943P0+IdYjOvPs9kGtWoifuHwBCRY 99VMEfo/djURFQwxag4SX0i1l3Nj6ZeO3v9yi63tJ6qhkgMTx6bQIJFZ1yDvaqJ9v9cnuJj0tmKh BR5lizvK7TuSJPG1YPa6oo6pPtB1h8a8IqN6x4PJF2dFXkylSysBYP+hlf+8jOpDyCpDAtN3deaT ZQA8DbayQTqPUuSWEMC8+w6Tm9dirvZ609XZoGDsR/sEzQIbgua7m4a/VgUad2iowe/5wOiHAkMP BFlKFn+WCKwNmQzGl9itH02Qe3pyG/qfD8rrDTZ14v/TpioVmzqJA+7ycvhHtGkoltlGpDzKW352 Stw1o6BmkSDvezQtnLr0GCZ8nyH5qJVLwcvq+QguBhsEHwIMDdQ1IKhwyHyNO796dsAOVtQY8IkH mErGSwsdjS71rbOSNirq2es8Kvhfs3E+gmFLUoYYok2t7FbDdMNS/faz1EamyuQ253bdM9maXWzP Ymun2Ys//GVe7zpMsyCJ+bAw53E65i4NafvbX5kXgrYv+LWavWxH3KwePt+cCfiaGxUJhB49TQIS 6ttxF+4k4ojLR4ZUThTVggeCSX2mS673BjOaxqd6AvNiHp5kddiWZR/S8NL11edoGE0MQuEHUB7w Gha8lzSvZr8W1hHEgfq5mCuet7/zopdWqmJxcfB/KYdOKHk2+7yI6Vg/OYJDkhUH9CFdvWBp9LRD dvhMnqWmPtdMA7deRBWBxjumNOKZ/fZ5UcCeeZWWwd2C0qRnJNVM0DTsLq0n8cM+neZD4CcxH8WY pjXU2PQH1SnmeUjssoSAh6pfN8c68KwdyxCVStETko/j5wvjvih4O9ys2MKIc9dD5Bca2wnOxopj DlX22RH9jAEpbMNrm95/7JBFMlR05SRLvdA/aT+DxZhrIdI7IgXhGgnJ6ovExETiB3qD9IccBbD/ jtCXNIZbhlPJHbJqpKNk9l+r9UZI0ro99Oxz+yYxh9QGrqK+91s6R1CrBtbP7tjpDVxLLvxE9oNL /X0GCPYaQ6TaBkCUgGbL2r9wFbNxCEW8yqlxICdZQ10vIOqkXNlZmGE9eYvYqptIvc8Wu7Kp2dxw b06e13vpXYCwpJgpS13t22w5wbGXIFjtwmpEO5sT8dKu9RiAzWGeWE0LgSWL81VCZYOaaq1b0mny ZHQ2L8PPeRmja6muIvOVGwhc+Y09XnrcFhUndDPDyCyGug7tG4llTdNbywzv92/ssO/nTfoemSd7 KU+z3YnW8D6vfnefXoc78yoSanx0pSvJ4qKVRvaziut2KzxWb8VOZdRgAZ4XODPJaeMemhR9f+zh a0HrFTPTzKbeDqv+dgKypq/F9fqtwGhlJnZXcsmGEwI2pmdcZnKOsvUYEbe81V1QesI8vnVirpj0 Amq30wYHEm9J6zxJCCz+Klxcnf5kvVLGJxB/P+CeTcf6F+FRJrhWZi/DjUQHVo5oWTu8GETFUord jJa6jj2ZfW9E9enjuFjv3d/o/gRVRh4taoii2tibTvdVmQ6hT/x2SnOKY7LTv0TgSY8Ut/+o+0vK 0rIgnCXIUbXIcvzqe/Xwq5v+xyb3SXH10tlWigyFtlQzkZIVuFkm3LxRCNyzKYxzVIU8Fuit8usR WILN3RkJQUYBZj7ynAHdJFQ/1tLhlL5GTSGEwvqBTOa6vosrjVcKgL1qVH0e4XtUR4dzQqNSs9rR OWjfeJ5ZuKworiQ2SjiXYcsIaAPVL3y3zXpJ3ggELhukAath7E2T3c7La/qOKOziiyZVEvbc63xR rqKP8jsi1S999HzFkY0ERb5tiqYjultdv0ESfuXIt0e4qvP6zxM/GBaL8TLi44ny4shKC9OhC7z2 AHLVZz7Eu8w8mnNry7RcsD5+OBERKm4SRoHWmOu3KOpMAux4j0oebMEiiogdmQ5smpb5+T5TKMIG e8hcCo3g2EOs57KpgUX5M8id2+RTN5gusgIGkFU9Posk30CY2ht1TKvk4K287I7+EU1nWRyBoBs/ IORzOYtomGT2KX7qeO/8/qT5pyklQ2T6XjmUhuNYEXlOEs+ylSVXb4J1fvJYZ+FtWBsI1o+KY+yo 8zgAAY/KORPZOGyPm5LKGED+ZTlccOWpdkp1FKGyIhV3gGFnsVaG9ODd5xn0GquV4wo4Cpja7Aym +9Hh5w8s+7IkXXuXPndQ0dJlqum8qHe2+KwEgbccdvKd8E0r5JNE+5kXCvf+FAEzlathB6PMonkz mvYUyNg1Yg85+Zcxc+PwMBs3M73zgQ3bNTznKewic+P4LJz6S8MFEiuOzg7WhrbOfn424NyqY5zc 8mSq4QoYGGcbGHTw9NWQJpPvaNtQ7QsQEDzAlLteH/3TfSj5IE2eOwJEX6LzrW7njlGvoYhgoGFh zySfcMFfg2XRLZJGwGV6Y8VGhJtyR0KyRzlAWzY9HL1w/uK/X62tSh/vXXlN6ZmSZuB9D6hq/Hwh SuOluZkB9k8iiCVnMGXew4gDEw7Qejp/GXB+IzOvdxukEGd7ri1NZEmKdoeWm7Xz6hkj26K6+Ytw MLImgoK18AnEaOyHvg9j8u6zKJ/YYwhDpAExuQ26jDtpvpgBu2yK9GkTfp9/75uP/GnWLXPVuhPD uGhrA00+uVFor+iWPDfWZdpAgzOJh39RIcVrnmLYgd+GCqs3C8C/++kNc9vxW/ger/WsOJfj94uN +1qUhEOpJNX6qyQrj2UZHFQrwKfDrHofMOMhivmmqHiW6paHv87dYWuGAaxBANNhz2rXmyga+6Ki y8V6rYuLP8KO/s7/Fx6yhnYxyjGv5otePMxx2Ns+hyldVMQIZw4ZwGoA/vose5YQSsuVC7QycZcO 36TGccriKSdcAr0ojGCQKa9WroR1hPvhQEs5JC9zpHreG1j3A8KHue6j967atbYau40a5Hh5rhsR AKtrIWbScyBZyJfZgYrfp+tTdBxpWIs87BIRvqtUkJhTLDKCi+FVALcTm80QF688jGbSrrdylqVi 83/qnVWqonGazIjq/ja1bMmSv7ZYpIxetM85swZw4rHF6qw6Uj1BWWeWh+uuWZxrDj5ytB+dfL5c QyXHgUdRelbpbYVxse5RntC6AYwIiGhycyI8zXzGLej0JHSxaJRxBcIsi3mMMI9K6VlKB9FHbDS9 P66eXR8UWEj/D4gPpcPL9vXI/64796adNJksVaZ2lqJwOgcqnkQziNVKi4c/jYLlWTIewfn7jh81 J/vCMKVrQEfSmG5VfeNGQJ3SPKoc5PhDkLS7bjM34dbD0+mfX29+/8ksmzuzX8+JAI2RlJqmUZpc qWjnknTx6MEGxI86zPB05Vwwiy+8tYNN7z7FFzhZ+6LnP92a3B4HuAG7PgMQDpmlxr3A5a82Dc91 6cMBvFLTy9KAB8jAoVFXrw848ipPykUXNmcaTe5MD46bFpipI1d4Ob6+dDEak+D9SeX5tvd+h6fc gW2t+AUootvz+MjRU6PD53gELv2uszq8eX2+FMydS7hg2UCConcw1sB6Bnzm9DAR3z2vh+QuUUz+ waO7etn0BgPbY6NBlds6AoQA98JH8E/60js3J9wsJ6JszPqqhP3aht+1LHhpO6w/IwqEsQusflEA 9nWfOd8izfndWHPkd8PCBZI2cfYbT+CyqX8sWbESTKKTWpOGuHZRwQAFoSXzXPWYC36NEtli8uac jUbGXLL+fn6nA0uuW0Qs12hLYfR+5xs1ujkvZLrMa3865lTq/hVAumF949GOxZRWHimrAlmZD5ru MKg6r71uwky3tEX8247STGrwC202/c8bXPr1xeeo0Sfks7CGeVrBeCCkdnv6wC/N6n0Tkwv1T+JE 1PYu8JLwUBZvRarkgVLr0sOF2+qA95L33zGgWmpVXCGE3dPJ6ehsrrW8WWdujeGiN9FSl2nuD74G MCD7tabX/fynBfWiSwHuXzQo19zb3wiW2R2dDtWecq1/jb+e7pk5nFibhSXS0tO196fJQq7NxX79 +63zdYi/rpcIe6Y3cgNhVz/vPKMl0m2Kz6qBdExG/KN3bRwowR8ZSLTN2xM4norF1HFW+VufKqzk pctsUpI31DMvrb0/Cdr0JYovEJwjmIhTHW+mBfBXqqrn9aZz12jTUf/NQhoAzV5O03O42zUWCdIA 4EghxN20oWiY4YcHPOsKQ3s/KC5DILEcyD5KIpBByhC/aelb2XdJU/896x7MfpTmXHEOa0Ns27Ae mQEywFrVqN1W4odBDK75XYjJQRZ/R+Dy2Ay7cgExF7PbJ7JiGpNvbQ6uD5OOrq8XEa8osbHvyZ2q kZtw6bnThre7pBkiSZ3wyuU95UEOnp7JzfxR6UOo0Ru0QCqVt62ukQa2H2jGxRLZzCYL+1N2GGVw A8wJjzyRc5m0sElutxditnllQsT00n4QFKwY1bUufCpAhQdInnWmnhz/qIuq0C6Jm5aMb/EOQZnI 4DnHU7ynmQX0o1uE9g2sxOnVlBR0a7gdZmm8SZhRhTJCDSqw6Bw8/EsXB+njlewWfyIMBi+/NleI CV5ZbD91kQLZ0KxfHEs4FF8krtCnD+KCjtsqIt8ieP0dfodIb7EdSTTRMuQNajq62CgrL1Wty2Zx lScOK5/4qRyn4g5YlAxvQZuJu5JLGGJbXj5jWkVRPJsliXMRKYiXBecXtJ72mJrrpzIjcG5hIl7h vWIAAZwEEnkXtDvNJsT0R9rvr/OX7QLCbd4MiE+Fxx5VH4UOyhgBT/P/6RNz+CKwbMxiIDZu5X+A VGvyvXYgUrkxq9uNmEe++4idTZWkU26gYlcJ/JJ4ELZ5saEgmGUAwfeqA9LOGtJKw43gWm7mEsDr xdDFDHJ1hprprux7suB5d8fF/Frzudvap2PDcxIIR/hMZzg00WCRE/zb7b+XOOKzHj189AUqMUyS AoS8ayCu3VDSt/D6bGXzeqHjOvtZzpS2BQypUHOCqTbCyCaj2ezg4x72yknMOBu/ETT7nIyzgP39 MYss7GhAAZ4mtfH1a2xYarwRUp4uCJt5W/JWYa1znR9o54A+swjBuyjAuC/2F/k77kxGdnfsYSA/ hPWF36LOrZmOcHFfYv3slvvNyXF+cxT70FI8ch9Wo5QZVLJq4Q6VnVzbt5kXzsnVI8waVPllpS/H v93AXzm0o2lik+BS7WF8KE7+baNZpr3RYUs2Wm+vaRxsAraswKRwSj2NM3wwEh73tnL9nVuwXnqM tw+SCu4J7KO+Lm2am7Q/PoJDefaCLMFwJtCZiM9OB+SELVzEwhfDBtIX6W/A11CqR1x+sdqxZkon bUnoB73W5UdQw49TFBNF90QnpBvVujo/UaZHKlGHW/afWhEnaYsCf7mWrqebSPsXdGC2pRMNywc4 YVwBA0t4L1B1paomyyEl+tGICdhh554m5cMYwH1Hf5tCKc19QM3f6WZNHK+IHqCK1tRhhA9Bqaco C8kDrK2raGt7+cA/PJCP8ntNMA2vgTD3ACRnJK1ZEy10sk7ds+jzLGoiADa/jdit59mfBG+gWB20 HsrJgscaFyeDGcuYQzmy4fqG+eJZ1lnW/BGISGq0a0McPvN0JtinaphyLO9UsyrliM7CPCEQfW3S DFPovPeHk67iWG8xRnJqk2n3ueKOv1RGUd+6+8p0ZNPl8lwpU1xOEh/24+WZwPLGSWITgOHvNp84 hw+XFFiZjReFcCT6UECRQ+39WV5aiaYvyl6cbklVgeftelQLIqykg1w4U2Itr97p/48R+S9+8lOj SF6unIm2e85uhQUkCpRC4BxactHzkO9hwvjKeh48AySh7PpZNEsmmlIjAlYdEnv8QyYKfDa/VIr6 g5zGf2cCaUcJwbVT/ajiaCTebo0teMvDTctW8zMDNGAMLSqb0OA9ERETnt/txrfcNZSyb/ibX+9c b+IIgeuH/IIpIqcoB9eAAtlwXv3wG0chnvudkc4JwP1p+GLV3/KTQHzAWeB5Y9DKvDGZiygwAJqI 9sWa6UGCN7qhu3oKaoozqdcI03a4McuQavzFc1gUitJCk9hcz8vMKjrXm5aYikL9D3ui0YZqKg04 WK53vt7qf+fE7g+m77pl4yEHDyg9jQNCG1gPPcnGE7POX2HjtJqQuNftH/7AeWQVw9lQ9M5eJMjK EQelPV7DmUIx/puJjwxo32eIGSn8xrM0+aHVhgiXsMby64eSYykQeHMthwWdE/E4hGx8PGlXkjFa yvZsoGr8ml1hLsOaJHUJh7LUtQkdc2pDxinbSuJFgQFq1Ew4gYhhieHozTyFz9L6s91r6dsIdJPt Zj3XssgH+3SLe8evrKr8NisKAqglDFXry193CsOvH5RLepXj0/N+jy7+vd9AIoeQFb3fbqCRa9D/ IbklnqKMGWYTECt59ZhpMvL0FAdKCUzDz99V+L6zP7V8YQ9lCWyt4i/ERUMpZPUP0g0tnqDzZ3B4 EPX8yBokYthb9KakjqSdpoZcawuIwZKY0rPg3n7XuLzCBHv3Iv6kkTFiJytqKgY/TlPLv/+LUH3W uP+GcpBknHMfd4YjIgCrukA00P+yiBtllrkfBF7EQNHp9iVcS+vkkQX0rOMVv8Ok+zlCjLVSfLU3 RN+DoLDbeO1MreKsBM9AaOM3LOY0zB2jnek8IiV0aaPTOLpvXmZKvshAQam1YF9k8feEM+kgQoXv Fpr93qDwsNOjiB7esBB3Lu6Rgp2F7DEEsYwQ0YNQMkoma5+wn2hbNGvYz0e0Xqt72FnwdevUEk69 dRAoDxSRXuboOTi/gFyZzTWCtIt2HjgTvomHycrRoNLfLIyYOQHnoPgTJ0SwnJ1M0Vo4kKbO1knx NNmqpYrTFVPqGmKNB+iz0cwQBT4FwGZXatg6PRE46l6qjleg8qWEWKK3s9FANsIVdp4uV7z4e+3B GLHmbOfOi+8spyBzSvPNTWK5vvaUpUxdvhGY76ebvn8XQuPa9idq+kuTDg6S+i29yXa5uTA0Boyn 4VlYnEJZVFBTQ2GV7gMBQFi56RiRx38obpJPuiLqIuhSZD6UU7VX5VS7b0YE4XWyedBRNAKKWNIj iIgyGSTsc2t4MlIVdZzXlBS3XSOI6bjZTsxa0BRjS38cxI9WyTmWO06tdHuyKNqpgAjV0cp0ECjH CaIW95q5S0uHQrmV6HiAXJOqYB0dpHu2Omjw1QJgjGL5oYZJrFuSHABFJ/UXFwI7crEtTkyeX8s8 uMR/4/8UdA6jeECQjTtdKqKsGF5SWhD/K8gHupelW81FyOvbZQRqzdI9+PQlWycreQWq/eDVuMRM 2+q+gnmj/3RMgtVCgGXJumowV5T3VQdO/fsde1edzA1JwDN3i08HkBX6lff7VLrSALlI5o2Suygs lTfe5wyT0Vk7eZyVBcAlLzlCxmt62cT8/gkT0YgXWXNOcEVPQO4VZhbHD1L1egf7/9O6fK5aEDhF VV+2quOqwGOkzAqNSQA+isQ+qk9H2U/jHLzNuSy/zqtCp8gbHESYiNStaVOxx3A0ziHvvHERP5VV Ejsg/EtTC5/BrnwEMi0h3uU2d/Q5XptLXx3WAMnZXPxWV2nemt7Hpkxz2zHlFPCfH7euuVYvyPVr +5GhbIfjKHs5N9C72Bfix2NIeKp3efPhp9ul4QoSsXkUuoQStYDChFTJh/Jt8/Mw9YFC60ls/gLL 9LczzDBE2fJ8PBov4TF4+QMnxkvet75oVgNGE2GSbQdWvZaCsMZalIcuk9QOVqQuUuOKM3n6No3a alvxXOU0/7EUn8VWguL9E9K/4QWjs8rvHGy9IS/thfoSV1IfZ2utQHsnOg/+nUaoGPutAk4aBjZf rH9mVsx1hxhpgLNBfLPxn5kpUQXzxxa8Dqy+TX43eHxnneW7FgU7synZW1IL8/mhY4zIuMmwedhD qkzur867ngCBiyw/CPIuAKJWOZnH2escJ3FxxtYOuKzyX7UpvRkssmY14t4zkAaKYm1rXK2rm28q MntuByXLBTOfcez1STK1HXK1ZCNEAuKNYhVDUCFYw5LhFWCCMx9r0Q0ULdN5ESqzUcXAPZ6t5AyS Fh8PCPZafz2haB+Yc4m3QqAyTAQ8Szrosqwf2uc1tKa6PgEPxXB8TmTuBpy/QSW8+ER79mULNi3A mJy6U2rEpeldUoKuLqYY/YEia9IoynYP3w4OIZdaZrbruL64vcGgGWZClpzznTgDrOz6HOqYB57r H5TDDMfoxky5pkMCSxfq3xR3OMKGpC2gbgR3Qnz7FhVlCbjorv/wT4xBtr4PvtAcdEW1fd7xiy7B fvh4zUAtuYlD8cHuC40kRDcvboKIulJ5D9pkSjfeCeKs1lh1QJKcycMDBPtuQm/fgnAvHyjCwnVO EfvmiD2IUOqbS/Qosf1QCMgyvKeWYqy5GeIOXpe/pxt3pb5cJLNCCS0Ze+nFGOK00XNGoiDxTASJ qYxmXgoTkTkvc2TtgoW/I139k01LZYjtIFGFhG3TgG18LVnsdKCHbEyJjSyQ5jkwW90diqRtoHou AFhXIB9h `protect end_protected
gpl-2.0
d5339407fa60be595bc528102859eaef
0.954205
1.80882
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/tw_addr_gen.vhd
3
10,323
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ELnJu6Vo0SI9GKpLvbyZsjxQDyRCBDXnwaI+OVt2A28orBT2jmAlQ4HKnfhVOxQ1HVQoM1tdoooV yiFYqb+nOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d595STmd5mP1KOtLaMHlwcurqj2ROaQRJTJ9JyLXkWbDy08rIse8hZd1A6jKM9XRFjiJTfchVgGL HyQgFFro8kkxi3kcFfMYMrjfgmsBmzvIt0iZgRYFd8xpBrZcxlEz0jGB9JrVJlc9kFtlsuthla4/ XeEPM/M4NQ1NW0i4bUI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aCiwj/c6RBs2QYQzQfbPjqMfXZi7CBiAoY3ecZ+BXROFvW1foaeKNmymX3/NbqLmwI+aVfcBuWfM 82qMdBughbvY91TNnmd20fs4X9sRAfMMNXm+STuoU0CGS15RLE8mCvB6FK40VuhV3DuBowJpCwhu qttGfdt4FzOaiqM9YJVY++rI01UEPJcY9Dzu4Kb6BFrZEeWJ3iKows5wz9Aqt+78q6jzGFkn5R7D JYSXM48tEmgrz8rcCJtB9+hr80LrADRvsyaBnwj/1YzlLziNHfDtnwH8Av66LZCCbg39v0BLA/1E MyWY7eN5kcBSao+3m8Oo1qva1poQUc0UPcoR2Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rt8qeqigKmHwNHNLDxKbJcBQ9QDjdSevpojXqtzOPOHihRQJ4iBxigwNdpHstCOFVBMqIX82UPZK l5Z3voAz3pFaYq7dr6oHiV7oq2E0rQM5Uxhnfh46Zli/JIaRIpWf8EncPdKldj2Uf0AHq4/QV7y3 XqpPRbNfHQDneXeaciU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lg1zM5+6ggCKkgN3qoxCvPMtnbR0lY9lPu4zV4wzZcs+UL0W1Cg00gE/3G329vCSQVnp5DAgqrYF es9zj02SoPbANFlroyCxQjvn6Mf7ymspo+yMZhpWKlCutMCGBCKG6yQvEkkOWmJ1a/jofMJXUStD P5832qeWGes85Bq1B9G9RJF00xScbF9hcS/9D3oCqiqCAHxxSC7iLsa2pqMcYRqQZG+qNYT6voBG fplyLOfpmy0SbXo5116wxuki/c2xyUWMwOY5ZZScyTqrkXLycHyLaxvyh2vne5p5V5Q073iE+Nua YsJxRHqAz8BYbH/Qqr41Ph21S2M4ldPL/JaM3w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5904) `protect data_block lqHYkpxMxolz7h2fUWZoITda5XUgseMfPJZ/qQ9hmk7NApiNxHPZ4WI5cHcShW19oa8bTx2b9T7h QmQlusU7GKx6vqF/E8Wg4FDyViOa/z9Ds1oPXmAq0H6lT44QOq8LuP/HaYu8vQF6KMEabMq7EGLv GMpQlnkgTbW+AxVDtL0wXNwCy4Bon307HXof0rtzMdq6OjyPovXPty2n07/66jgTk+B/xyzM3s81 hn+O36UUs+bqcaHPXcCKgEISoJkU39rzEeLQt6besKGC5wCPuqPLeVmcIdhEI9uMJe6eg3JSr4a5 /qYJFo0EkTgcmIsmzYARCzfHx0SyNTWujPkHb/PCFMGKTnqcFgN2HMHPNTsFnuf5MkGSOgwUrYgT bWLMhxf6nYs5W4UpN9HAVWIY6nPWC4eftdMIBy9gAXwdNcPODK0Ipyhnd/uKWQFezz/+yCMNrNxV 6Gm8Ou+Uz23QNGIJLWSGZdVW+gQ7U1gy22swp9zT2gF594fKdctmNTlC9mJPHtGgqN5PSv4O//aB TA6bR5xxkdTYiZViNln8Z+bViIJTWFT5shOmWpB1f/Z4dZA9Ixy2KwebZgjZBozNeP37KHTbPegT 7sj4k0aTgTnrpyld9rD+j9VvSsvJ7aq3hMZhkFWe6cWs2sPvgbzooYVcubHZ3U/CmXtWjkyJchtS fnQlZ/3xkBIPfqcSuQ289HiYNnteKjf9K7rkWqlnvO01JY9qiT5O0YVhD6GYvRKVXWqHol9YFCrU SH/eOPVm/9Xu1itpykoEzifaUa5Ysn4ZWtWWQxkMrieKws8qdqXvducgmd2Rj3/XPNlDzH/NK5c9 hQv7jfolot5IuDB3Iq1ZhlxhkyVl23foQFHRHFU15OQqgwB0AMj9kS/GaIbCW4CNw3Tinky2BGw9 L5EklzroGQASOYKSJryx6jr2gfLLfiIqmlasMCiI2qTs8V6iCp2hkv9SXyJUHA6wz7q5JC4rrMyv 0W1tsN3ydEtVV49f3wknJDjvcgW6djeYV99rJGVSnHlT2J4pk1ar/QHSsxChrvb9IMUO1Y8PmgND uovfx7KmHnX9RXLSltLEVrt5vzrN+XPE7z1p4G06tJfLQAx9mH4WMF6YrYXq35fY1hU0GpRi9m81 ko0Jxt44IgkaIvO35s4aUVfLnpQlLOk/OGjQn5qt6lxkLHHBdoG4yHnLFMTztLa1l7UAOir60mUX FbIW+emCbFWcN4Igrtn7w+uLEE2XcxGldX1dhnG/EWHVEJiiGr+6T2SnXRnIgydVOO3kEMVxOZhv Oh4LyckyfkAzeYXTYqKjODe1mvWOZ1fGlWSXcg9rEoBpCbOMm5cloI8MUlDxaZZH+rlFjNodnrp0 PQm70hcE4SgHyWkOjCMBl65Mu4MlevhvEMfoBamNZpSstDSrT06hvuCisrwBo43X8eGMa+5yCUDP ZayODX7DnD3KkP+XJlyAV7sgk7jF6V3zcaDBn+MxQU0eAQ9z1FEj1EzAewS6dIWLtLrod+A7/bOZ 5czEHQV89Dz8wlNpve+FLx7AbP25Vx3REVtbiA1xyU1aybvwUx28+pk9JJFqWVD/XDPw9LJrynnB S9QN6Bup292/kdy4AfJQpPKi0UQFmnQ+oyWmUxt7l5n1IELTPDpFGvflrIiJHyaoMIOyDRjrjPjP /b4XoSHBcA+lWmTVqtpRZfRWHmOAmAPqE5pYctmkZWJmH97luj9X2f4JVukPYR6kQEePA1zCMyo5 9GixlBbLH1Xya6dObifa2M6gv957qWzcTT6bcbHZSoB++aNoobDz7mltUbG0ZdffBVYB7lYl7VnW qmOYDndPaVeG5inxUNO6olVfEzssiWnhg8O/AScJwcVsUhpNjrx6dUSgz97wit7jdYngLiZsymqH UAiQn4PzFuUEh7lvPCsdbm2q3Fsfeb1feX46wknSzyBge88/AuXI1gIGc8+iDEs4IADGHESFjq1j YGlmxw1hgTnVL9F4S/zxDFmVuh2WZvGraeORhJK2I8V/k1wblr1mbuNO9fFze08Fv52kyBHXR8R9 uGB5gLe7NH99POEQv1gzHm6plGypakT0k2xkfVwdsNiMmoxeedgEiLzDIJon76xnU/CsFSlAIGVq h+uOTSD75K623k3Tb3wq1kVlUkkNjVDYifbEJg6QuRLUCVZvWyhQ/4+GgH0ki6pMiqOq0KI4sgNU aTIwfyCDMaJtRzT6xpXNrOfXIjX+Cl+L3pl0i+oEmwEd8dVZ30Q3PiG0cqCWYE5T7cfcZUIOwApv biTWgrrgb2It7VF+qH7M2VLJ6u/jlpjvMH5RQTEyZ2c7xukwJ7AaGv8HBINWfFEeK9yx+fAn3+pJ Kl1SpQWmIonXH49TwUBp0W8WabnYYBeNTR7MZrsI5/DsZ+A5F4OCqfqgYOd2TY2YgLGg+uUUFRhn SEDSBGuFRIYb4nFRI2fDm21Hx0ajGwFmI88su2t/vv7hqJ0oumLZhFY6iXHLvvfwqQcI63y+w4a4 TBLx5W/0vJTlOa71xabH5Vj7Exfy7Rxr1IfDnMDF4+VVzDPpp3ePgP9TeLcUdJFegLM0eQrqTswi Xrt0oUMONZ4sTlRT6VMDs3R91atbwidnsI2ggzxEqLhuNy9+vK48RgbbKvJ5KDEqPbKpqwv+HaFw EJ0mni7vqgd6gV4ZLBDieXsdD5kY5WMCjylcguAZopKLx7TUbUaO9XySQPe3lsy17o/Ym3SUFoKT W/uQH3MQ0uSiGQPjOWzbnEhC2sz+N7O9x4FZAQQGMQ47hIKvYnuyfa3hL3a2xNoFwd3wmt2AWt0i rsV3iNtmcpT4EK83RUrWFbBa2dGy/fF62H/3aw0lQncrHjYDJY6bMJBmjJYWOpSQovAcraoz7XbU O5u1bCCElNFTLQ4cFFCEoICSMuhNlGeaM8/WkoJmWzbabB9XvHGhGwbtEIYnfc86CP9UCgNPc27t USzOrGNyNc15Ma57H+H7JSitM+faHSzkaTMEENICBgYKTZZLROlm3Z7AOybwIaN63YhOxEEYJkge MtsHAwrDXhS3HWsFVsoE3IfGrK1oA85Bvh2DRHUbdvpDdXOk3CmmWkPVF6f3r4U1C0SLd+Gct4gA k7Z2VfI9+aBZEStqc1vh7aaWWOHAqnRaMN3t4GHGpBt+ggiaKnPyTM6THCwfX82ye2ZeMALLQkX4 +wzSzOdvsKf0WdzApGM0jZRXpN7kCJvA5UxuEDNUo0GU+TonzxQKcs9zESwAkkm9BqZNdUk9I2JX YPQk7iI/3Ha09pdDaOTVSq/o9amleD/kQnJgSXTHkgYLceKOLQtr1ea08SSDXxc35LDC0XYaRA7X oo2wVDhb9EhZK0OXI4YzdTFFuRPe5+0s8rXJBznBcA52nGj5xVv1fjk0XvQADGwsxYsf1+WjJKJ+ 7Gu8d8EoDPjvlddPuQL1LlZEv5bWyMF0zskDkvGiAMRMHPe+NGx6F4WJBi5JovBzXjrk9TgcGMWc M35il3oBpcyChQ/qvRenH0RpAM+VFjWjPQUIRkeeb3XNoceo2ThrMXjRWUjOoBA0+iVwEJluhlaf O7EAnWgWk8IHtLqmhsXtoGxX812mqbL/ZgFcenpKlrvphg55geKC5VS0rcLWJ2BQU8l1v/o+pJQx aGrGvVIYtE8YlCOgALb1L0dW0KpJKrkR+jdn++KWlVhEE+W4VlW/xnfIGD8V1JBdV4ZnDIuBBQL4 m/arsdwwPOxjJpT7bcqzMXiJu6T0Qs9YQOPUlQEuhtyRYPkBeUpNJB/uigrGEWAWJN23eXfa1iO+ nAFWSW6NiTNsbEXXPTCOYRaR/IRGO4OOKHPY/X1xbW7aNLcuXrwhFArJcOfTiMBLKPVTuI02h/mS Q5CniEBEy32Kz0Sw+1s6SeY6nuyrHwZ8gDrdnf94TTxYJ/z57oTr3hRAKeESBfbebsniAVArERd+ eJ/snCcWWt0gwJnGu50OlGn0IbfakFdRvXWfKFDeSmfTSAWT2epgQ8mneU1ZwgL3fhzyB0Q+cIfR nn9n2yy/HiaF//UFErfpTp+rVEBS1XtXBNECMcehp1ozqxu5DdsNQlkrx4ME6OJ+2FeN+k4eE+VA GQce9tVui6yvaT1I0cJwkwDDytaTdLK76ro+0bRzBpbP//l8am4xukJIwSc7tCgF8FCvcfvppN/C 0d1LlGBDw9Lw3H6+Ly/Q7gf3Qgg1ZnTtq5QdFwrgDf8rF4Vpqtsj9WWJczsOWgv9uNjbyZA12Oor 7MjgdRRMGXTxgeMe11HApsCBsd7Q3UGQNm+u8xfU+FveytaW6K0v00cIE2W6TqtARuMXi/B98YcD ZdySNa4RWMMLkW6jS6gEbU8bttC0PO6doiJO+Pv1+JFlxWDKTRpjXZKPmdwXHYpOphIsy+kWI9zI wtwzLkybOPfIx6xPsze4bY1lDVhvK+9kIN2B1mXgtH48MoERTQbFnqkvajzaJnN6IBnwGJVDOnrl zhgF1W5eobSqBH53+QVt8fOFAq6bPb3mGrjfFssgFS0HeVnR1P9Gnx4D8OwUj4T0M4ka7scwVMtz BgtWUhP1f89RscxRpMwzBmdt8r7jPQT1qwWS2Ae/ezhgA5RazD7wbsvZMi/qgmeExRGaYDK0keqy v8xGBrgZQ+VwTxBkC4j1KgVqRmlicMVF3T2ih6fIVek1RtutzEwpXaXqxNTqlmH7IlIFcbf41Vl5 fpneTlpXdCbWAKO8K0GKQWLCiw/JV4YXvu/eVxfp5eU9cdS0uzCuoZ79x7s7OR6Ia75mznTwB3IX sTaamKspTmYHW7SFuYIF9g+Iz/Iyk788d6Lufw4r9bfPYqdBnN3XLvSJvb2rz3I0zsiUjNn7xBG5 /IkPU+tCakS0fUJiwTfmVq0/Qu5neXl8gNkBx9gLWdUUHUqxymV1z5Nm8eIU90gOx6irY8sRBui3 hndkc9jyghwDnMBzufvUoJdnG4dsIe555vMhp9WodE/CYCGB8LLwhQn88PkO0N3+ijxNbfplmpc3 Glq621qieDez1abEtngireC0WdRg5qwjVbpZiBybD1vxOm1wb16rb+JbkbLfrnNAuJMS8x+2XyGU jGWUJhUikpTFG8ibGXxZ7hytO+575FE/g3YVBhLkNIynWIzdO+h0XUSnJhn+Okd/h2vb4nnrWZKC uxEPDujSBqtk6nQNYhvKfp1bBwFgOt2Ojq/bjZJLJ3OnnqisIUxaETDl04qLhBdJTNYROqBHsVKA olswnFmRAgfHj0gvzprDAMKI1WrTZ9Qhi+dasMkN582DGIiSYWLxEZkHQZ9gOhOwnJdZY6zFnnNz rmGvGR1tccKH9ISBmm1QAN7+RbBt1yVU2b8tEvyRx+ezcOS4UedUm06e5MaiJ1MIgb0Hh/pWHpZV yc/6rghD6cQvgV63NFHXGgnG6JR1vcj/kQsvWj5BQFvYaZe/vFBG5iAwE1dMNpQXBlManQAChtsB h7EPAF6v3/ldXSMcFvhp8tX5XnNJqWLRPhAwvci+oDj2aG3DhRf662AImwzl6mFFN04eDTKRQaKN rPAK948jebaRaxWqOfJzNGWTZvSnsHwUGusvqnz37b4cJQA9uVUl2nZOaKmzqRW+nrksqhlOcW6w 8KDAIF4pKmHMjJGYv9uGkhc5TWqlLPkY4mjA2oXNPuqfYGpGnSYHkbpA/dmnYP3cp9Ci2umK+kqW bXc3d/slRVf20/9KtXZKZbNRPXY0Ir38X0rryr2m/YRPf1YqLl+kk8qSN554qhnA3BwkaRZ5U+7r 3MXrFw41tQxNzPGmZVu6wvqq62/8IblKpQJdLTXbGzyAggdlwNihRFBOAnCgz72rC47XfvOy5muO DGVn74GcC4MV4fu7GZcltlMNkd4xFOk4L+nz+zQWQhqApjCQSJBdNOQJ6qbipPbWTX6LAQhq1DIy Bum5VWytfElpPbLtVLYuf78PJBXITDufi9b7oKa81VcpJ1vjvQ0ccUwOrRHRRsOgAGFd8Mpd77jh TrNh2psD3OEd8nl3wj1lO7FrGivsIh871QFgs5IGYauI4JI6TP9cV9klsIdQeILLbVFqdn9sBMy5 JHJxbWYzvECyxJdxsqgdtOZezjPtIVyG5ihcHSpRE+l98IDgFrPZ7FymD6Tu4B7GZAZU4DNkQ4tS SScDeEpZl5QkC6QuX7cEU4O8GsAjeKMTgu+DdemXzQFtrRJG0QQM2aHeCBUHHtSaikd6V8tyQzut HGia9zcKfgLhTgG8QPQudrNfRl0CKTEFBFaR2DGYYyFOQLXxSnIOUIEgx/zDP4Cs/PxX3k6IdWJK L48iR9JU/4HyH8LrhM1VdtFDNwop+HVRsb4PuHfoPvh3gCW0nAOi5aEC1xvo5It4XmLB4U+fLNIp Vz5qlF7BcznJfTBXWKmYPfYZKcDEm+M0Ha+ocb4LE24+SopkBd/WtZyYTZpT2zBG+3Xs6bGK793w 11Am0S6i+Tz4Dc1avYM0NEutti5GDi0fKAE9ZhpLH3C04pAQTWVrSUYtB6xvNNsTEQktT1585vEq P1yWuPyptXe5EzsR5bMtsK23sKlNfb9+GsGM9nmlouVnNIMkXDzsg1jwTNIfSBdHLGe2kR6hfv0r fwJf35ucx8cFdEf2prPjPAZfE/8xa3Xe+gRmXCGHIqWmUBHbDunbAJv+s5Fwh6nIP1Pt7ZzZ/GeG Qg+jXJCSuk9T1MgckEqbOvGuDiAE+uQ0YXQ7dIrE2gvg71d3nuwP9v5QtKAlb65PVFJhepkG/DbS ZSirO+HO6FqupYfGSe7c2DtuVAml21LcOJFtYY0/xhoxBd/7hia+5XiP2iQf0Xvgf0Kf6AQqZpV5 /9JdEHvGcUjL09Vm5LrK4Spc9RJMGW29VpENph/RIjQNhw6KkztZyuUmOG0OoiAVE4dqSQ416BX7 69RJ/QEP8LvdqEw4x6Q+0imgsDVVIdIi4gWOZ0eIjIQ49iL9RQapUG3blLxERuvQ40tthjcJPYGH +m1KUxFxPExdOAJDHH/RfIXQYI2q/6+fcZGs+IUrb8JvzO7TjvQeerDGx3yqBH4tBghLHxBQ6m8T Okrsgum8l4kvMu9rh8sY2VjFJBBkQzLo+CovwV3K1E8cCcy2rsfLfNcAYMRdluq3zY8ELvsk7BWO IOyG1fK5tq4VdkPhcsCn2zRfYNbw1Pj+s61YLlVIfD+IezBJAhw90KRX1uEhZOIytDKjCfu/y3Yb T8jqAG6qFP1554qsNlbSZIm2DlQ46lCuwcs2UQlJe3y7Np4xmZiT4ciJ2WR1TM2I7jj0xfVuHOVF Lhr6HGznaVI4TGhjuxuf7W5YilR3RvVlHBQckHGcPH0CFabesC2ObRKkv01F8grikTK13yOeMK2c S5AE66uNG8N+57E8yWWwgcU+aqt3G9nB0UWCUdpC9tdpN3sXB3DoNHJ1JDdsHlouyLJZO9pyIsD2 Sldl14WBv8JdSQ+XwgEqFAQ9da/Ho9pOlMfFUSFvLa87JLUfWgKle9zbtX1PjeGFmZNILSlxwrax F+U2vNX+BW0VBpEFcCD8Q7Hel1EJ1/brN3JdoWxpfP+S+W/zo2pvfEJ8MUkz7CnCtSHFU57Cq1vO vPYzp0TJRMXEqw9FDxmf10fAl75X6Zf65+EKxRpUoQKh1BnF1+uwhm5ZouI6WhC2xbWNHbR5Qjm/ xZ2wt4CsmUcrA9eZA7P06/HG/cHHWj6x/UzuMnuxkBXnmi3UkcpZa5Rl6u4eY0pO2o4PozCcuZUr GWTBs6pw3oRPHecsvkzzaSvHbAkIkPibdDLhQxe2azyyNSpx+VdUOodtviZPhiiYRa6f1pdg/t+y 3rz+lPb0h+Zhd+A8qW4UNhqzdD6LPHTc5cbeIpzvIzHN `protect end_protected
gpl-2.0
520d0c8224193ab99ab19f11a72df935
0.926959
1.904964
false
false
false
false
olgam-/chaoticScan
vhdl/chaoticFSM.vhd
1
4,364
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity chaoticFSM is generic ( N : integer := 14; NumOfMoves : integer := 6500 ); port ( clk : in std_logic; rst : in std_logic; Done : in std_logic; mask : in std_logic_vector(N-1 downto 0); randomNum : in std_logic_vector(2 ** N-1 downto 0); outAddress : out integer ); end chaoticFSM; architecture bhv of chaoticFSM is signal address : integer; signal newAddress : std_logic_vector(N-1 downto 0); signal moves : integer := NumOfMoves; signal isNew : std_logic; signal cycle : std_logic_vector(N-1 downto 0); signal randomState : std_logic_vector(2 ** N-1 downto 0); -- signal mask : std_logic_vector(N-1 downto 0) := "00000101010101"; -- 341dec -- signal mask : std_logic_vector(N-1 downto 0) := "01010011011100"; -- 5340dec type array_type1 is array (0 to NumOfMoves - 1) of integer; signal addressArray : array_type1; type statetype is (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10); signal state, nextstate : statetype; begin -- Next State Register -- process(rst,clk) begin if (rst = '1') then state <= S0; elsif (rising_edge(clk)) then state <= nextstate; end if; end process; -- Next State Logic -- process(state,rst,clk,Done,moves,address) begin if rst = '1' then nextstate <= S0; elsif (rising_edge(clk)) then case state is when S0 => if Done = '1' then nextstate <= S1; else nextstate <= S0; end if; when S1 => if address > (2**N-1 - N/2 - 1) then nextstate <= S3; elsif address < (N/2 - 2) then nextstate <= S4; else nextstate <= S2; end if; when S2 => nextstate <= S5; when S3 => nextstate <= S5; when S4 => nextstate <= S5; when S5 => nextstate <= S6; when S6 => nextstate <= S7; when S7 => if isNew = '1' then nextstate <= S8; else nextstate <= S1; end if; when S8 => nextstate <= S9; when S9 => if moves > 0 then nextstate <= S1; elsif moves = 0 then nextstate <= S10; else nextstate <= state; end if; when S10 => nextstate <= state; end case; end if; end process; -- Output Logic -- process(rst,state) begin if (rst = '1') then newAddress <= (others => '0'); isNew <= '1'; moves <= NumOfMoves; for i in 0 to NumOfMoves - 1 loop addressArray(i) <= 0; end loop; else case state is -- when S0 => if Done = '1' then -- -- randomState <= randomNum; -- randomState <= std_logic_vector(to_unsigned((2**N / 2) + 8, 2 ** N)); -- end if; when S0 => randomState <= randomNum; when S1 => isNew <= '1'; -- Rule 101 -- for i in 1 to 2 ** N - 2 loop randomState(i) <= (( randomState(i-1) and ( not randomState(i) ) and randomState(i+1)) or ((not randomState(i-1)) and (not randomState(i+1))) or (randomState(i) and (not randomState(i+1)))); end loop; randomState(0) <= (( randomState(2 ** N - 1) and ( not randomState(0) ) and randomState(1)) or ((not randomState(2 ** N - 1)) and (not randomState(1))) or (randomState(0) and (not randomState(1)))); randomState(2 ** N - 1) <= (( randomState(2 ** N - 2) and ( not randomState(2 ** N - 1) ) and randomState(0)) or ((not randomState(2 ** N - 2)) and (not randomState(0))) or (randomState(2 ** N - 1) and (not randomState(0)))); when S2 => cycle <= randomState(address + N/2 downto address - (N/2 - 1)); when S3 => cycle <= randomState((N/2 - 1 -((2**N-1) - address)) downto 0) & randomState((2**N-1) downto (address - (N/2 -1))); when S4 => cycle <= randomState((address + (N/2-1)) downto 0) & randomState ((2**N-1) downto ((2**N-1) - (N/2-1 - address))); -- randomState(16377 downto 13683) & randomState(0 downto (address + (6))); when S5 => newAddress <= mask xor cycle; when S6 => for i in 0 to NumOfMoves - 1 loop if address = addressArray(i) then isNew <= '0'; end if; end loop; when S7 => when S8 => addressArray(NumOfMoves - moves) <= address; outAddress <= address; moves <= moves - 1; randomState(address) <= not randomState(address); when S9 => when S10 => when others => end case; end if; end process; address <= to_integer(unsigned(newAddress)); end;
mit
fc724a730e61a333ac88fce06d58cc83
0.590284
2.830091
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/sin_cos_quad_rast.vhd
4
74,562
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MUCmVgcvuH0++PEC0RbFEv8rOQ+Br6iIqpq4noD0hAEdcYqeUZwYwukk5GvTlEvGsa4CwC/pgcx5 MhGEDNIzFA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cXuUNKUvCjifAcLW3mNAmpMe6jK8qud1dVy9yR2Ske6+GOf1Fke/StFGyF73FFxsfASgJOaXypCm 6lzof61vUVhwvQjLOuYzyavrQqclk+VQOTT0EbE54BgzDx8UQtT7p54P2FgikkkByEfnTwn9wgJ2 bxKefo4qQ7ebRlnChQc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block agzRGb/EBw69i3SUkuAklTyT9UE3hVIkTxksd8EfiEg8ZqtWGuAJnSHsTsfM6hD/JGrHZkYs/CbD hci1zHM8BW8qqJ/0LCRdEM4ebi3sbX0HF2TxBhBDjgj6adnu5jDX/xdrIjWfdckE9Iw/YX6C2UDh /gv9KLf7GyV+kkYa/F4NPPayOT889KWLeGr1e/pr4fBA0+Rw/0kwCFLSkYCV8AXIOQssL0NGT7V+ GOe5sGjclM5zLc5UQFytWysLv2m7hXMeQreHuwDNlbne128Ronzmxl4GAJAlU7AuxxYd7DLpRku7 hRbMP4M/Z2pVaHeEGAGJd6cwRiLp0lfv1f4orA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block So+naAkb3dLhtEgNktJdK05Q9t+6K0KLZsrKdTa9SvgyXtwu4Ube9Qsd185zwO5x5YqNvVvFre// TNyjopcYbF579fRE1V8ZMGCvCPTyutT7j8MnHhD8Z19hsGtfpKtv5ARjjNDUuIycR2Zkk57pLtHu smGlTwSzTZ5ML7uIgS8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block juiiR9oM22c+vW9XvhlcmJmCdmTW4QxlzY/gsyGu3SKE/2eOoxhs9tx2+ohBibavgOYCmm8gg3pR WlMKQBNlimYEBn+nCzmMUXbFBVpT//w1gVyQ60j7t7BfzoRV8m+cFjhO6NPEX1BIOSV27ViV2xWs 2u0KU7iFZ+6bMJj8BbA4Q4kFX9TcbsXaT9u/6zZx0SJzZi2FBVMVnKPDO4c0qUewpx2b5OkvOBP5 yhR4sBDXWGiHZqiCWsE/txmhb9uF9HPPUDWIu/XCVfTZqckv0s0myKE7s5teWcLv6xuLMVVnIlsQ 9dFJD48Zohbw+0WBVtZXSUrJvxo87yES6c9UMw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53456) `protect data_block 3sWnwLTb2sBd2gRk7I5gMNw8PCcha0JnLVE9EoMUS7DgyxG5NjDtqYlDI90YOwAGkaQ5UQ64jWKM PcfQnjmz1SPks7PEycjkVBSAjwuKAVgz9bgd2sEzgPE7v00W5xuGAO4oIJy+rGVc5XJ6QCl3OEXz Z9LEneOV9C5CI+j/efbTwgoUnaHBD+jE36AkYF5XzO8PE5nLhqmxcwbqq9iySXnJV99pUJoqrnEL Ubi4YkSeTeSBgtvPxCu7aEDUBhorFNV5H/kg+yXUq/MN8zwGQ/XDR481of8iYIma8+zX07oWWoxa o8L/oMRWpceJp4526WzGrCcpl7rSBLTTnxK57hoUsfiWiSNR/Lsbfn2IXhA3C35W8N7Ugqptl2DJ pwlbuPZDRHHU99IB1ZFLwnkLbeRL9egi0H7IdJejcDE+7Ip6pxR/kdb/Gvq+ZFQ4j/AHyf0f+gas mD1EpgNvVYckZDKt3SV0786VzSH/HGjc5yj25K+GUXUeuSCUC3u36jXEHePzFJu4eIcflDc5tXNp Kz6IKYafC4uiutrmTxyqg7rGUULtsEFcvUIVW0H8042ftAnXavCM2ONWcmiB1W3qFJhKXssgmF4l uKLebtec/AWhMnLmFeUz5ZTFpQ8g2LMJS7aDZi30a5d8j1x4ZySB4JOna+fZGcv46cmuU9iwWcy/ uNgi41yLdJLak69Md3lk1amOs02AnKk/V+r87vlAABIljNq0ogqkRPMQdLYxGHuAbvQRdJvey6JD K/kqE3Mjs6z2URGBXj40haZG0NFqVmF+jGfziy9DfNcG1kPWp+fwCwAc9+HgO2inOmoI6zvjgUlN +rSMI7PdQuZpzZP0GhfwCF3pzSY0CU4wr6GjCOOw6tBoU9IVkux7ckXA02aKM66+HjRrN7tm8ITR cv/0jyOQddNNlENgFEm8hQBCRc+e60ujZ1ROusaoa38H9s1j3omIZaDpfKgdtN1/tGbVwzEpPwQ1 +egOjw6KjA/uT46LpXl17Xu9NOAt2phFKeLWpABpNFikGBllf2ppbyX86G5jRcWYA7fYlWlfw8fY GzdKewLwZjNowe5fK4mcAJeTJB/R8tVk1SEFkwR8IOBtPNUoQCaZpMJzkUv7SdGUcpa1Wt5AfJYB jtgWqT1NQINtSv1CH7ekGU6qDLVAK0NIBVIR2934oT60HcVPBPa9f8sUsyTEuA6DTN8elMOMuIZm V0uaXW5Po413S9Fik/zqADAYS4wv86O1BBRcUvAYuAZXtT+elP1eRiyxj4EJte+Xyo4P+xQYt6bg r9227oNoTtMgJgxLjG0CxeeDEP0LcDyiUqMVYeIahUb7Qe7/uvrEoWBmLixN0nhstRfRB/bmCPKX vW0pSEeT2IMi6Pscqb2c7+pXe/ZH1T/6p6dDDPSAYy14DfBAP4I16/YaJxUXctgA7MHBCfuNF4a/ cq1KFw3z9PXQtjGWrvf9fZJ8nh2JdR1dqMcrMmejPHTz1lOYvJO5Bqri4CAlkVDHs0S2QXTbJZP3 MmlAcioOAyLc7ez6ompg0eRfMsIkCW0VqZaouZcyjbej3OVg/MIh8L+4dBa0iuTgdAcu1l2KOHH+ m73yA17GzrPQPS3VsJcsp37iDdd5z+mngegVGC9rAtsMu7eBjd2YYuJXJSwOgivZXklND5xFsX2f P8RSRuHIp88TaZbTtx+VYffDC5vbEmZ3pSB7xZMHV+Tie8ZsfiVBTMDkK8aSFn0KiIltQtZNYYNa iOJcE4okn+6XkV3WaxgUIwwiQcoI6hKa1F0jpm5v2Kn6uIEh8tNG6+y5Nu+Luw4qQ/1FQg88cIYZ iYbvTYIqrbhDeX+sNvZM7imzPDGjAA8cpjCHghNwuhhWLsgZtgYwvs4fCNyZVDONWJOxwoZsVPwG yREgRpJ3CDx7WGO3lPBAL/FErDJBP0Ld0X3VoErbGMmmwPkL1g9Elhxh7YyedeUpY1K4f9ifTCWe k096K43rsdk3D/th2vk9NH/QrlNzoqOWiIK56HQZ5931mbQY3qbXsMOguw7rX0qs7aqhLtFO7tI3 mO53eNZXIuWq0aVNUp0nOERrMcBVJWI1QWN/INaccwrGp8/QW3W/nUQBZD4hfg4ER47yor0DB2Xk reemyg9nPex2PlhmWyuD3Wo9S+yIxcnO34NJsj54s6RlTU3ZsN/3CSTIRtz+ZfgjJI7rHjBpSbCS YVo/1uG05PbhfCQoCH8CdlC0zyhNH4akuUmxpw987yJPC1lv02IAay4otr3kcLleekegagmYkygf X6xrdTZbUeBhvsHT3ckaEOHlUtDV29T+W/tP9iC5f0DrqNOsHZW9fxt0MyunBwoCVR8gyL4EZq0D h69D67FSR4P8Z+Bl1priixvQl6kkr7Wf45Rc8HhxfBSEe41h5fwXPxYBC7R3tZYeF6ob4oT6ixCq zmNhV7IINNDteWRdJR72p9GFzicvwAUtgcEsxVZzgcdl3oS/NAxaNA7d90P0R159bEkVOvPcrHiY WuS3Tr9fzN/NyKkDAnDJ93+fiGBsZil/Pdo1GHhqIiqvj6fhQ03MOZ3Vk0yvNr80uwtfrxAO4Asi w3T6AYK+u9IC0xCj41rcjLnt7Di8l8aQr6sOL4zqo+Q0Y9wMm/yhB0sQLW+pyU8EBLxTgvr0V+Gj DFGVyWyvnFXt8S1BjVDit9mRRn/NveJiSj4nS7Iyl3IYGsbrBdPtoxSWvuKXtN9IDKBJZgtfN2Y9 1hThFrE/qzffSOo9CP9ioHNR+X+Bks7Hq6XS/iBW7GjR0g/DCXHQ9Yg3YCPnc5xARMVgoDoCPs8/ 4dphAzDMfJCeb6RhXjG0AKIywm/2nCquzmNCRAJZEBN7She0XXQaRRe2mTYYZY7Z3ap6SFNo4lnr 2BG38WRw2HPK8lTOw4QoMhLdv5yRkjUKBXW+frbXvnEerePE4vj1KW8y89nH7XkEguuWc+OarkkS yt4sAKtT/SumHgIoe/hqYnpT/b6Z+xUW4+EY2SZoX0ZGPzNj/mGmUOac+1gynqO6nvtYQJTkdW+k R0kwaXCJlfj5W3+TDosI6hcR/2QtGIGmiYC2zuyysYGmYIy7OqEdcGSeP3MyMGJGkYGg+HRQ+IVT nn7ZX4nMBpEhJL8H3ovEP6bVWfLCMA4EDMX1ziTkemym8EHa0RATQHbinkLBs2w6HNykQeOky4wZ D0fTOlPMArb53Qm16/4jQXL+vCkjPiwwZxWHQdadH5N7Z30ysrz3vHHMACdaGeh4vDxj963K5OTv UbOovgBgq7Pn81wE709XlZylZkh/yR3NrSg9cOWz5pNkJ8Zx/i8R7/kKvLp+u7e5RDA27aVfxELX +bW1pzy3O2eRQP6TVVqpnF3RamhFH9Dced4cQqspKRK/gUpELqLzwNTHL3y9NNNEllzaf2FfC7T4 +WxqWgUpPmypbcjj0qmvAlowNCNxTfsS9vijg5vKRjtVKMM7iNSeGMCFFNjLMc9r9MRakKXy7cgM U96s8TKb+DQT/+G6vDAPfvfWXmbSMOcKFjLcxAQBd/rdSPgGOcafia3QhhNm4wuHx3C4TtzzYX5A ZtW3A7gl383ID4XST94GDWIR5Uhq28iftQvXXp7QZhlV+X6g+C5holrK6M5VPA9WqO5HorOsc/X4 Vm4W2SCmtWH6FSHMh/AcC+/TLlFlRFHgtWVHstkucgThKZFLbEitI1BHUnYjVBTv5I2lzm2OMV6T 7OplprBW5Mjzl6ah6G5qeTtzxDNwx6uyqOKBiLh4C5M0KwhRF2X6WVIOu4GhzvijeA1hlEjIwApd ruIFy8+adypXJqo9x+Dd/85rHTex7o1vRjsjGPgZN9LfMxvsiLs18q2heQ8i0ssMlTW76P08oIBE NOOP1GEr2a/OUI0F2fUW3amgYRTejNSA5nGVwplhHekKFUVSlTBgNEuc0ZmRvuvQpZBo8FWJtFGL 6J0XFV5pw2JK71NdCkhUFBcWXxMU+Ro4Kyw/qhxLHvESXihXjLs1LZmzHKvVJDkhaK3+MiTkHkVd O9zPDvfY/rWQdmwbi3q8Kkr9lNA5cqsg9FxF+7eTZUAE2DziZgQG87Zbyqh/5fFWzFzBC8QIbuUf /TSmtC0ToVaImVhWJ5LuYACYmZDj9S4BmPYKq8u2K5cOAMYJ6ErOQHkLz3vVIayOHnRkOHWm3Xu4 YJrB0rIZny87D4pIipRPO2zBZgWZeHr/5+D0laRAC1WzGvDQVUmq62uXZsQG1Sujxay0HH3iqu/f ZYR79MDAYvLEpvvipZLUgSYqeMnENGI7VeheKprOGNhVSrlxc+LZD4qusjC5oxXmHmt5/5n8Ie9q CzXjiIrushL+kOam6ZyX7LKYDjSAKQs+3uqnAKXxREBfrB6XFavW7aab47Mgh80/3ug5EZImMpMP sGZKs6kpzoInkEYWxJElBF7CICuYsYnhRq87YRnp530AFCdEdP0nOo2rm1Bk6ezOlhZvLjPu5Nek WQV9vuAEmEKf88+eGR2Bi4+scQ6Umb679yHEsUL2xnqiztupXiQVF8dDDD1RSRnabe86Iuuw8sOZ 4tg42I/i3sWmqtEqb64y/Uvs2Mq+MbCfDWfbENQUQYwCq9vMC9t8BIH59cWMwZQPYqpj9tNfPBUL tY/ND7lEC3JNYP4NnUW7Ftq8qv8QoMRT1W8QjPLgpb/JVTxN9A7MkX6CrkZL/qpLx9iyOaw8l2HV p3fA7UijUZ79HsVbNpK+X1s2o6q/trkeOOEnWmlKfoAkWPWVBjKfh/l8hwlyy63/Wn5TUyET24mp GijSoXRKQ2Xx5fDsP4Bg9epEr3ZUIddMcgBb962bEE/KQ00aCN2yqo2xUjhCS924e8RCW2qJvphB EKK86C5BHEB2xKkrdJzaIPcNdU49GghpX07sbNvLrl8MzNdzDUXzZ8D7Q6HZJBRuNjYM9GAgQuMw W//YTKxKIPnCfnC68n5xeyZWFUr8imVSjaUQRQ+VvhEKT5hKf71Qy6x2e33wZf7VQXNlSyxH3qpL IPDK9Bb6U0ZU22Oky0CddFJWpdZ3Efh5v+Dashm8Rr5+sk5RLS/IE9TeSg1S4D48M+G1nT1xyipB VtMH32XaWcM8nm6lQQZzE17nb6GwVkbT+EAspce0YIfCCAlZUwvFt+9RRuRXHhXlCGoedcaT/Wpx H6cgNtuntVn9K5jYTAyUqZBBr6pN7xY4JgfghGhiTzzufrdAW0hIJ2Xs/YNDWzAmrDbQgTCFPf3d NHn4Z+7nJHd8gn9GvK3gLvbBUaRNidJRaUbYK8Nd9kBZWaKjb4kVCm9ad5QgreUXiBbnehXUNb7K cOA0qmrNPzYT7Fkv5jYTm/DuqwtONVraDg8TOr5rl9IYSpcuMA2x5cvvbAaeT4sJqxQPu4Cx+Amj WpRmDorTcHSIm0uqyqC0vHxpi791WjVETphhrPUt+Iwk8k7u2xasAZk0JUrItBqQkjUoAnLMHOBk KHSfW4AiLpCiOpFHwHQz/kCao1iVMOtbwQ6Vm0ESl6w1FUW8A+b45Q3K6v9wgMsgiD/ketCz5C96 vxHts8tzpBnpBu3L3Yeq3Dhm9bimfJiZnVahAi07weZNAFj8CXT12utviQqFp9pWH/FTlF8WPlnf f7swQ18Yg/b2GPRGs3iUdKO2Rhzt0A3hxzeWHk4azfJFznKBFJ6ow4LoJ8UrPN4FzfEcy7Uhg+2v jp8zWa+A3HdQ3ohXAj5e5pbF4qOb5MceI1sd01p95W5xYcSR31I7WOHvsxAPj+k7W0Ew5ky2+0Xk jpaVC/eWkSgDi8FTCdFx5h0OeO/jpVWPT+wu3vZwrGtKBGANt/ke57VVKc4pKS4Kvjm5QzzWUsQd qwXfKw2on+BqZqQrlVUHNHlJz8j+wgdyVb/0gxaul8HV/gaiISEmg5Pbd5DstaTQwXc3kzhTuzYn E/ZrryPrwhvDeNfCTll4L5D5klVDDblYaO6WakZf2JifZQF7w1L8dHBRD0VRm8lBChaVpZjAjEKQ pnhx1+gG+sg60KQFcEQmVDxurlkdZ2CGEqMDf26Ia/433rVNL2alD183gYMcRiHnWdSZ4sk9BVX9 MQgCQJDcOgGX4d4uSU1ENiVRY56mq1j++pqL9b6KeUsYI6D7cZsOaeKj5W2+D1++CvAPLYhqIOU7 mjDsYT8QS3STcRCVa63I79m+pkijD9h9po8AWn7Cwx7fIiplek3CYGuYz0fAbgI+Fe+Z+cdZThfR UZfAR89HoT0MVhrNbHCamqW+JWmgIz/c/uK8b4FLPGQOOufaJfzi3VI+JIqf1PDU6wCW26FCpZzQ 28cy+2JKB/QIXJB0eUHPJhnGIOyTXR5UdpRipYit8fEiq4TI8+hj9WrxSfoSG5yK63s2O3uXq4iM 3garOJDmgzWa3yp/nvkdlDXkds2DpDOx8oJC5Jyaje+9kCud1TPo/g4UOP2LuLKKwYvi2xzUZwEs m6agPXQ4v6y0ncV8Y/gp1t0u5GUR63OBcfzyU93eoGSjqVwjjaQOmvsY4QaAF2GUV9IQaaWm4GE/ XLmeFKmrYaOGt5YhJPAjedf0237HI2Yi5UAEsAdN3x82j4VlciTHp2YKSEphRE7SVVp3NMFPwMof s/2i8dAdDeVLXJi4asQk0dEXgwtqttFNTal7VEmvCTSe3lxZJjYYPjgZH3qia1gjQUanavIWccvB lcCscuT40Kd18+ylXXMib881+VZNzNJvmH7bWiDxGWX5b63fZh9ljdVhsZD7jyYm0r/fFCFziQzw op+fjBuhctM+hz/8GDkOnTXrXlUHiu7iuI2duZk5BfySL3egAwHn5xTy+QesJTVWGFqjB5BnsyKU EpOmik3XfT4KBzn2Nk+Gv0lKt9GLJoea6WHIFEiNpfrqqygztpkgOdvwRjNk4ooZuN9SyEpbLHos t0oNb7JR7+aGZDU8Rap0P01EGwJ8O1PZdDYnKVCngqheqfWaKDbe+HEdBSpjeXgYT+btvnfzw9Rk awX7tQ6BbMQy9+e/LjJ2tXX34RIGrndF4E+H4JrB+EG6N9OrqrppGa27BdhK9L2GukOwRqHghr/o 1hxSbvoKghAwW4jBE0mC6s1scDS9fDRUlsSsygX2gNf05gXVxNXP25tG13zsc03vPP1LecMSX7fR YtbeEd8Ec0zOZVVc2O33t1dYqn7jZi8Am6epJIHb2Y6ozBCF7mFlW5If4Eel93EgYluVAV3qfI60 XJbDckDn8G1hHdVo6YrbxGvg/d6q8czA2aDi210uPdFes8giu1gaH5fc/ofcwt9Jt6Ug3ywf5naY K9jC8YAv5cwlbzHh+H7YtRsmt9at+jjK/Mi47AVlBXqvHxqHR+IGjEd9U1qoCwCY0fYVswWf9N1m kSU7G+JK9RRd8fjv/NZs/GZSWAVc3Wnd2ZDU+vXGM2ftirkzfUlgRq0NkF2nmb81obbnvyMWNP6Y ZdaLwheu2tKmYvTUQiJQJttWXxX0dty14BrnPkXGE1qcrvM7CxSmrOQckqo6TZF5oK2BKI3hRxvw OPIwJhXd6FPklEVxNQOVqT9l0E93j5jKiefMqakV9p1cabbfRmKlu5MfPcZW1XhDxzw7A7yBGR4V gV1H3Rs5yYBeiwtmfCLiHDySU3wv00Qd7sMXsAexgnsxiBBYnZMOVIT/9g0emh24/WYkxa9bauJL kUCV2YwCMP61FB5lzG0CchUfWSvP0AG/BRnTkR3X60Qyjssf0Mgl23BilFwutezUovwpDL2hGuCg n9yNIk6k7jDCuYCYjvxxfCtNsUdXpQnvv7fAKNbkdjMWwzkCNXzdKJXbALtIGIY8FX0e8V9GmI2A 8W6Qn7lfcYimAaRKqt3Z1tsfJAiS2ZYTe5Jx0Le1Xkix23ov0Nr82ttjwpwFMIo4Kcs5YHrIswHJ hpbd/zRdRr8iRkql8AKyiiJDwPq/RC8cDx9E/nNJcAM15a9LisObeGfQkG6o8qw3ykStkMpiSQUD G7QWxMEHbOnV606DAp/DdIVuIjytjXHdzo361EniB2wDOHsZhhJCJH3qpVE5X2D8whwI73haLz3T tek+FojmbRTEzHk1tHSJOujb6DRfHQcNFRZ7Kegs3z+S9qBpRP3X2Lh1cLcRqZoJOB9a3ZtYr5sP MOdX3HmYDpjxCXI5BSTzYoaQQifdchtbuIB89LeT9VsvBaGu2WAcM8ZbkLdz6r/RUz9S/7XOoqBu 7Owfl4TDOD1rUmgz506b+tD73hu58Lxf8agcBH26DjLsjkHOIqH7FtY+ikkfem6OYqOSoiYIgUv+ b5lQCMjQj335BcSE8npoxYFFiQNTY6pFKIcNlZ+aTq0mYn6WbDGR/aRM938uH/QTOtNMcHWepXJf hs9cZJ8IBxvwoedrhjTSBzbLPEF15dZzvOSv5fnvXxeKjt0WluVH9cT1+g75tHw+YS/N+amlGeHg GM0wzvtK+TC6/KUdq/V5NucT3kGBHaeh7kroxE2+zAQCaIWp/Dq+pYgXmZ5g9p8LpV5A3K59uKcb UBUilgTlpJ0Tb1Wxpq8hH6QuuNoE7E6r7ram647pjY7Fy2sb8mfRslghJrMRmdzTViRyFmi7bGj7 NNTQm4NEQQb+MFkF4SDJuv0sMAo/vfOqt9kXzTRiSvXsgUIKDxSxjZOaY8HH0p7vpGzi2fZsYMVZ bXPNKd+IQez7MF1z4Ce7nXqV3Pp4ar3klim2OZ5T/rmt23UNfvtTwEPQpIfOSa0oIaQwvCoa6B32 HhGgiZhNJOEnVoM2F7JA4RW18A3Lqghi/YIjsQhAoH08KIp7odMJjmcT4lrmyOaU0WrBjT+j50Tf Fi04pckskhO84dgD/QDMJBFY5VIGuC1vGU0/tuMaXqNrJ7Q7n4oLaIbvzgDHXSqI20LV7nGnORNg JQH3nV/JmIBkjsf4gudRhoZ20BEhhJPash+02VXqP7OqkW1B/PaTlh8+k+oHUyCbGh72vo7dC3DQ 63LziW0Zlny/L62zFkaKjtwwjKRKOMPsBONiwaSUVqX8s51uvXITc5ZpDGT0VFpafHNYhUcEiWiL z9ozuZdHdO8qHcrcihunOB8Jd8WvHzxTIbtZeP/qUq04YtZgluFcStZkjyra6a8/zAQ3LxxQ96ux aNdSg9aJpmtl/a27ubdKyxn46AztnRm9pVhYRO0Z6sGNtAiDNuMUGT5TNB2jCIVbgUnDxygcYdfv sgddbnjSujHX7uAghhRU6y3p19ioXFKKQVvApgeo8Grw9HdQFw5KUojBy07yLxMWhBHaIpc/Mp3E pqQ1a7TR7jJ6zeBfmdNVMyqkagDVlOAnz+/qV0ygpTygTrNUXe70+cI/Pk5aP1r+NBmMqSHLuCwe 0GZgvWWdS1FdbxuxlRaqo/QYF7SFTCunPwQc6DftOlwd7jshYQZQ76O9dWRHj3Yf91Hntvtx1YuB iSIWfByGp+/4dbIzU5+S3JK0p5m07oNWz5Y7687XIhz/uBAuY5S0cnqkjaajKdE+J37wKrul+PCo +J42i8vIlLH/HVDcl+H+8U0std522GbhvENdRTfRb0atth/lNnauG5IklD+pQN6ecG5wu0JryA8R FFvNY7ks9LyNegASpeeY1OPuKYenzK57vwOkZlbzMWb+ywCrumLHeBF9brAalv86ZCyuOdDsdMQQ SxOhqyK0xiIxCdmBy7tW8SOHQmWRfta1kVZi27O3otth9KARKHZ3HOh3KvJMlFUVnkmcIOiKB4+z GnZtz8r3nGUixNtwk79vOL/hApA0aFoMDXBUR08hUeTCIjsb0l5wo2e1mNBEnQT6zv+y5IUSVrch 4gNmiEEQa8O7kNr50MUtEPgCI+touVy5Rf5Wp5q2M82/arVBWyfOQjBXkNOMwWGIjMTO8BP9Rdhn O1LGKLPr4QVgm//TMjVbCR6G4NJaJn6bxgzOmVLbuOqX8Yp1sO0XmE8bQuzv4g148N4Yon+sS4xv tzVue/95AZSjMSeg3gHnLUZKj/+laO6ugu0CcRP89Z2krEG4bP7HBbzuoikfT307J4k8qq/uJ+i1 frKFxmc6zMQglHvmHNMFQwQuhU8EIpsecQD3qsgS228O/caIntsvPurcCRX4mrY5p8yvrsHI0KNi XuV+UssE7B7GHP7LbAPSnE5eBX9naWKq1ppvZcLWIwfSL6nz/0Ph61TC+Ner3V2EooMeJpjOCL5t ptjwLF1++OBzMqsa+G8tT9IEuuUebAjZDSlJiCNTcHpNFptyCu47pnC3C3pc+L+/YiM/NeGZSPAG oz2V+gCwGP0FhDWFjMaC3aa67cEcKuyKmdkP3x7VvU4oar8bF+3VsdfropDCXPg4UYtTyPHCsxV+ 473V2oFqTPy1ihzayfJO2fk9nV386RNzJyF4d0XBCk5klkRF4Ra0if+l0rk/NPuCyUBkkP6iocqJ biHmMmJ1s90jM9eXTnjJch5dY1Y5z1r54Z4aSU5JKzUA64GfNRP4VuDSwOzyHdzQ1fcAm/1Z0b7J LtPxuEHpZ8HblDNF00YkySDeDj7NT3+EM41G2et0h6pciiLLkbLlRXX16ujKpizTXqOcK2RQyMZU hdMC6M14iLbn3qo4PCROWZ+Ho6dfgCiPfhbnrTIDMhNbCaa73qC2LdSnUDBkMJIe57GMZ6Fq6y7c tAGhiLdi6sVJF+xQUfUEMH9m35vGWHTXC1LJ7ee8C/+DxQ1B+PmU2UYDR3ip3f0ovJjqj9mduQkf gZr+dZaKQooHkxOArff9lFC4apomIz5aaCJkaI6mKqCyHhTrTeT0CGofbC6+wuNiBbwEa7hbJPdu R80m7ccY1Mkmstcq59OyK2VfRM9wDX7UD/Avt3W8sAmcskzuD+Nl69hqju2DkeTXe8BQtypIzse6 n+NAU75TpfwTQLsu8F8HfI4YVM+nUeedy0djbBttEUZgvGhl79hZEPXDzEw04Zc0pB5T+LSQXvFb jCjuR1zvnBEg/jSz7Dw4FCpxR03c3YyLv4iro/XwV4VgWxgf0Yds5ZkNEHz/gF7v11AEPr8/CRfb G8oTs7Latvtfi68P6DSMl2M8vRpUz+HFgB1LgheHRPSec0d/IXYAGN+PM4dx/r209CSsdp8N0L8p nEVyukzp9v2DMwVGEAJ1k+d/nCzEIa040jBkkM/hCqlcdhLIg6HRRDbLHWdVsfkqYQ7zfX1SoAQ7 WxGlMfraHalCCcy5m9xwYfHLIccpT/FqHvKiOnjFz6a2lf3qV/kVTkQ4bJhbWTA4PP02V8RJBqgL HDOrRm6qG8CvkAdkjDDKUrUIGAgkYqjIGDhMiQc5GYT+gQz6fqswQ0GKA/rmdVqvaRwFCyT0VyJU NU6RINMywVAAh2+nmrpcVX+CBc4OO97hen3W5GmCJo2bu3R7I38+XfuTqclEXXEz4dvx8OzgngKE BJ60QwSMTW1KoC1MqsZEQO81be5YjIOwbHwFn42k4P7gjjQecXQPnrVSdMAO3IAmfp9ZL8fh98fu pYiUF880zxTbKy/snFWKzDSHsLLQpj3X0a0fLdO8fttqAFlcm7QnqsBCg7oc3qqC7PpUcyIL2Sbz sV85gjgKu+hl6/S7t3ukYzZ1lhMPeQDlE9NOObxdQVIwRfp8wUUeB9GJfUNL07tSm9r7L7TZEzzo 7MxWqbHnxRV46Fg/IlfjnOmoH2nlIMeAGa/EyCxTOaDmrtZrkpCkxRdKesQkxKfIuMGsO/d1Thkv 4jjIBFRZVqvFALXRHr++RCB6AZRuDHDRIchrn8hU8pqcrLbDKhtLZfNCjzhbMf5AwEu/efnm48fx KaXuMbNth/eSAIkoBd5e3ztbBlfGY6Ne3skKmmtoE3S9tr/nPcTBvWcS3RfLk3yn8o/gQZZvM8XL LgqJPa4Z5MFHS31oba7vRyD/5z6s08nIgvmA2GdrO9Pqgb/xXUgNiwv8USCo3s/c8mS9CXJAOIyn 48ZHj9zvQ1PdQsCK07Z4W6AwwVHTesz6twRAhwRR6TSP7vaZSSFcfYppiIqAQPRifWh4Za3Rvbzi rD9837lSJJih8MSvciTTl0dSCdzbffIXQ3yTPOy74b3pNxkkgrZ6m4AMdTkc0PS1Cq4c9u/rC8D/ VCYfZhkr4AZHuAExNBpqhsmJ8zmkRDVwrcOmV6KnG7Fu7HbmGaB4Arav89/OO0aclr0szNKoF4k4 LT3h2Z/mX33Zcz9FpoGZJZdoptuXmVxCCANugOtVx1VmYdHgWu2GWmdrg6dhpTD5gSQmqUnXCP2T c2+FwXxHy57krD+V1BOZdM826g7TOpmC0YbkOJfcLHyA5kgKlUDtoqBVadThabs2L8/yTOzZ/DXs tTpaaRUBo8Jfvulkf0hEOVmZUHE2OQuBu/2NsFOtO6JCQsMElF3631BiXIOxvsWpX5D17n5yqkKq LxyS7zgztH3UVBtLmlgw4UDoSHnPv3CRGrW6rY5W+tlFr50Kq8dvpKhdNC+vyJRrPnB6JjSkaJHZ ZTmr+t5Bv5Czb5wvkm+Izu+LQJoLbN1pRfgSFCO0dZv5EUm2cghp29RISQSMtoaf8U3tn8IBrN53 U23FhKHYruVWhUF6nDcK4jyYnwLeVcdxLki9hMq1SNciGb7GYPmW3GvZ6wq775qWlJY9pg2bf1/b TLGy80zy5ZcLVtZaN3aiJvakeYoXJDzzDiQEeqqga642dKUYSSKR9xTB3yGfT//mBRmCiX/woSV0 ZJVU99W+knnjgFwC5BSjrx5Xa3NL3Mi+yASG8LIuBFj9Od1YCJDJLpvtKI5QT337/lbZ/mg3ep5O ZUwfRQX7gY30dyU8+bPzk8Txb7YgX05JvzfyC6xpFHg2ZdFcNj6ubvZDfbjyJ6VGoOTYZawIT4nc HZ4hMpo2dCJbC5jMhEV+HMcT7UGuq8nVNT3149x+uh+Bbddgd6TOAGMsvj7KAWh7IE/VTna3X7fd wqC3E3tYt8ykW7T0tbDy/m0cHzAWWV+sSgLL7kotrZeLT3FBq7S+Z6y31yfW815FY2adr6fYNVw8 spveIrfic1jBGQlR8ZtneQCQZ0pGgz6gvYMC8fDrhBtpKIGhqbAHa2XVg7makdpT0bCKrlRMbmio n4E3XXgALXqTaHsD+MEjseXRcc1gsbEOIOMMcK5JrI2lk75joVy1qYhKquLchAgTWx7laeuSJuzB HN05M10hQAbLjFiVfYHIescCqoZa9CfyAmXtkz7Zq3xH8C2moB5RvfN+9avzeGrtixZL8GIKD48f NY9YnP1o6/EmQ7j3CLDeMRM6YBz8ZSWCtJricx8Al4r6v83rcK8BYiZBE1jmZHlpDC/a1cmkj+C2 oOg7zmQ8O265TaBMwlQE0j90Y8IN1d2CMjuVOop8BKTUtNkCARbbLkDogbtbIVnXAWHXhdcDxQyM fseBmmrhcb2jW+5LeG69BtVv8qZGGxFs7hhtqqu9zbPBrF7d/QFI2TOU8kmul56wOVWbV6xFqKYH zxZwDzCvi/s9g0rFDdKYacv93ADXEAflBf8phk+oiWKdDwxY9WBDG/S9LjJ5+H6VwpvbD8Tf/zXg 1qI2006u3YdI4QW9ojW9UE4gT+Z0lUuoU5MX21/fSBN6hSBkr73l49th2sBLzeclV7FNk8VaguSF GCm5dhsP9weEgpjwBcf/x66RlkenzHrcf+v6HZltU4826ReWPSpxu2Zxp5BXJDF0UFFyW6sl+Gbo A7ZAIbQMf34o+D979Kaoung+2AZ6P3hg1Fwjgz2srBnBuiBsDk0GRlTyoe+40p6IbjodcBuWmvTv XYFFDWM43JdEsLc/XeGKFfJPLR2sJDJgFGaWF39fVqu08vpX8MgxJagCep5wMX0in06yEVAInX5d xPY4DN6sV2PO+p7XA5QuVVM2hi7vRq31jLg3QeydMrMn7ODoFOeede5eZgQtQOmRaOrYADZvKDBT Ta4bIr3g4g//mkOS1P+BImlcjHRxeTkOcw4gcQyhYOJDtNxmPc6VVz6V+IX1si+L3904FsjzGRYo TN31ysMXOMErKPIS13v+UOC1mv0jpwbNtX1EcMciQGmYUqVLpgiWeB4VHdJvJZXbBhdFBzyIxv62 SPMDZkHSyRBDmmOG3vyBB0sh2Pb+8Tzq07ABUZK0JnCWBAMaCgdlDbUHNvjDGviHnnmPhKEFTeYh bdIivvUQA9YBM/s+VOjmTLCkfkiaDunT44cHqYaqM1HLOyn9d6Zrai6GzRwVAiNIuDgGbl7Hrd5K LhfQ8EyTgzGBwR/6DlAsq0pxSztNPEbt0rec3caRraPKea3WRUZ4azEaPEfa81NcLJql+cfJNV1f Nu5tUyX8JMwkbrQRo9W6YU9VIjRrnyX/8gskD6kXPU6q2QD46LMqsRksFuAu8IzFT6RjXSNTjab+ Ug+cb1weRecwqmKZ3tEDp1zYBdQ+EXINVP1a0wSuFCcbXtfDh+WHYLMU/dxC13sz4jWXm3/eeV5f T17t2z524VT0Uo81xxA5uTDj8NrLXI6l1G13uqIT/VQw1OgdhLj3akKIwZ/VUa4IcOr6b4lMOXkZ IORF2gkjNlWVc/On1M73ZdCm8CkRimnzMSS+LqODKMpaGALUs6VnkHIs4L0yT156QxofRlqy1UAu Pdd3JSt0u8A03KD7jU7/s95KP/N1WCPij2+o0HdwPh4u2al/444jMEZ5DpaMkPp5Q0SSJ5XfdCks dhBeeu621HSiMexBTh6ICNy/zi9s7qNN5As0RQ1oHRKH+W93iis2UbLiHYxvkIE1RCnbbms3vXwk pa9dS3Au5+N4YICMB4pNiPWrGnUPEigPP+QuSa6KKUVnmX/gZ4ylA52pZFwX6QDCZrV0hiEHeK2I M+3ZEtWw89nJVLxcDBMsxBwi0dGQZvVHY+Z4xpYis7io3SJepE1h6OEqqLyJbaCDbhPPLSYH1yCV wDYrFMgcJx4i6lHCrg/6A9Zd5A+kfnTUOqkUre+FovxWJRQMvvPR6RcaUVuNIyyUF/IGh2WbV0W/ EytIvEl6UMwForwyVKZbZc1pZI+Ttuf/m8L0sKWVl+lSgoFY2+y/wvE0sb6m8sr636wxLtV5k4NP ZD/qkGozUQLZZXo3S8Si4qdJETlx5sb2uaRQUP/I3EJ7Cb4mPUyRbgQiLBJwchwig3GjSOwwPpZF 15YuFoGh5zWIiVaarBY89x8TBSpcMqAG5WezDt0CrahQL3WlJgyobIzEFZHVtymJiKEkSIjZkNGc v4w/DU3TAsd2bex2crvKWn1A0iKfQhSui8kpty3Cm3XTHupuK06bn2Cog6pB4jQfWqaVH5WOsWg1 kDyU1KV2L3RFjWUlEt82CkUTr6yEiy4jbQuTE018mh/Ao8oEQhBKVlY2cmB/AGc8hCMN3gZwNC5b ZxwJcWdp0qlJvVhZRSPeqavWlGQgXsJOOnB9PAakmWNLSoe7x7ezY37nJi2xALro0e6ou42r1PYC WlUkhderEjRkZeIZ9RzGcVd0T7/zc3bswkkFSH+i4RZB9BFpRMxeHjG+Jsr7OgZgCTgMkZSJ03sp GvCtmTAixnLE7qqCFmjHHmmmIIcHjzVU0BKixDwjgADaIgVnN7DBxZlwly9HXUQIVd9QbsmXq8ZG y/mryp+INRgx3J52xMERxLjhMinAq5vc2kb6xvKTDFhH4KhG7N8ZA+kVAm21pKTxf1+PNu3qXy42 JXY9bal1r3ZNjub/Wub/Tte+AxfxMQqMvCs2DcYdnHy43FC8aEJKN12dF0fWpMx04586fEvTtb9z 5wEPCLFpwUnjMdxiSj/XThCYQ8ouehFJ8ZX7ZC7lXMrK6Gg9bwdEIlKt6XlqPlzmaYCJXkLjTi3w uN5kRM7YWLRGmsr8WRn5sAdPwzKT0abn0RF6pS/yQHenr1OzLuYR12AzuPqMlT0fbNc0nh0qZHKd BGaqmNtiijnAnCxXpUBOrIxI4nSuXBBrJHqMzFY1W+pRFh3F+9ZU5A2nq2QqvbOznQgLAJ/TpqZB rcrTvdARdInq95sfiuhDxt6UD77aEXtCvyn+iZsFxzmtQxBSq4lR/ROysiD1vEiQYkXFstCT35D/ bVTMYAxRI8aN6R7Fixoi/NxEqLgMERWhkoyqA73kocS8M1mBasJS6YFmQo9LvmuFfjSq91Pan/YK IwehX+dMj9MGmGhayhI401QBwPXdFw6o8148ZiqqA0Tw+c1yLwLRVIdsQQCiaEFtHeOOTO4dRI3y t2XYr/7QPHQAEMeWalBwmNG1qC9zNUBcXsvy/axbDoSsQXGBUPv+cqQonFlYwEaimm9tSugSZkTs l1gzvBa1qhAuuVnvOC9JbJlfKHtEyl6cKs2kq0wx62iIcN267+X7w7T6pmtTfqOrGT6ePIB4e/x/ 4Es33iSECCv9H4ZueLucIxHhbColMH59LDTklUQEkV4/9Q2CFUz6gBX+VFlHbmik3mzhkKlP24Pa T1cPhCUNt6xeyglkOxLUQ/NCVGfW14LXWsqe4lbNzuNip0Zl7UCxWuKvl+7bb9d5V010S/wsBFK5 nHG1F2VmYyRGqZTy15LjNOojN0SjbDAk4/o/x4KYZK5f4fZlOIzSrLvkoS4tGB3JSVlcGPQ2OmqV pKEk0dfFPX/z3vRCGHLuwAy0D2hAqWyuJ4fxIXgUObX7a5DDj6In3YgMS4DQiSB9K3dBfHFE0ksN sDki/evC1bdmlocgoLGC0jSfEowSzmYchEIvYVMJZV0W1KyMA4Zt0pXxL6mQSYTnHSHUCdn/jyPt UaCRqo3GFEcR9tqTsHLKnrUN/Aja+/H/Ctwuat55sgYJ65eCK5V98MAd5lj1xzmcxrTusupLsJxh gADZc0pg7athQhjspwTb79o4CF+5E/PO2L1WWdGfMYAvYOtn7UjmWvYN415B2IkgfZyitXdeLem0 R/BC/rqINGz1Zr9LHRh/4tyRHAuNXk2e6UzamhzOXAhU0jW+l9wiBKnmPI1RSTmQJvEpI4cDWhFo H1W3TqspEQHx81YqY7CezIQg63beIVMb9PlqGM9obwYouSS8um42GcvFygWqRC6RWw1+PDPbEae3 z3rOEflK/I31ibwxrTDg06jHZ7jkIlp3KFAqkl+3rlijRrgDx4vQAIQ+qrJTkNEdn61F2UJr7/tK 1BKMNZBbyYtqHNZb6aZeNFygtPg8jegFshqHV54pERAEn6veCx660ThoH3t0FtaFRDJeipJv/jRd V5CRTu3+/xEaRgGpI29+EW41TAheTtvzhqGXMhXCl1Glt9fGD049ZiA7sH3g5D+YH/gFpaCm0ZFr +ws8OULmTeAgENpIVO2UNkglYdeXqkjxl+jt00knEn5BZZQAtPSiIu4naQ0vGm1Y/C81A7XXYs5V ftPpiE1WjSGegfvrwpWC591r32W3aqtxYOIYG9z9C4fY7MshTOmhxnW0jVeiRELKTnT2FjQPiY3s 0GQ4zX+K/q40VGiciyQnnZYtRARKeg0DDWmb6hzrAvQMQ3Z/S12QuGjM9ht6to5gGafc+8VTfoj9 p6Z86Sreg+s+WfVmYo3oZYFQIwurH5xf5HKGdmATu5InFUjqUSpvKkGd0ss6a+dkk0OU2Ouu03nM vaVNcvjt+2p+cWLPBcOlpLoJPIawr2e0O97uzRE1spiRuBL7LC9qqHpPx7ZRaTcdrjPxYMuNBS4J shABKZoA1mjvmAQXDI5qJ6euXs127SoWxXQNLNrMaon+N9UfOpRVFbXVxLjc9uLinud/JGk2ofp3 kRXE65drJFbKsPbdeqPBe2P6gDX1AX7HyjLvZG4N2XAymzWZfM3Fa9wR8ddkBVDSAPUWNBSxKxpy 1haQd1iFuYoIrFPlIreoGW/hMtqfXDM4lkwgxmvf6XrDHfGnjf+kATWnGVZpSVtOa4Ojq+TlDuW2 DTI+ulEzW8PWVDP5bGPf9biJ83eCv3vO7qnZxmWfj4TsGSuBhl0zGJTmonEBeiIkdjhb+HtkEBwk cLe8Wkio3BPzxvjP95vApJCJUzNaaoJmV3tbiuptwXD9LKc6QlFNXBJ8sdV4iDVTNdHfnfGCyWgK /xbsy0k25f1ULRaHFJbBE1WlJBEcTNbls99WOFAd2RGARJiPhl8SpKv300F8hEPtF8xr0x0dFLyV ypX6J2bmygNnzApCjd2/dYHQA0Jwd8AeKVfhnDPsMn9BbO9hE43y+AveZjXLQcq5YCl35JugG3jd Ak4DM3FAFJ8oRrSG3jhg5MCDj5OO0sQfIV1wDpKl93ueDN7rsoRYAv92iK9r25Boly1OjKrZgVIT RqMYsMTtnP5Vfy5ZqS3ca4batljf8+6hLJJ3adWeBcJga7h06aXymupczKUSgKflYuoSD7irBQAz 3VvRtsyRaVF0XntYQTcpkwNMSlR+Y6TNCQAKI0IkMOWOmVQ6ezeoqRFLjnPocFe+xSnyeANt5R1R dK1EN0YY31rjUUTGdm9Qvr6rDPTHMCAbARzZPQEZc7IEFn2mWPfDJMYKM4wRTZhEJLMaCe+3BNTX v+mgqn/KzPJ/gGQACZssPymTIBJPkJCNW8Fy4fLjl1ByedM/Ue0DuJK97+uPBfavcMvifoeLMwZN bkljvXEs8m/+/WpjRjk9QkML8BX/hO7WGx7i1ISSx/fX4sgUaxOLN97GXPEs+UX/qA9o+oZy/cuu MRlS4kfaZDcd9+KtG5MCwb/H3VDw/1qe1bt4VXnGze9jfb6/0u8H8qyaWWGJC1bh39E+U1Na18NP C57nXN+cnAeNOFmi3+56bzt/S8P7reAZnSNLjE9qP2nSP7ELLOdegohNwBP+XBtX7DzDTxDNzuwf 18e148oe0RbZrHj+YpogirgPvZDsZ3elHZvt3U1bUcuqtPhd2oXYLJE5HXN9KTOJumjTfSxRU6+o Tc722LhUYosaAppXOL2KHB/PSvRABTwnv2vYBi5PzGEo1x1I2CGKEjl+XkaCH0lZQ9pTWcu0HVk3 nsjuQ2WAjr10ZaVQLJKPQHCeYyoxkJw06tQ0NVYspEdtzFSeedMZJq8Z6xPcbkcuJZ/14evU7g8J 2IbOBnNnPhDsaSCClJ0ZKFzdVS1R11PUiHWmJ1z4HF5i8/VAk0zYkT0P6+HhYFa5+rfjNd0jx6m7 ly84LyHESJrl0wydSvW3NOXSia5IRBsbGca4E3G/Fk/vPfbcCzQysMCujx/0HKZlLyldhKyIFF8y AQsBq+aERXfPU7qRpApnVqUpG1VJqnD7P4g1QpkVHw0CNDQL7NuO8F26tf9MSpyvnKQ3Zj6C6jT7 St5LKVpJVXLywTyIN/+3c5ONSmvxExGlImAbvEy2MAZ+Dk4L8x3sKwWgKfRnWZLblZ2gSQ3ZUmPa PiSzXoBCZKkfp5n6ON73tNPowkPEurlMgv0SnofCPkVXh1rMxxvGwpltlGFpF+j9Mk4Zah3k5K50 xBdLx8kxpB3b1wT11zsgqu8dUcGX5rglcShXvquw+VPnW9ryQ9flbDGXkDKF1xLfsHWkvQAqHkkE zPijQyX9qE2vAHC1Vq6a3P/lMileW3unrSk6Mo2JcR8XU80RLWpvVv2UGqtaQbUlUc5T7aLwcAfG QLpDOneT+cvay5U3c2jlfyahtJybK+DaZsi7Kz8NuxooYHXDTFRNCPWm8whnlEg0+h4Dlm1lm9gH nrV7cnVWiwth6mIHp4+w9JF7srHbzoPlQi7HiUFOZ4Npc0TH3FldtEFwCNs5cJHCv+4XVcobzM9F b+GAs42uCs9txjTh/imc5PSkUgqF0tkobTibUpbjd7+HeCjoV5QLCwCX72H35FteM3Rzxo5EX2h4 LLxi7+JnFM2so9eW9/lx95dK1/jYAAgCe+Tv/vcgorkl36RgfX+qBTjRHwzCtXnmTqw8sP1Qu643 zxYmC239jN64zaN4pLXtRd6actWnMREdKymxvnvjf/G+IwSgOb1IMoKbvVT8Zsy240lEd5Hi1S3w 69i4RjtdaHoC3pnuQMtwaozK9tCbJDuJjHqq1syfBbB4ynQySAEoUGW7fJckB4QvhBkY6F5GMl5j 6oq2I67TFLopUsMielp9Dp/4CAH1ihGmd3rTBAsHj4dM6mvwUKqLSpXnnptcLsxs1+FdIYW7C4oB Z0INMCrchtvIOUcXCWUJG8aq0TTirMv2vy1WurGaXBDZfH3lKLQeodZfU7g/Adc6dIeZ7n8QfsFt d9GR0jD0YS1nbrIhRfIPoF2iMGPkONbsZhTtSqiI5AQv6933+TDK/ej5wBIIXUUXpFmZFjY54o8i 9m2PA4Ec81PWC7zR9r4eiIvhYr6pH95EdWl1pyiWyZt4XEb8WX6ZwK45x9eJXPngX7hqvfY2MWe8 0LQgNGO8xsv5EkycYDF01PoFtkBiTg2Cm7DzF2oxMgdh8RPSVoz//uXlT5aAn+Vs4jxhrwkFM5c2 DktJIPo65Ezygvtfy/hF4Of0WmGK7o6/0OB3W9T6L09nd9FDV1BWhsRhgrN5QRBkIzpTJ2hGVKkq AUR2jDWrroEYpisV9CTuL5D+JVfyJ9uz67VF4O+yVnc9RVsKc/vLhaAAA1NufjFhGDnjAbSwa6Wv TrvgTkgpyAzb/BsADY2xBX4EcYl/ShXeSXzn/4I3urO0OPtww8pGfRbIm0ZJYtvUATSPL6jXzGZx fd2xG+omtUcLD1/omvEk7xSrbfrlGrUBZKZlHAUNfyzl+KFAhvYrqGKBcnEJ5RMRLMVyE6uB+SJI yQSIDVnWrdVXk0yCzkXH1wxSQuFt8rkMMQeGU6cuRG0WuyB4stG29M/bLeoN/ypj0wJdOysFZjXU GxJt6aIF7TgWg3OXw+HegVKuvF5ILxAB/i+qn/pMGbe3QmhEvPqNcpwuGsjGhc9qS5bvy74HNwRM dLKi+vGwSs79Tj8xWp9HcIJEd0qWyReNKjjTy1KcSMbBtfhz477XqwwRjsQKuv85fIW1f4FHfGlb 6RxCrb6GC2iMYbqbEGKypaxxgnsm2tmNAfHX3v7hcGftpPwInlWEK61nMTXUNhwNnf2C60dSqnKQ 0qQEvA5ptk1McJux8pQkKKnFznqyZwTEyb5UpIqMIwjLjmwI7Y3ZY7IZs6XYFVTey+R7kdfeHt5h Pmrp4YGENObJNVvbr9KOLn8shcJTOP6O9RdVQ3TZLqlHXfwBabQnc5o34D9zv87wT26sYo0TfFi+ AnUgBaaM2q1+fRDZTKFtEM2q0X/aUQiS1K0lisp6d7PxnmfdzBKa1R/hhgLD8sYpVXycy+8RnrCP zhOXakrjXEogOYFyEEViUI3E5yfn4a0Xl7jUWo17RJb855o/pW8ajc5vWmzj6hckrm2WROLoWfMc dr5q0Cen6IF+sNig5b3t7Md7IUsuK7aQkj3+iNlZtNV2F1EM06LLPMikwlY6jyMLCv/xOWKm3/Ju xXBMeS2H26G5zufjkMuYV5qieUBSxTJAPFuYooIpEoYsW6F+YkZnMMZZ9vRjn3CC/FsO/ty5niIC cW42ESBHEraWFpRbk0SC6gMSr+jLxjOwjbODKOx3IQ1gI6lu6JBJxB8Dq0L72YLTHeqQI8Tm/pPh lYJx+u6bFaV3HPLDn9tnuNqiusXHj48GNByqWQyotNwDe7sUYCzrv/MyjAYP9Dl5emhZ8niBjAPD WmNgd6lFg+tER3mggk5wyb5AomKBPqeDTLhY7/xxd09taA9Sosh+mtpmaIrqYmUJ3Ut/pqt6YV4m UipzBIY46R953EXwn8H/Vrqx1dAdCO1gwPt2qa7l9ZhVjPpQXvqeD8L7EIPIZSHsJB420T09cCO6 rR3waLi3dmLiCAm8PTsPUePeFFm0BVQJkGxd/IAjopkGhhZfy01qRr1dXtgi2BOqWOIOKNJa9XM+ 79+Vujwb7PfTJO5+dlo7h/698PLZS55NFher8wiyMxos/hWyDBOcyM5qxFM+0HLEOdLib4odx37E m5mNvodktcTwsGBHH2vYac/5aakgnOYVhgUdurQhqiC/mCYQTJ8/W59cjkmYKtqly6yOqN6quaga rTnafuXywVPVq55hjf78EiJBJdhF3cr4XsAevbPL1j8r8uVKnkyB+qrXJdPjmW7/NLh8Y90ZXKmt BgSsj6WTwSuvYolL0w7Z/pjyeYpXcHyNDajME36tIhmvFK+GaiwbOSmbzZQq8ItawF0fH4R0i7pa /It5wpWCpLkV0NtgeL3fD3Iu03DITIk5cvkKClZJDRXvHD0MIdi6azHSW1a55Ge92yQMCDBJu6CU xepjGSPQk9Cj11RAf/xUDDS+oZME/K5zgIctaUKXXiSIGd2sIVJqtXKkuf7Lmt0o3uVF1raKo3DF tcC3iiyOajt1x11Gw8s3vDDCWgSNijtOw7EFItK+hVnpu98lpmGwAYbB9+2hJDL0TQMKigDmuQAE mCrjhSrR9sVl2O1p41pZcjNY0zcMS0PQSLT6bdBHUroovvIC2otlpNj/x3fQLv+Vgb24ZM29BN6e jQrM+BcMQ4RTccytDgJHFLflHBh1e8syNeenE8AGWOhcAW5Emojfbm//Y/J/xyU+51SAs229SBf+ WDYpk9qgvWzQr7z0Do9vl+G1uUKoG/YNzfAzuZ7M8rs5uLGNmzZuD89Y+9STXeGfXP1Of8PxLkOG Zadpaut92/ZWRzGqSrsKfEHViTdE+4DAuQ0dRM3LjaUHnc3ib9C8bMk1gn5RGli8lzmGYMQzrsVj oRDqvbfWP9OzG4KNCzj2Y7gbF+A6nHrPDgOIb/4bnmi+/Usa6o0SsRyryzGs8GeFER2NKeC+xHEO YTUPLD5Kmv7BUEAg8nJSNi7+ekeSY09d/Ktl/Tv3joupVuqU1T5Pf4kyJw/8xOObbTQTnObZ4m6x AXtuba3lpQeZdjhRo2E6zjuximeMMgPdagym773zRwm1gwj100IWJDMfnfM/KfRm9a97QaaH+A1F l4fjV/JUWA4Rz2VE2PfuISO6KufdJCoSexc2Ko2U3fOm2zsCI0W7snqBzXkzzTMTlf9loXPYDN3a EJ5w+gswyXPMdCRceqm3tqD/Uq0dRLzUYUetSurqkZFN8PsjNofpLl/LB58aWLxpyi0zLJKIT+Sg 2S3my5iFKNlPCQVVYpqHW+y8GN9pG6g3zEQo6as7azkFNWsFTf6FgxPSWu6n4zoE+0QjVxbacEey z6sSvIuXeZg5S9S3CoDM6fAF5WsVrSKuSrFxO1t/VgKqNO8oxhn04oA/bN2KtLxmtxSnsGuC9kca ozR2NpBt6pUuXpKaEzW/2YGSAs4k0yUSYY0G5Yr4b+VTxyor25HH5RRezD7nHr6NlJBzDh0iZIwE v14qK91iEP72D4g3OomG97iqs76xL4CVMErbyatffoxG58cERcKaPKpQ3AIa1tDl6seYdAI3cFCg 36s+j+40NLr0H3SayCiZdiQlG+DOdmjTNLnfJXhumuxnQcPXqixnPMvmvMAplBoQu3IQIvne5W8K i/4EOdqZ9naIla7jd7v6WP72VOOs5qPHAeR0ET7l4rlS4ctVh3yoNJc1ua2tNlZY4LU3xwAZb2D3 DriG02J77FZDTSm+DrmEtTOgoZu45nW8R+d0/T9ZC1am6LwS4lVLqqCd83cqBjnyNV25lqdfpZK/ klTbWZtrnAhF+LIP1anNMV2xLnDlXAMiiRMO6KG6zPv9Ty5dGjd7CZhx49jurYu47H6a0XcZ9Xbs HKim+t2G2i+VDcOAvlA0E/ejjwHPEcoCML/QXTXjlDZGBGWqxpk+hz1R6bIcaKIOIexB2bG5fiVT uG8jLeYdbYoBABddVfpuRe8nXFDaCEVLJAYNyzlb1Yu8odSUPgMeNzl00Tigymx0QhDS+V0jPWcN gB1xh4Q+qWJSJ7fxgdT+tZcyO36DpQoYB+BcOn+ztuSOX+hZe3Rr+GpWV+F4idVD+OloNWLmOEQX 9qd5wDLAIcBvFGYs2nApIspWfuy1RqxD/VB18R7oLBaPzQKhpG/M1B004nq+cqWR5Yoo0G1O8m6s NiSv08yPEXH83Xyw5eUqrgj21w+PZcuFN7Mj1jAA+ThpNJHVM5qaFknswoEDCsLzxdtziOmi3rOA qKr9Wms5+UfYI65v38QNZv/PbJSh0p9opHEd3Hpum5+6BCUftxR3czyqSGZpWq92wx2JZ3XmLqkU XoD8H2F+d6+8BnUh3dOnrRpb/tjdFlMmAodJM1w1R35qhxW2OVCTbIqa8zDpD0zxbPWNSPpsww28 YTeFTfWcaPAGebGCtMMkY6ZWntvUFoP1/WTXqIrSdf3NYkaJ5MArll5mfbXwmsDC/0WKDA52q1vM f74DFrbWSnsvKp1/1rIkFutJPR93ce4jur7Qa/CuK0871+dRyG7qGIGSHChJECpsaETemXSO82x+ 8G2qH79oIBnoil5iMNNprpHl3qaSSHLoF/wsIxeNzEEs5njLBHQFHuuk0kZfQvD6GsihFMAL5Cng iaD+hYMup7z+6HEcSfTKSiV8zZ6EKYFCdYyh+GTrhCekCw4bnyUJ8uv+hg17SQ4NS5eTFzC7rBh4 xPj2cgqNMKvWv26ZNwkAl0jzvPEZeuluYfnibhTHdP+9qVOH0Z0GugR6WoJUpn0fsnJe9IRUaaLY l8UIjoFvstjJ+YM2gomQIS9ALValGfFWawp86vaonzFIAWKtzDM6ARD47WaghZor/y7WUaPQTsNa GppH7OaT1TqdI8FS/x3t0GJp/iQprOQ+Ce6E6q0DrG42moQ2MTvR9cPokuYnbEuU4ywUwSHdyRmJ wvGw39BThjGSGSmFH1un2ZANud6ojCBrBgDpGb0jjdLPUMhYS7my8kN0cOfX894Tsorj01wr5z4T HTzevbjJNXXy/dSVaSCUGmgMfngbuxLx94B37XNzG+bR5MNSh78Aqemda+Oa6jX2lSMnlwJ5VFzs jTBQjnk8WX6YZxqNmJUVCYTE9MxW0syYDygmJK77fKtTAFsy2etmj74af0frIkmdNlOkbMo6IZAg /N4ODqtGvKTr9C4Gs/1Dq7w+k6pTDlctPDSR2dtMdN+aR8bheE/5AciSPGKdtJhl1VUDmlG6Wn3o oDa/MHFWV0aqIaYS6FPY6OvP348oRfoluierq59jgsBUw4KMaiuc25m3PTBk8E8s5RlwHJhWr/8h wT7hJayjv66zYlJhgfKNf59zu9HNhSQfQRDtLaCE2BYPg/Cfe0l6RAnzdQ2tlxBhKp6nxKCPnGd2 9uUiUyVi1fTo0cDLv1DCPNGmI9LZ6yPHc9oc91HBE1vSeT7swzCphT4pPbs74eFW7FI3FkZ6JTrW OgiqWS5yJ7CxQcoJf0FZtXJbrZ7iKY4/ntF4AG2Kb/U/kQszls8qTyUeIop1MKIiE3m+RBONQcy/ T04WOBx5u9g8UTJRJU9KEzIzcGg8z3ZM0ME5B/5Ioquvl2bjShnwQnSLCcNrQPzMSK0C5YbLMFn3 uygi7RemK9DlR5GxwekLRewoHLIYT3kulsZX7ai2uwEV2T1sR4P2o5UbSmh5lc9OTuhm/Fn8q4ZB fnbTMlJ5ZhcIpONSCIy9/nn9n886YP/p+B4eUVDLNrXvdFKbEpQ5elcORqndUOAxt3jLzF/DUA1Q 9vV95F0mRb8NcwfTrj/5ZHrhwkGYtFa+1bNZtf/06yKtY1tX2Elyz5kMd5Gv0gpRmW7NHnG3bJny A8kNZwOKwAb4+xL4+khecBr+Q5SJ4UktfsE0XdlwZ6z6RFU/AhrW3QwAgg9NyfTkTxFHPcnhPGDM IUo9Y7EZgtIkPctKTayrup5PSXtd+qUT50wku1ZE/9qtPrxisTHk31NjksG9Hd4MYpyJhfcuRGrT lOUvVJVsFuDC10Zb2dV2ZvKkOOdgvPRWJScRPm+qnpTugPboL7dwPQynCmRdXfRmraW+9MXVAYfc hwgKn2fO9C+kzHRNcNaxrJ8maR7Vxs//ZIAE9zt4LkPeF5t4c/2NK7DeuUkoL9cdeXDKeQqf0o4+ TdnSJhht2XZf5CAqpxhttP+mINw3i/elPYi1u0U77tnKARFV1XqKUCFkJVh2CQX/TxjKZCN6vmNn j65CeLBf/WfKUnKpBfjShf8MHDHGWnFQiwqKpVDqrwVDRNgeSUMAUpFBm/yR9W3LffnWpYcKFtR/ FtX8kUaz2QDnLsofjXzrYERhjtEAXfxw4pMkAeyiIJvfVXndytJWP9nZk8lQc4C8BnfanxAiQHeN FenAyLxa82ThVMEGuU/Ot6YikcPbmiqIKJ92wz+pcT/6LmDPejf723RU9Uow6z8gf7oS34C3B8NT m5t+PAvweDXVUMgaOluPOymr/idZXiRQGWS3fhVReRY46g4qEgICRQWdNmrsLGJXXbZusGq1erUQ bc7mBCl+TZpPLZTR5081Zbfr72k+B8SnCj51SaRzKANpCGnM85p4/ADWgymxIMgj1PyuOZ26cTK6 5BjN4NIngyU8L/Gcjzf/Pu8U47Ri/0lV9RnjVbzddgaYDkBQe45EnCL9Y0QAfLLDLk29CXBLJIAO HYMkbf4TdFlfpB14TdGtvDkutMrnQN8gG7geP//y5ccdvCuAAJelhhnOGDaMK20NeS5XEymgjvAr O4fvWybplZ3/bjHfl31QPlmlneur4/P0VHhVE8I+cz5Oq6wYNXhMr/DhF1Htpv7TqKjpVwvgr9uD 6woAEdVVodQRWcbBe8K09Mh3g32sTRdi6SVdJIuaVR10YmQzSIbNZOLzI8Zzt+L5tcSrnhWRLU0x Ji6OJ3UZ+ObeIonddxh2rLD+A+9ZXzseLaFf4ksxCJmxg2ShxAvBMJaQgPHvFT/5rmgy0ltQ6hND 99Jm8yga+mQvs6McEJbeamkoXkmv3VHJ0XhmeSHgQ1D5We4po2q1lkF3Jgf3rjhmQozX33hyljyQ ZGutylBVCBNyBKTYYS1oXb0JUKQPVAHz2x2ajuVMp/rCvrcxUj3oQddKEN48od6sXx9aBhFdq/Fd YnZ0KjRlBoJ5OAGrctNEZFAjPa0j4Gp8u2aEYjK4IiztW8xS0gI/OKqpQQ4bEJ2YrzMOF2fjjaXr 0NDcxtIxmQ1cYXOpiqpNfRIKtUP2Ws1LzWd2VNjDCE71VFTwshFgWps8lh+tpiampOzrDgopPJDa Fk44oau9MBLPCUjccytX57/e1BdwizmHysBQhg/XsfaXVtfou1ZChKTr9d02bbCxKKZJeKafg/bl AuXSzdnDNbxnkbvyOrszuyasRKff+U/QMXPq2Iyl/ZvelRzANVlYZwTWw5xKKj7uzI4hAGBlG0Me fZF+zHmTrlPXGSHiMizjkvTE3oCXEbtBwOAG3GRrfGXE+vInuXf7vuyQtahH0hVzsENRSdj2TqxZ p0shq9l9+XQOGyqbV5h2DNSso5lbgYi4tfpeF/nK7HqJrxzyU60wSzvvMRq7l2k2dYa0+qijAFBZ nHdB7ZEC4xaOVM5BD1hwHlbJy705IOH+i2Xw+7fiM1dGqnwqh9UCAgq8O3gDpHv7dbnFOck7GYeL gx1vPUGJHRTi3n5l8vtqZJJUVjq0iTgLP1B0VDuy9olWxlYxHGh9BMZFwUvhY0DVLCl2qUEwlWxg P7FlV2NskH+193SeiH6W9DlAwrmYIEUc7yOYJOQotiWlw7f+hOvB9ZpI1EQeYYpg82Tx/VzjFU/9 KP9Jsyv8v+B/dVIvSw1wrHzKejMHZIRgqXS8g9FAPJY8SBRE0dngACo6qqdV7DsIS0Q7e5SvpRtz fqdvkUtAyAM1tlZ0nKIrAnh+Rqx47Oa5Y0rRfc/JJvl7AtkAzWWHPgGzF3AQnTXMEGQmRMPoUFqf GjriEU9C74rRk8a+Ns8lTExe05SudjvHRuga6Y1aVdgM+IC8nEhI0f2XrJFbj20BcZrGJmsBkF6Z x/70rHrCJyCr+vrmNZ79rFMGA0Su0mgE9q1cKQt0LGCP4dQKgvExWYjdMldZMINT1Ldj+WPRPOLO u3eIQqGGYsWxeRIHt0JYTjSJk5mdsJBHligwPiK+rDObRMKrrbMc9PMWWSQzCDOrrOR1OM1CYkuk vdoutdowmPaRCY31dbD76yRmVZ5OEPW467T4pMNsHCgG4V5PLgUpcwEAVd5QeCehU9eVU9Et+/Qt 0xvF4n1OI5AlMHkBUAq0dLv9RB6Lpw3QH9RPAjfe7gvzWUrrJSlG1UC7G3V61HZc2TmwkzCclnN+ vU9e9j8LFW9zvhEy933X6gLqxlH2X+9/lUzBH4FBnn6F1EGNUh3xDwkehuy+O2Ild20tZebGxSmq abHXkLOMYXyy1Z/6cAipEOiaukG5VdrYXemyL5cIxPtFfA6Z31+5tm9w6TPxtMZPSl8mcOmiF0zC uScBx66XuimMEbNTThj43MDgdIVlkpZ1AKq+F0nElFKrhoQWcQ62AYm315iAsTbA2J6FDCoF8i5X CWruAG13lrXo1vst6KTpvG49mKhHMDC41zBFiMG7kIGgl7aM3Yc6gj71weYFAsvaaK3N5GJpen0E 0O3C1gMETakdS8OTy9r6w7vQfbeE2zrod4CnN05aBaQ+YsnRgEnsyC2Ar/2xrSeesjGljgJxJTYc DtgQRDyC32DwcMZv6Ve1rk40HP83SF8jaX/xBw/eEP3MHindl1lYGYt5Jbse5EdHLHlZ0F6WFEUf CJnwN2vnX4BJCSEdykg8dphLpkymlK2OArLkzANbGo4B98z5Ev34tp2P2fCUV9IjGMo62cAqqL+j 6Rq4YEWnomi/jHIwmvkRSYUxBKDG3qDF+DcTRd2poTPwDYA1UP+2uV9hOVNqsaylk2qmdyM2QrkO 5PWjYK9DCAuEgDa1Y4kGrNS5oGQVwQC2WXPBQZfY93qC5IngJEffyyzI+bm2L8z8CM2KALjVAi42 LQuv/P0GsLjaAFu4GDoEV+op1wbK59CBvDLFJ2AnfT7V00A/yT0oaqEbrhhguxYD9q3jDkG6Vjgf AROqShg2F1HGAtA8JZJSzwDcT9AnDekzo8ANjnY5UBm06QssjAQv8r8D25aPU1MG+kISvuWEUTrM P6kjSvLjZIEB5rITHqqNVosDMSmrw5AIwfirmMPVYyoKkfdWH9/SzJ0QSOymaLpb6ieKfWPnWR2r DsnoLs/6s6dFIiDX20ptDO6dmBK4PD5F+3xLqxgBNXfCflc4WpsYxzJROL7uaPl7g/fYa6VIs/je XbKD7cRH6NuLllKJTzTc7gbaFOh5TFtDLS4yvPnRpLRNF59jm7zz5rGhKa92PEPRXZDjUCbsjAuy ARSqyy9wVedU7eAaf0ZfPAFgsQ6OYnUbfRFcN3+qR+8V85L43nxdpv04aHrxjuKaWtKuYqX3F3Qh 0K6GCXE+B5UEkSt3pPuZEMrIhFuzOjyruJNIVsnW497Lzq8HUcgPvrdgwr6rf1YqXPeUMTyQwQM/ hv4mD3Xji+uGN5aIfiJ1HLXj3ss+ehfBlf00xG/tMN+3ldHirOH74HU/ZI0MYRMlE2NhYO2NMOam zQxhoDTms9HUfz2dLeWwtkIItgrcr9OXAjRAO/Xuk1YNw0HAtEFWi7jZdW6wgHNoiN3QJ/M/9Zk6 +gTldbhU7Tj5/gl6V8VE+RXq5mOvcKUsidxVdcy3gfoV9dBV4hDavkH9Mot7Ge+tU8HQYPODdgNp rShuUjd5IGT3j3OVpm+ql5YQ7y12PfIA+pG7k/N2Nn2iTVPohpmA9tlD/Dmvrh7ZATMUP5BpS1cq tUYVIVCsn4TurAqXefesvRm9HIm5R/MrBgkxnXQzGh+6uMb9vX5IqCnYevhik5BBOWp8ecVgJHCA suKXdjFaTEjMI6r4zphM1jB+JUUuOkT1oH7PdowFeSZWla3YsvRDWiFrqhQmRbb5ZheKmYi6T2t7 5rJFTnJBeQJJ1v9/+60jE3AEGQjHKWpZkUb/A285vsgk9rXDwbl5rYSxXA21dF2NDHK3a2nkW1i/ W7UI6Qje/sVgt0ZGNTqbXCgSfUl2P2QroSLynfnNwq/qtND1LyY7WYXkIapM4LvCyzovG9Or0V+V IzpPuhXixKcLxA1dsqgA8M3mP1Gb7B9TvL/kT01Gtzg+OeYrv5tVFqiDtBaLgEao3tZG9bW77A7t 6iOsZFpaUSkX4jQC6fn6GAk0EM4QfrWMiqcDn5uwdAz5f8cNB8s2TNLzY/RGYG0O2s5Flmf07mAx X02S500z2tk8Gp6LYKjkIOKXjDWAH+bgcD7t+qrTjBXONyGtZWRBoqAH/WRfOgBYwnqPvHYh1ZfU WIZ9XRdD91jrJz5EtALbAj5EZFypNhqTifjSp9JfBTo4KT6Lg3WkjfJTMAEMyoJUFvKEZybgXKHI nls1cfEID0DVGeXJ50FGEN9ilUvCaohj6yEeshCSqgcGVanKsxE1ULtQhUkaOmuSQEmK1DqUcxCi SwumMCpd53pnAiTD6qDNXuOWoG7uFWMybbknaaoXSB7HnQe9K1gtMUd6ZLmEaWVFUWknhxpBk6st /IkiQ5ugicGrm6JSza7jYJmH99imKxKMwlEeJwR0fAGEpzUggWmPGAd/P0H4KSJK5PoMgpFox8UT VuBayQRSKDuYn3H4OihnIXLEtME/jgUT/1je/toi0K6EPo5ISjkx5BpCNsUG3a+nAxxp4Hd7ydTy OMzsV3/WgBp4aUzkFvYGAVCwoATwNL3RDeLlmncfUWdC6mHpVQeBV7dvgIGAzN9C4oEpOSDyD7h3 /uEtSat0BKEk4YC+B5MRbmJPACl2br81Vxm3DgNp7aSvHPjP3k54HLd4MJYndx0XYEBSGXvhQEP5 CUG/IcGP69YFsA0cbYJ/yOlPQkemb/gE4Rq0nA/VdjfnFnZ8GmAUlHqgkRib2L5HY1AJxVC7rQ3Y ycP14cAbrov93cFhhEhKfftFl7HowsI6Js1XQu2SlRdmAmMgMUoIAY0OTKWTz63/rfjt+dfdHUWM 2BadhchQCY35MFCEM/7ruXMX1+dkBb1X7BasqA9wj4N5cZeUtrf+uin0YbgewpENbpSNYZVx4/js NSdyJR3gCAxDMQI95KEIXVSggntZJu+bHM8X0JDSqW3U+2LZ5JfSXgDQuFD+ArLW7yxF4BRGH1JO LAl5MI10GNaSLiLB8qWef96zY8weWvrPVMZ01w3HFrWuuIpvl/dFLghvV6JAHB53JaAlTDLWZViW En0ytC9PLWjCq6ui2j6JgtgHAvYjwwtLCkuREFNDz6fKUB6Uu+2YqI+NlYOQDKTakcRoDMZALATQ C/3IgrhWRdE/O3nOhifjjejYfAf1Q9JKh1Ct9bWVhLTWUN1BV6Bomoi/6rc7XbhQjLCrbQZp8BOg UFYtYipFGVyeY3DPbM6Fta+0HeeDsT5wjiUOoDiuBAy3pgfViB+TpIOC+y6Jb5wCFk5pYWfM4uMj I+OzFZCO5g8Sok5+rrFQJA7PnqY+w2/UIkSykiUCikq6pMVlFQgUrWdPIVCR+XoDS8HFIcKR8FKt OnsHiqsVj/adLGGeAIOXfktAs+jNifW9DFNcIqJoaO+MS8EeoSI5+7u7l0BQsrbOcCvAj3Kj3/e1 8KjURezjewg3i68YRJaFx77OXU9jUBkV8AA3DOKrT81g72FmSuXBdH8VChC9m88gMM736Rn880NK k/PkK3ZPLjg5psXBh4SWJC5gw7VRFFUQWlalx1/RQwsnE+JRbXRqs5+/tJKA/8+sBopzJihFDgQv uIz7NUMInH4sQaYE4xqLcKZItSof9DLOUIn8Dl+lHz3EcISBrXHm8DkcMGGuWecld3ck/e5soVPg EsmEgQSa3y+CcdIxxY9Uw/06GTzTAx/fHj9IfIZdlP2TiPeuHGqHpdYa8n6d8je21kP+4/wvJmvZ QcoS6mkAkxLb5jLbp6wqR/uYRfzojEtPbh4YDDcbO/CjtAC8bx3gL1bhwfLxmX/4dXjyQrLOXtJj aIT5Fz5lGWusI+3qyfrMwgSmeJvJ+BPP1helVHfKKAFH8rlq4vsfS3XXJqovvnU7x0ZZ4+ijM6DH JKvTZvGeA1o0ZNLPBBEd4MUfCJ1v2pDl2BaCOO6Ppily+4RRRm8XgDVUNbz7t62ZPW6auyWDz1ab Lz5o8S5HAgoMrCyk/qeFbcgDw3Wxb4MHBOQBayNWlwRjVrglInLz0Y5ScgVop7SSjmNNE7Uefyj1 mxugIVwau26wK4qwf5r/MC0dZhOs37rdvA9wy4nU2+moFa2CASbkjRUlzc0F7trN3l2n+aXsFPzZ MtbXDjehjgHlGJ2OnuIPi0JfdCa7TZSaRVD6YcH6tJORGTD7Jo2LHwybZCtdA6SLAAK4EKljRMcG PScDjk3vUKdpreFhuHs4FDon600vC1LVj/i5vPX9VkSoMWi3SAwQsf7f/ayRujXtoJqF9rZVEyjI rA7dWjQKvbiZAu7F2Fitboh68Ti76MgUVKDrK0M0bR5989bf3Ey0Bvac0wAbDJ6aIcnaQT8IioGV WT+z/5nACHrm/JRJNXgs4BAHlbuG4W/61KRKX707QRduUKIeFW6ZvNuCsVr1j34UAcRKICv06gpT Q4BF3JZMu41oJmOrmAiO3pITfum+bApFyreY8LCxeaZBLu7PMZVgMWYIUOwPqWUm5mEwYSxAT4R5 yF7y5iVsP9JXyB4JDf31NHXG+sPkduoLT+xvsW3Hm+iAr9NTaZNiQREqE7IgNKCW7VqJy6N6R3es XDZb+7Ro15vzU+3dgiPhpLGn0NFF0w/eRdqmRAv9NbkGXl8IRm0i362FQYW8e9wEiY4rer0/aJ2z 71IET+AiCN/xVt/hlcGPj0UscncuvPFfMVfyrbEQhPK9bLkPmowCDVJGS192wEnyT/9ZM7f6vLub eO88pt1+BZOIVjOinFKHh5PxbGShm6d90gQ/8izXznjVNzSbqPxQZZP2Z6hlQfzyFJLAg6mcKdQH puIoBL+0+fkWjIMFGRhfeTG0lMWsprxR72QefZPg3QlhCldozvn2X/129n7GnAPr0WqaLcbUUYzH 0X8s5KCKojaZxEnlpO3dQBdGhRyVJEMrcypHPpeT0UR0SBXcMxjjMAKNbnc4bic1e6Zg93i68LDH 3SbkdW7s4mj3bWeAYcNWhRE+mwNO26nT2a56s8DPPTrFmOGTFKfoZYeadjsiXeSxox6Jb63ORihd hO7m/bT25sKudHxbUS2mRfwCcwGFBuoiTWooZ/ZBPWmCQBgg+u9cQ1YxVxUPgZV0rmgXRw3jbBEx 4ABTnJcbVpQ6dU7eFUKKiTBj8A+4AHtmwP2Hm9e0tHOdsb1H5bJVY43VKduNF6ytlHnMGFGTypnD /nVMw18wG0CeRdlZJyJWFqQ5dyX5pDFGNLAAN2g5Q4aAVKSizc/JBuIL93rPgvT0wnIn5eisIhwt PPyCtVbEJPIp39iy4XmnazRF577BLETo4gNUdoYIlXfrAbGmBlyt2RsjagjBuHzyjjAKnA8wxmXP AATumkpZscQdGvHkz5yzHUfnwr8UWilAsE7BBX91dvajBoYrWzm9YMovXoq24+mJJNOgujxwo9p9 rti5/7hqWGUscA+8yWRu17M0MTooi8hcsM+TtQr8hZV/EQ05UEGFDdNALZq7LTqAr3g12SMeo4QT cO56A0/aP9ZiruoxHo6Cr+huJ7aAjr4SsXLmIw6aGNXPCBvzYvZ/jn0+r3sSrOZH2r2L7vl09nkX cmv50XnS+Ja22QwqiRtUmFTRimCUotNGgP4uma8qsXR3TVI4L4ObzfiNioh6gPpmby5IHNqEjUwY Kuf9LrgavoKpwDiwrMolB5RbUfmXwjs6IsCsgL/AVN2JSaBTFL2p9LCJgU1HnRXwo5v/V1x38wVu ep3xD5IfpPxxpza9OYEOrZkzn2kULQLXroOe9Xc5M/iha7KVIPvF63K/yH8CkTynQmcADS32ad4Y 72AXPsasaeJurBbc4p27PsE7TOmeOT+X2THVww5pZ8Bj0cnobh+j0sTR11Sea/9wawWQOqZEWjjh sX9jP9ZmbFktxqLelz0ia8010589w1yoprHsg4JHPYhlIj8yXZM9ZbIlvUGIqr/RCZI9HH1UbD2L HjDvtnmJ8Ez7b0It4xQr2cTV3wqCK29qkyRFqIY71amh+3fIJ0ceJsRjHf+Zuji8UmBbhfHFkg+P ipaAzux7vQqa7O9Ischp3FGsKaNyWgfENc9PB5qDtitFRUTf7VdQqMVFIy2sRNoQX1fsi4Gr+Aqe sY6iwfkyWU9yvVvCcMQi5yTVnjJOGhoK9p2gXIvwKQpzV3ZonNc4I3+MRX6lIkj+jEWoqpxOcqG0 OHz3gV+4N68mmkyEtz3izLL0DnbaGE53DUWmmO6sMoDmd5mcqT/B8v2L+TsmoA2QwOOtBk7ITwZF kqmSaudt8Qh84VQCpxq6HGDHECEVISCjg4xYWXPcCwz0NmlW69rzW4z1XrV3QTbq+IXyfk7+6EYa cMwA650JYexeB5J4JVyqu8GPzop2/pQAnrYNfOrBMRwtsIggPWFg4RcKd82e0ZVPwCWYxXgDisP9 qtwHzUyod5Bz2BKZ8Q165q6HZnUyAot5NpJ4qa0CvvYPaov7Zk9DExhdxFxM9X0lfsqS+pQS4lld Zcu2lsoiLZpnXPgcmEQ9KzClp53MWAQyUan99Z2UaOtAaohe8XvIrnmrWNUlKfDegDlyZBiOTh1/ f1HMm9ffzXVoQIEAX3o/JP4Gf5Vz8uEflMvD+NL/X56ckyKfXmtf00G9qjcANOGWQpAtyyJ5MNdG nEvhFUgB6nyGyiVs5Q4hy2FyOVH2Vcty/C6ULBq/5hAzIoYzWxXoLPpPF1gFwZOfM/lWZ7+ybZVD /86TF3T9mocag+kY4VpRsVNmGeuQsyYC0UhjAD4HlfKgDB0ezwaY0BuwwYJZiSl3sYJMAR3lN30K cT9YDaxf2WrEdC1/nYtZo0GSe9rp31lcUCNXjHa66IudXiSy9Se3o/muARTBd5bRQdDFYDZB3ZWc Ns/+RBAt+bV7OpQF09x1KqqfhMKZoMi0W60mENcupACKfUONRyQLysQAUxLr33vQV2RAo/0NEMzz 4yc8x4T4zEqmli6xzAdjyGQZ1AEiEKFTz0aXY4/lonZK0xAg2Kru88wat5xeroP2VeHrylsoD+Of OG3CqdNqxnliMTf+6POloTob8yRwRt4but0pizEBk7t80lZe1+JCXIeGtgHhV7x7ZCuyBL4uYZL4 Z9jDcITO2SRTZNzzob3Sn9d+E/1ZN24XvHpDWMLx33P7b2lk1W0wHkgtLhqutOpcN7X9GCyHI1do +liL0UbK6SfZo0xqg8exxYu5trWGgUq8MMpIDE6MPiSCzXCkJ0LfuLCMa/YgEFTQOW5022NE4QZe V07Zr6pO0A2Tkn3rapHTzVq304g9xFCy9OP4ITMyZp7+zZWnnZsjtaq9bVT3wDmSvHNzeCkovwtJ 29OSlhjKK64VX7mOjjiLoZC+hDx/Cd/ZxreBhRoQUK3VmM0n7N8TQfMr5sJUtAjB5RxuheZK42Dr jvCQf8OgKs3hSybKdXB6IzBbL8baVlYKMTP3Ruxmdm+57yea1Q071zzOdQWkQkSYx66uUqIjBUA1 WRUSJojmwrIVc0ILr4E3CqQkRg0I7Q3/gJaQ21CUBC5F95Oo4EUjOe4cnJnQhU0K38PFuKj7zNGX 1UBbPeSMCNX35FO0hH16SyLXFbg7byfUB7VUWLSCeQ6HPS5jVjHGfKdtrWD3m92DElgSfWb302av LbdzShOseg8VxLlFX8MsYjCRhb0L6FOx+jNm/x3uelKpXS0ezuQ2CiCbDtcWFqhlT8A+txW/2Ne1 h4MjZOzbICfwqC8uD8OgRg4KP2PBZf7hEFTSBDwE6JUDIvEPTfahxvSEi5S8se37xY6E2q+4U5Q8 bnfy3Qm3D1aVXqox1WfEYQLhWCU87Y3OVuxW/Tp6gmqwObVhKbk4sIwh5qTNT3fTvIF9WM7UCfZK qQPqtAsRpCDkI1lILCx8yb05FC2lu5C3mX6xUQ0bCU73n0neUWe4gCIzxaep/dyn25TZV0D5pPkq wvoTcLwTqaJuKNAIgXEyds0ij3SVp1wvaoFNsZ/npGbZcgMt20FWZt8Opd+lIaObLA2fVkvlZ54U 4UWimDektW3BGmiBnuL73Mgjob5coLHjt6D+3oJIoYdXO0oe6iutS3UetWsrag337TrLVuxS+cpF HhFINo3kb4QfrHddY2VxmhAr6T8AyvGbOHTFRavaLmIog8xFaKUhCwS6lnsJat7Xrs4Z8PmP6GhI rWkOglTCgFC2KtIyyN5ALAbtdyqlEpECmst3lJ+Gb6goNmw1lPPbtWozDpb7I2+KXLlBSQ3NOisZ q/7eAMdLBEp2rvUU/LoldH6ajpr2Nf11xnWPwFxvEN8XAcmYXQCPtVbkt7Au5Azfm4STRu58X4xm lxS9F/SRd9ZTXmSqWQDTbUPtkYKLDl35qGSg7eXmgazisWcHohI42m0dJ+ckic6kySwCCbViMT8e 67WqfQD8vI3AuFnY6k7DXgAJjbUD5d3RvoEDwNed4WLvFYgYmD5zp2Ls8J1rj22aYSLs1z2g5CkZ /QXOBMqP91QqWuCYyc+QlgnCfnatraWg8l8/2+cbzeinx9dTFANh6Pi8CuSUaFuLh7eQBp9EIAWr xgq62+mvI120u0Fnsa4Gucys9XwsTC04Zb6tpQ1U6y/2J8mIpEOPFvK9N6LunDC9ej+3iTrvIesT XUAhx1uqYhPswuujigVvKM7Y+jquD2G8vm44pNQ7J2Y8mTB7usblxEhZpL4yBdDUfjZhVimeC9uF NsTNk/W64I+icrYV0znrpcgpkVb4wygCF6VCgoxyUE/fL+55Dvai5nMZD38h+GnRSCW+Ki0bZXFc gGBMTf+hv0mQeO5fmDxVeGZXHPdd66Zl4UfcdUWJXHiCw+nuq6M2jl0HU30daREoDxvKMI/DpLRy OQm/nmq4VKOmks9F3KHxB0thIu26swD4msQWAjaIbxBd9Zx6UktgIcKqqAUBv250vfLrH4JGvfMt pdT6d1rBpVkR+jAUWfpjePYuTsY4wmOKbxffS5l8p/IXa7z2eMvTMZkXgvMC+t5U5yCmMjy3hrTT zzxwIxe66iEIqwTN5mBne2acuDhWBlruLMrbHD6cJEkL0JOJkeIEn6zpuPx4yyHmZ6HoEdt+gSmb mxhj+9b7a9leULyByX9QhMQo+qkouGFLdA+1uVg4ykCxBm+g4sqddwZ+I4UMeLN/1jN7SJMTcIGq usbpDBzQcwdwBfs5BOrk0c0HtOWn5D3JnKKzeECFrvormDCo0XqUrKuH80sESo5Igpt1C6/2EMj9 /BpGVxkyC4Yk+qL0RbzfhR/tUKbdpnVFAu7IqIzOJBbO8zyDG+1zqq1NslJkDpXvSp1BGWvDl4wd x/Nvoa5FjUoWWhG2JScQk65XtQwHhqXWifChQwFx4Hj3CDjSQLJ6j3QJvFFNpIJBriRdxIkHwuIC ApQ+JKe6PEda/IU+kuOJV31sj2UvmP8OAJKGme0H04Ke4xfR2vrKVZCHFM6AjlUQVTz+pS5SSzcA QWP9U3mVLCoY68ZUOkm9N1W7KoQ0RxFrcGPLbq+CXwbfgP5b45OSkJlG8F20F5K6SVxGQhkpzkyj FjiGin73aIkgLZphQPY+NYtBS9u3cPAPMPKBuLhjGNhe6kimdJV9pWnIXSFbDnQHgxdf/c7W3K+T TzLlY+o/fnIiOEv7jSInguF3Cx64qe4bOIirby6dnX59D6xZrhxU6GqBCn0KRFUaslsrCvsuCjeR n2bCruApGafEVqE8DrsLvS51MS3KPl8Yl+PDzeYLmmSKK9yC6hEobt5A278r/NKm96txGmKQKZDp /kqGXx9Pf0W7WHrmLQpqJF4IZQD4gGJpu7TXMQ1VhNTBegou0TcXj5O4s2xry28fvIu50l5YRsn7 ICOOq9Fjw0ZTyz6Ov7CBRguhThXnLXyXuMAF4q82N6yxPnoxOTC81wvO7cmncJKjOGETUEaq5x3N MhYDIwRu65FSB2EnOrmLp6U3RF2P3tXG8+rTappCIv5f0yXZL259W8UNQSYlSdGR93jnxVUgSHWR I5z+3bbubrbCaOS0ETjM4RiMbSK6Gwj0IISC+cMr0oQK/+626Q7JKMhSdeQjBU4bM9SCplZtHNdx 3WMK5u2uyzC4Ujkwler3NNoVTRk3bF+7OrVdH4R8SzzbQvyDie4OOTwrSftu7lw18BoQoTO9bFNT 4wmiCNXpqtVvjkRNT2vfaEv47LdnOtfJ9c3L14ba53h4lnHI0kqbfRuwRa9HD65kpXPo4NdUFbDt QP/5ZNoZyuWrUUg25WQctZ1s/JMdlFWHywjCtiajvwJw5ibyVzYEg1eD9sYjXsFIu11dpAXZv6Lt jVGjzsIZvZ0ivaY3r5GCA9dvzZZPA4HyIGm8Xmrs7c2SNLHqTvWfG6K1h07/gjUsRuh3pw7MKmPI HUzoLeIQsc2Ocb6TklhrtTD4hD+2E4dAhKKUUGQ0FRDVRqENVfWwrEr/ZHwXY/yYFJ/Ult1tgPpy U35/OxyEcB5CI3OYuVhO5HRP5RthC0KnahUIitCWyNZE5VeuFtnqiKVOacvAYgDmGAPot0+E1QCi STxtzgKizO+G7dyWqA2TRgBkh12vydqWP5Liekn9UeUnjSD9xC6eQ2D8UPDvM2s/TIXxt7jkJksG RbshVTiHxbQuUlaUfflqAHx4Ot3lTc7Y35xhIXk3vHsccoYKApmzxzgy0VfW1bMnBFddXCxmHR8N umO0EZP3bFsirW8v/tkkzHhL3d2l2/DV9OXlc6iKWGimc26pd1PR5p1yzzk7ETgJHCbBg1P1wdLP JbX8lQC2UnFYgpHnTSdsyyw+GRDMv67smELUa0toUFQgQqcS/9GbWpM+nzAp6eJ9pBk7ggIOauie YJFUySvgTZI1XZmF0DFHGyVjNB/CG61e1NOcVLgAOI1JA89RTgYzTPUwOOFj/C+AlfYu9Uz0Ncad GDnWe1/r9rsvv/tv/MKsBIKE6PDVR6vXFX+lp6e10DDQ5SHD1yGiStbosXmTaSEt9HKWzR+6Bqjt oAPf7LHKpNa9P1F99/oeZ0vdbJgbg7+pne9cHpSn58euecBgEnsXbCk4q+8QUq9nTbzkm7upNrPn CDi4pDTM/38emOjN+ECjuI/voog+o84fje3y1yoMW1T8W/mF516PQYq/TBWZYja8Akskt9yUu+k/ jdMV7eDHcNJpBoSAfvdq99SLpWqO7FPZP2RB73qv/T0l0kYVDwoQmPRcAVRqQGKnV6FWk2RCsdQ9 4aUCUZQcdkhGKB6flj2K7aR8Gx+db5Gdimy4xt1QWZW2pmS55mbt9+jDkLZEc9cryBHKSDdySjLR N1DWvsD4TqopNdyodLdyIlLzfA7XHSvHA62zDnFdSzMHylFP11+9AukILIoHqxSnNPU7AwYV8sWa V9bRhEW8m6kilXVJmxjfA/o3p1d7E78ckkmEwWFVkKg0Hzl8+gbJR5Pudqe8eVE8rfcaJxbx02/G rz7IxqRTqBJn5kDD4gkuHs3Px4TvvEedVTPNVomv4zqDRi4RwXXHDF67K5MfQ2gjugGY04+XwZXP RYmXGzsc9SBXGxZJ2IYuUldD3cOEWH5dJ/2RmI1+VfFPhkP3Rer3aJ908hhHQustq/oEhDO1oLWD 5l6WOMmrSZi5D/KcMRImzSKvIehecjwu0GFz/WjEcO0VQONJwFtAQDNZJXl1Bu22qkMqySwkqE39 ziRQUb0elC53I/Z2A4+x0vmcTpEZkN9cyoCR68Bw/xihxN68VWbjVyRT4SdaCCM751rEYYEJIdVX +7BgB+hBnsDKPbn0L2SjAjubGKMDrgWRoGWTaUpFustQQsfW82U+bKk7T697pMPLSS7vXH9Ep1bT qbnl/etvbLMf+kTOsxB/HwAx1bFDohg5TE+jXKsuO2YAM4K4AFfMP+9ShInjVO9BqmIbG/318oj4 LRv7kv370HZn9yAjQ5fBN+R8HbzdYePcsm8TeFARGjALjAba3U/02SShBOa8aIiIcLePasmjLe7K DkaibWjr+4x6kZvWVDMitTb39FbaFYteqoG2VtWLE0nNg/UzBplbtl+j9pJkJcYbTlxFd9oWKyll DrFR1YA2Njv9yo4p3YgZco5vSy00SospKQhbebXSlcN8zK4m9/tsd8J/rXLs8btYBclc2sAADZ0N 3ACXtlpt3daouKSzbRApOGG/qZ/qPc5eIJN/qurYrA4aRp6Ua3/RXaHfw5yM+nPfAxSw8VywfFzs gxkWxRMkl5brl4ia3ejh9vbJd1EtpHJo8T9uXdcuou+Sfu4QxTihBTks0PadwaLnfq+UzQSSFVmk Wves+jh9j3GLc0jc9xguoDDqcy0chYZa9Q+xmIOQU8ZKu+6n3cNEk8ytyOgM7XL3Z73jeraGkjxe A7AIPRVKhrPiXd5cnmE+hkBPuCLllDubqNMXqHhindAXtxiA/yHDCIsp5FbAxJSuEHGlDquN1Guf hJcooDxdyisCmxl3PzOtMD8vx3k2P0DFeOljv2u1yAfKIKpHI7YLrRksdsRPWvW6oHn6foijJ/zw fqrUQAl4DB80CL088ef1PukIca1acQx3Q8qr0FkM8c6E4AxgfX51z2cv3Mdi8aX03XHKzt9+lAZ6 f+BwuFZvyut+GcZIpF2ntrWzGoYlBFk/3UlVZxsiLazj/10W7ooBogGRoDfeNwGG5F2O8oslt281 KG4j9cAOTUj0eY4oiWP2fGvm8uyw2Bgv//ww91cu1V43H91BWF049MA7JSgJGI8DcETeTamNcoaa q8QWQiOv/qLG4yj16IQCsDQ1sMS+0p2pQMIwrQVSpjVtL0Uq8njDrsUFSXJ6Zv8m7j+75oEuZBzm 0dhWn96r4QCaV/FjQ2IXdHxVsHNCwtjKpsb5eYTYE2jg6Yt8rz76xqqbXoKAFdAQXMdRKm9IHFiP meyZKccVj6ES9ZGP7mSKXZM//zPsQIFaZS1mkHVsMGlufPWzHo/ojlnw9XWk1qwy7rL0WieWDXW8 cDjQspTeWEkqEKQSrr5h6ZzAOiw3sSchVaUG1iO0LO4frQNE/obnxjQXzxntZk3zs4w3uKKiY05C mFveUhZM6ZOYgQG9vSi1ZI83Hul6u8TVloSvPxnEN0tD7ugPqMe7WjpcDAkJArzBaWbjYlM5BUif 4CAOBkwbgii1/G8JRJkllrHZPGv9+OeIdnvFTRi5/lYxFGxqqxEJ9t84nToXLhia/TZmotFWpUyM u/A1DXRHLaycDDvo1kD678ALCjJw6ftOCDJcdPsVES5JQNH5QZ0qF0K/47wJ1gxcwWa6T/veuVZ9 QkJHNuJjiRxqv8mFgRMOuJSxxP2V4YbxfIi4tVLzo7s7BplXj7kCmaKeg6aWs0DtjfhOJ04E2iss 9ac4aHMPF/9i/zh+4tSs/nPasSiJD+P3EnWb+2p+FGEegG+sp/HZIw12VmSQ4eR2i91Ostm0ryKs Sw2+kx093TxXZLfoQLR5gitywZX8bhaPmX8q9pZxKlCs485dPfBzdBDWpIrGZA+xchMWg+p2shxx fbodBnwBmsJWOZXk40RSEOPR1N6iczWzNhST90ES1NTNolAolC5dVjIMbJWagL5jGlqH1LP83qwe obcoOCne4FOnjxr6TIp1h7NEoKtaAJuuHgzhxCGQob4EshSF5ZiwHj3BZL7Lz4tjcAquKSbxCC+e zXVyMXhAi4uS8krW8qa9+GNelbUuRbebWjGNCFOUaz6U+eo7vi/AuXnzlTfkWHDcFCvG5qJJzslJ Nmn5bdq64JemI077zOUl++wdBKqbYwjH9VP4tFyek/i6dbCy9QGxmkW61GGJAB+NrfqzeI4LjbYI vJaiy27uqE8H6etn9wbsMtFyYd+jbWf46irDg4Dd06OcD8U0OjPxiegtfT8yvP4ks6xmI5oQDTMg B+H1dffGb0ucM55EQLgL2JKDAFGhWB9pRJo2x/xE+x3W7z8ZhG5P+K53g5A8NGnlxFPsBz1l9qx+ FMyYfPkERRyWEHDDUg2JuEa3C2J5lr2uVvFYS4xzWpHf5Hzjsvh2SIJu9YA+SvJ0R1vGY4706slm oy/8Ox0XyYHcdfvRsZrql/3MDkWCUjR1NM3UeDMMRQ4i+oHuBy/dgS7WMk58COG99a+v5in9jRDB TbOOXfbXOtq+H2WWx7FYR0ENIKleiV0Cr/R87YPrVgvkhCBGF7ruvFVBxRx1LrjzY82KQ/nsGXmF TxfdUbuqxSB6MKJ2V0THQK9DqSiGg/kjsrX81A4VeNOX5hFPl9kG6krcVVfnHa+AWpdepKENKxIO D8rT8JR6FTkzmmfUUk3IXYkNz+RSISYuDfUogf3xqHEnxV+AcVuXkdEZ4JCgTJaEEHNcULrNJui7 OnGohGf6CBskbQ9ru3j56Q4bfDCfgZP0lJisBT8lJIgn5UYEsAM/fxAqfVEPyK05GF1o7Rj73XV/ Qx/ahNCi+3J0jz655UAUsjviGpeMeSs0vbzB1gZZYA/kiKubSg+nlq2utl4z7kgXxVqQ4nZgEn9m gNrEnQFQ1wAeLyCSxSAjDfzuKfhx+Ar1zZwiSn+5aYRD+PbLBGd7xKA/m/+MJMm6ZxosxXTZ6awC rvlYjSa4Au92ydgwAXdLnmJLWcQuMCdYyv+53DE8YxJXdztB12U+j73gDXkI1chpZovqgJhhx1L/ 3bl50vWa1KfQVd1na+1auXmmVgrKbHqqrYzdu2cT5AUgS+OBFOT0uR9Z3emO6ZdsUh1sVVn2lPCJ X/MoF4m21kVkz7djwuAj4CMkeMNRfkGNf/8QCpHBhDngLoUeYVo/lQZSVcQPT6dMItKrOImfxq+N QobZDKQuv5O4jIDat81OoVGL4QOGqrqmyRYxMw5iWLX1D0rNusN6GHEaNTBD51XfTgEH1vwAQum+ aBll2dzNF+fbYyyhX3DyPFAlNymbyR3NIruTVUpnchruiQcfU7ZuYl8yfB4gof7dziNR/VI+VM4R ZQR8Vnz7cjdbeUmjBlpm95RDpJO+YbCCqF15pk5FCORzqdQhJM5Q1jsWtWxdFdrHaihWxEKIWnbr Jwnbv6tgiHTZNZ9j2twFrPDUcWg6TGLnsEIBpc37BS3RNqvo0Rk7imZFoB+9OayQjOuN30xWB/53 JZqmdajRfkVMv5qh8GhOunKSMEchA5NKJJk9zvQju2somyksyYjb/+g0bgQGBp7cEEtM+ioiiHdn ESALW1+aC4/IEDlc/w8dwWS/oSedmgVGOks8pbAUs+AtSCfL6nvZ8oqB+zm85AVy/orVkXTjHkFD wkCaLaK0Y2MFEJESv0LJAG6UNW2juZVZYxoabbXm3LFP95ayQ7Z1/bWQtcwcY0UEt2bJVGX0RceT YaAj8O+H8K912BziESSpWqHaNKUdqfQpQs9oLyJB6nJrAsJlOb3oiqaegE1N/VCdzA39bGc31Qd/ t8GsAKoUM+Uw09Enhn3OeRP/Yc8SdIwJrvEfz3amepZYwbcmN7I/1M3ddPYzyPV69UdVAKmVvme+ 6E9Kjbh9tObre5hLIUny2/+SjA0sP+nPz0Gyqn6RQIC76HvDC8LdEvo9Coaa4WGIvcP5xgyQ04aa IAqV9OppOouM+AxCfZsZX60LJ4l3PcPpWNjNffd06qhw4kxYYEIye4SS9Mgh7rXa0iJ0vMMyrLUq EJiM43qJ6XOcmRkRk91cAnuWQoiuK5PJ/5t1x4zmnz/LGQM+Cr2lw4fsTAJEl/YP0tOLMBUrZC27 kTyHR28vbewOWK89FaFEPwV6l4rqeRglBSMXJZiRcxIbOCqZmNbRaup0YfytMtVC925J4mh60yJG iaJi/rb0vU9QqryQmP7r09+Op8smG3eFwwTZaF0oGt/ybaXAP1bhjRAAD7mrU8B8a04DhbMJAJEF 0xiZ5Z485E6m6SnPPODi06oNuyQqH6Vt6AlSppY5+RPIl3UMtwkHKv9BslLKvYWdEsFozPtWpUeA nlswVHYhTAqI1SqwPqXfgTVmZ6qSaosuDlCxBTXssHbT2FOj4X8CVsBueM887QxnPIMfdQ0I3/6R fCHeEvfaBoSrKbMuk6cS81e5EXTKDgBVwbBFjwCjPZHiLKCx9TTWe136XFVWr98GeY/9sE+WPQNa RUjBauqmQWQyGsz459IyEisroZyISApeemQS1LT7VxA2MMT8zPLYlv7UnMkECS29E9EuizRb4aKl 1PxYVAjMllZnqFbG7Z/mtFItJz/lm4ZWkud6D2qnuqlP4pO18hr6+WDf69jjQ+T33TUTAzNepa+H /04AKrKE1J+5X2NjgbONUc9e6eji6T52qJfQqwB+7znKFWFcoWyiC2STDfQcopyVtx5ts67qLmti v9x90xEhw1agrmypwyn0aMEO01a8Q4t9xtj68oS/dydjieG0eSFtt0GPSTqkJrQx9DOy1Yye54L5 yEozvAk1Li25ZhOPsk5Uk1575heLHXiTAAQ1Y5s4TEgZYu9NL28gAtrOfkYoPn2abd0MYHQwm479 NZfXS1NwCPZIfo4ZNy4lWJyqYRy9jhrRWxQt+CFAv4uAYmChJoxetCJOLK8vSqfZWj/YznoCJ2hv Cdnxfe/b09LztsgrOGDJtkRQ3WfVHLEnZvYIPA7mY8/ZSzdGJRePRbk221YMu7FhwSq5CG1XgMlJ xSpaXy9OalrIg1LwLZNB3+/iDzExfY+UDZPmPvdqGHJHRsQ7kjbA47oxltwr9CHu4MH9yOaP5Qqs rXuxd5VAqhQWFy+9uyCvOPGFy+4JMPZBX7x4KY7vf9SRPHiDHMyC1Ab8gUjfqdwlrHMhR1oO1ej5 16Km1X6dU3YtcxKgsKDAWeW3AkEqHAGRsTFmkexpTiSLEjlinchrMVfWDYqdlsbxXR3+FgcRBlxX ro1XEFKplZaaYl85Wf6FlmY9yiN5phZtqXpojFpQsybNvwEA4mDHKqV8WTQjjXlvijsaQDZlFfcI Uu4LJegAKDbO/k/SUbHz50mpNJaC7c0AW7hZejLq6w2Z+5YQrWoqtOG9dZKMPUf+VwlZ2ErhpN0p Fp/WYHkvIrm8ylWc1tVwR8ptoXo9VTQAt6cOXmzPUu+VdaRiy27BeQNViOwhGv3cQ3I0cu19/V11 e/FnEEh4ujhmNR/v73bETqCn/E6SkvFr4Fe9Vq40rVFPVGngtzL7J+ag6yowlsK0uUM1wam5Owt7 HnxxKz38pic5Xfw+T4A9mkqKpP+wun28zRk44AVymbGEBw3eVmxlTevaRhLzlMvjptVEMdB89MFq sDJ2IyTtjTXbpP3UTd88e8EuyTi42/TnJ1irMzaEx+CuSUKheaodBedMQrmfWCFWkfwbf3Vp0Hzq EkFMkYWW4wm0sBog79oRHk+bm53e6ww5/59/F6CYsRBbM3397/15ku5pKnnXn4NojCajGxI7T1Hu GgzN7BwMdnsA+05s6VgYnhbXdQ/ebD60d8EWayThbMVzDBrZInInKpS1732Q75Ch3jFjRUcIRqBS Dn9EFegIBB2piL48fKnN9WIVfwX+txmR8Y6ZUXYy+3Wak/R4AyEJjkdVOqAcYgqhSCV5C005a+GI 8edcwCwpcFgyllVzjoCFbXe5tQ9ipHwMNURcgJCx7VmoY5vj+YFI+m84adWrzTfp7KqNtA7tSUSK MrzG6QZM/rQZcp+/CfR/21Fqe+LFUavukCL9L4MlMbCkee6y/Gksf3GTj5PbHEAWSbrLcfp1XST0 ujt+7A4+OtOqMaaDbxczfe7gzzNVTiiLrMopjR+Vz+BuyQI2N3Mvfc9j7JCZX3fd2LPX4RhSE+C1 ZxAQ+Gb5fccm408H7TgZZ6NoqWoHqmZon9scQZ44LAJcFUhbGl25DvMCh3Usoii2TYgEZQLSuqK4 d8NeD4g2Hfv7qKu0XzwW11NaNdLJ4WT0vc51vjEt5ty6OhMjT0kN9Luw3hPK2ghCMUDce5RMg8Cb ynlluAm7BX+7T0zhRZMO+NiVVSQRhUqin5FngjUC6kvC7FWt7qOx2WX/g118nArW25nyhwxR/dv9 OWYci/HEgtVdIqdWuYpH41umyyiTyXQ1DHjTdy0s8dUvA3oLAW4lPs+3k8vrUYctnf8TK6lNUt2U pBHOnfWyY44fSBvRqZ+v60ZjRZYr8mWUEKrP+j9T942QFzWyxBLbHDXEHct11VTIczKbZaG2YY0L 0+ae8tNn4x4iOBJs1tmzChIm8gBOHkbGTcHGJIImV8EKE85OPuDOmvGxGbVXkZcySgCWBCBGZ6su plGks6HUpy50k9PxUpJZ5qJYIR5NcuczFG1CmGLramAKJTcayupi+Dypmkk9HQyZy8WcxuCbZQp/ VJxh1OEfB7Hy1+LS5dp9AqfkR4qOXyRVIqnAk9LwuazYO0/CgTXt85nvlojtI0/gi2QvmsmX3Z1+ w32vmXAYfhYbQ00M2erML16wR78lD6YZg63NrZEu9Ppk/URawGOndwkSwRrMfEMFa015qILUl94Y 5b1YZNdHZi3IaALVDV3er5XH7JxcV0c/XAXjVFPLrtclLlHRM8Xjk26lQcX4RtaV74f9CnvD+zui TCGdh8uLBWhFWQKrvrwehsfnfQ7pzO2SiJbjrthCM01Fxgj+7JQUJN5MmCJfQ5ECOIjOsUA5iE6Q rYC7kuXbkCTShV6tzK8JU1I8dYiBxEbF95qbYBdkJlLjJx6rjUBsK/j+Mw7ljvTIZGTKLJpIm3cP NJ/+oMgWVJ+n8X0AB6kA/tFHNfJKrZ9c/xujPMjGw8Z5npQPge2Wss1Rj+sqw6E8elbqlJUYQc/1 Ra6HQjBOpNHF8uR2If11c8Q4WCJePSUgtU03rFu4auNFiFCcXZZsCqyyh1+a1mKA2ZOlJUzSQL3T dcYmVMQZWJtb94jobIWawgZ6D9X22k2iXR8YPLgt6SL3RX2OF4YqQJOtPJYfi56bXYeD59y38YK4 Zx5SDqkJCMZav1EjYgovpei/GwBCFErVqx5wcVb4tk25f2PQHrk9n40MLI7E0lCQOW7px3LeiMZM +kzh4ONmpprvRMoGwWuV+UjYkCoq+hfs33oR3VW+ZUdBKlnTKFpxoNTYoWwy2b4ubEm6YHNvECYK yV/B9jgtJ82Q4yUTGQFTixhE6BTQQ2mR86fCMu/feIlXgJwZpoX5V+6Nj01rD0FTZXALaQinBCia a0Y5Sq+iaUjrMnJWKVn9OvQDG3JMc5CNlLnjoqCyBNoX4NTgdkQlB9hTpqoM2FTkRrP+o4kUd+9B 9wCkWSeNotoydMUOvQw9+hoiGXc5OvCHqeZUe+89dXv44a9k+xn7kMHPjXP0M/TUJ8vthf0zyJ1K WnjiMvO9oIqsahMldavr2uabBWYSNJo9WRzIx/lyMO01TAORSacCmLdgIE1l4BKJud1mDJKUoeQZ /a2uHSNO4moLW9lOHXhvEkIA3HMITKBHLH/cmEVRHBpJA+qKhQ0z86R+dS4dLjidUdeqib1gKakn byVrVqn7Q5aILn3Fc+pM4ThDHyINVpRtgNpPjFRnwEJ5PjIM+qhv85bTYAo9Nc+X/N1bSS8LgcS0 QSdUE7FhELktpL1HXv4NU7zvIQwwbDfaNaeI2PukxsJo22dhMBpCJhIpVDPaZFNHKKU61WgVCEMh 33X3RTSSvi28r2/0hvN820JfgDQfTpObSpdshH7dx1pVu6WckVDO1bS+emKacS1qmbJsVkyA7/jM QLxvz9ZzZBCwUbW3S8Hv43K0jnFpDTp+94MgvBAqYrV5zBHoyzfGYGBccJg6w9H+nc1CxWSW6BVj XHT8crbtLLPn8DWJVpKzCeUdUu6vJbDcWOnOnPvtz55TxrZA20/FhHFc2+XaAuQZZ9OWCfrkBbDP zeMok0+gZ4qahCA3JAfPTCz/u4jH3YtTBEQEltuTutLt/R4XsJmoU3Ox2vK2SJWsPp7IypKO0Dhl uVurm2ZHEI6oEFQ96J+TKx8bPoSMQp91p8tFibojIYLjMngjdvWcWfzq/WASMtrS9HeN1L4p47ep 2h5WuCXWcyzABEX8NWQ2//KhgFc6EMltPtdyTzkOXUUGS+AP/tVtot8y1D9KGaIh+nrEDtAOsgD4 lBhHWMsaRpmG3rl40cYeBIo7KnKdLAQ4eW+Y1dVNhrD/knC4NYFzlDuSEQASE9XQhFRofTQaaKek Tx47Qg58+NQ+NXWyZ5S08LXr7+xOEtlh8iR93A6RMTKXu6mDHA9huXzwFtLiLRASqGN0KGh2cdNP pqJZ0oXfBZapBSreDE7ZHSeA6hZCH4/y93tsLCJSgjCxQXeIIweGyZmk11AOH107p4T2GkZu87xY XDWVV8HLkuMFAhllF8OugJa2wFWVFIFIkPXBnbE7s4jOUM8F+FZndngvp2Tg+MrKtasepcl6hJel u/YZ3K/oeJfnfoTswVGz6+QFHv7WQ+Ex0JG7kSMD2bvodFvHS5uXtU1hZkpJFUqE1wnnLPz0gd51 cAASyP5/Vd7hwvccGUkY5qacOrNLFfbsZY8BzjXTIj0XItNSCcDSB0g56+i+Jbz7jR7IltXxeZMr cRbjU6xs5Z2U5VARkjt0Z9c5zRWS6Uc49YNp75KIv+T2wmnwIJqEjAgLz67WmAyUMEF8/ZZktsL4 ablL7GriPpqFtpyWRn6x5pGBm65YDNrEqaf8Em7Lo0LBQWcdJjHUP5CHJpY8ddRhHmHa/pSwTkDp LB0AEDwwiM270woTLMJm+nEnkG0NE2j05b7OpRbuaNuUlFYb5Amqw+Ue5qWkBjhBCQb/yQondUdr PYiNeNLdBbJedEYjMWM+/rb+YwiOKbcFUEFCJCJoLWyNTLK6jxiSSc10RnI7QEWmUcLPFZdCL0Jq LXA2tkFwBuj7LlbE/wPdAwdRG0bZQocOie3LBEQHZWjhFX2ZU8l780cRTo6Q02NgTiHHTBiDvkNn 3BFPvVlZgQ5DjFJayAkFi/6wI2TZviPSwJ6tQhVCGWb00PPGSLfx0hWA+aosmbzNGyzYQFCgY9ZN 1K+ffG+fugeBwwGfC0zQy+TLjRtgC+Lzi86RkJpuRRm9BIUUfRgR8c3yMAlbj0rNyNFYsEhJ4g70 0q3p8LQu9ZE8l+6GIbyxivB+17/rU8nxDf/sa5gT00TDGNbJZqh9l83Nul8G5FxIn6vKd1Iy24e3 HJ32yI6SyaFQ/fjeZnTuezMvkF0VTZLFlPeTwXnsrs7XHlFMyBi+vW7JFzQAFceWmw2KIkmXlN2s /IqxJ7IVpbR2vmYiZiabtT1iKAw0esiI52w1UcJ0KiEy4p3swhEf0WuwtVd4Atw1KybaN4kLwdRX hgcJyCWiQOoJcSqqQNow0wPPYoHv37ZqHcj7hccjPHFaYigIIzk295YjI5rsEj7FGTxycis9ebEj QAVDBwMqsBeFVQe9+GxdlCYnDXwhbgtvCR4a5/u06o+j9/zeHYV7SmQWalX6uYtmEXzEGf/rxwMh ky9OBTIETFljuxJOULtFKW9TVNqtpMXLMERbDdmYEGJXSFjYsesA/eWxNEpZ1hTv19Gy8fRNqQK4 TpPPe32t2dKyyV27CySVl4eF7IWHfgZf21JmotXOhRQjy2u9ssELnBDa8gKcstggBxkn0z5LLc8P tQX2XXF+jmu+rtPvMnFmKcWMwK3jKZdF6viXE9iBuQvpN2V+qlpfABzxU3LTot65yWy4ZxEstLcW uu0dv0C932cAGk0QH4ksHukv7/SGXe7+4lyonLMU2BxvewAnLRVjzngsnC9yXMxrxPR7rde0n3ga MDaw9EUfjvCSlfOoLEhqEwkH6Of8jsno/BTOD3ukEXXiKxqqYZ7mJx6i7pKk5ilLzHsVJjCVqyiP M5UADjR+rvaTUBPLx1geKyhVyGdiQuu3Qpe8bS6KcvLMLa36YbICUpX/4vvb0ZbPxtaj9QFUCcC4 EL1WhR1Zg1AYLEn/oxdu0/p4CmMT47y2HqNtkqRFy8xHYr3JILxY70+DZ+uQrb4uP4UMHfi8LPQo pfPkD8rmwRUdYqnEYSyWV+w8BNRIz2YdK/kZTnVr3KTfHCCly370odyJNK87IRVS1VqXSYGlJkeL cTeV5bIdCExzV8nzGyGqAmDKCqb4xmShoEY1SnktjGI5pfhE7fb4PPDXy6QtkZOoYbmS5xCLahNW ABTCAdvEMoUOPelPjREa1wWd++JeV2aBRHqscKlPNGb6uHo53EBQIEFjsAeIAO9xYO4T59M31PQo O4Uf6F3g6uvizra/xyBH5EqsJsfhbwClD0ykNELOmPtfD8GraQIn3P7phU+z4Q9rZ3o+WAqVeGVM 1p8pidWULkJhdp1PdYbVe2Qoj1/DZPiYyUWo4McqdWckBVKlpAjRpL0T7hP6wygY/bom8w7yvpyN IWcajlDAN8MPX87pJrOuyo5Q+B/WVFjE00tzNWFUgEv69Bjbmi5tVpr1SxS5ejIiVAakQBBZvNp/ TS6SoDE+w7HvwCPJacf0IF+KbvdotNJMqfwTUq4xXwOtyDaHvBVO0UGd+m6N8+YquXE4dRdzwELw H8+COz4GF9aKVfKdxkTEoMwc7HCgpIJ2NTQcZ4D1rznf4s9ohLJZNunTeQr9zJ4ov6i4WRWBq+vc e4XJbXbnOJ6nHQj50mUJnWsC6D3LJh5aP18VTE8c0IJbAMrT/ys2pPki9Twipo7nx7L0Q35LaI8l HoF8bQoLfvYxL2U4Ha9IbDpZr4GuMLjYZRMFj4lxqMgjIFa3BfNqZDydv2W7X7o1kZBCqOueKZaK L29X7OLDowanDNGl+44hIUNaUDRf4wflIw+k/DkbqFelL/PzSjtAJSSz24FBfpNvFFOFS6z1AMZE YGL8J4P+vwiY6Xiq7hcY438fsyJzaNLjGVsGgBLJEKtNlQ2EnMA3eKTLmzQgsDXtuMASecTKAUES Rt/QHtVyU14Bv1Kfft4q9Nsk87BcuuvE/3YZQ7o9u+y1ducWH18uIuhbWUnUb7PQUrwf29ZXfNB9 h4ncnFFkrJGwRRED/1yq39Q4w3tmC1Y7+bUJ6AHzV4gkrMPHgvV+yLYcka5wBzoJg6JsAvXet8hp rkgsYUZyQEhNYu38raTH1AG0A57HD0y9mnmTNSO6EARtdIwo/7MiWeQq19QUqQ0W2EF39YErGPOW aZroVaF+Nrt9O6AQB3TNbRHRKq5tDqPiy4c7oYDY1K4jH0ELyTgY5LNVobYjAmj5HH7Q7dOwJUdF CdHhQ5R9U8Atr1lsZEAC9ww9rJ32GibgB5lApxr5DQaZx0TSkeQZP9/bdOoZdkz4waIon9LGq5+3 zEd4m5TkbQv4RagSqR8KLJMR/6C6LtnYnOXA35jRg8E3DpS6jhLWqvIacN1+vGUW8X4fkjpXUicK 9dB0CyRUisdmSrPJE7sdDI4cSV92GdlglnLnvbeh83qOq6LdUM9XyJGV0ssLHuxqXFo+tVyocAvg qjoHr3DLzN9mmj95qDWvJfqG5YxN1V64XpugeKzY1qBnFyo00Wd4XBtRC0E/Z35lywa+fKdp/DKj 1RWler/CbMQp2CVfSAMWTv3EpM6Zsp+W9TciIYbpVR/70Vy1N4ZiqzLR6Jw9bC+oicwjRiMyNfIN Fuaro8dP40zr/v1Ug70JuONcnWdb/9/4ddokAmKeLOLUjZFLRB84BtIF6Cj8it2UgBnsLIXO9wEo LdPptU63szDn4qKYXMd6fVXyBh73xEV9qJj0L8z4JCHb6mHHlMYQc45Gq1D3Yo01Xy43dyVqfbHY nr+kQM0DQDEHDd2/tMOOx3g4YEzkPO7K5qM6iHkP+HSZPKXpU4uuIJAIMlAiqU4qR1XITygAeW5Q g336uxP8Bcmusq8d8Cd2k3zxvoR2YudItFFoYQnePBxf/9yvYsaK3nfLRKrAz+PEskwPT89eFIFt N48u4Twk1Vxv/lalQwBC7wOvY/C3CWXlzFHoJKpxk08JSv3+9g3yDr7KgcH7xMh+S5glxMkQoosh BjI+t6Sv26/n4v6eG8d09jUL7yAL7XR1KsOOuzq9lH6oFYwOphYA5hMcFzDrZ9Se2b0q6qOybNPk nQr1zWrUHTtACJXdE5DEbGGk95DbQoBOskuyF21R2khJVqss2K0TuqtlQESNUzlKDM1Ssr4s9gKP dOtPQeg2ChiMI+9LBwmJ2hlYC2rpQJNk8yF4ULQd9gDdxVN7f/cSTv0CvLw/GCU97f0yiYlez/oX WkK0cm7H6zq3axfod04aJ5rX01V/6AGC4c8EqCOG6UrNoyrCok18gUuR1u4y/NykI6jgPx4tpr21 G9USFDgMnMiOJQlMTPm2GIgIVlYidbgwBUv9AHxAUo2C/qfM60zEc3PO+ONqJ+Tt4qDJ2vv4iwei LJLqe4TRS/uKfxr3W+wkOKlCoyi2i1rCBOI6WH6IAre1blr+4NTDkwKBBB5rwiVOzk1Du3LDf4wF eTGC0so3N3FnnMO0VsOdN275WCMZrQo946651JnrBj4K2dXGglAbrIntz4Nb2wgVOY9rJ+E02GcU Hl7aSRR/f1tAUpcdQ02XPzdRJhh0y8WwYfy90gmQ3wq+1P/WtJFEKIbggi92WQy1lT4Qq4JGkpfb JJ0ORl5JT4sxF7M9HiE9qJPVNE8sS12eOhDBtBL5/rVJSABvKEN4+gmbZ0ZUaj8mgmNPDr+UhtpV 5VwFzhuECaFBPUxI6qr6+0syYnT7lLQ/0KME+n+fqg0fmNv/pbfDF6ZNS4AyCPLwNtOU55AGyxXm CsBnih6R136ayQaSOfs9KX0qiAKMU9q8OEeHXCE0oiqcKUzgMu9HuLrOWKsjR8U5F50liHqNlm+6 dQCv3DkxL6zDN9IwQnBU9z4hJmgScrmx2uvoxhaPPC29DFmWclq/mWvTPVKfgmCfbMdDM35FWuWA WRJkWoAaqRvdWU/vxZYUpL9sd3kdSy6hXwt1s8DptC6mLmLBIVM1Td6198QKbHqSvm1O4BnlC/6z M/4KyKbFfeqxKZS1m36PgHOMbK7k8bx5VyoB7xgsA7LuO6MWP7k8JsaD6VfmrQ3UwKI2UUqMYUDC nNCzd3bwEFuDtFuVHHQmPhgzAScNWsi2ykKc29o3ceUDJmkqv0rkROZl05wiaZgtGvhn/eMqalWe uwvfnTK2uFRcm1odk3+YYXUsnBrjIsKp1ZNncvNGW0W/nVD8J6RcniamKV9iAzG65umGdeWSmfeq S1wVs2PB4E16b+QDKdE/XL+zFkxIDMgDxoo3/f+rUnmirjo+NbevioTWZy7NTMMd7MwdTZ1D5DtP 0FczezTMk5z007uv8BDh8jUkdEkIyPOkErL6HHNZ0rHvBxMETW3WfcCLDhTiK1h4H1xxFKDnvL/H HKotq1deuiYhmAa8S/VfOcoje2X3c5XHf8e54cYEWO3P7hqcnhffroijICasiIZHlFChvJB2SNG6 sPBu6t9yZb74HNhgz14GgVN2CLx8xHX3VUnGeMlQJIHiodJl5c5wZAv2sKpulzxxXUazV9jqebi5 mtxuX7L72P9KH8DqVZu2ZfMFAmLxFL7N0DcVkh4KqFUe9tDn6CenmaP9+ZfYyTXDT8bVth/zsuy6 XuN8ovPmzZMMf3TCz3l8MUf37d8Y5LtilnD7yWCWAc61RPXR5y1OlaDUGvKzzF5Wa8pFODqcTyNn mO5pQFvJSdokDY7wEu0O3/FPugcjB2c4ll1uCwkcbto4lZfAl0jN+ULVOkf/AJ3iPQbt/dr8zwH1 whBwe02pI53woveDoUQMShu3/ee2RkCaTK9OXmtQFDs5lO6+gi8FAPMu/WRYRr3T8iEdcurwhjHo CozORmQHT32GSlmpsYOtaBL0h3ZoWnDbheR9mpV8FVpkPGGzVkUcychXRyznnA0GqHMOuC4D24oI Yc0J8UBTqL5DPA2FRLahjFqlNdistE8VUG+S+9BpW8qod9FXmSEmP56kIA/pltum7Q3GynbxWMPX +eAlGZ5dbEmTxq0wxC3UWyXEE3tU40IMVqtUvL99PIiUMvxGCQP4UEeaXPZPegh3m0IHPTVFkJLR MnfCvNSvVCrQgy+Tug/LlbEqSQBGqBGk/d1w/sebjU5zqgYlUQyp669evPsA6DM6uEort3WnVVMc HrtOxrwrzyQvcEh1Y+mtjBp44y+ii5yx6ksEzoa/NYBsaB+eXo4lGOY7+igLCk6ogoylkXCIuoXE yUdYgqh8PzcVy5yKPnJQs8OU8dVs/JeQCXuZYu9R8hukcEevcxeFINkr4dK6b5821F5dCR7bfyhh Q0JkVi4O1BO7ZL6XKkIlPU1YtJm+AHy/Nr/0IIE83/WosGO02CtDH/mGvN/X9mE6qdwJm5jesGFy nB+GaiQVDs4alWoPSXJB3oww5jwXXRbQUiZq7KSgSHsV+99+IjvuitX1ksdfevoAWmaWWNDEJ6h5 oZChqWiqc3LwnE7XLTfTTtDIHGYB03+CL48MydARNkyt0E36oKp1g6QJgFaUx5ZoziUDZ8IjvUBT kGepbEogwU0Dvhn2t3oFkFkNANPdKQVmPYPkc9gckWMb8iqXyZ7Y1uqVXleoAJEyBfdgyN1pzonC YpjSrvXNa9FmXigUQFIgo40L5ITq1OP/WtIXsuhf9pxRNqxmXQ6ui4omiHK+H0yvIcOEEmIjD2sJ G7LkXZ/PGM+qaEj2ZOASN+SyW/H/Qp1PG6P/l7L+vNSjJgoS6fTZ/QcmQjgd4GH5oXg6GApKT3+4 WbIawVW/CCkrW7dWatroXMLvLVngfd/7ucpyGENWLtLmveLK/3iPmfRtJ1aDUGYDYA1fUvcIkOuO JDw08/4cxzTjG4XjKr/s+uGVg1iSdlRaUG0wYldVnRbTN6VDw0mjvfqkkgKYKKC8fnh/Zej0JQfO OQje+cCM8A3iWrmbQBbs/dAst11f/+Puqi/p7oNVif725a2ww+dfnRMpwxXF3dp44y/Xg15F1MCI y80HT9BFK83SkpKtcd6GL9hUOeEyEE2wdw05h+9tkhlEKZ6w7Ia0e04/wSPUjDr/0m590M353WeM MifDdP+BcCh0+R7tBK1kX08aV2JYTbNW9HDpg/qSMJYqqOTqvdt/e/uGCrEN9H5sMy3N9vtVok3E BZrGOF8XUh1qswnOKgYEmMaUOh8U2H7MesRsBveg8n7130fHvZBpyrL6u/rf7ifAd8QeUd/kfmlX oosj6APNs/ebZYrY9ee0E9e/39/ZPXG1LuaFvuCi6RAGjvRaifhIu2eRorpw9G8fhFiPn1yw2SVh FBNaTDn64PZLDpjcWzjWA3fCAZ/TuHA4aLjkIdBqcpnklr+VIhyT6tgALWp6H9sttKE03ZJfCIVR lull5ue+3xm2csR7mzQ7RgrspKhJRN5D7TJgWST5CFysNiZwecsljk//Yy20+oRkCqUh0fi05psm oKsRj/NVcTUbd9vtXF+gmb+RbX85Xwvhyk8ibQtGsdZRQFL692FS222/3mHNp3X+z2FBqyMlIzpC Kz7WlDlz5Ql6cvS8zudayV9b0MAZuIO62DLq6tKpV7klgtsAHCFxv2yLcNr6Qyq6MvTvOYmhyU4a HlrK7J9e3Rvuc5eQ1JvW7PcjkQI+hrZ6F+cP2YOtzl74Go9W9vO7fBe2XdlKIE65TmkWI3LPuSGs Yi0s6u6KVk4VBvrcJOcpgwU4IKXv3FGcMRwRoHQTHHVv1prYQLY53sEoIm3TNN1A8lpB3qi3BlKZ WZb9YrEO3dq6ESzDNZkXPHb/38lqqqXgIRaBCZ9wOCOrFBL4eRPB1wtp+Xtu2f/3itqmsIGvcNB1 /YZc7ejfN5XZpEQBG9ms2VdnpWzP3AWQhueMKbL9ec9k0F8QbKBmsOwSU7Pbq1rOYVm9IMBZe5i0 McY2RWCamYBYQ3GfpLeP2+Bbf/vpU6Dbjj0xkfDGKjal/bXrjAWPPgyfUv6vbkF4inJzQf4G003B h9IIKzGVcEP5989Uru0eldE5t7YtOFtUqktvBZ7jJnzvWQgwrlDmBJLaBXGHE5UBovTj4JsISobI V04Blbocwv0UItQsg25LexDJVy8HQ262HJYE/6Pssm2pVKgUCSxf0CN6ooU5tn2TUG0AruGUoFbt /Jq2rt+iqpPStZkXIBdzz1GLNf1E6pRYB9vODwBqIbXwM74ZC8iqMT8lLSManubkTsujXLRoEYNJ IlkiSg3xQUI1kg6CU86Gun8rnzH0F1JPdpzOIoH3oq6a3dwNdgl3OBuNGjqLs5fkdCMttDeKJ1Wb sC+CakyD8XUYSKE5AN0Kqxgxma9aQZ2WEnoKvdRXtLKI5PEtgUqFqlwMGNstB512b/Ml3eyA/4jU v2WjwohrRq7l1/+kXIhNcF923HwOO9jDm3Tor4zV49WO2FbPKL74o/9t/6CYI6kozIoOiPD3dAKM 9Eau9VxoKvJtub/qo+FCcdC5p3pLPzQNILr8w2daA0s0v+FvXVB7jWb5wm9OK+BqoeySWL5tzMue Q1usqYaOZer2IHDRkSk/dcuCP/jv5aba3cVnr/siVNrL9NFx3uROtBTwrPoKtS4AjLXMSE2PMBUc FQCBkEW1U8QYaSzsY8tKaUDrFvnFpFMPCpj2BwoubBT/LfM/6ymoQB72naKYJJG2a9cfXt+Qe2co Lo/O/Jwespk/plP8Ybqc1+iRQn/fwQWB40UwWoJIc64h6HhBareiMl4ipHaJy93rJBMWdmCCei71 +E4JNTmFu9bKGKzAmxWbxD//axF45gf+rlxkPGJw6lbxRLvG6asdHOoxZ2xr91Fsq/k6cwPGXJcf xG+DubZrsVWgUEnktPVTjfMSdxUFK2BCesUGZKeui68yN0fXsNddmRX0r2iOF3ZENt6CznldLPVF PvqTg1nlJa9h7YnQK1QjUgDEyGMCzdy0Zes9ySMLr5mFuoLNgw8mTtQpuUGhPySZhpWLmhMJHu1Z 5oGzVxLRMzBktJ3k48ZLL6dZ4zo1XoKRBla/Gss+gWt50mWQNfA54vLdrVf8Sd1RrSxlTLSFsc2e RPpyCN12jAb+Drch+v31QJ88VMQB29FtQZXa7wQZATmnpz1jzczcfFe9V5nnLTKyZWPQa2A/o0B7 d+0pFjH1zpQs7JJEfaXg10XR+BHFyyVulwXmxtsKuXNGN7kgJCT/2JoUjqUvuOtTtZP+UEyxFWWw P2CJ56IiisNuafyUt2NH4Bw5AZRAtsKRxt1Ug8bKJ6v0yy2g3HDspvV/7Nvf9VG/yH1qbFv+EKRc db4G0euGZrmJlFYrLHKhsohYqpNfvMFWz+bhFMEaAJ674d9kz8/JMPrVGayDGhOXFD4Hl48881Py I7gTFFU0/+xI1VVe0xGh5oWY1fp7JP1W7UeQe+hAtsdh3PFqwsMSXi5M6rIxUr5NRsFKFqvah2kM s3Csl7yXJujNagKrnKdh04e6YOb0jGPz0eSziuhLSd44P5Axdk+L2JYd7pz5fP8S57PKJxUKSogy u3NWzrdnKYGgz7CADaY1WS+OgTteQ/Ux9PldVANSRQpU+GKo4WagGhOd2c9RNE6L2AM3hqZZVAi9 zQCO7XkMhbDQ3Mp23/b1HwwJ098E43U0YK+qrPOhDz7aLbABddDfgh/UyNuV2Ub7Ep8Elc1eYK3v ps9MKSRdO3XJDnQxnvL3K4V0/Iwizu82QB5wg4Lw1MHCD+h3yVXk7pvlR0hvmM0WtUIURrg9xo1o zZFqfzSelmGzhuorG5TIafDeorZ9jksZt6eS4wWvm8iiZLlMnKkU50sbkvQM1J1J6o8IP0ZbntPN pFhn5XGgcmVtU+Hobzdyr6J3N+i3AssQ5IUG+Wyf2jdYyrj7lL0UYu12rWnJoTYw2Y3Otrma3MOs HINRwfmWelYXx026J66NtwhUKSWDc7h+w1jZih9EjYai2tFHkfdC247orZfRjYEmZBnEpcyu5Edq QHNKsBVP1aD05LmyjHyaA95Jao/9tpmFAJZbbkOhJU1KTs2dA17VEd2ZVDstL1KUwXRQdUBrRuBc JfvejRWXsYSBNTc9mPgsJQLDQOVUqR/+y11USlEYlVNANrTWqwZtCrj6m8tXfmcnIHdYzH2yqzYi 4g4+beNZOg4KLHCEe0jqxOFp48bcbdFQsy0LeVjP/NdXOSnmBvPOkU9Kb7mWPkR67artIf7cdbxZ njiraxH+oRu2QYxHtsWDSwCz0vxyrLv7nSgt+XDeVipQ1QcCQdGKu/JHlEJToJGTCDMxNErnmj6X Eo2/qjv+EDchF8RnuypxMwyKWax9t5A1f1WqL0w+ysQm2z7uANgswiv+Ps9J51pa2trg7zPbe/I4 1MCRzAASJrp5mUbIqJXiLmxNkmcc64fmp+zWzG1btVq0pFmAxVq3zEnPl3jh+bxNw1TUn+1j7yOx 9Bp6IZbA3d2jcCF9uKpvW0b2Pu0icl5M0q6exHR5FRx5rZ7nwSyeDwLCldioYQLTMwi9ShHm+SH+ gBtZYCerCl9qCkSbEpfxI9STKcc2/guRAjxD5FEGlfB9Hba7iDlyCnKcXYTO5J1GdSwIyorF3utg g//guXLs+OsSAIjvfR+3hM88ZwaHGmjQFPUOzKGyNdptWkEam0zYAf6YtOHcPPDLdShIXvzjpomn fknTr8XhNYW/NXogK/9szy4ykfIMMYjOhIynod3OVACCTqrFNGw411tr9tQKxYNOoqIoxafPsQ2V TBbJIS7sz5MF5K1m2iKKrM7sqHttWy4ykI2Fc/9dV+XAxmJBU9dkC2da+lOXCSVhuQN668Sbez3f m450u+A5J9ZGHJ1S/0TjLOPazxa4xRYR3FNRygdVh59sLofo7JwRdIRBzzaCOEDBr3DoWt59g7Sw tyN3iXpt8OMJC+1Gm4J3suS8s8tkwGy6wNCJZ5IdPgdK56QCEnA28aVsGISbiQ/J2mTBdjQeHsFq KcrZIvT58mvo+S+6AMDz79dFwu/SGnZE4inkcxOIOJcoDbchaFw9jotfzPZ/N9RReP7e4XxgyKP/ 4ceO2/XXj5w20Cz2ulrNfqrJ7XLoBgu+b8WhT/Obs0s3k3cIQ9fGt2g65vkUEhRLMqYLQIZpmGmf sVtUeO73HbDgr991IItVQyjQ8WK+EkfstQBmHrB4qtHi9okn1HcVgVzpCb9hslnd1IlhAqUsZ+Jt s2XgD+W0o64v2cWeTcTvlKMSR5VeXI7A/6mVxrPr8qoMboYvYQXCDn6LbyGCo6UyZufqlBbYzS2q t6vdmO0Pq1LEDl84m0TfvvpYhYNotNczccV57Fahebk75MVWFYBmpzLGBH84ODAjCv3jZWxXEYl+ T+NQlaSks2H2zdsI21qbFBPEqlXMfx0i+2qGPFqEwKJ80bnQ5F3pTjxchsZGv+gZx4wW4VxE4n+P 3khSJ94ytWdh6HKupUAQiyrSzB3PHoKJWZ7T+jQvaoXCl7XpF8YU7rztojqlVdDozqFtnieHAbQr 4T1KQZmIEr+rQy0L5GdLd3n7xWgBwnGlGN/RXNcgbHtsLezBATJqoxW9DcLoTdLRzUZ6+deN7slb zOVBHpGpp36ZDZlvS02wvvkeinnXB/NhQxB5H6V+sHfevl7V0PBXE9O+HvYdNfMelk9dKzynrYOb /GEIcoOUmm601yyZCtBeWH5ITBhjmu9O0t1nyAvFpYMpcP2fmcvaxgJMPrhEyFOYgUw9RMMnatnz G8vv4UusxgLqnB8OxlNjR0T3VIPmsqkpcbjkhtfeuGNulBKGYCuwOwOWNnRRwk9e4u+xyghKtdjr i981yXNuEUKLIBs80z3752CUGFSQbqerV1paqfISu5+N5WMkZuFZuV0JiQHf+qE89kKza8Qg9ByU Hl6LKGDwYQkdVF2oNdyX/o8B/19+YjR/PxQeb1mHGF9f6EVYUX66DgkBbCRoluMO0IW3mq2sO6Lf M14p22utqMn+z/6jhassaYgqoqdm8LBLtV6gnIdhsys87Wsem1nGqqmKUcUBA5XNQ56zV708RYeL ACdKfsOm/QdpfdSeJQYXjI0xlrO5cn60v1H74pM+byy+//ujoUT4rYa2oIX5tlKromSvMaH3c26y K16chsrfKAPoASugfn3SKrf0J6Z1ILHrbd3CiKvMbD1n0sskqBX7mTOlyCT/Y3+XmANtf0BqSC3H bLcbB3fEcJatEDxuEdh92V4r4sMieIY7JOhzyFxuydz1N4KQS2Aa5Ug0WKhg4W1IorKhLgTBJN7x MLwrbLCnS/zSVWzlLo93rIestgpl11u5sVj0clggs6wb7ct4/HLmu8TOlmNd4LO4c671XvRqQ4ZE jrLTXTmynMLJxTx/1yWx+5jlydcAiDeeh1o6YElYasFz1OxPIr4tZgjziGFcJTF2yeIHbInNK0WP CWncq8FrHmq+lqQoa5e1YZUxntDK96vOsNV0H3VgYa3NjU8fJIXckUIi4ZD0dH5qq8chEidakG9X gcvQsJo+KaQECIA4CojSVjCfKVZedq7yEbuvdM7i5LED6QIelS/TsCAwBFakF/fRIFrBF3oSRQ28 C4fbfj/umpbnQmlq2P/vOpFR/STLFlQbm7bGLdiINh0cdEgtKiH0eOewVh2KbENsPOsNeyiRf0sW QlXk3QesYMMo5c9rz89c8S627ka1+Pw6uY/oDdHNgTNgT/shKJxLHZR+F922ydZ0naui20j01YKV A/AuqQsZP0229yWBPTtHNAX1yD4rH7eXIRL5dajNxDYfldKwSKAFJl7gKdGhSXRwMzFkc5hTJAM6 +r6/iLuLqmKbLP8RHFKfzLzijF6iBlE+glHPjDNPMOT4yQsyqn6c79ChwBxGBMp7kzHwIHyh8Pm0 7/tG6nuzViMai+6UFzPOnfETyul7cCU6nLlRzVlIMh+/tiBobGzONT84ffs2vVB5GwRHrBzU5N4T /IkMLYZQHMhjD27eCMYHO/QgYKKNCmimpUSf8tPUdymmY+Yik7mOJH2FEoGGlE66kaW9pd4Ie9Se NHc57uPUksOtCa7wNjD6U40SBMA/vWqUspnR190FT+Wi8LBTim6Q/USPbQLlBxBNk9URjezv7deW TeA2IMJCLta4kmcd6Zw1wWDHzrtmk85KdZuVQK9a2AHq4O50DUkgKWLFxCJQ8kJkHOVFeIM39i7H QGykSaMs7KxBtIcLYuSTZvPp5FOXPExumPunUnBW7Ox+dITwP3nkNj1jtPeW9cjpk7Y2fZ64cO0x NVQ5f444/bvEJ0rCkWCWKr4J74kA05d6UYkAK3L3h772hqORBsui9hQ7Ep20vF+SvZ8o7ZMA3x3P as/Z4au4YgK5b3RmEWZ52dY5DcV4Lr+ljXRGIkJR0mQsP/a+17V/BC0JKZgwnexYuRj/VhFHsxLx Rag5SqxKaiRQFmYIjC8WTZ9iZmUFUcLhTmOcocDPGEnFp8h4yZ1U2RGZK/+0Ewdnct9rX/IE5QqE 1JN04z6DBNFwjk07VSxftGRnIbFVv3xG5OlbP8aCUqF/qq4Ib6amcSU2PmQFtsDNfTmGHG7CrjZV LUGPd23Vm8xrVTgQkvjwJ2MNI0REkVWKchLZam1k2RfU15fFpbbf/QMWNdOCZOBZO342xmcUoEPa FU59eZhHO15/QMRjjoNUgBKpxzh90Jk/SuFtMEqvKD5c4+c6mlHoKf3W/pU2P7p0Fh4PRTX5hDXF tY/sDjBJ7mZ2Zjczzwftar7JfGQv9OvB2Wma70XjAbDzR+DMIhcKIo91NmvitZRkJFFlELGqOjAF tk12aPOQJ5GT+vWol+XWktce9wXspcPGJFt/YgHL3PES4anRwo3NLbqUz+tBYAqi9AlCRkq8NKI/ SnEzp2SWCeZ4tpvunkGyJ01wHPi3NJ+w/Ln7fc1vfsi9sI9lX+0RgSuKrqfvaPOPne2BtaUIgM8a lhrEcaSH4nLhpJBpPQZCXU0RX6475T/JksU6ax6I+BN9YyfcIpfkca6ADvH99qmxURRAG37BE4Og +oiCht0ujCoLA8CkxPKnc7twHlnYmA/FZLm8VvjDFRNgdQA0jdfE0kxXxtykLrvy2HIvEJv6e7e8 UdJFkFAs/iaTasC5B1jgqC5e/P8LxmRa5YLxXGsnHPjaAR+/WL0b0qlVnP8t2z6btjAa6gUwinJb ql/lGBjaJqmKdYTU4wCD4mZlZXm77bpcNYmghnJ0n3uI6aIa9iKm7nWXX185HXBVYq8KJSkL5o/O wTDCMpQY2hDDbfhbOeoM/dD51BDPedgZkbRErZKjtLSqHGkb2p/YWYeMfsaMc0UAWmSARVCimcFu Aplh8YYwDxAdMH1T/8VjYg7DkgWUD52k2hPhG6JnsVGrM6MkWUX8IqPVejesI3pCrsp2vKpH5dUW 7OoX92yX+3vpdMup/VcEBNcrVNaVXT27+42LRSzgo4AePiD8M/HG1mW1tQLEQ6RjEA+2R7lgVxd9 dqcHYu6ZSGt0NY39wCAqqyXVwR29kLySNhxtqdifUFtJkNtJuA0rIc5xEmk4eXSv/2UMTWT29H2c Hz822cGLUdrEFg13ACTR3HqJorYSWEEPKTwKUkGZFq/+lUf2TSEQhDH9iVSPEv0HJPvKFtw4nJnv VY+7GtYuA+C+iPO9G80GQNi7m1ABD9sZ8WiM3xGrrTleazrOm8wBQJDbLnytfr17uRd9tvO4MmaL YSEl9UE63eeCLraEzcodaJMFSoYbUlhu2U+XacW9YbpQ8mJmB88VZAuCvg35ebjCdS6ZBkZ0NVZm wdqerSYoR5PUdOfgkjLjMCy6hA8ac0ShNiSLGM2wQNLmR2yIvPw7/jLMFCWYJGKvr8Oyvni7Yeh2 /mIPxZytlTuUwgEWRwY7T4iEQx77X0TC9fxMoWcmp2V8RZADUNaujYxx1sE5MmY96m3A7tdhcNZ+ qnb8LF9SIGOaCmLOfFtxCkqIT7UUE2tQ0jcr06vc+WkFXZsFSMbGnQjrIg83VX8wr65haVuCER0S +8kdz5WT1nE1qa+Ysa1/aSYppmfsnItGpgX1d87k7951vpdFRDYEts9jZ9CmmDnLLKdw+qoWUkVp jh+4aLooVFr72OmLU4PLddu6lmkBKOY0GbRjE54OYIOoUdCcdvX61GRTBgbsRFk9Dl1vO9ht/Egt NYtRfC9DMyWneW9UWNxnjZQbRVDHuTJGY8tEfyj5pEXEMWnCrS8Cn2bS0NnLJ7U8FwZ92tsly3uu fYtmyquYxbFDL0W8qnl52yA6BpdwGJ04W8vdaOcyik3RKbHyB7v1tdBLwyFSDLIueQ0x1m8ahfDz wGoaxhEVi5WN8kDtVI9o0VCWHRMwPaqXome2cvE+OC4Z09laaz8DiPRgCmgblSAXtutDYmPAzCJy bPDZ/ucdMyEP3MXD5FrvSkM5JFkH9pKnSKIS9E8jJkvm9lFvmKp+sHmQK2tWvaI0IfWUwEJDFLrB Vqtoi81Lyw7ihHLiw/l+0Zo0QKubl/d0+C5pMyCtErHolyFVyQ/nrt4ugQGHhjphnQKSpfo06c9n fCK58BKS/fU+CT5AxHjHk6UJ+76fShhUu8NXkx7zPYDLUgoCQLDaC5X+RneDb29wCSqh1nhSCiRi mDk0FC8U8/2RTPhoP12wVIBekplfuMo6v7lbHH65/roJehjD/uJgUaOzc9pFx4/MjTrAMsyRspDl xcN6CtaBZxhiK1TacQfKQcEzY0aTfqQJ+H7v2I9zcX37X7HfwUhVBoJNAJ+8hGEP6UKN6HOoerkT Tov2ZdtHYNaFPxq1CjcyD/qBQxU+T2ELhS+asmd5hT+o9YBXknJLhn1sZvUl6rWZ8Vgq606LflmU hDd/xPK474Wdzl7XqfBkqeWHNeqULgerpFtCLJ0XbmOqLKOyYto6IlncerRzaAKgoo0XV2HP0WQl UGmn3TJ0a/0DIRB20vo0AFVm4tVOtUhoRbXOh6ZAV+yqsGLPFbPFB+2iFjwdGM06LsnNkr0rhSc5 2pwqVWdO7FAFQ2ozijDUVVfnUdV9s5wvIY5hbdHc6zBEM8Kpyr3yXhzfjutCNM2M8feZmQECGHY/ hRfQshjG7P/PhvodCk34GshndG7+GAyOFuFrMSgQsYD0yGnLkSbzar+L1rbo8odFxmkax7vTE/X0 2pBImIPvjufMgTGduB7gHIdlbSx+AedB5LRDdYpc7a0z02R0pcQRnEmI4HZIhJMliHEammJAwqbS cILtBxbfP4880hlXw3FbPyVP94VnqKmdsmh7S6Ki7DcT1oiiznqzcc/M4R0pBnUnj6D8w8Ke3dGz PehvxvmyjxMIiqfhUUCrsLjJzCsOIGPlDdxVB5ffEgw+XJ4mY6fUtv48pw4hqTS+PASbtLJpOP99 DInbRwWkuQqHl26SdcEN5c5mpkIQXmzIj/V+MljOB4pzu7xrC6Ke3Q5L0hzxrQHz/bXCl5itSPOm VKzRH/fCSOB4xW43U6RNMiJULmX1tojCNPZ4HDjiThWZ5Car0Opcpw18FA8ooVHu2bFlh1Ndk1hy g3zMD5XcII9dp1cTPpTRCkD0qufxz1qtArntb6zBR+1bGIZ3kZvI/RU8QzWmGMMytZZOH3Ic5eiy A44Nbh2CStSYzps2LRHpLSTWiYjjvscJVsGTnpx2LbmgVd4pt7M8/bJt00pHqnIXKbzlw0CG/I8r hcTkTR3yQBktU5BgvROoh0JPMcIQQGluBihwsH6HWOTAsu6mfKHy1Q4YnHS5I3CiCxST5qNDDWNP J6D6FLGLGHPFiJ9r4T6z/U2LBhoXWqp8CWHeXvt3ZByY+DxjXogwGD3JImdABHGU6Pd4Iq2FTUI1 oGliaGEDhc4Ud4YVzET1Hj2qg/bHl9tBXI9wufL57o4VtH1UM1mQ2io+blmXldydFZG+ZbVUmWrV awA88INFhmzyY+GvYroGZGBX7fBSSuJaSZwXZFr4jqhqzhmk02doO8/sxLc5RhJpJX9arQAZrtW6 toXrsDhJalOBKMtYd+DJ9dpKxjTvwgHvprBbbzxvTFKoklu52f3katzggzzMxyZ2mWr/TrQjxuk7 xGOFDIaW89oftxpjiYoCMaEojEUqputjCCvLYj0qAhNKi4+3CS2yabRFQKvLWKaFWUhtwNjqjwen PODBRNdtIESmeOs2aIsJWd80Ce/nUWrKwcFBA3cVF8VfoLF+gZDi7SroROTAryg7r5J0xyfDdhL+ 6ys6Tjr3x2dSNht+5NMJ4wHzOsFyzf0B8oUBzcPBxUCVEZgQM+ENUBVi6Y7Y7Ews0P0N725o4rcm gbrYVvw4ZKl6zvOxydg9t1oZDfo2rs7Cd+V6V+VW09gcQ1dzlcCT112FUbyZMSgIpr60FKidJ/9g dz2S82OYqGIve5u3Ej702RBUJwk3dFLZ09kAzNwy4e4oA5/7oJWn6f1GHDEHTY0hsm8D+GJm+/PH AUPiQsRg1CwkKVRv3uaBeYfnTqGRVvSHZ+WZPlX853VqO0s2ZNz8/XliG4Nr4dEKlimzpqidLN2M WUTmkrexOn1tWmFR85o4kJU8N7ASx+3mU+QoSnD3L///YezGrjjUCXquMeTJbCnt2bdBm5uyBrD8 sWDeVLsHi9xnQT0wgpvzCm+BO1i/Vk9n2qThun/zKWuYQRHljbkAGr6sGjIvseSp5IlirvronnNu 4ae2wTskkk5t3yvL+yqpg6HP5tnkC6AGWWrTTGYZyvNWAXE1m6LvVEDNFfD4x+fiZifXx7rKY4Br OoBBJX3Erw3PCLOIOLUnCELOOKyAaFasqa36PIrw3+CmWBgN0opxbgyj0KkJLEBwPYh1ACVhwzxR E56dE71uWAVqS5z6F469Kv/c2TAAAW/d/10GEmSYqGeXVWlDPVaY1Xy5egd08yIYFQ0nHVIGviso LGHchUS4X5klVlJNP2P1gSeUV3GxSMrpwji6r3lla/efk0SXLsiPSVJhvchSD5O4sYMKR17zg7pU IXX2wilXF2H+h13RyCW8Ukl7YtROQ8AD90X5YaFINuaMqhhDqF21KTefhOQFtcGhTG5hUKYk6fCu P/TldOu8yiBv9Y0S0d7ZzifxW/Bc84VQpPiUBgYf8k8EMymhAYfm8L3HK2cwShUDAebebJVVEBtN Fydp82LNUkeBR8Tj1YfTPeiKFZwmj8eL7s+4wMUR10BT8GD57DGejMH2yod+JyLT9j7c3KkSgKCL C7JDOFXy9E0OzDWMQ13vSo3Dk98TK+yTtZzMMUDw6Hux25NvsMU9cxHxkCui/ZdgaBgMSE4W6KYC RqLR7SlHBShjCPrxk0SmHYdqUhuufl+gDh0W/QyOYQZ/0Qfxn049vXQ3sc1hhjyZbfD/yx7jbjf3 fXrvvVpNhrRhZaWvvSA1sllDysFnDAB3iYUGRLxRfgBCFzoTZN/UHa8jHVvyiunweUSMYmyncufO 2OdulxCqSCkiXzMpFslyfMkJSFoaJKwfktLnt6RPgV4QihTQD7xUWjFhIAhnr4xkiXZ3L30mraXq qWv6JLOXh+2x7BfarMGs4agC9tk/lIualpL42xhK6xonO9OYniXqRIcjujH8K9BjmqJIX3z4g7Ww RytxvXmd65BE+XqC9hlBNXtIyc70jkCBMm+MKedgVw6XCTFBsVLLyBPv95y/pEyw73nUw23TKWV4 gW2FmnSx00Z0RahY+tklZQdJqTs6dmrRcpbkKkIkZyGRFkbdTe3+jCXVulcK2cbGtvvaRTRLY4S2 vhjBfMUuQp8IkmDr7XrtOZrE5gZfFWKm5RXuN4em51TNyPWcWxF1ZVqVN00tJP2tt1rT/a0qGNpk DOHgwuarI/fyXAROcxi+DERmz0byaxnpSkplWkBpJVsWWrNK3yV4ICIsllQu3TFsnzbl/vZMxOX6 3pNLmkd6KktFf5fFo5A3vELNsY8NnrFO593Iiqk+vOkNS2a0eK4J8mWp8IUrB77AnNzv2bFhqx2A M5nJgyc0jXhUYcMLbjLvLXz55/WPrFhyIkeEFFPAJxowSLvGvNnjbSdgaoQ0qo2Hew1HR3gE+DcC PIVJAGvKvc8lp98G88/QbFAXTAcamMUbVlOcD6w49sRj/GjJKVUPGVs2NnRTHA6QUmcuWssOItCP kJXvWu0FwPEVuntVKQ55F89PUtVeWd9BeeOKGTm2cg7JLXg8brlF2/rgfYurxyFkkwRBVF2Vhhx2 XjVh/cZ2ZBJR/llcwu09qvT598I4NSFfcTWbxYIre0urtCec4nIIuua2GRNsiTKrnoBVY7i16uxX LF0Zgd6qMXPrzKNQbNHJimdE2JdGLQou+rE09YTwXtg6gcJw810erTu2YBIFZCxS7MXG83jaTmA+ ai6g8ENjPkIsOxTnrQePCuj4T4UeDxPtQ8nOrztZQ6dfnVbyMu6+Tyen3UQ8vUjlEQF6OsZFAVhB qcQOXNKi3vPirIWRpNgFuh2sB0r5tReb2TDGV5qgDRFLPZLzRxdUqzfypYRHk62BCClNQUt0SlDE VD8k6rz9LceuOGzY8O2vG2+b1Xd/yXuIDaJRfM58tvpeeXw2VwK6ZuGaYGi9Q4R+PNec3afrHKle TC0XMDMfvjBZS3AQaYciDlSyYzY54i6mqC/L7qRWU34lcoNaeRrZrbEryun+xk/xAjS6NuZjy9xj oGJ18OQANE0uuBXnQV2ZQ4vPqyBmAOEX/Fx/k9SPXChHDRqgqWu/QTwY0eBWRkl2GqsxV2SXQ7+Z cDCLyXkD0gYMsgyhNvSF39eXqZYG5q18gwJAT8gu2upPGKs9jwjOw05C/gZeXj6+UdgvKCgO3sw6 WEpyJ9GXGUQFG1mKdquMVl6p4sx3M1QZNFPgo14KN6duThp5KGpBH9QjVR2LdWBQuZ8na+7l+bfd 5RreeAXvZP91NRrGv1dK9JK+28If8880rzkvIK7v/+mcjnVLg3y4UG60uc/FtlyTr0PQDerc+lVo 2pcxAiijIQv6gWz9dkLltLOD751+EpNut1AqKuN35k7Qe1EmcYPerzAGWAh9Z8jXCnJtFwtkRjkL PntQhCHoloalogE2AkhQ1PsheX7/8gKFeCZhe/RkAeccIZUu74fjoY5bHhCvgsw7F921OF40rEbK L6Iq1VxO04Jztn0sBRL/qhRJwzkwD07LP8XLLGwKzf2mQTUJ4tR4nNituKBlXc3PDZP3ptb5TwcQ +Xcq3Ll1iC7vIZjiXBtbChKkBA0ISG1mlNjhyXHm+6UBP94tp3aRP8qgPMMXI0q1lcVDEs44kw2d o1RHr6zy453uVixH3xJPm5LoCbb6LXKIRkDjDUG+DpaGZo2zNLeEpBcRu3yOzxrUfNaZRemWal23 rwz6vP2OQ4IEggekKqW7tRTtMS2gAG3Wxlmz7izwyNMAfB0KGZd2xOmqX+jHRW0SB06NHaMGt+7H 6lMB2pZl9Mz40SHUO/grbDcoRS8Fh+1cs93nY17WwLYdLqfqJgR1rGKhhSV9dRyK3vAE+VmR6oJF Abw6vpKjLgK10Qjnrzk9sPg8wXEeJnBBLedF72H4W2VRldXwZTdiqw1AxwEbls/7xuNENTNSe0GQ nysKIrwJgRK8iv5KM0kwGHLMNAFtFmq5MbfSR5ByeaNi0wysdyEobzwTyPqRDEfmK7LgrKIBmKQF TDRPRf3LJ0RCXTyRZ/vwrXy2XYHC3z3E3wSgYI7EIpB18z5G8i7MLnYbYOAcJudXTj403qmeUdh9 uzxAmC+W7w+s+Fk7kPNiYsHyLLS+ezpUtuK2cMVIxK5uxFJ5anTg2iBhFomoAPydxT59i7OFM2H0 kA92ILJMjpd39jq3IlUBj+dqpnMzqwTuf7u/cvBbBOIBWXd5ex5CX8CNOmskme1cxQBOS+6gINvX 3DPXAIeo0zi/+3LwS32EjuMDwI6matcB1ddpEcY4EgkB+MvOKMG8jFd7BxCeYfEHdZU6sVu5KCsS T1rDm2P5bgCIb2Ufk+TN19YmdCMVN8TQb9SsKTMD0AU5ksmj/vctjVXipbpINa8T0rbCnta090nX yQu8SReV2+4YKoetfDxugW30dMn5iLL4IYEdX/AQgywEamoezstDAbDzX5kIaQt05xx+J2ZjRJOL 7jR5FWlEXuTtIde071xmZ/9MhuOtodgJndIt8MIasO44p82TQW8bkia4UiUz7QCog6pG3DkXUamN yIOfITdYshV8gO+ZDuMMnHqLuU8p2m85ZHXBQhBDOg2ldNA0382YiytPT16jTsQTihj7JbQA478r 7VOVw234EzR9pnQXLHKJw4Z7P3mKkFL+ly9KUqhO9F8oHYWrrbKK+zmKapujFMPFxHKh1gCE+EFW gKvN00Vi/r+BjgOFTWNXbPfubznk4IF2Vp88DJfDrUOqrp3P0R5jUwLsjbgoYH7qvdi/+2rjKcCs DCWJfFFKYAakJcZ9rVLgT8ookobxIlKzg5YimXfVFyBANGYdN05/Al/KngGyHlm5M4KKuY9IHOKO zLBZRJ+sk3gd/qeEnen+BYyYnukwizeWysR4Y8ZkNgldZzPPZMB2r1cYNbmNBbswteM8yjTyMdEz 18odLG9bBIcAD+dpCfGzhpsW+g+wJQZSCVYGNwqi/wUHiP2vqfjf9eJQjL9byY4efcrH1/SjAmmd dRbxzcX3ej0rUrzR02oUqE9bL8zhGPr/aA+HDvbFCH6aZqhcNZBL88oU8DUkjf9vKT0m5hDvOaLM 1rQx4jMKxNcJTg0VmZhsvyVav9e3MW6ww5CVTtYWLfBnD9QUJyl3c29behjstrbGadmSNxyZSilU 0sO1SexDwck/DopM6yeK/9qoQEDXNtU5VNiSVfWMi+7tZVtJ8zMjlNlYwSbRT3G9KKpjl8Ww5c0S 4NSyP2B4hcy2F88ZAM2EeodCdMd9z2vz+w/BIO58pcKkjmKr1UZviPBUid/+3RLR5Aa3eLJ2TZ/8 BzpUMRFwUUD87/FqFj5Vc3lwf2VSs+lvxU7nFg+R/cHyAnaOkQLhEIO5AiboLLp87RaQ+gzGH9di X8XEgifgImbCiy0lFpTQD1j3riro7+gdnIUIVLEJxshmfWZGf1OdheRI43al3tA0QiELb0g3IQCj CzD70Vh89Snf3/hBoyJq6m2MhZ8obSHSObEntu59MiDcDh83bHuACE2abE7vEPNog59MYiRIg548 FFlqTyTh4MeBCuFtHA62WV2F8H4QBP0reEzdJ7NsW+DC8xaQaj7sjukpOz5qhZrkpLsEmgLL4XtO 5K9Y8z1/A8+qvG2mTTc5oQC8UJOWaqbTZ7zMwSirUc4eVZtWBQoItvjEJz3Y+8nhu8ZGc2d6/KZD mYhaVILb3JPJO+C58vM6ksiRnnpGYcSKDLpI/AuEEVTOnHmQ4iEpaXLI6uzPd2IfURDVc24CuXSI zMTCsYs/mm2fLjivxRW+WmcH3mmddQzA/JY0G5dJLPxLV5OOzA2yTeKQQlc9FarW8YT6zpKYNgeE lox08bz2QB/CjNt+jLzkSRXKGmcwzgtrkFXyPyOu1A6smJ6NgCMUOsxO90PLJUCwsuX2Y6+X1OeC /yv0l0yvZi9Le1w3d/JcBLtPKYwYXzJevvsm+BV7yT9U7URAc8HfhirFG/mAIK7GHzb9yPQMSo3t Uz/ORvPxiod+y4sOsEZLeLnf0R0Z+GmM8gT776sbwC07tPR+Pyh69ky17A4smOPLuU+A0GlmueWz yf9Zcf3eBhJw2DX9xinatenAF1eE7P80MyPFRAQn7YeTi58Fz9cETNzeBboVZ0HrSe1PdpDPOem+ lBetLeo7Kl1BGel90BO8mzDuRK2Pf5ZYH50/VsS0SWud6tVyI7mBT01s8yy7DNjBl8C5Us/ywDqH EoQPayfutqxprb7gI1YQlZ3YS917djrV0VPBmcrc31Y3SsyQz8xnJO3U5ssxCAEC7hmuhBrs8eE9 tyRZvpEYnI8L6XnA1vITJhBLg8Yt5WTH0msU1vgij2ZJF2v88w7E9EiToML6BC4GbyVbxIIrTKPC CPOB2pVbQOA9iPixk/r5hxt3ZFyUyQsBCN5//Bmg4lQ1La58bJ/cJLQXIF+2HFuAYMRvs0SuvYlm rKXp7riXcz8AjoSEGjO5htx5ExGQV3TBYijt0bRGmCd3uDTClHq7lnVFHh7m+Tdh6XbmUFsfkqKA WTwH5TQ3bIbVfR8RgadwZunATK3Ovv+A4RZHAdmZotiIidLlTSs3Si9N4gOqrNEGsmQR/PIYzxQj 5bZpU54gd080HvAPMYFpb0QaQ8SKlpzNwnEEpqtI2SYkOZhxS6hdTvpqEG35mguw3m6fBC69NjsY Y98rSQ+Y/GrgZCB5KizTIyCdDEBJ6IiIhuKjQ+5YLv49Gs/1tAqeMLE1ykdtFbUWtVHBexrd98Jk pWOubBjJgrM2l+XaKlMCFc2ZWs8eZPVTLdUeAEVt5hCP7/1dXbMlO9tS4bE0JZQ= `protect end_protected
gpl-2.0
a4e5c81452a4416f8be7a7e07a44d237
0.952214
1.818186
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/xfft_v9_0_c.vhd
2
57,055
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block b7+wqSpu+Vxsu52LHJeQnZpUhR4RZdYT0luDYOlwuch6xt02nBGL0Gs5IhQ8FyDA2tj9H+LLQwAe 4Bd9/LNhCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RUCi3kNH4kKCap6NTRfnAayhe2fBs0itMHRfJsaBHyhlzak7MggjUpD+VP4BH8qm63qa3ns0ndGq GFxl1FMkhxD9fwjcJe1UdEn0/vWFZNo2Yao6PRHD4KsbJwv0HTiPKmvwStR76qsct7mdcx57tMFo Hloda9dTnIZx7RLD0dE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VWVP8oKyRWRr+bUoHaK/+Z7c238ZK82LOgf6oMc887fsHzly/qvgy0fEOx44O+SQOkuuaTAOksw5 rP6U+peuU+RWL9GQ7GX9NWXwAbTDC370dZ1B3dCNvEToKY+IUGxR7ZDpnkoCremIZ6Z9sm+R4JUE kPeL9NFek3J7vV4oS0xy0feaFTenovGBsJwsva4ThxOZ6mRlEm2ZGTKJobFk8uqh5HTfPlzfhmYW woNiMcQU+6pDmY2vjzBiO8wsdOKc39Bi3s5O5Ym1h5cN9cZI632Coc4fbzXLwMt839HIMGqStmYB /95Wkec/Ct0HPZ7Rvg9aOmZOYOEyPnTg5Eq/kw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HHztzh+HyYr8MV10OFh9Sg/07bA1vQG1+b5dPfcuM8Fc3HC9WpVAisbjKFpr0HIn3tkiXQOACcJl tx2UTR9ggn/oqFCDkVWRer8s3kaZyjrEuN8Nhr2t/Ep0/h23jrvCyWMFQFZdvr1SZFbZlQc8ErrE kQs7CUHrNyyRnqr8p5U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n8WXokamr8oQPswwWS0WvyofRcG+4hS3s7aBePL/+idcMx9aXb3CHE+pgBB/eAcGwjWyB9OXka+y guHgsV59XfQ05Xtebp7biLslnN0OS/+iNrdRVBRI04Fpq3J2EP6vSW+eyL+lsUjTIw01/Oo7Pt6f 5mDPYwK2jpEd8RouIa+Qe3Q6jXIwh/+3jeg8boaoQrCVsKlDTfHl20GWomcVbEJbU++rlHlDZpjU lqvTOd7sWXufcrWT9aO5NhuA2a+S3K8a/MDBKpunktM/IKDsgJwyE98tEQbGbyQG3+JDbOynwrrO eYgz7saXMaTYWkbUugAVrJFZYr/uCl+FbER2ug== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40496) `protect data_block WFCynJRJJJgrECfwNzmn09LvS0HtlyqUIyl3ZObqWjk1KfhsWSG3nSCuAbls1ngc0WvU58PZnwXr NTiSwqn7Ha37c7EZI3N5gwn5pfKs/Km0/+OTFdlpSgxaTNJcoQy8govqGfTMGg+WVv+c7EgCXvPH QPw+THxzvUB9P07aT2AaVjyOYYowMCzsp2C6BXUUdoX2tmqBSNHra7gw0YMMp+UqeIvIlFZB4Tgv 9kvTDnZDhA4hDF88jJws5Zo4sBbY+HjpbuKELX8XK9xa0krH7vtNRtqV5c27utO5Bidh4SnRYzWu 86csKhXqAkTe921TEV/P8yebYe+oeu1sRGrGe+BH1COPSlqxuGpaoxz9wwDARWsodNWSoLKakRZ8 ITceKUS001rEyKX5xzcM0jUuOy7cikA+B1CwTGB5myynOhqcukjgjZxW7HztJeuawjXVKu24ZPDf 8IcoKEeqPgerNU0oTivEs5c+BY6xCfBtkM8JbD8a+F4qMqjx53vFKPTfBNlyn86ztUh/shGDXw4L 22bUkRBndPXYtXxiyGZbnQK3HgdxRmb8Mdnmw0akxZhEc8+I1SI65Rrg4dXBl8caRKn91OX7wz9j akUgyofEG2QI1WPQVYvcj/eXPCLzIHUqtbzvN1mfOmh34tz7iSc7JhowmB4zO54LdsWrs7mCy+2E 07rA43QzCE/dCr2PY0pl/Eid91hba8Bv8YscYZFxwneg92meKoDBqyg6WixTdoHlW1NjiNohCfxF hJVKgsnjlDJj9zrayQrRzjv0nRG11yleNvev28Y2jqjYrb0n9RMoGfpwF99RC1H0vG33JFIX8bPK s9RIkAinovtmtILp3S404KXBAEQuioXIETFPkmdP21DK9962oC/N7889slNdr1N4JzDKtgy6jIZc cWkG4PsZrWuOlHb+wWi35pvnm4dcPCa+lArDZ5+gjQf8Nazf2Ko0GQxkA6iCjLvHmK3HVtMFmxTD iJPfFCamdUm27JYAhqLfIfDak7/SrHQ6Q6N9syOkS9s9eD7tF2sRd6Q1NInREjRKggPFl5EDxkxu k2Qge9oHpYSgAXafalHogR/xqjpXn9358P4DKIBVUtrf4BhUmP8nqpfs5nTmm4UnjWnxWdG/h40l L7UOHk5ePRKiF0EWNTEmEj1j4wupDp6OYlBPAda8ynJZXSJjhFnz5LsZQZ7nPyuC8lQIxzGas8Sw 0SUqVh0tcSpZ1Rf8GPH6Tky9AT8ZeF3sP0w2scWtbaxpIihVbMoUx/+KuUEZ+3mnrc1ytM3tYuwl NCCKV8L+acO4osoI3AmCbO5IJ1bW9g955j/vc3DfuEfHZILr5HoUiyNl52MytrxUzeaYl+XJzr+W YLdgYQh3CpPjuD2wUlAQF8uNCggDQTIs3+vT8ovMLbP9wwTelb762W+UgvfPCvP+G8vj82Fe3U2s 1K9O21nWX5xD7lZkusQY1XyH28rYvFXD2F63jnwGQTEFnXW5EWyvZ1em9mQyKPegML5f6RpFbbfN FSz6Mtv2iPtE10ZE4l1B/UlzXva9ebC01y+dsOCOjMuAoIdNajVy3pHhr+ZfUPy12YLpD8EU7zu+ n3rSSAM9Oi/6VhOhSsM5yuo3rk/bfMpo7lpPOWnQOzUsHe9qnGcHRjSCpsZAAdXSLU8g3H038qas J3R9ZmTdeIrTXn2qzDyqy+Jxdx9LZoq7kkKb/TSgRN7Bf/0brMGY6FOfwoxiXn1PW8ixOUkMuQE/ wmsprujcsRPasLnUWrvRz0zmFrDSavJExNImdbYTQgVESTWYG/vuINdmtfB0IlDll1D6kCFqToK8 hHOY87Gn8OTmOQqJzW/uQjbgwrCqoFlsufrpEjVTnpQzHnYkg+6rKgwBRDCV/YUCmtvQk4N6X2bf P8Go2+g0CjwCRjvBrjGsykVZcqBjeR4bFcwNm8kzQ4lnRnuU6fxgcSgVLIUShL3m9Z2gUIJ31C44 lVyLhy8MONDKSQiQ7Kz/sNpgoo2ms8lEipDeFrG1MjNjeMgU7T+AEaCvwruZVqr8TM4++beCGSoT MDB8cgjHBJ8vUGZ3lDncHCY5iF93nZGWxmhvMEgXBJvmglRV+KvFgC1ize3JHNIOSwZqWXr9t8Gt M7qjCkv573lP3MyAjZSjlHWbV3902PtpXWKughJF82eqv9I1/1il44xL/+b61uOi/WAcNxsL5LDk ogDxTRUu8HPvdts1pX6E77kAxo5Lw/NVU/6bo1yaU4EnqrNorYfube0feDEu5FnUi2m/BjWCEiC6 bm4fZ+aN+0o388Q2vdZCmrldqXquuMoqEcHYRFDC6Nhd0Yzi6YSnL6sSAsHTMPciLw4OnYcY68Ll fklVs3OwB7Q5Zfqc/FsHH6pbLqQhZREv7WYuHZM+GJu3ZVxWZemjNRgf4Xwmm/sjE67DpfrFawns /tcC+h1rPUN8YVDK0sm5ygp8DnoF8nLstxI6tMsdSSjb2Ko5liKPrWTWIBnaERppImifBfRxtyeE gsVvR90QVnlwFPJ1ciJ3NiG3YbEdsW8QK1GGDrCzHoPXFVLrwqbHDWYDmWs6PVLET8tB9SHo8YV8 7CyYQKcAJ24mcRe6nUzIFc8DhU7QjhWRLDB86bHGoXaquUD6H56hQdDbNjcsuWzPR+cpq+CDZawU Qt59n19Bi2xwgjoSsU4WjflLOUPI6OQ0P+p78f5/DooRAeS5Z4HrCboe4s+CujIhwG7ImnvoS+2N umncZ1UzPxffRtsvBcTWqYKL5rfz2NYDTUGEUlE8BdxwdEoN3DAuN0tJHtwtLLUkLgHLMyEHfAcH D9S2SIZEnsCxdxd+uYaYufpPtOUqNDEkpXMTd9kCwV7orMDuWDPYRFI66rOTbgusCp4s/e3QjfiC CubdERuh87d2UYEaE6DlFIbJN6vZh9k9S05ZJDbWYNhwNgqoOTWwF5ixAidrW2Xhz7umKmVgrKG2 qsT2BV9F8yt9MheZ1YVxDmJ6lk65y0okwahqAikAdcUNkHsIQpHX9noj5xZE2k2C3DSC80bQwm9v r3ASIiGWJxpHzqigwbOyA3WVOrdC10xu3x4l/WkOn5M4dZlI/H54mv3zwVYGPcsjEAims2Wdpqd0 M+u4JfDOwyNZtOzYpm36EF8gur52ZPTrdAUGevSYt0wfCJg1v3Lk47wHpd/rxln1/3kna0ai4UZH piiOsfgpQL+QR0uWjdND55RelcLesurwjH8ZFy2d86uIsRRgjD7AQ83SOCfws70l07uXLKa9vwzh YC3xs9W2Liim96G6Og2uoSmX2lBGoA/EMof9wm4tK77A1t1Zl+Z/t77EybOhg8kojj70wQpLBSgC IoTlJ3Z0ZR0VV/vv6SHNAXDnHpbmpVI5J7ZojXc4rnLUD2ngIH8V710i09lXhY/DNH9c3NTteWUR vJ3FoGXo5rNfNbfpNZhW38Fcm4SWnBll1FpJcAMH1CUMLnIaxs993CWkInRY99mAeESVJrD7ODES mdXy4vm4eK9xRM2vp2LEhnB/6+eWhfX9gLxrGZ51byucAy9oomCJQucR1fQFnAhp1Yrpgc6lwgLA bNHHMCTVFgxYOY1t4ikEcDU9EL/MLSEjj1qzmUoky1qekBVioGkoXXrtnMUzZq9x/531M41ZMXZc O7zItLJ/x+L45R9xl0ENec/HL1Omg0qXp8JcNP4P4JRWbSjcT4WrJ5T3uy9IKgof+YjTohhyTVoW A/kSYEVsSG99S6olIA36Fvm7SC9nKxYl/gLSloSVWsVGCM3ZUw1FF4kYfVJFSRUsPpUiz6g0GgNe aMo9eO8zrNJBMi6Vb4pIP6nKUropYfId/YvSk3voej0g8Q0i12Zd+ebaPzr7GnPaOCr5KW+vyMV7 EiIdPSEMFrPKlzTJ+Vg3/CMzFKV/UT2YnDRlOX+JS9Oe3UDtx11eGpJhWtHQPMiitfcP4M1UAGzF ej5KDwvLuNt+y/zLkCea3XzU8iKVtsWm4g3XzbebPJVZiTVuRe0WOauaQXis40YofUm1RQRR9oIu tJ0ccg5UHXd4x+aWw5zB7X0oUXyygDmQaU85jRQcw+/+NisFBDAolBkAY6W9PKUelfxmuun8TgtJ FRDfZDkRU6tAKTfGe05V/txkoWNOd+oHFgo3tOqDnrUuSEfIushtXWNeYM1NcRTtkSbMPr+vkIX7 wmvheuke6ei2VjcySZhBwU4Utx9sMgQ77pOk/WepR3bjDN8rAgmc7ezOjHzxlSGbuSGrwUillY91 ftSSlqZ6/HXx1WDO/xuMZQWxWU1P9m9Aos9ZlNQHjNZfzAO4xwaSWIAgJmDAgXF53zE4f+lq2b5C +qTRPOUXrVfg+eEr/LmP2FwfYHpX4aIxAvMznF6y8zuivKG42aNhd3Prd6HwjKxNj8DipMdrMucp 1QJ+Fpwekh5VQeiBiGgocKEl8b1JLdBFsDbWJzJJd9xKxZLNQUNV0NFvgLwUIdediOh9bd+nX//0 pT/a0gy+dOKxGjkjSiPdB4J8aVof9VxR/ZKcHU6CL+TQolW5ybmzcKJ5aQ1Z63cuKCU4z8zx/bPZ QnULz5ZCG3JqQFva/8d67pSGt8NQctvwOwYQiEBuTJF4ysEoD+xBBUfaF0LBb5AgcR9AfXhDnqzK igzLHTFR8T1kZxNlUQacOjX7x1fh9bF2MR+obiqZqKrLVlnhINQmBNEtsO9XEBjfpICOZW97sB7x 0acNdgxqaPYhKaDP9u40csgU+/HhzvdB7ffB2/+uLEpVNuT3vWWkKJTcOYlLJygKQ9AcaT+F2WdR aJXPrmI35j/mHUfi721+kVQj2ElL2BUlqmtB7z7UM+/5lEOHl9cwbpiHaJUc6LWqTkb94G6AkqeD I00oK6yIJ5TffnEdGS+ImnEyS8Sw+7IGc5GwdPOFaBZ6iaNJ38ClMFRiwxa797wq5IuZOGvKeuTN y9xQ1TGZLG5uE4xOSntDOqaTYzntrIPcpxna8l4EGKyzD5nO/wx091hTPiY2lzuy/4Ho1tsvCxuX AHEG2CqWnoClHtF4Iy0zQXXUfnEeyuz/JF3pvCOl+nVZsbHiJaO+v3NzVJiLT5uTh/bZ+ZR6uwmx pTjw+HGSmrtMoPhfRg0Lay1MPKPkpAjYtWrndiyjIU1oQuOnS3AXqKfmPhALeeVMwfoyRMLL+mzR sG0SOdTsn5PK8dYZ79cf1lD4i7ka7rZ708ELDdofoiBQF3f2r+CxeUEyzPnI2aAJ0MKGE6IN0psX wJhqoZ83RzBN+d5G7/VJDl8cIZXGdoPl5bbY7wu9l0E5+EQBQQTzqZIhpckhp4jbhDsKuSwl5Ra8 hgtQc2Z5GaPrdT3kH5v5Dou1vyBVdtyUjem8CyJFmUfW8rG8/rfHmfuzxx1R+NCyRm88/QfcTjOw CEXj+HR4lCIHPWHEnqdw3ZpMR6zvI5OaJRd8DlVaA09ILfz2LNtyERvM49n/IWLaRIFoJixBLJqw JEbUZfoo7tliJQICn7OpSrT4FdIO/jOepDdoTHLXyRE1WBmvjPTWn0wNefy8OjvHwUl8nNK3gaRX xnXhIh8XawexL9EArGRNwuV6pi3Tho9+mfqj9uXPpgjlU87haLKMTvBdwswHJs00AcBOWh9/HF9U Dw38sV7o9yljEcTSINC8y6Z+uu3tf8luDQFuzC7M/iFrQI+ms3EHbwFKKcrzyAgrxFS2fB1vxzcn DfBNxzV6uCjn0nVIUcsdvinggctqvT0q3xqs9f2T9gN31MUeWQXzsxoHLWPuLmU0fOQkmWNEAhRY XLzsyGUjZoPmj294cwAX+ZDzsdQvT6Eo/bpNw96G04h8XLOvC1OkY0q4HZ1aQsqq8tXxzGR9bm3R Cn6Io8dndFW7f4AUc/9m2GSFniQkO5/oNXydiW0Et2kbssvAEDZ0TcJryrpYNu4X78vd6tn0CZzv uwEfFHAjkuPrCaN2IeYrI0qYnJ5bkICFjqHtdittMhexb66LiDLGV1lp+usUyqzsLkp1ppZmur3l Qutg6lBCBEuDmVTS8wSF5i4hfxWqgYnOzF1Qikuk92MYWEVoi8lDlrsEfFB+nVagINDGxgWd4Qui FiuGqVBAxFrhdomxnn8icgdmYcBWHtwTm7sknMDIYxnSEoU01u0Jp9V8RgugL/qV8xdE55Pnlcpg dV31jsLOVrbxVFuLWCqoWCkRwmOHaJicNcAcQxfMyqs8Al069yLHR6jpO01PT+wOSbMT0kYjiYlX m7Nv7QieeCXLpkCXKiRXdLaYkvfFvr9pyUJVcAF8v9jaNEomyIJ71kdbppOoAalQau6jj0B7pMz7 I2qP39n6GUUjpFodXov98ayk1E4MnjBNEXNQm0TG0+XFvNr76I8XcjvuWi6b0+h2lpKRbswLjIB8 FsKIlPIR37qfH8CcU9NOixGic0AEzwsB7BDOKDeK14fEka4osFX5PBIwJ7rmbckYg85cpyKloAFI xhDqexkK4Pf8S+PbxbQWsOx0k+WBami/1oJ0VnmXrVvIZHYKkvw9OEYJgVh4c8A6oOMo3O+1+kqc aA3l/bpjDFi9X6uXpCwBW6URkSxPiuE2kj8cYyTE/yKbXAwuqnHioX8p9cKi1/Ycwe8g3ZwTwNSQ 2E+02BkpsmuxeerE3X8rpY739V4WIOgtZ4LNJn+YkrqG8ygpoGZ3wGU1uhEo8OxnYUshX/f4A3SS XmvN5cKAND0CFeQClh6jY6zf7hY1VyivVi+Ge28D/17Cs/jD1l7XpbGlXAAiSOq0rdocjhXJ0dtl DXiVaoPQ7Pe/zr1jDmDanVZqinw9qfNM86EbFCz4082hEc+fXxP3DjU5vXySUX8v0ioYQAiPP6Ak oHq4Q1fE4MENm39QbQPYoysbVO0AXMGowApzsPykX6Wlc+UzXg/RemF05j0lwuKZ+TdndR8vwPGW fqKWlh2/Mr2pzTmi+OS+zLwZwiCQPJ7mJE09Jpi9ddAW88wsDKiF8ZdlbBxroX/Vi+isPB+blplg lvaEEqYri1/JSxZeAmP7TqPeZBpNAs8is1HXDfzum7ua+tkhSV+SeIheMACZF/UJIZaBfF9L8CnP Wz461peXhOhJrzfCfcofSzRqwdPT8FEpg7N9XX/eCJ3u2FPzBvte7ROP4Vaa+uoahsK5r04jEr9h 7WcZpfVazZq5L1O84mam5lg7mFiYMR1wxkk3/M+8F9yg/c/owTbuhRj9wuXKh/l1udyk9KJO2d9w 7f0J+q9XxUD6z3KLl+raT8q+XnZAd7jLfCYu1SSy6NNWGfiCEN5+Xf3FIrV3tPHDmawtqXEV+Be3 kr3dBAioyojODulPDk6W1beBxG0Vk07u2aLPq59C6zpaExnb3qWVtBs/WURKd0F0rcPkxp68unEx aFTtmLdUA76hYAII596ohKuK4ols6E99A53zDuFK/7Z+Lor+gyBy2bMHWur6w1gusamadVVGTz6j UbxU25l2d1LQiaID7zH54PJRuvdlNY53RF/LR0OX4qN+h3Ydn0F8P6+jmBHmvLa8kX042QkTFzFx GAuUb5ErTfcXPzQe2CJCo/CmzpCH1EmJMph49MP67OFfX1S5et+yMaTjY8bhM+mjw0ckF7/2TNDK lTpUzBC/37Hr8uI3KZkFkgqTRzEdVq1kIY2ZnpMW5lQwaNu62KhgAzEnoxhXMrK2U3U/AiHkqgMK 94WIW1SOziHb9ldsoQW0aBcf7P+jC3cl9eKo9cVbC+Ti3pXWEnNuN7xceEbUxGv6wBuwWIAcV1nK ARsBKYtm0ZDPz9WBFezR7vnCXCwCIHDtOAcUfZKks3sCGboF300vHeM5bsU9GJ34oVVdg2mzHGWN 8RAU0KUJFj9BWkUrY3taMlKSCdK05yZDs5XO4Bq0K3SQoRLw81aV+IZzNoYDlsW8SXe/K46TUEkt nlklA1h/0nlOJkyG7TSvp5NVxEy4+0bHArzf0q0v8OizmAXef0xdN3QrkKnioon/SpYCLJdbzYw1 jcevjzSdhQv8fQ6UYEJ8IZtUNkj714kdACqX7aTqgz/2Cald67BUVQTJzUaXvmnCZIgCPjUAmrQl pWk8qW6LOUj1J0JL4kp+qMDMFJcrcrboR1SVeUpikf1VGJeN1Mu42PO0XbNhulN60kcCbDf4vwzx tSR5PKWmLAJL8JWP7b3Fie89nEIVfDrwDudqov2Z7Spa3AwvZXzZlQZvjY7mL5C70iLShwH5MNCV AEsB+WZeUham+jczvC0qOLfLK2l4eSiEIBB5AKBuv1r4KfJvNnuMAlF1XyrQEI7NfRhNh6b4imlo 6xK4PzLdkaBCc+cfzoXXSV9EXHYA4reXDJogu0VGIoN6BLLYUg1sgjCMzYiUjk/8Hl1nyz2Z1WNa taBh6qo/eqmjEcxYQ8PRIJWrOyZUaN2dkW3Q+R4eYxMCclXIXl/n3UiV2Oi8HN+Dz1JeAsN1XNWC XiABGWZ0H1C/kVczLE3qYayOZAVEYh/rjED2JZWxjNbyz3I6W9lnH9ePcu90VQahznVZgqBmp0An VtO0+b5KEgY+6xkBJuQO8Z3+dTKt/7tx7tpdiZrx1Msq5NsJojwOG+DMAdUvZNfKFzcvy2Xs+pc0 y+L2DI6q3JOALbKzrAtWyTNqLA7570nxYuLk7GQQEFnJKfrfZLXhsiztzXVUSK8cHjYuq5m2REEV kgIcybwsEyeMDB+Ne8s9NUQ9lVP+VF1dR9HRgabtnL2VaTiQXwZwndOVR9FZSABuWHhDbskNmsQ1 ZiX9YtTmU2rYQU9I+ftO/26gZ3oaGz4xO0m/o9kYSKZS7iUZbcjTMUBlJcHFcE74XY2rObkggMf8 39HAJK8h6nsfaF8kf0H+epvHthaLO4VgdKXCO/wcDAUPz8Yt1Zy3YTJ4VEfdFpgmii1h7zlzDq0g xeue8Jc5jBjAybLZlk/aYGYdzjY/VAG1XkCH86GDaADIwGsQCn7WZVyFTuwQpD5HwUSigoREOnp4 9B4qApuGCyqEPtjvfajMDX1LTWke4xw/OFrm2UuLtxk8TxDCQhKOzID71R+zAQrqk2drqGCrUwv1 BzE4dxSVdhPEqZHx0troaMlogcs2NlfzWnQRb7RWrVmSqtejol4J5zNuvA9NRq24ENv9YtHQvFUE 0QOOKHbILYMMScxLGMgce8lunf1YZ1DmoQeXmkhGY4yiewhhgr4evjKdimgdUIcKCyBOSuXMjVOo 63UZK/lFogcde+UdbYT8DCDTNU+hlQ8EOznYV+AhuB19Syb7LRSWrSGaK5CQSuxwVI+Ft6EjlM84 O4AO6DkWlf9rYZwqyRLjh/4AZ5f881Gmmj1itR1Xo2CHlcYnGDJgiTXwPr+B02ZhCx9aDNqRuPRk nrOj4dqimbFIB1Ei7+wrrqNTy/V1xx8LdpSB/PVo3koAaJ+G5tVy1LzJggaTbdN/e40xSIYkgOFQ jxIplz+t5NzG/njJYBZ4uA/Mv1NKUOu0+FD5F0t2rKA0fU0gcJA8gM4EUMuZbJIyVU8KCv6+WjXG XK9jDLnsQxzJFlezqGhK83dslRPBL+E+F5rvwHo0ExDwkYWyyaX3yiXYzw7OGexmgb5e8onjearP eLgYKSNYLRMRVcO6oPGNsHJiR4z4+8bgduMT5uKps2itTDFmgZXVpCv8bpp08rFIPa2UtnMR0z3q GllTA2Cl3ZS/98xsL2bY0MXbrphAM25vvy1jADwAolEDsP1ignU0mVGEsmH4jLDoCM243NiTiLKY +Ri2bLIoja6p4Cv8XpbUgLgwFnWS/Yld7O7NGQc7q58QzUUQWAFrR5YBYtvf55qxKKfatTDu4pEH +zxUviLyTzqv/3g65owZOd1NTE0rQAFYCp59SyoSmyt5r+2+B0CNzHcTAGmnAWE3VDPR+XkYJRlU 6HPAQnciEPdQILRoyiBQnMVD85PtAJxrpofTAtPNo4vdEGThhquzYdAurLcgubINqy6e0bUtNCWj wrjDyCyuXQkRVkG1f2zsKwBde82r7Vg+Fo/uBVJL+d3kfu6Ak6inobDxq0UZLkRVz0qKAMYaagG5 27+wKG4dVHWjnuttUu2Ja1rHxJqN2SBpsAuk7mKqTnlbxleUMhPNRqUVlJCIM9ITLpRrqLYAE1OL QsPeMN+kIF4zxbUX14ePvgfJHPfvFWWo0QKXsUn1CNBuyJuoCNZOhqVjmWeWKraA5CkWfmd6Hl60 qFN0Lj7vH1MC5oPNP+PPKyekuOC8Yx4QAgbBDZ9ywMI87q1jfW0y1PFamwWkBNwZ78mKJtbTTPpS cR7WgQTz8DLwrOV+Xu/ZNU2otoOQ80BWG4Yif7R2t+UktGDmkV8IjZMkAy3erc5WuW0JfhiqVMkz Qa8HNTu2Wv6NBTB3i1daUUaL42IBYL5WzhB/nyaFp/RzVXdmkA9KPCwNgbRq20OWIf2meZtEdqa/ M+zPVQbtucuqZs4VG4Us2yRMpH7NqvGn+fZ4QKd+HT2Cr3B8BQn8LI7Hc+MzjvNm2d1SoicjmCLS SK9iKSMRCabvP/Qd8yDhrEn1Jsc6qptoXo7FoDPbA+EZWzvmprAPMrVmvhSSrcz1UMnD7iRPoexN JK2i2t0TWKRUy4Zulx3HydEzBg4GRdGfmRAnRxKKQ/Sw8dE4WGqcCi2gmJyhETYr0ubhPNc3ompy D6DIkCTOBrPohYEadfDYmhMACu7hr4+N4U9Y4SGoFyA7BPeFQt/XDIxXtnz90yBhB+Xegcv7AVeG PlefRAIOVgWY/Z3ap9GSgAMI7cgkbaSfy8cosAGiQmztEFWiwsI/l/p9g0Ync/2PnlvwDRBq1dQ1 c5ZPehv9rCMyGNzefZgzgvjeNWAj1HyVSCKhKODQG96BqOj9aYVdUr6I/g4Jq345bsckZfls5FIw TvoDWl2L2Ih1RWAJuPgKO8UHj5MWAdDlClNroIXe6JJpeC3Zk5mb8GfBUDxQT4gzmft37CWCe/zf wJPX0wpKV8cgzLDIQe9ifsUMgT+61uop10aAocDmuDBhCCQEOQi9Yne09nqa9967fbNd783a+nRg uvuysMXPsXCp9hRaWGvnnuW/7kLUtQJfAE8R6lxxIFFZ8jrEHCvhWKHvI4EEhLDCmW7OxhxgvcwQ OEFa/yloBdwn2JO6+nxbEwITQ/Ox0hAONYfIObzdQrevp82sLlmQCaprx1DhgbI16oFOjOUALet5 6ztYYA0JgOp0kj9K4jkweyloUvieJVnC+sxdvAtC+f3MPmziI0AR3Nr7v7xf9RVljZdCBw7htjj/ Rh0UAn5p8Apb8Ku2Z/R75++wrev5lS7U9Mrq6uesxA6s3LYDu5pmPine+CFW9vOLsEq50F9OLoPo UHRVQdByCeiUNR+lAUIZzZtuZiJjNGkfIdgPk4BYThZ4htzGF+dLT50+j+0Ngo+apkhyfuSeXgVI /1NQ0YcLSh9MTQwjp67A9XwzlIf+gU1G2ISw8ejAQ3wdHN7n0uxpOwAaBqA7UO8XfsnvrELXK67y L2yah4VLktPjLb1fexW/1gMa0asQ7ShOgaZBdzIOtxUv02RBV7z9HJUaoXIDUennGdOXuJoWZ+T8 tVZbGGOayioGm+8607qDyrBhkzel3iDpNp5tRhw0Qj8gVfeR7goltNNB0Mn+ekf7nsvrKkbFlgIx gDlUYeGMOtZFrOrP6Knl42zlKhnrrChTKMCWFQg5XVzasibDvMbPuROMpn0LQPmZ+J4oHaD3b2L8 kqSx68/nNRE+NbQ7lmKV97ITFwmKH+nqszNDrcZtBzdHqv8HlBT4I/OG/4qMQ1Bcu5gboK2DTl4A dp+I0KEhjqJIelRf9ZN56wSjqYILD9FV6OK9aXW11VUlX3zFuC0ciq306ha7uw+FWywlcbOW+Eo5 aWkppTC6QqoupN3VKx8aBBy6sMM7+ZGVkxtYFiW1EB2ADCXQZD5eoa0zVc9xrhf8IH2BfScCcT4v 50Od0D3lYYQ0dpVvsqV4b7WJmTn/FGcSsuXxyUaZlYaE9pnTOeu0nqOr+aGUfnPQE8DmVKWWr15G K3Aze7W3vKHHxuItK+t8MN4Mf6NN3EZGJFXnFawcbgl1foX5xm9+2ttPZ/oUUJpwAbesBgpU3MR8 F2iYEELLrQm6DcB1J0qeIqtrf+d14uDrSBHoI5nVQ3c8lqqroOhnCpP0ltlOeiBjz7pg4I+bCzwq 5rCol25iUZe1sZnneArLRDfSpfCf3WVjnQpLq9c8jMRQiiJ47kANOfCX0cuHiIJSp6Mo4BrMr7Bt +yScyKiP+fHYD3PGXBIZhmxjs2QZSKVQKDGTzPRrUTcLkqoNJOFVaa2+7pAXwCxAF9bNV5nVblPI CeZ1xb2hWCkJIubpdgWmSEiXbHXKpILalmpLszCL35aLVKQvdBjP426o+lDwQExoeRTEhrkUnDQf rdqQqz5KZFFxKatXvqdK4YEVzjXN7HAHvNkxmkTjnsgQT52HT8LknClau6gZ87EZom32K7p/SGXr U0SadG9qZrWmWr772zfd6H8tZO27efs3xmjDN4bDtY3gWcF9IF37ClpjeQYKONQJC3GuoV/vrErM mOhZ2nVSfQhqtxRNkUQ2CIrPNOfoCBjnDba/xQriJTcEIe+LYV56MVvblspYivPHm9Dp1nOCjY9J vfJr+olbV5AVWbodoG+twBTOa316VCC2Z1OaoLVetnVEAdQMwyvhn9jnOTOQAo4KuleGh5JWEO0V BFSnP8GfIbz26bKJ5BQRLDXS0Omk0K3oebN1jd+NyCmWpCd6P/ZUxoXZy/b4hnjrgIrKRuc3pyna GhK/asGTadp5nOOmKso0HuNNGZDKcYsLC2ObjoDXi3o46B+I1NtsW/a4IVauEBVr5uD5f3auMENh OxWjPaiVgcqfbIOgfQn3XUu2BiFbca4Cd7om+YNkMkAIYZWP/wrTYjcIZgaOuTvRsSkcOgr8H+3J 0OiWum7SHRx5WBGVFvFR2m1EosMQRM6gpKUgsYEOGHIJAvkgrLQvCPwbEc8LrNSeIWsqaE1bjiHK BKJKSgAOdzQBrfR++RPKWff9+LcJ/EF1ZwZKR3TlI1dP7NxfIda+RGh9mencz78Hfv50lKplfN/N M+i8HEndv8KIwHi9pTNUF2Kox9wSEQ2h24UkeJhgLOGDqVn+jLv+Ok62xCbrNuZkgigjuPFIhkis p9Bec/idpgV4FEbtlA7cvicsXqLj9cZft7oALbvYU0El8OXADDgA+t/zRzORJah/hFXB4YTxLn2X poh7FhdnfidHH8ZezaVuyYzkKsktWODA71BeztCM/pAXULiW89D7xmBP1eySAKcjFUHWk38XfJMG xize5vROXaYN7yApFY3p4SnXxjnR+qn1CPGRu+x//OxDbGN/6zoSWpnzX/EezfAsgqrQ+Us6/TxO 9ChiBXKYRY88TOGebivYfngEJBTHrmLZRJD0825bv5WykT2zG6vgbniwH8svKhGxaOBYgEyRqSJd T/DDiGdD0F304anlI0TnT79kF+WYr93ZJ6C4W6ZPCi7zz9/LDPPSnyDOfsJsJX9PpLWVMwBafM+b V/Wpzk4GN3DsmMbudT3hR8/nR9cDW4xiLnPyu6qvCgV57Vl0r4bIk5ibvDhD/zsH9bfYyt6cLVp3 W7vKP1kB2Im7bHxBZffx/5EIs5VeJJmtL57MrLSbI0s6DK7tb9QmSMAowLBqZwlFOdvqhE0XqmHw LuYqcwMpdLqTbKlXcdR503gQoAifGbjbLLw5jzoJjuQ3fUt+2/2yQuoCSAepwszuYSvP2G4OPf1x sZA5uGjQRbYqi881AwPz/18V+jKtROT/TXsUSzmrKcU+97GKLc8jIZJhU0pQbxFU5VSxCfjDZDOc waFuIhYbrCrjZJksbzNNXjw9wJF/MoGZ3cTA9hA5aEy2k7VOjipFHFtKs9VFz7dY70xsi9slmiDC YuRt24TfJdaIrD/0SROwdUkW5Ipn2ZjopFv+99n+Kt0wRtakQqBnQV5pc8GD/wmcmxGixBYYfLQb WZlT/f3e3Tmk6BAWTEUsZnDgYfTWm0Zdd+oXHJWqq/ISJN9WATCzdtz5qyAwKxOxs0zcjbQ6vQRm 6o6SzN4ZG4wxEQAlQqdh/X6rMzDjrtqItumBDQeqOqhu0FCfZssRGLfTEKl5KWuearfImvAe0LU4 Bqx8ib2oHfG4LzASWU0DqulQhnU3mw8dj3KmhdPyLBz94dzOVInFp0ZGrObKhjqTI4A1wMHC61+y UjA7gcZrh38E5SbcqcdI7tsPyXpvHWRkCBESjO1i8930Pxe3gDMYAjJB3vYEQSdtK2DXb3Cxrhav CiIa75xXvktUIPul4dAy8Jmw3mIyLMC01FxXq4da8mpIyNk6IuDXijDezD+6KgxmE0AUbuuI9X39 F//1a2hp6bxFXQP18WrEFHxAVmzJpkN0dY4dWWhwM9b/Z3gMkc4nt6WY2XWKTgfRIVvO8PiuAFUk 9i2DghmGIfIIzZsR9UJh6i5lwt6loN6nPWzjfC9+bleH2N7MlEgXK2BVvX4mAVQs3ID2X/HPwnUh ywnPk3sYJuL8cbVHC1l2bjx193dyLCbJUeOeC/FT1tX+Ny23LzX3zQksG9sHsyZk6HI8Nb+FF47U NkDlXBrgx+9aguAhiJ7gWbtTuHGWv/A2Eru94hZiWvh8wjfT+93tI710hbu0/99f80qRONrHVMuO 3yseq8FuIClFKSn64VoWMAVhZ5IuV+kvUjTcBzLE1cPlNWkrw6RAc+D18gON1cH2NjVb4yDQZY4m yaKv4WP5AlwNi8GYRLBZGJugSx18gd4hwPG4gZFS3TX07vupgeT0DnrbNfxAf25LgUolYO/FZaaw GLGaM7vj0IS6f6NGjG5C9AajabU1MdZK80RaZazGNiKTF0Upj2L3KqFohYAr0PZdZ5buR4rciNph JLNkkXlIGKNhu9CGYCX4nitSBFbHXhdLkSRcl2YKhEj8jp/mnWac9PH8F9d5cZd1c+jMbCDB3wEh HwyBh+IS2GvRILBrn+cbqex4/r02X5skt5EIEfzoM5vKQFCJ7fKFS8wrmn99pHHfJa+HeBkYX3UY QUEDOY8QMG0Czm9pvj7ZPBhgXn/Cxe4Dlh/56NC3//MXE99xpzxR352vYA8nRrWWyYqp0RliulDb LR55B0zKSvJ1nIF3EogJWSvKOXsmZ1ari9efomr0nhg6FriHAC3LAfPe9tkkmAIx3YwUiuRYJaKw 1i9ArUpYmRUpb7FNyjUYoJ/uGw0OKiVlSxUg6Egoqt5KXdCruTV5kEztmn9kSfeyu9IOY/Y7s6UU XQtdJX57sXXYr1GYcWOOaK6/vsdzLa5xCMg+uDdx791n2oR3fACRxpHfN8633Vc6BdQb6Q0kKkoU EGmhNvNlMyXuz2TKMLxmqi08h1Rw0rgI9QEXGPJmoM4c/olqF+LRtmFS39NfvfEuW7clulHvP0sr 9+IL/nIezPYV6xvRna0uIrH//CFpktbIv3bAjNvQ0ktfZx6jCXVI5rCwIzmjbDf2fa/3cGyrpAEH yva5lNx+3ZjoozjgYIi0rMb/+anAT93P8RHma9YsbRn+nGyQmYuxtj4Ifmct3verJwcigSbKFFB1 vW3mfVDU6nNBquUohmCpcO5PzZ2OcRVZgACBsriUnGR/4UM6hZBMEiRSdgLjcWDhlpZr0V0fALfA ny/lwJsXJ+VqL/QOPHikHB4pgXHoz2hUMYetA/2ztGpe6PJcZTwxn/0fMaUeLNcJYYmdoegeD3YU rr9hixegE2/xfaEdWD3X9URVE0+nVt9aejjbqs7BwlgrvFzIXE30kvJg5aEMPnDuMVZVfhI6oBYR 1pvmrkbdEJgtBYhxfw24e8CuOO29EqwIf7n12QOiWj0yX81Rw3gVwktBm0s9ZiOYvQ28Gi8TZdlO aQdXMXKKrujiF8cNvZIoockuR2pq1KfoSXAspQHKWK6B+wLoNKw8ILisiDcjDXRkh3I0qeJKNSIG pK9g91TntPwsDDTcCOTUNhbiNmNp56Teu09aWD3Jz3Irtzi6jJjmGrHnQGRNw/KmyfZ7ADSiPcZR cyruuGoHmuMasiY396VDn+XN42Ykf+m1Nx1rvwtFPnFDwQoDmpPaXXPyEv8/+toGLK80DqieBjoO s4GEjSfNLupAckL9IUN097FHOFdJISJebLCU+2I9dHv2ACXGrT8x1gG23wVU6k9BW6V4P1pbW6kP lJJAAMqOkOc2EeUBLy8qth94IbGVi+c3wPjy51iGBytayGrqk8bBqG2Gu5KuD8J5yLsIuo2CcoAJ tVmCCQ9QS6Fz+LWxMkcq/k3VvZ/WYbYpxHIVmAtS7UZDa0cI8lZLpxLdwe46MmRYTL5OKTSeda4e DpRcCbLkdChK29iSjueY2gh8UHOQRiLZvYtFUjj/MG9Pmv4sF0kdi1Y1QAMfQnO5uT4Rrgs9QB83 jMuF9QTadlAOIeMBjTVkHx3g5weqDYLVccCWWwnGehrUzFNDrcpapgv1Nc3NuBVf3QrjynBo+dXp 8taLpv84ArnHgF2Axl8m82NOjszw6Czu/lEcRRp4FmeviI3C8ZBnG5aIO2LCGb3IOgV7jurzYaIf YZwUeZIWfEQKebvfZcEQBXikv0NjrC2+QzH06+CPsspZcD3I6l5/I28BkibC0XI482ltfr4xD24F 11zb9gDMAbQzgaL9fLeEt9Hgb2Y3/K/9cMfeME0cuCt7vAn8xyJh72X86kyXFdUSKTjYSE0MNf6K hIN4b6Mv6uNMf4zE5J0q0R+8GkERyg+ZOXUSDOrNCGigZrJvb3WSEsz5VlTHfv9nykDR9vPpaj/9 F3sTTQ+1rOESzslhVqqbSbuWUSFWK+lefAJMI3GfQabS4W5eH9bAOMPaktX5V5PgTgZ0Xs1i5Klb Q7cuvmT3rQHkuRoZ4sx65ldAOYsNmUALj1s2YPtKnY/t4tuf6uYzPp3iMYZWD/VMt2OMtaBtOEPq rFuVjkwuE6d5Ndr9hrEXGgSPRv68cSyUmtyOKH7Br7I2Hcsg3Xhvp3aH69nvaRO+4cHJRuIP/LOa 1g++iecE8NtPXliWt+tsaWAcvyHgQ0eZpujuI0aP4b7p8SiXNSdOl9K7Bhxx/UdFJz/06wmA2I51 sqXTFxHcZF3dnYawFE1wKc57ZLKS7hN49vDJR8mChBSk0+yJCGAxmW/tuw8ycWsm2ljs7QybPS7k G9my7mPn+LmMCbVOvRzrZDZSIQg6UMZ7Uul4yB6rjTT2ffaE+eBoRWEUA8Uv800F6jzdm/kUtF0P mU9rSMWoKTvG4Do6RWouuX78FkIy0RZQn5xTw7LDxzhN8G0UbW26OrhZpWWApelH4oaqMplDQ3fa tmmtnb2KVpnKpHnsd/Xk8oQhuWa26XI6ujZ9cKg7/eOWELKjKjYBh/kt5QvbV+rC001hq9an034s UVfqwfX1IYD33j4yF94o7vFR+6BCqUelDMHTiWmshMlknqg01IC0iIvvRVBZJHQIk/g/t4las4EY 7Y5hzPu2oMLFTEfC8D2MVS/GoXx9561FpijYIZSzXtthUrLY6vx9qLRx+77xFAeMqk3uR/opDBup ddR0uBZCcXTLSfH7aNDpkOeEuKIMAaDtr2EUNovmVb4mDwKTkGyukTqqcbn0dF6OG2QVvLMQ5jzc NhX7qBfa87B9p86GiAy3uLEsIHQDGgz2PsTxP/vYZ0hldlIY4N4qHgh1iMp/On5pXBVtaXuoSBwn /Yud5Te9TVG1OogjFgRhtS8X82FXtoUQnf/PVQIa1xeg/YgjiADK+k2fkW04fuKNiok3GQq8OJOz u/NCTzijb9k7t6w5lbIRc2ByIZ/C1DcxO/4NZOkmPdVLthHhcBtCCSxBBVGhMrZZft4XYcYo8ZRR C1IC2doFNKno5NjGvdi31is9jCXoHh7GBXMBLnVfSMYbUJLa+g7iEi5//TAekUigLU0gPLXyP9cd +pQHZjXMC9BAxR9ps0c8H33qI0LhcLhzw35/APg777bQQ5g0UKkwKDln6xsswQ5bBMGlL37hqRRu KUf7sqDNbnyZUCy5L+JeuZo0+GmHtBLlxcpV+97JY4VfUAkQzvCvoOkOsMuSvVyY6hFyoeAg2f9u tnCDxD02uTQSc+ERFp3e9/l4ZJBb2U2p1zLbVkxw2bC6tu7MMfzqMkq8YO52PPFk1J3R0qfasISy 4T5ZFoZvaMIIpaRd+Zi6yIEKA86/Xi+H8N6L8zY7qmjiPY0rqVtNt3Ce/IrAtEeoTrGaMll/eyf9 qXAH9KrburJrLMZNZNTEwH3vNLDy1rDQJVwx1+E9JdmEXl3KoP76/9ZP3PBi7wvCL9AXYZfZSPyZ TeudqxpbFnkzVWJgzWSiw2SEDYnPLZcn8hjn4hAMnWdkEKiXAExR381nEJKe6fbeRyVHSD3Ftk+B 9zc4VV6giYk91jbrNVkA4dz7AQ8qT+77Oa2R287cMjVmSfOU2fNhpbc+/NMKu/D8G/25sGLyO+wz +EwgnAd4yAMJnRJKp+PDttWvQsgaNygzOMuRcvVk0qkrIHhdXcN6EZ0MtStdHa+Hbux1uAOy8+PO Yk7ozegu28abiXWbQs2qPTnZ93dmlto/wfVvksTEWKcK5VvmuX4vfykR9BV0zGn5pJJ5oYJHTFGW sK2WOlRP89+VI45m4DheD/p44yL9xjNn4tXFEyVtNwJal4r69ibCqbVAblsAsU60QZGoE4eW4vMq jcwBROHvl8/SxARljUToMywoJmsYcx3zRwL1b88yRk4M+BkfKFolgylb+WZA5LG/Dz6XG66KmdL3 9Z5HcRVNR5ErhT0XBJZ57rOrcu08bDI7Yne+nuWFN0fG+K5Sz9GTo4/4dlRpxhNMm+SlXUcwZABU 37SLNPe2wdxIPIEnK6h8jGTKw+OCxltBm2U8EEeVoa1/KO3Ml9GSQ6uEI1+MTVLWJ0HTmRk9TIdC 6k4MNjnAP2axT7kHoXxLrUGBRm1dcBZVVgQFqGGHuSCvFQokEwPZp7j43+vO0HnW6FCtJequ+g/P 76zSRNkTGFd7ECpBGxOuL0lx+no+p0xI1Oz2t2hHIM16hH4ARA+mW8RD9fRxoJ609t/ECHQsLbY7 r15k6bk1be4UvOJSj5mn9GUuPLqIDMNLsx0Si2CsV4XvTUsZ/9mpiOByoW6U+aFSWSH3Y6R2cvOr OgffBvMpcnhwC2oVEakqvz0YkPkmJFdD2OJ4x0HTVWSRE95PZgdfuVsvoggzaFL3JgX35VpM1bpj OuBck5FHrNEa+5voXd7kdbKpWz5y+qc3UAtHaBp2mnu/UScEdtbVwdFa/3ZBt55bNuDq/gZQdAsc ioPZuERPHmcLbV3C6HuvDx5uhBLz54/OQHkSqIY3coI6F8MSPs589W4RafDm5Rdep0+mWib7Y80m Uk5uBsYSQIDggu7uPaMdGXYptQykxkcXSyhyyja+7Qy6hacRtRNRq2GQtC7T42mK2h4celxdutIa XzSyjt3SpQEDF6y470xG9OmP2a4H95aHfxSG53/rWp3dSp7xO+pjxMp3HAUVBk2+FKRAf+uLkKgL 6qrPrx4MW1ab6ufNrtsiyYd6Qq+vYKtoN68m16o5eNf4E8uxjyEkyoaAk7lrD5sWYnPLWdOcv6Bv iViGjkS7EPQ27Je5xx5QhHXh9SPnFa/55fno5NbnS2JyH2TSSUz7wJRjANYXY18cBHqqsbUiT0dD g287AXbxyKvx+gsuT4ZPEeGxBFaSOAmkR+9b0St8DJ+jxy7kN9S3/Ehm8pPK52RjbU33H5MRS8Py YRZwED5u3yvX8i9C6K51G/8u8pD7sJ+Riwha/vIMjkkUD1H7O1rxRBmX5flIyR3x1iXrbeSL/QEd DB+QhI0ITQrgg5xZVUX68m2HG24brsuBj8UdGMie1hWcLXH+Qof3qXl90FBNte+WNd+ATFLZDD4K 8EOtM2tjNsnewVpcuFLxuIpiS6i2VIXvMeOe7ALu2LlK+l6EgPpfzTbwBYZal2gTgyAKUTUclqK7 Y4YcS50WZRB7YEpNCqFssBSrks40Mg83X6nha/sQ6MWVN+YB+8E9Ux2AzbAVazFTUy00KXZL0tjh vnq3YYmz87i+Ol+bSxdmHrlOuNCiJ+P6VkkCpEN2popgBA6PYL4Lbws4uClvhYWbCPuLo9tdUeDM tOWjEgU+SC7JT58y65gTScdkjc7IZMWZItzIaQThK+hXXJ1bMqoq8mBSDdQSEGJxyYgIIEtrDhrr v74Eql76o9cwz5L92TJE3+EjdhDU2Xuyo+m6FDRz3rOGjaS1kZm0F+XKIypBadIxQweoxhu3s/J7 nORgaRNH9HVHHyjGi3gVJhBrO4rBV1RLqWR7TOtIMgqRhBB/7uRAOAoOCh2r8NlStL4J/tcmaI4m ZAFsIC3Qy8xCz9gkCYghEazMH2SLLxvmi7lt0KENCMSblr6mSbdn+88OdFIqAEEqwI5HvlT9RESf U5ofdzAtKMATxlS1hu7XMMF8LgULeAVwlYtuHvZhHhSpGOLRKfbO63F9NmWlvmqFPlCiEKSMxQE5 jcGvYGOhBSVoWFp9OJMQscKPskOf/hPmbyIg2aCOStggwbYV3zIREUDQomSE4cBOTzVAODfxqbkt i47Z2rdYGr46p0qR/5NlGlBAsXStPu2fP2OTFlPVJaet4KoytJzh0FVjegBrwmhoMPy5lcNuVp1L j26ScNnfdHpokJNJ9YDfwNq4+nc0fY5pP01u9qkVDkNfkWbBMSIGL2RVMwCkrucI5PQj+RTvuO8R zdXGhR8hx9+QQNj5jxGGc5POgRIYCofS3M7gdvpDL+qOniEGQs+ggX+BTyufX6s+Xwk/1yWALX0I nm2tT4ZAXwgYLN4jsmi15zDsjdCUE/tIFgLdrvlOQu4t+HyF3FNnSrs3EKPzFwPrDti8snxXIQa3 UgVd9g29MlKFW9yrqPoyEG+EAsdD35//EHiOVZangZ8O2OPwHiUREeMovt/IQy9Zcc9Q/7MyO69Z kb62Qmh7szcvMYJr3Drl3GPeN1WnsUMjT1v2c7NTBWbBO9MU0mVZOU/UYHA5Ioo3jWU0r70OxTe2 obkw6hRbs6O8IZGfIUJdN4LIyICJHxM9p+R7VrW1vWfmu3IzoWYS5uquUZI+tou9uYbtY9DcuaYI SDHaaFwEWHW7y0mLL5RRU5bMziykmMsS8DrQSyPvFOElVX4itT0S4ZbsDi504F3GemBzjD1I4+Pf qxKxFi9R3QxJZ2i5Y0jW4kdCx3C8mcyWf/eBDnDQaoik+XSeZbCRfm3mv55tqIcMQyLpKkficr1c fXlxvMhwIEKwbT6t1bOVUW3Eqz8H0q2M26Wxi7rPho/OibKI+WCIt/w5NgUTuxNs+Hd1nzJAJB/K CT3w52mQSzbE+vZr/WgGkElhZYWnO+PxOPlt0NJnzctMSXeybE/zW0gTVVUk+EBMwUAVuttLXNgb AsDaFj/xkRS2JfJuOTkVyRVKR58apRP8WAGL/KyrecA8TR1OsQzoX6fNEktcV9Gc4ZavJznsjHxJ byz+av0202hLMGHvwURu7NHOS9tjX+68gwHwmeWILRwhJ9sYJDQMgfeDreUOhIOySR6VKdp0Kr5x mJVJIorjOt8H9YACigdcP9VMLYGZgeYND+CBi77ixozRZctrQxJBFUS+2m1YNcnJa/zrvL4I3ugS VPryAmT5lVjaEiepeh3wmaV7o7oBYCJLH5vftDTUt/Hq0jFKkObtNflDfsP83HiCRNxw0rtdNumV 8+eS+rnRJyOvP9fn21C7WlMiYoLHKTcbN02U10aXKJ98ltqgLUc6NVamJtzx42ONazX61ZerzWqD fpiINqcL1kMniK9GNrjld7mjSzp8btBpCKfgpi2VH+iVseDq4ESow1b25VKv0v89tzwYtXce7UmH E+/Aaj1WzLPs9GRZm/xkQ7ni0hXPHubYK5FfVaVsD0U8es6MRNNjfzfp+wqo8/GkCM0qHLzxrz+v l5mMZMPLG6GI1ucf+TfQTtQUuxLTUpRicToLHQCgXRqvt1Txhs0QDsf+lrMTgUVW9yFN1qazyyc8 nY5YWl6khdZWvhL9q1GEG/L541+qw2ZJkzQ2B7vN7bSdiu8IfxEZyMa6jDOee9PM1EjBGlHfLq/a WAPOg7asHW3Ym8akCukNkMKZ/aNMuRQOJ/qgaq7wcYT0tVKYYcmtJVpcxGA+QFIpTmL8qndB6xNH 7FXkyJAOrdnQTN7agtWJvb6tyeJAc2HBLUt+E4x7TromWs0K/hJuEJ4yTqNiPOlwUSDmGqi/krxf lDdbXTIxRCWi3CKeXnh8nsiWrPZFpMpafPdGi72sbCbxy0fvtxExcRjQlZwkn5qQVX572vv0Npap emnZcMqo9ru59t1rPoK8HauAFDx8agZUKkcHz1KmxL5zIIV0i3TxrTLBDyXp1xsiGpJADsUa1txt t6agLo/HcC/XtxWlydVDb0e/xYp+Iqe7Im6UzJZyLM2a89RNhm9G0SjkQqDUZoRZGhCztHGPu/fl gY+WC7WI65eyQKOYnZca2gDFzCDGf78uNlgGzEjawMNUiKB6X1OWxHniNV1XTNuYIuRH3favhA9h 7Lil2+UcZbdpW5h+qg6hd+FXJwTe530WJzotSmVNwwDE1S92wJiycNsF1vu8NOAwFs9162bk5UX2 qanl53yruswGF4n4zsuK8bPL/tIf+PghjszOWPsdwKJqNqwMhx7WgG1w/3oWebZVpPaIkqLP5oo5 15ijV7qjdmLCEWz46AzrzY8Wln8niJmQVN9GRAb1+kODC+8R6y4qrThumLdvMzr6Fe2lkefyx0Dg 26Fjb/6XeGbGVoZTrJGkGHeYrEbijsENOe2Wa5+plWuzqthuDYfBgiO3yfTSTnn8wFe+QLbwpQCE ajKgkQXk09cVCNQFopDFIM+AM2Tb3U9E5lfqJ4mGRxotuGxNXf6hbYFR6Wkb0+WOTrVe7jYwauyM 0K3/bgvafBJB7FURbkbkxDcLL9kCU14jM5Zpc8ZWNqqO/VQjbHLg41hEzxhZt1GTgxmNDq64U0jv vtj/RiUlTAnMRjiTfkLsDrPU4zpCz9I55FOlKrPxYUEh8tpxpKLOkeALDBI90rjR0DbR5Df2Fm6S vf+EmIVoqB7kREGGdFmuPx4sxiq1rmTBIbbzSKWDhN96YdZ3YqQ26f9auQ8sCE16gnGVnDh/69kX RpQD0xU21U6rqU/VK7dF1jUWmrfnkn2aY8eIBuNm1w2G+jt3ZbDS8eN1pemzPrgmzlyQ4wTecscg 7NPkI9FI5/FNQOz75QaRjclnYL8sHkPwDZtLECtDTSE+b/GGB6DmCl0Mbwgg1RvMrGP5jS3Eduue 8jNRBib61KK+oaTtrkANpmBuM94WS7ySxp/4RSIBI1ft6u2jRXhUBKu91Ie2aPLauH9DIHj94AG4 ANfLV3X2wUTH00BzCUTEnKLpjs/OHNQFPk63jLPO17xY0LrHZmSZWPdkJio75myNrXAhV9aDoTcQ sKx9WKktxY3lmTB7msL5Eaa98aloYe+HgQKhLbQjggs4yplykyCGAN2OfGKdN0na+Z4NIwy3oq8m ngyzbHanP37y44zxQ7T28SHjc2vdV8uD9fDIkR36rS8j1oh/TkU2zhPWzPwocvVkE947Uw3mGzDf Bd2ndWcG0TMxr538xjtiV+9LiKJl2emjHzg+Oi0DQc9xYI5k4dvYkYWGC0NoMpHhkQ/EEtPdZu0d MjcTN+cCe9rI0LQwsRSFGBMt3PDBhz+0KymA/LIRaZszRHrJ7DkfixsZzxd1k+Jz93/Spe9Y6gAT fn8QPuQn5Bywb4z/awNz1rPccM+1C1fute6U/PaybmuvsaQfpIa6zlq3/FYy3pVfwL6GBgwfR/rS FrmSGEfFX62lyPoJvVa7wHfbDvSYm4Z9Alkk2xwInT1Fss8+k5cy0ogh85sWcBXPNWFkjy4Z1Oxy A3TFMZ5FURxlST+2hEhD2Ii2jre4AhpdzxTtt0wRdc7kGm9uJPfGFfe1Sq+inqAJ+YvPF4RTASDg zrmibDubymDFKMcB7kmBgulFNqc4zxc7qpmBbpk1HVUS/BDU9AQ/Tr2F6ZWpDaHgdlj6aJRtKryg B3mo/CNhmpXtWuzL2aMHNwv9fgoB18JmYPFDcs0yHpFiGXnQGFKNiRJAagGR49FwKXU+qo4RrMF4 NX25SfdyZgeNaRQZYgTKgb3yl4do4ejzxHKBtReAWw1zcmz3HdcSuBN2u7G4nv3rge8OlZDI5uUg AYJwDjSAl46gAhg9+BVu20j4PpPRDPMBacgxr/Is55FcBiFqtZZKRMqmhW6ZuJ8aHbUokhSs6NNl ZWybSwr/ZGOXSPWJZGEY9NLQDiS3mQmhwCV8pN1G8KEgqWsL3a7u7rDXa9FugDixjAWCCQM1MLKi TgUwi4CogM9QFC8rU2E+5PXiLs9Cf3JIPqJY/m5VrVxBUBeeiC9SJQDfFNN4Ta+hmYXi5ENN9Syp hfe6dX2lGYQnvoC1oRurZh0MwsyRWeVRWO/g9rCwMsOPduMaDM7oaJjcOrbGQGSWbPgKELQELtaj rJAdoJJiVA3QskZ0nlK/Nc9qKAPyLRRRxQ84RjtN5j1TneuEzoQ1ca/fTB4JQVMr41bVRN08ztyV D4STlHfP5pMdUsKERlSqoT7B7eTmJ69QRYbQnFDdxrMCmF7AtMUPq4BCJUn3g9CLcyrTA0UfwVXh 6CS7uniPfi84G7v/jwCScp5rKJE+Zl7s9y1RV2KoACIlTtNHNPl3QJfYP7uOSTWqT98iLViPyBU/ y42/Gy/6VPIOEttn3ckG8ps8sDdXozAzFx6uIjitkih2Q+Y6E6ciklDIjAhgxqjyLVk0U3LIVXym +Od0KXYxm3bY5d5UAyUNt7mVYA8wx5sXt5IOVTF2v/4Y2EHPTPvO+kULBaOz+UEfFl+r76lT5DXn F47cH13cfxBwwu9FLHxwqWKHTQWFD1u/7BiHaRAB1YRDfES8kv/+AbA1NWWEEHu0huEvPwHuSsIw KgYPsAJtYPlIKJbEVNQGtu4LpSGW0DUlP8f8OpUf2H0t76jLOLRAw1r+TCU3Dnxu29X2rCTeEXsv GMq/c41/BB00cuYUejoPvZ4HfNkXjCFeq5QfMJzzXtmxwbTiNjSYAG7kKQ0e2RDzrUe29Yp0iIPQ ZA9BIG8oLH7K5ByUeBWt/TkIrFKef2djXswY0obM4uRA5wOWO53bDGTzE2cG0NQkGbjnwwOzk8I2 5FBMh1TrMM0depnaoRF2l1rMqIvsr2/Xvncm9qZWSC/xcvyKb68E9NcM6y5CC9qUy/NBbhmi3MMg 0ZYA6NoFlRBlxDnJCVGtzxN4DznpofclIpFXd0piNYkFFSGVEoNHOldihEP3ikeenagzSPhAEZEu alwk65xuv3gjiE/7UkEFYrIeTRnfKcdfuOW0UMuMTgpXPsc5/ueLWP/d0VpwBB/1OwmgxgEyGD6G pFnjXi5MGJZA9MBapMtpRuMyUzEnd32LcedT9lpTAAKYJwY2I5HTZJA16skPjfnMiMbBrNVHDTfr ekx+NdZ4P7I8JWep6BZ/ii6Oua6CcL+IiTtvRdGnT9LoqLF43UA2InRpy2xca3LcfeXP/wtNQmVG x3SdDcC+LhqI5iayVdzFzan9+CKVpInx6AJtQwKPqvuwsEm84p/+bYXeX/tk14ESwv1n/F1BfCmk G85S+oYQAYyRFAM6ez1POOqqr/y4BXTag/KRsiqKwt5dRy+G4rucKuCkyhfEYCJ71aO1WhzC03xW 2Awdz8yNvLm7UMDA/NiCzEy9HzU/MshC29NBt/nKDO2Rh1FQ9O1WKOAYQzPb/9SIPnbswhuHr1ea npcadnv3o/uc2+8xpPb+4MRz/0XJ7w2fG9ujacUbO6p3hGFh4CwDVpQbb7w9C2U3aOjizg/5fk1e ilna8OMi6ljYSGO2rU8jNuDIY5EsB+ls8Z+ONKphmfBJffluYugs1jTh0pnDJI6fh4cQ6B+wRk8g sihhFnRymnxzrb7Sfd2AIc4MWqC02nkorX2F3LH4UY7CodC15FHyvuBJWxZKj7fyBQgvHxfRSYKk 7JB6ubdIpCGwk+NC3u2wGmH6pnFuJ4BYYFrHhFI8e1B1DxI+Yv+aVwsBBIfvlg0U44frzU28igQM xM4pHYPHBiEmKqRI3347ae0EKe1mE4g/hBO/MwM3FxwhrkAPlNfKqjWbXCzkq1jhdJXRYyPDD7D1 dlyM2A1MyWEc/UKvMxJy9ece6+RP6uLOwN9BEjmwBvjQDVZkw+hw9qSBSOdzULuBNMcPqiAhxts7 oCpFJDOD6/QCqUmsOfnrI6BmyFZT4u6qC5N9Ze5CVdFH+q9QV/+eVH+PyKE0QkgvHTDRAaomBDGI t5uNn/WdbNl43pzXHLJJ7+kX7sPUqO89wB1mlepYIiXxb2HDcDCYaC69Zb1CYZaxH/bhuX+d3h8b DELuHkOOOlqYrWw4XSxUaM4zK7a6Oh/M6dMWFZuhqgF0eSpAs/owql9VJ5sX4ee0QTaeSGk8NIL0 SInRQodCF3JTAncWmkJSSaeArqsRwYUBtdj7SEGbaUHsf7CtztqPviHmc/Neb5wlUgqTznyCSAx6 eFueyoEjql466FNSxHa1q7hom4Q1+Tl//L6gvzOTQWIVHrvU2fmtIsvd01tBDqnQrp0ugC2RUAy0 bb0ygfwmgL4u0gZw7/9yxBsYP+BVdZoW6ruWMdCHftP2HRuPRG8ahYkDevSElW6QK3BvEwOr54Tn gWJgxTmCct1V1wmN31VqKEfou45vkvsRa/L9hkXzox2ag9CH5JdAA44DfI+ug98sUXE1ibNwFB79 mZeuhcwJzk1UYXoSe4ZUShcuFmLhQtVfV8fARbdsf2nCdl7rCdfuxwKMmQYPBR8QPCVerpbNXmME KzOLY6CUq1KHz7R3l0JFcJUfX+67e/QrDgCPeFkyWvYwPdZsYnFsV29QQeToCAVWtybszg8zqiYH BQF0brwuMmgErYSELsvNkdHUh9yn+CiAszS0ZOXkQy7CHxDJ3Z6/C2zf1iMtOh0zbfrbzeKCM5/t DMXsO3Jm/3EapxomzYFRpIxeSbDhu59jYpISgtpWFq0B+XrPVBB1mSIAr/Tg3WpEnnTznQKvJZU4 n3bmSkzdMa+FZRIZLJhFp+8VApQ8Y3L4AMcdrOLUjanDVZu/Fph8FlotB83afz0DMAccZ+mKIcAf QeO/4omk/SZnu1UzvFYx1WcFlIrLIA9FOp6575yHCK7PH6+/YWyWflxVMR4JGmLs7okBHROOzcx5 mDJRAWCzLlxx9jS36ESxge+CvDH9oadfv5Yr+g64a9cYec75a5zAHxEXjepnA9TlN9n982uUx3Ns CU/wUconPGJw4xRfyPgrovOUIUw6O5L1RNfPTBqxsHzmhXmcv6zBP+xgXXcFCEfFgeNDKqTf2alr bORE0RbZTtCSyu5V1jKxDSpM/mYn0WZgzaib+XiEdAE+nqOYNQpLK5c77dy6JigmYjcEVMcIodCf MXBSbwibdx9V9o3HrLQKvxml+QoxG9sMOQyPN4sJSgevHLTolxjaNzlQKOF5pDb0h8EIKqC4l1il 0Gm0YJIGvCcjTI+hhyfPxwQior4vuou1AcPyU3rJUZFGkhQs+JyjGANkNLRoRbkRzWmJ22u+A4+D L15ck11jkCP990Vad9E9s244js5rLm2wl89jcc00/jEfXtYwrJ45xwdu9j7ktxL5fQmgJULa0Sl9 iSglzRTX57kx1B4JFcuQGTlZlKh8XHJjvM5uTclvxTnim507hgBRsjEUVqINigMpkqzfaH5kyXkm hs57WcjfhttXXCFxTNE3/nucwum+uZ+9QNOqqNzXUrTYoKhwF+xE43QOOZQg5SfAxEfAosEXchVB KXRUurLldY6eOPjuv9mmeH6KBcMTx3GQ/e6VTYivZZySY/5+6UydVmOuY9MQ+B+XT56fWvEbqitD ms3XscTm3l/uXPrjbX9lh9rcvA7UeKPBfvr6capEd9RRZjYnDUTm+7FWclTdfoJICE1ldKrW/bdm 1oNLYNEroT9Q2aqE+LH/nYAB9eNDpUvSZmgtAgu8YimcOE53L6fZ0KcrafpNMx35N9r8aJ+7eFDp BkPfvcezvD64whAZq7I6JkikAUe0tPHOSpvxBH1/2Y4r4fXQEwyr8ORH6UJH3r7gkkvW5rLKCSFn OsJQWDUyRpPobWPU2hIlOZz6cuutykWDsIUW6ZW9RKMB/VZPK66WhTN+NPDLDd/tD5HY2jmc79Lr GE5XGOxHttDlbaX/PkcTtG40vTNEG565Hfnk8wljWeoCOiCBkqV5aNy5huQocdg4ndmuhxHdWhp0 AzMyRWdN0fq1LquLbRb8hSfepLQe1+YHJIfNFXMY1XSfCO+2rqgYXGXxjpNTBcf6T/ICPIhhVVAd +UcG4K1AOc1QM0ZNL5FNK+HtTHoZK9N1S/4nf/FnjjFXMveQzefl8pXNStKzHuNmRzZtQHCcbsbc NIfFGp/JPf7nw5CmFxclicJrBLgKufdgxGNvwZf3ZKpXAYcxGvOwFYfpdm7RnDvDqVwixro4mX2d QCPkZypwDqr6QxE4fdVl8tUEsHLO/+fcOOhEqsuzx8WZPIraAZQIQM+KQ4VnPRiP1VvWv04Tm9x1 teWFlEBSEunK2neiDFjXC1X0HwaXj1/1CjHNTHcdMnKmtdZbusQoA61uiuNBzueQ7TQEQqWRYDXj HDU9ChGBW7WYp7k/Nz+ZTfy/DPah5TKJZrOMC59CD9i8YF1z9jpAG9X/A1yU7BLMuCv2pQFQ/ysG LhJj6P7BzdHWaEwWtL2MDm3EWY8GdsD8mb02gmeN/pBz18WDT2w45dErUnym4JITBuGA1qUj9Tao Y1AnkEbmvX3Wjwqb1E2IEoHncuzx0P4ItaerDWZBId5eFTsYjHrvZ+GbU0jBMPf0bCxe+lBuEwze iTugF0n13WV02BhgNvWM2IJtf8TiBfG2J6+QziC++Ej6fwbb+HfOHsrwyhnnkayt/lxAehz5J/iT wHXNduILwV7HyJuoVqwTqiR7piL2+ZhVYuBxclI9kfAsR4hnkenZY80qC09mW0gS2SI9bWCfmM4N pa1tnnSuuO29vt4KuQor28m/rO5Jwhbd+FEYw5rriqn5dXwRwNST0oUa1zvT74PKJkRz3RE3XwrA ACwHRtjYJbvvtb6Qdtf0nYsNBU3Vn6jDaGMVMG8xe6Gce0V3ZTjxt4v4wEFEBfmteCRsUFMce46W 3qIS076mqpl3raPQFgWgJqWG5Nbkceai5/qovaYjKe0Q+k3DN1xAY3fSIdMTQPUfQYq0q8/pLkdz rJSAOqrV/shEwDQpiVwplQ0v7ZoU0B2d9R6LxkD1OhT2pSFbToZHYrKo8XonITT3W0NyW2JnYq1C g13elb2iShlZgyibnKbDV3uKWi+5QToBhAYNlBnzSumzsamx+w/IvWG0nd6PjxUjq3jSFeTiZPdl fyJRZcVIbbCfi5YMPXReTI64N3I+PAE7kii/glVQo11WhWuOVDqGD08PUFIeH5XVGqe+9JHz8U51 pT0PQih8ttOkfMBlau1nFE2IHWbsJJDHSCXJQbou7DJ7zhINHIjGg/Q4KCqICkDyqsZkglShXjh2 nzNvwSqc2oJ7Et9P83gdjIC9Ch2zRbU9HjF9vCFam3SHSGvVrixAGQxt/1xYMMsx+SzTBJzh32gD ij83L9ik34rrc1WY0+qULUGA3wvKOJ2yybSRIqy1AD+arkyiqm8+IPo27jimMmhg99hjrGm+0h+p QVsTBV2TkvePbz17UiXMCbLNXQlSF+hXA3xmKIx6CBOdwOrXE7jh/l9pPCD23TEtsoIsOZqVB7gG laitzmfIfrjcqOaqDq+I4MUAN0fEtEKz+CYHz0to79IYnfnQShtOvWEblqG+icIrAMZC/U2eiyxZ DhH+LkO+D15IsRoTAxTSBjTwW1ru7t9iZrz+FIAex0LZiNliyInGS4OuWKYFQHlUsntx8QAal5MG zke9kw+alc2D+G5UWRxlElE4gLhfJSeUGT/kwl7tntxIJF8SQP+KKjkkV0kgIf2suoVytS26VFju X7+Sw21X0jP3BRjkNnfg04B5enNw3P7LuFREAJEtouMo/pAabuVJ54XZ4Ncuvl6BY8FgD3ut5c+R NzD7GOL3Au+QQcwVYRu1N2NgQwIz/0Z7hLQ64WRc+0PH7aCD3wA7vL8yqW1+faUg5BC3uElhOO8Q GPWlKFk7qF/Yj09Qh0hUw8gH9n78kdBP3BTZc3KrFwwncshH+aL3KqFetBmXPjVU4EYKBgS6u9YY jLBZhotMFExqPo8wfdwN9S1JhCK2wVbDUwZ82oMJ7yEXkutfKsPhNC9Rs4zbgQ/Q51YlrxtWhhcJ lRBrlNu5ZIXQst3s8G+KvXnp1qFdlmBloGaygJWesGFq7QPoJavVKgQe7hW7Nvifiw9QzyEBLfV1 v5RluXmDuJlEgRNo9a+gqnNYbyJ0neRWfx90aS1W56crqyCV47+Q3tup0XZj7VK2lmyhJJPxYihC PzFDTZSTPmpK8M407zO704UmCr0thN018VYh1dBEunQPBQPVFPKmtzhb6oowaPXfzUeO/u13lA8r vkkcqCYUjBRLOsTPsM3rDOoMDU60GzzeZRpWa9121cmArt/nn8EQpLQaMuBtYP0Z5+31FukyAeSW uPGKdrDRiGkSiey9O7DGLkG6SvebU6XGqz6jbqAzf5/us3sgKBMgUd0WxaOc6eBD2IyUaORmAysn +GurzTETJz1Q825zrUQe7V0WbwSfdHcMUy8z5DqrRvlDOx8FbEumBwEeW3dtz1MVUytHyZLCZ1MY TZ881LJVa1QzX6hj4MZ5ORAnPHUC7liFjuGmcJKe+CsEbaN9DWUtsbd6wAsIhZu14H2PbA8iVt3I VtM6OOFpNWuVxwriyr3kov5pWYGPqZZpBV3+uQObou7i9r9q5HsdBJ21rq9zNttTwqWa1So/62q0 vCh769hliOv3yprCENCq4x1tnFbheHzwsOR6EmqU9pWzzuyLgYxEo03/XeEexozlVQbG8sf64ZNV xpIwAddssJL561vi6b/mNWNWr15A+nscsFToBy/7c+fY3FkQzK89xaGC2SqSb3mnVl1NO2MfwtYP f+o9XnNEpCIgB9RYxWc7hRaSjmnzqb9VvHC8JMkevazzuoA+csXtPhddJ82QXFYA1XT+0hMtmIzR XR4/KFmw5j1HsvW2UokhZ4y+m0YcaAfpbfgKOTekDX5ZezFF1FTtJsRYBXUGgJH3yl+oEb1ySrl0 EL9ug/yOGE5jef5X0TBaHi+FwXJdJyG9dgJ934qG2JBg2ayERZsJzTWS3aIK8/3i9qLdH1rNOW5P mhfwVa/40fwIjV3Z48pCZL4426ctJ95kEinrXd23aBt7TuOjBMItopPhMeg0CKc151nGTsgBIsC/ eN7d6b4wfGiJMwQVbGFUJ+BctE3UKnkdINCE+60MbE4S7wqwXqF+1jY9vs4uoTluKAUQ+14CRyYA lTeplENcf0oS1VTv/RHyWl3McRb6QYib8q+L1Djw0h0+zw+y//QbUYODxHJ8P6sAhrQG03b5Pkf7 K16wa+oGeTI+XBmFC5uj6phoffsvO++blT9CfzJdp2B/SnvaB1vkgp7Yfidlekg9EJ1s+LaybynO wQpDeE10T9QLDxSg1hwvog4I02H+0XsonBO4qzxnZQZwMWBXc6G/D/pGiSa9SIlxFzs4kmq0VpTe mUdI/QS7g525qSyzkpolDL+PrpLapW6z3aAiDDhrYKAL89ZrVVWmKBpzImwXvBGIyXaUXziu+ti+ 2UmlxF8nWd1FXCp8uP2Qz/nvyBMbo2xfk1mCbyrrjzans7e15m2RoqANqMe1sQ0LnSUjPkQ/I9hF Kbjp82xYPj9lDstHeiVDTtTWSAe4jrtKFbHvtZQSdqGcnVA3t/6vBlu/GMqls90CI5u5mALhIJd3 n+9+6J+cp4jbrJVEBm65MmNEytg8bVaOcXCrGagzSCjpVuV97qMg7k52LbLvWnPdcPSNJc605Ms+ A38Qnx4Mep9/kLDjG5JWsjtxuE5xNxHafVyYgxdP7GMYdAVvKTlRuTbRBrlt7EfooV93sr/dYYbd v1DLX5WtUNRLo6b/PGWhOAJtSF/c+wM2VzTRBHwMK7V1kODuyYHlVgGT1/dl7Pp3akpHqIcw4E5C O/8Ykvy94KB0nG5kGENygzh5Lbl+zNDoHjKVcboTfPMmzt+6V/7Td7rYIYM3CSBMJKUVIyWsGGTr wmYB7NFXXatazSK2wxqv/1aXVpSKaGfozbDUNuQclfESLcFXUttaq45FoqC3JVnC8jHd/04F82Hj FELFJDnyNIa7XEZKkBkjk/Lr+iORt7Cnq9FMrxwXh7TkPKr+zSIPOeH3ROY6Sk8Votze8JIoB876 6cuuWWtMGRcmmKH2rOlev12yenTp5g1lBvccjjxKBUyu7zN6PEWoInXBfAV43bdvdVqL0RiVV3z5 tnUkdY0HyW8hguSHLRvAXUqmvptlkfr+7GklGQUYO7/NsMIuAivVk0GWeEY9MfZ37sdcoHfGRAEs z1RP7NRYJNGP+rILNZYOr3hMYYBMcnuiL13bkegUJVFGBGgWQb4reXypvNqK+L4XWWXrJE//jVWi 5YsPxfGjz/S/0qNiRP8hRft4c1mr7rv6WfXxWVVj/Gac9P2FmXyjVcYAYbK9cIZmzd+m9QVggPIJ xP+KA72eSIEhpS5Gn90R9Wrz44K3tM9ZQi1V5uBFzNchFjaYlOqRLNMngqAsd9itYXCmW653B3fN eY47tR3zrZm/e38z+Q6gCIGxWXhrpC2eddcr7+n2l0PaULmmja34DJ5m6PC71+WuWtNXy37K1pQ/ PwvRlDhxBPQx5JvgusVai3Nxt3ge3ExI5BzPAdUxve+Zm3Sl7w46FgtBMm68EETX/KAWhl+OoaBz a11lTd9nv8TzWqRPQ9h39aktn7nS5nGKXGWMeDcQ27HnUgofpgPl+YFntLPJnGwyyJMZJ+JfNag3 o5ZFU6XCySltXWGMOuDbZ8CmHobe6s6doEEZcfXq/C+sqDhZz4W3fgk4EA1M4qt/qJLW8GPA/Ymv 8fKH2cY8MS8VKIfIah/AVdbwgxxR54tJFqN3h0ZLMWVkWt1faMM/UuyV0ExspQQBf0camykifWHd W6ND3IQ0h+BZI/exLo0oYUEfi9jqoIYQx6sXC4fQT9rl3vagu/cAWYijbWM36XMslomdlac9Iqj7 dEEqdlKcwEo7oV8zSyed/pNv7FM43iX1jotiLHsaeEFTGiHpwMObT/MrnvfgvBFG53ZcFSRf3nUH I+3zPgOVPyqbOra+RCMR9lBUJBkCN2Y9dDaQXlc2gqsmKGuN6unxNzcdmgLnCoru2uZZMeD6pojU oJYDACFgSUrLESR7K7CSyYinScpCgO8jvM5vm6MN0DtvTLwJHBH6AIgaX7F0f4osQRhK2QG9dHuY GerpLEyG0kHvQjF8huiY0vzsChNb4TYzYi65zMMfZuRUOJ9eCpvw2525XpipQ2KJ2AhQ8mJMlAOV 02QpH9TAoy5NNvhh/Oxw4/yO3w7RZ82v0axB6BNSnKNgVwl4uuovugqSbL0JSw35B8flBecIAdO2 aKHVMDYpP2dvIKg1HxrfNjaMubFl7mzUz45PhnUd9EvkTbh0tYWkMCWF7C3t0eNdEvjSogwd58E7 TV7Y9jgdqbcn+BjiT2Gv4C7dCA1/1VppVnZXoyfbi3uLG4gR/hwfMIu78vWtrlXyeGgaReeh4m9H tESuAeZwjLssgBlYBeO3Dnrt5eARHYJ8fnRo5j2H8T66klYVPgUze4slA0Yk+feQ44oNOIMYXqp/ xsBjf99LEU+G1hTyRmecTSJHQsV2Abwtl5vM2xGCJSVABuKHzyscJlAhS6I0BxIZbLJiNNKiGH+2 qYsOTkVOBq4fhFbOHRzPoi7y474AEi0ANQWzPKcybqAcjRSINCI4aCtabSGXY1Wd6jouMLIUpHmN S5L1vO3rbHo4nX7dDnhB2Gf2kyI+GbuZWNMP7SXKH3JnEHsEGXYvS9Rr8agDNwOZnCpO0oA34Kl2 2o/QQmP02nWso2Eb34i9zFBWh6o0itOXuijXKQuutUfO2WL+EapoN8HA/DdzPJ9AfZU3nruJjsRP LkBhkn+JH+vtDPWcAXUFoQrZl5whqTXQcNvzgdcPe9hG8zggWYPdMZmXZXiv2w4qlBtIzDmyO2EJ B1lSlf4sYI5Qsj3K1yasDgzTEcwvsK/dcEKQ8HE2ADn/PDfgVRj+5yckkXKt+XrtTG1TkpOsMpJR 6oaI7l4UE/ca2+yBhcSRVxgCxUeTLDLNTjnlQrtUdLIWbJHlA6lmzvk2f+tMVn+RuvPNlHBosXFO bMe3e7iai6l612HLvvx+LT8veUXKhwVNtKe8QFrzZ+V8pwsGmHSK98SoX6UITFQTL4XT0/DXdyxC 9QHJ3GsXTYQSvDYVBPkNWk9XZd0CdvaF89ynC9ZDno8k3a0McAVcYKRZ0vTFtka77IemW/R1UZ2x a8OdWwi+Dy9UzvwPFzj8EAx6VWAl26CL58TSIN/EvIsXMwxLfkMy1hcIxGb/o2pIk60144uBDmaV ftx0df1cf1Uqqgn+FlUW5V3kF0Zx0AOotQ23H/CuPfoi6ayTH4oMsraTIJU9EHLU5/c2RcN/1wQ5 6+Xis17Kiph2Q4PBjugaIOH/dEfEtWncCW3+iFHjFt4EIZN5UPZV7vsNYIGtcvqorLcwnnYIiT6I 1YlRe8HCVlNyuK597LGZ5l+TeMyhsUsGi5/TJGpIIBfDMQsXg/q1wxxXMYaMX1WpFDXmFWrtd61R iGHyDoywyQZmlc9A6otfnR+y+QU6Bm90zujuZ6xtaxiIbayPLzT8Gzr0DFZmuAxmlhr38yuDNYOn +GbI2/FOZmirbBHqvZq1Rn/MsgwrVVTg/KeSmSB4nIscVmkJw4NwWSzHmTU3Zg3Tsyxksr5fyPP+ tu0VC0kLd4RfISQ5k01Qr8irEG7ri0cW4++S9/8jVl2+EUavW4Sd0b5OGfjcYr/FIxoUSxfmqERq dEPHzLayF1xCVLATK/7KJyfdSKGchH6CYGbIfnCTiXlxjGPbJsgGyXnKxNkOKwFnEi4LbQTJeN9b tS32QcyNkGNGdCfKWfCs8bxEFZQljFjAGIBWz2WlkrFQBmTKCkGwGiZ+DISzGq9z6DV2qEX+kjIf bfbryi66vXTpElV/D79460HhqjdOoQsUGXdZIaeKCZIs2WfhrS3l9loQPDD1yFDu4R3E9CL+OX75 FR5fN/KPJ4GtHsnIzxGNTXc8Ts+XcchFKU5eapStgOLPakM3UpOgWSli2ABVnbUO5Waja5XsgUH9 E++OPOO1TURMKgu8CRbbpZ+W49MBE0dakFwEuxMXrxt+iW9FozfmjH8znBb+Jsf9CmzuqJP00vTu HEMxou1b+Db3Xk7XF+ZqCVGbSEeQ/OLFBLybh90VRcqxfeV033P/J9mtn9pk0K39iNH9kG3sQyEh Iez8H/avDg0XUtJZnqb//6WIaD2gzME5vQzhy3qBvY6HXh54EuYynIPPK1j3YYamw74y7SeUf83l PHbfdn4yAxrVjKaFebsh45oAg449Hi8EhRVhGFEus50/SzccsFVv0fhLRaO6u11P0qjKBUQPl3uT SiXIZIVbiqp2ff4UC1IXtKZMe096CowbE8ihnSm0rOrB3miU+0KzjpDUja9ezvHPX/Z4Ylpz6wcu DR+mBBvRq6y5VUCnxtGP0phzX4DokZzSCzqQN+CSCvtrBkoZ1F/PC1O43NfyOStUihMk3Fe3eSQB vCvsWbG+3gE5meprC/aiEpkbqOF9qWyAusdsBknmlaiZyv2Ih4FDRJUGGtWV89XSj/uHnISGw9R5 RsgfV4UYkC4GEA/POIlvgVG20Zny8NqSiaf3J7FkjmKaUm64iJaH4lYWc78NBhlPDCRg8YAxt5XW Y0nFKekzbLFTbWUrlbstExrW36jUt7Mp9E1saJxjkyfso8tYK2vZ9OF8M7zWVav0bMfGZPCT8zDO myBkbgrdDAUiZK7S0blM/7m91VngrlvwkvayI84812o/mDig9eibfOpdZPpA+O1sb1T/HVfb+MJa n5TthSmReGr5bQhunvZFXxCVMuIOXGURjZPAUovKqV8vQegQvjggvhmVvIW58AunDjgDfQByPQEr 6vd20iYJgHluo01KeK4BjNKnfnalcp08cxkX/t0RUrXUOSUQcc1MdbAcFC6bwZtyMncu9hnSKZPE zybHk/SCMrWQOZFcvrM+3MwoVIOeFEYfsJTIyXOPHHAOVYHyuZ2DhIWGEiN2AS92gTBlTUsqbO7u Cou9J22BsXBay8OVIRSEYD04ZW/H+xi3ItucT6G6kSnkPnaQ+wFhPk8QLuLPXMI8B5jAs2mdYecl Wl9gltemssEi+iUD1h+5geaS6Bfyb34LpU70obIGK2c1ltHP/+4pF0AGGAX+nblxOUl+GLilPw6r EMCqc5s/888DGnqQo95WARnSZBOAmjHL/n10yNcUBuAyabjg7qe12xt5yF3W18PGBB7Brx42JsH6 1TWs9y87VyV1svALP3RnPl3pmzA0JCB2l4IBeHBI7VgK0ucZUWExCyGb7RxsB90ig5uvRdkSf6VE r/wYWb/6vfvcc9OZYG2S96V2rByKruUosBJ60G4RgF6RrpjKOpbcfN8LEQvF5fxoi7CtsLNB5X69 ob33ef+3ep03mESxVmvQvyfYpi8VW4i6q4p+v594D+rbxg5TcuNoOckWI5UD4u9n71d4ntSZgJwq rNSJUhse2GUwCedzQKeZG1dno3ejE43h9nN5EInEZayUkxDSp3HKf7O3K3rqBUDU2vUaytdJNLhD Q+FNSGte6n+KxDDpjBTmJ1O6Tx6AuWR0n675gL6xis0s5uAiEPF/Cb5WzKnCVE5yobxELRr2ZMTS pYDMfIAr0ZO5tU1UAHc+vq7P42V/g7oBzJFO+39P0CeO7gLNBa49YL/8DZrLSRZYRwmyhO/brn10 YJ/viUQRtO5E2UaCZ2LS917V8atSx2hO7WSuB9pM0+z+eIQsxxpdjsMeHM9f6kEz6WVIdyAONblL /Eksf51oe7APskTNGvQgxPxfRW+EDX8tCfL4WpFlB+/VV2qzxx1IjGsCs6GAJfTL0DLPFCvjfduM CMwYMxRGxO7x3oAL6uw9WPOcwb9Gs6wlfkDp1kq2e6IVb6RAJRt4O6xFD+SQLlVDvYQslSP9+MCz Ns/wRAfD90OQZyDxcLt4x1j+aYaI84cjvGdSbcrf4BtAOXvBmp3t5Z3Es1RGsQdifpuw58kWoQpE Fu9oQBFtBTAnFW0nWQvwG9H1GOo4x8oDgsXjh87MbhbZAiQqAGC7HFzd17AuvCATapeRROj876MV RAYn4XA6ioa9rrRcQj/OF/3w5Aex9NaEg5cBmU6qTQCc3Z9ev5wTdxaJzM6nh0+gHBl5txjsv/z3 PNlVxwM+GrswKGXYAci88HG2rsT2Se/8GJUfl+lfOit+1bekgAb+oYc7MgkQmAk/yygn904eMlnM wvUCeW1G+AZBOL8RCaugV8XOmY9EgNh5geIQLPJwBUxDZtLqR5b5DxqNKzLX9kiO50pL+H/vJCzW cdHTdL53x9ygb8L4AHTxiG0ztbFvb3LaHTrcjZEGHB/lRhzXCbtDfDlSOuuebEv8iyHqQIsG4iti rAzRtmhnQXTx584npmC5A8rSn6nnjwR3U1tZ3uqz6MF0jNDXUx/0ZFQOQ5blkNLppuDDZEMnbKZc mvGTsowHrQ6P7vsU/RODOcBvTAJljP280oJoFGa+XWasDJ+NX64Y1nCTF/KxLGIj7w1948ZjPMon O1oWdtCotcZPzbGtNA58AbT4DgqYxoEpo0iMVFiUpk69wWeGXJE3iVygpOiT0nKn/NW7bmDxzqqc /S3B7SV3vmmHeOpBJSzzUKPeSh1L8rfg+bzSxmhLkxwvBTEktoGimg1ADYSNjElBDz4tlMp/KiBt vWQVx3Ta20Tz0njh5g6Mt8bWxF3X3B5b3XjHumTtq8/K4qn85JzPzWA8LsO+VXuQc2HahbdsHs00 uh/NHARQQbpVzzYCh7qZ2lJaBWKFbsZ27hHig35V2Ah8Mbekb52/hSp4aN7nb0ftIi3sRZB5bRW7 SK/GRm8wufPnRs1Wbc7fmC1bwNR1hSMzCLqPNsc/1sl4i2OYn5IS+s7AUKqeZBkdeYzwkA9N/ybp 8iF2BagJrjonu6h3RCxqgfMUANJGEbTS5q4ZZ+U55Hzs1EEO3yyYANscJNcnqnXTrV5CJznMUY78 G2b6F/+WxRrP+JkwlAVsSC5+A0vTizyJZ0LwM9bE13sEAxlGOVNvqAcOuZTNJQe8wptSGoWU+Zge 6GAbSabtfu/tLI9/rPGbgTo3k2a+jFknOXlql4b2+QVVNM9LDliSYqoRyWKvb8Zxjlwqp4wcDYQS 01eTAx7VaNV1bHuRVZOPCfeKqsre74F6fOv8nffJCEAPIc6Rgg4dKzi2ir9UEHcIq5/jbzDdGwTj qMwp5FkARtxy9Imn8bjQlzKIIaOBsm0hgQ8ybow26wt1LaxeuU6iTxsjTrgFKuqUI3xdl9u1P4LI NblVQlzGzcjrL9TjFBSQg/XDrieODJRfbHnq6YvHXIvTpfK1vj/d5y/K04CjkVvm+AuMTUr+UnJY LEHoor5u2Y6cMI9deBg5scuDLOZorULqFb6rN9kkH2piF+VUWuWID5hn2D7KainJCw6Wv7XbKxfj OIjhakcC1MywrspRIw4PLUKhi5QAiwq5gxVMxt23x9+0bOs11oF0MoShBqIO8mqk/mQx5p2EPFK0 QMx8wZUkvOUIiUzk8NjgnV1rNGmaBqQFj4vYlKy1wNCIZMYVUsFCbJ5XDRx/7bZVkrmpe+ojR6Pz lsJ5VZuuyZyGXxlQHtC5NWlVzCfbaAJIOx+y9QIrfCXobUsU3GgogVpJrssLFlS77EgYtx4kux71 Ps/kiv0spuejYieyDy5GhsOwqjSU/L6majk6ieiWv/Rh90UZ5NgeH7PT77fQ7aUiVB5KEUO/3ATm EokyPrQaVFeE14/snvEboKh2Dx3u6NZHXfKWDI2vVF5Dxc0pzPsZ+FHSmwcmTPG1u8xxLjYR/2jw DWqeN50TuaA/vB/RaSkYwVwQcdBqdxEeyh0pG4BQ4pBGYLBk94JV5yC7Gh797Odbe9QK4NRHce0N PALbhjQJN6DN9pwv9LuM2Nzbb736vGrkW9zoM2yisQWKChKP+SF6zHMWM7TQRHNR3FKZXYH8EZ+7 cLtTZLWz/lD37e40oeuKo/ZH2DEpHBbs2WedJw5MdmSGX70NJECHCPcRsUNYgneZvN91Cyo/QgJB TBpHj6E+hLHZMgE9sUUhtjufOVMvHHk5H8VnBA8l5N9NdwEFjRN2+sbC0vFu4ROuAGmPQikpQMNx YLws/TYmJZwfWbL4bqzs1H/MKVK/ez12V1HklyFBzbBk9ZuZEufx4UcM3AWJa2ltuUjXR5NIhEgL 0m6Rq3Rw5xAVaWE9uxQSbnrhbQCWlGlif11V5zklO5hlNL+LSjfg+22r8rVmqhQ9FngsCHAkIwOD PI0nwj3jd98KIhVR+CXNlNmznE4/iTCgN3OpFmJmvMMkoL/q224EPfIyPUjQTEuSU5loZZvtViaN 41VrHZ53p/9q59adHPQJYIY9EyNGLhAK9sm+TAyySvBBN15rzjc+0KE/zXakQLcL7iG6V2CX0cuX A3yb/7HHNPnLXu1YyUxCta+eInjuR0+RxulTQKRK4KybSVVkolJz312AzLMSJliim2cBdLNyOp/l J7bzh659q3uzKCKMjvYQ/vL7eXX0wnlizs5TpycRj5gqQruJ9wlSnhNdfOhAbNExWRtduRwdQdTe NeQJjAR9Jmjxd4TExQwCQelp5gjLL4yey0kRZ9SejsL6d4DPz6BEJ7ONzbORvx/teG8Nfm6ZOAwz k/RcEzcnH6TtHjoGQJN6110q8Fab+qtSfIVX5p/Z4HKYHJlpThg6TtacFDY1GVfdFIupovuPSWTe CFELW0je+G0oyOZFmInskoS2R3NjAcN2Nk0WhgBDs4gLUoMRmnnmkP0LOTnBlf3GbcrDG5/ar5v5 ewftPYNPSiHekZ8mLQ2z1NhenOQ3Yh40/oMqMtuyyXD8LZSVonN/76DlN4kVkCAx4isly8qVN+Xc 6rV5emB2LjJZWHVewq61nfd9NY0FpX5NLJx8oV7lyRIUYL8d6mbxqTYZ0c7f+jltljSPQQlcmtwB Yj2FiFeqAWaNteqrMzkKKVKT7doxO6fN7yH+dtRUTY/aoRYfDPwFz1jtFaYYScAV2BX8blDLYkp2 Bsi6vnd3vwedIsWZiHzqXZNX68vYryxg0QDVVDOCTvSzWcs0LL95dqeZwyOv3DCu9v6ItbRhKXhQ mfNdtV7/3HdVJSiAXxTDZcEXEaU1z3i7A/EvnCOnkdbP8cvkdDsOGOqJpiH/IHv78JNgXyAScHi0 0zg6X+Bx7dPRHi0ZbByiGDI4l1H+CTfiUZxpBGnswSlMHvkdbu6hJCDi1m5tj0AVulJ8WXzBA5j8 3ukDNFLLIJzD7CgEfYEiXkAwwmFuWzE4jnYuxngsSnU2qfkyMtvYeQfwSwtq6ojAhqRi2S4XRQUL zi6Hv/mrM56z4QsgYXrrPT7vlkn+DhUhpBkonf/mXpmiiW8o2s0lE8Z88o7hILZx55HSN4Irzf3P pYjJUbf01UECWLKCHUUe6d4lbMkoxeUV9tUUTZm2tPEuV//Gon7ATLJ9+3IQ0wA9XRFxDOkSUj+k gzaoG6Tr5je/tym/pRw9it8YQSTVR0s9W3HNhlc1fJHlKeKerdOuLQuUZ/+L8bv3tQECbL9ucxNX c0j5M/mKCwlbDxA9H4FJGEuL7lusMHf2i0zz8U1XZt/FCuGDWZFGHGbzKz96jkAEYVpjrH3OqTgq 6Lxz4ZQNZbv6DcC/n5bPDGBR20lrl8l94/w+9aF9kFH51G4oMQFb/pGEYXqaMpuetWinQgyEm6Oc ZB+FBPes2RjLnhNbe5sby5yiU8p91l4kolD6p2lQtgT4f9jHHLR//U7oeHFjVeNS94Z9CThRub54 xxMuNsXahJ08OGW3opbT4HR3zRQHPZHFw6P7tVYQ5UNRMpn3/Q28RsLHZRMC8hxz1Spu0QTlfWiV PL5qkwcorLJn3OeRksNaLWHtT9g4sJmDIpbcR1J+2Q1Fve5CFH5tIkQMcUFexY9DP6Xtfqg97udz 7UwZqcA+XrF4PwFtPBUZoNa/bgvJEENkjmWU77nUuNkqwNNr573yx3w4VdChq+lMamadWQ/0SI3V DZW2Y32VpAt32CXxr6teurPaHtNuaPpyWGcCrx0w9aDr+BaY7QyEiBJjjj6ZkdFyqlXSv9QBneOY aFnV/Z8azZLKNwZrs/aVdPpHgz4mjPd8eX19oM5zJnaHomfsHe7b7jDq/G6/nIrNHLYeNL9Jpxtd 8A3Oc4/L7TZHlxWWuqG6JM+kXh5gkAKs1zq6YIDXw39WvXLhJiDbGqqR42S1VkBaeeQgp7jqHW3j L0eEyI2S+C9vgDK8WhzFxeKCadUJ7z/ttLi8c/rG+IG5kYPO00QbixQnXRpOXUsCwwt95uHrCrfV CiW94gpE9ysp4X2Ew7JlUGBx/mRW4rD9ku3gDuyauTAlY6PbqnfGJPr5e+DrYvsdkCLCANR1BE3x ROgg6xQTMm4CqCvqJXqVTQpmXv4mCGHbx9QO0d/M3ZJFFo1AD2fz1xxALM6Li4HsIy+qTpgd9/St XK3a4mqctfuc1AeFdHWYwNz78QhBDZZ9UsbQmXyeFHtIFHkUTnSZ0hN8vUgNmUE0PoVHqO2JZw2F HxfFVYXLRyX7Z/VnVINChsRcZrb910npvTlfRf4etKNn/d5ecLKFStF9zyHB2+mF+r4ZsKP5AaPa o5sK7Np9/4K/PyJVj6m+m6d01+1CHiBQbr87gSTFqbhSQx/9fB7eANV9gI1HAmPE95WAPove9iBt CfyqdTO/VuOzWhGOiEQE3Ho/vEmISvluQRGu82lqjFEDbiJo9omn7jpw536lQR+cc7IMqQIdudNS N/HcFWFFUiq5GgiqQIOti6B4i+UxgIhuqC/CQBFX32G2CgwWvPrSWMzl/ww7Je08NOrgK+9fR6U3 DMEE/bwrL/ePMnzJbAObGZ1iOSWY6FYuO75caCJFbCl387FoSQ0TDgADcF+LH639QCTsMIvu1d/w HuXyWL7doEXaAXbHXTuvtWRZmMEUkUUnVtQc86I8oPbm78z6WWreKw+Js5ZTuBgKbJkR+OZjnE02 TWHSd5fs3Gb7p9bPGroaVSZxEgfrxGHTsQes71NB6yEo8qGKgFV6G7/k8quyDhCfVzsOKshqCGcp 0GzVpt/B/zOI/WhwIlrkDvqqVKWigLM412haomvlChqbImzNGX+4NvTK2eZpTCkOhGtdMvlu+jX/ WmGFnalG5krbwFoXRwSpTqzWbCTD3qff61Gxhjmf0LiGM3IAPwNA7SURQjLhlKadc6OtFRjZ86G0 +02qZO5wqQhZquqIrvSDehKF27fJbYyvupMzMsNRhGtDYMAL+wsyU2+aVU+8VqgkvvkrR30D/cQQ 98nyzk69Vg65CZkkHOoPxEQ4H8wJ/gHnmMk4B8WXKPefJsQji6IfmpQIbxJim+E3ykYeWwvNTdU0 1s+wLK673NTOjvnjk5nrpXqQrGwREDC5l1kAEIeS05O+zeMcPNV5dj6G57YXZdX5PPBrR8SW6fx0 r/R3pVbaGUZd0nH35aNyduhT6jw3SiQ7H4EvKkMbmiFFtnjZFwU5ZX1JscYCsoEm5/hIq9Jc8YJD xHEVHoG1v161Q8qEVOJisANTY83fojOflp789dSg2DJo3ZGgDgHCuMhDvVYcLuCRc953YviFmAkb V9UCEP4QuDTRFyjBLCuG2IGpp44zuXsp4f1i2eiYmtzbgu3VBkDJ4VtS4cb41ph+LoxpHpiK1g8n zH/nrCtRE1e5t3Yulxpz7aLHBcBwz6bvgMWFhnN0RYpwjTzWqJ/OkvvclWALLNPtVUNur3Ko/Gnw vL6Bsd/PLFgCEmKiNgyBfYoL6k0/B3KZEE/zZTTeyA8q+pLd1ujszFwhdUExFW8lCxqOJmq/Ky0a 7D6/Orr7Bqpzljh4tFXLzaZPHg1bkCoYAP7YCWwdfQ9Hny+8yvlg3sGJVHl9gmXiQkwo3yM764jF WrElxo+o7adSY/4KjjwUF/EZZcmyDVTO9mnXIRuUix1a8fXRU0J2hovmo/XmXwihU6Ajlbks+T6m gZyh+XwihUlt+eiCxzBn0kUrU3zRiP/RJkyX5ojFfWSvwHDWGCw0H7qRNrruC5HIBUjn1vVlRDSC Ll+3uehtICV9Vf0o45tYrlW4MECybtS9kEWHQiTfH2qpe6VgkmxpxhrI8V4cHpl+H1egxMOXWEm2 ZUB/fAXPoqVOHhVoGJjADPcrGK3RBGkK2F441VHimJst4Tp7sEy5OISPJxNwVR0xRHdWqhZk8xzm bsoT8AUkSPS3jtikVs+G7X3yJHCl73V3VNBkr9/tEp4iZyJww+aJX5Y2CS2ZEhe0mq7WfbQVh4PE vk/ghQRFJSQ+cSvYpBGum7LCUgyhYgcHK436xgtZbEhLK5uc/8vKDHdwMgu7jwBNPtWoQKKqVqDJ YzWuZu3C2XPdO2q1NkzbSRxWp+WwiBA2LyZAdCJK/UkNng8Y8fli0dAscsY1PWn0IrM29g8eYJPc wzjzzLYf0nd4xsoP1urf1yJJ1lb4gUjFmbhRA7vP9CMqxjcZoj3Rn0ePoHhf38uPIQnQP9338qD6 wu/cCw4+c/wdZD05wulhBUlRKRv1g163fJtW3Zjeaa0osbWb1BtB5NmxlxDa5i8XpRXw2ZVghglS XHNZOZ9ZtBnuMQoPrSYSU4tJiCvG35PUZFzWIyjEdhGHZUHN0zh3CA41wTJsdltdmdL2QtETQbaJ yL2qprxYQ5fqg4mZL/4bI/yEQLN4NnlFp87cPO524D6r65bR/V1RZq+BhT3SKAQ1Zdqdk79vvESd nPABRyZglSiU5/S5vux9q29ze0A3Au8pFKfSzdn4jw8o6+aiOIxu7cB2x7nT75+Fl0boXYXxngoA CXAKnlef3jM915Pw7XP0lq+OdKtbgLXZrvfNp7ZAUiTCyAwBO4e5r2uyBE/FDGqldggvnYVWH/hu T0AlNRaMMPQc+g6YspiGqyjExlnxHSvUIKEEdrzGjfzWhs/Qo6DsVVYCnwgXVu0ikrUwAWml7tIZ r5OuEN45uph8AwYsO1bPB0phdsmDzOV85bosWT7VLMTdgoRJM5fAI4KahNSj6wiuLql6/tz13LF8 P2rUMwqBcvjOUK8NoCCd8jfDeTpX/II52orEB2chUkkObJ1QJLi/Smj/8uu0Ejj04rQ1qRYzJc2g XyP2QOM5uOQnn/BVJII8qEaxnCFux5LNfqLLsweEyRRoxEHWCbcgPNYp5wFCrbPdv9sqP0e/cuBl jjvGp2fjaPrN+BSsGNwhlzIJf+aeg+F9b0UMTXR5ZL/kuSux2vLJJb3wtbWF74TQOzJg7uSHYffP dEqdjEJR975Cf58j+JQTuOK7RFh5O/lMPVD/wOsQZ0iPu7fwlOpo1+FeuL4sf0h7f/TWxdH7jGqB kTuRbgEZB8De1w6r+CJsMu0xWYFw16IAdCsgsdh/z9ReVUId2HVcsmUta8cxeOvXNN4tNF7A7AQH +JjHWCkrtIm2Dx1Y9y9+JvFS91E0tEgXY7cluybhJBxqcVtCsCvc4AoRfUG41K7s6xhLRE6PHoAW sIUdxkagakyLB8t/sNTS5Bfh5f3vSI/VGxljxJFR0UsNXH8Cl6yZlIyfLMPyQ7sn78XrTTgOgppx y0xo/FOvgG260ytN1C5OLztnkr1gcOCvTEyFAAb1kzKU1VjkbeRJABZJ4hZ1A7x6/4SUNwZYrCFq 8RvQmA3kbaP2hz74Ay4O603u3G7Wdz9JmwLzDHhpVbeKGUFvyiyicd9JXkjmeernVg/3xPOndvTx KKJU4E0xPZ9QKjqhAk0SAWW1SJ51V2oyPrJgQ4R2dFcTOa1D1sFvLO7VOs2ijBwT6w/zB4/pzRae lw0L2DiwRIMCQJwusKrD+nfafNq5EsfyYVhsxhdXpNlxItGY1mvHYAxzQsMUi6uo2FwON1aw7CRR GIQAK/vhzdOX0XZF0c91lRxYTWKdCwY1cOnJrb1cMb8No/7Ksc8RZQuCKvFVM9mf3kgLCkIvOJGN xxvlQA5lCM1JAFIxvKMVA2/mN4VzdqkKyFV1QMAFQKiBmH1W1x8byhw7xgKTDE6mYDjai+HW06u8 zwmwJ2AXn4LT3OHd7s5Aps0vtGCgGI2Iz6BqKRreY2V6Hz8Xll/lEG3QxPNsV6xUuTPKSKCO5bXP wgAwqtV29ejmXyUMF7BVjc7Lj99SwszGi6ch76KKlv0mPsz1Li5Vvgi7wjYJ7npccwgaeqlbJc1N 3SMWGyeUJmhAwIkSCrUsc7/0k0PwkM4ck0TPvKWnvEL94CpeTtlHJ1yQgR1XqEOW52gRuQqwrJ4I 5R0//4iC/KQ8BrqwZOzQ3j3pCdRuqNhYBgH5wIywh71hUSZcp4ARZbO102e+6athovzIozrjTlxO h15F5XrAK0Psi4vu7GcLAc3eJUs+vTPkK2PMKBQWjGmwwteNtKpcwC8kU72ehZK6/qDxfjtPlA/y 3oKABEieNPDhrLwuAAxd8FzELOfMbE00OauDSTnCHTuDiPLPHf0YDe/HcEc62Gi/6Xl7PQq+Xd0H V2zj436VowpYeHlVOflV8/6sYqeEZfYLxXDEgk5MuGJ6DvnkQXTgRc/s2CFaHOEzz33BVyUppswJ 9gm6f5pTSlXsOwPi8YYDb0lp5PJH/0Vuw4+cM5PmCvq24mVVHmSl22Q8DMlte+E95bLGpYxN9Zag uFZPcdA52iowibP6mYsyNQm+At9caRiQWt2d/Bp1pMjcyyfYF+DJJ0RRRMkDGUDjNUbYssaRlxmV l6oZPFGWgVyLb+zgHHt/bDetpaAyQOBrtW1zQze7qgzMFor23oOhSU1c8T39ADjIRH3hqFYiF2yX I+Y3F99ghsWiEOcW0h1nRu/mUsNdECYqT57pcTK4FpKnEG7tby6S2FW+ewzPAGUIBh/P/j5vNfvH gNHY63FM089stm331P4fXsSSXHkGMMMlk8BBqKpN62uYBRuo454u0AUGNbYnZJ/8IIIPgS/h7hmi RoXvAozJsZdZR6AaDm3t129tbSPSZy2YYNZOE+E0gh6OzSxlV379phBwEVwCP3Q9SSkRLKcYDCcr FHazX/assMVfJLp8Ehm4vZ7FKsZ9ec+1oX3cAkeWWaG0ft/8x/+W18eio/4g1B3zQrW3erQ7eIuZ hJLLtP3FjstN30RoQnQ0BlnTBHlWwt0DVxbHsY3sLf4LsKITwijprlpCii1sBlC5fkGyjPodWOGd hl79taAgp8vuc+c1lPyNoXKp11oy8esBWBVA6EM2YibM7rOBuhkHl0rvdY64umLntHi3zkJEy3iG 30IdUSS8kPZnFzkVqOOnzGpKF5CWU+vy8UYQyNsEl9o20igOv8JalHSrti5rbbvKv+a56yIdYaF7 LKgXxflBWg7qJWQVQt3bMQLsKFSVCW6vs4ffzWcL6oVDW4zX53m9O++tzafk78ghXs8cSj/9J/dz mj8w84D0x0NOPnsNHlpHEwXb6Yy33o92RqyxL8RG+xXiVU3IbOUFBzN0+EByqTlPSUg8SyhP8TJc 0moVI/+3fLFVt/gtOUIp7tca1qSQFlvlQ3rtpZL/X+WwnIGFQW5ANgbmJWlxsEi89AM78uxWI2tg jAuoLqlZ5lyc5giOfnODKc3d7FJenbGgG0x0wD/zyp1LTC9PuPiVoFZALDMbjyBbLG9EY7xCNW0C bMqqceBFG94JlrSUeW66OZ2xmazbD1ixJpf9pFFD6gkHg7p9zdhT3W4ANk75MPs+Iwp1rgpUrcOV wbCKIrUvXQVJnwmVnoj41+OW8BvFplccT1NM7nPllRHF2sLHolco0D3nu2STiyhAGCoARtRnzd49 uLCkbohy4kCeqen8Wtng1mwW8qdIBurJdcS6aLpRI+e6DzyqODK+wuDafLQW6ISiLHytPULKh+oY Bw4b51PFAprDxOJsnLs8v92Qd14H9/uZT+W2vLeEtc8JBgIgnViGVjz9iPxjFXItOCYeQ4alLhdb sYTnG/qYUsFgwHkHUeTAbOgVuVrMsxv4Va6ggbJJJojAa7Silk4KmUA3aU+3mQoc2uPgl5A06mct rBdcA6Wa5ec7K9tswUdhCTXMgdbue4VWs6ME01PYtK/E7RjE/76Ymu3sR6KDcaPfxMHJjIYDvMLw TOGZWmtxL1NE0EVrMtB0HAsjHVmwxYWCBxoCoIjo+x+H4726HmunGJgJGU0Vc4RGmAlM7nZ1jd8y YfRV7Z/sXMByggPqbfQuGO/6li1OOqmV/hh3ti3n5yIeDIYA1eH9fT9hmBVzRfPyTO+9J1YveqA2 cT41KRZm8dm66/B9AXHKd3ql45eZ+qoQ3/vekb1Xt5eefmeTNllzItvjJGHfdqDjsR3j/5/D0Rs/ dmocQwVD1Ra4IpbzwE6xYwzDjRCNj9d4VA4X5DdDt/oovQ9mNXhvgcRbvSnm659O5KvtA8Orqm+G zNvfCYHbnHKwjkBds3t9mgOPdHlR0AIACYbjqbYyy2sqmEHl1LewXeY7UM9azjhsM8M7LxQ0eI5K 2pqnpa39nU/fFxpVJLH+5QtANGGlYJV3X8jbnIM0VY7yELjpL7Hp0AcuRWNa2D8xcvmxGVZagvNl MY+92P09igCYuoXEXN8zbujwlY0JYyZXXUKW6203tacjxZw12+i22zHYr6RHUqQc7kFFlJD6sN36 l4ifWx2DUpoVXnqMPkBjoSq1XIf8GlhZMUy0lxOMsdDovTBZ97wYWxEAWDjSXg3lX0JjQ4netlOO TrEffqiKHUonlqI/EGHa9eAAjkcOBsLLS+Y9emHqS40utEg5bb1plWbZkJQJq9RxdD44AUKqiW1I Bc7MdfeVTVguuTcfM7rqPSOwowoSqr7S5Lky3204+28Toq5xrXHbSs1crDmmZTk0Txjnqi/lyQ1a pgXMZv9JgjRsWutS+IkGKAK6boRPSfaaqcWI4BdXVUwMq2R08kFRxD02qSuSYXERsSUhvIWfuB6I UlZb87kjunrCNlj9FWrpyDpEmDeaXutBeDIb88bt+Zb/64cJzpba52M2iq/92DHj41TD9YvZzYlN G+tMHRILlYMYh76lMFGHB7Yt8fjF9iZ5TqiCkbsJxSe8FzKbTNJTNMR2eyFOGtSmosTXKMhgZL7W vqo4nLiClATexm+nfqtwqTjY0enA/BETGIx9P3kRY9Uaym7J70etCQre3efYRp6v0ocrqFB+LaNI wh98ziywRdrwHy9chEtHHq3T79h9L2rWRJH5RwEs6ldQ5A/hSdkbWSdSZD5+m4fAYU37nWmiYak+ KNrxpHTqd4KPTQrf4lxx5MbInCJ+gaVRAQDRHFgNR8A+OMFVWvEVPSqIhb04sPeOlkagwQlz+OIs V+TqWJByIdvhfwltrRrmC7tltt3qvBEzEk0A37u9g40766Upc0ZWlbKqf4E1ZPHlO/iO+GTdev7k uPOG9TZUAI34JGZn/hJ0HjPzmeVkJEMJFF/LtkjquFIEjfBX8ve/fuDUYxsfd2zcsrfguWHT81rY lT86vG6yeW9PD+4FB9IkGZiSSBeL+cdO/mdTaMU5YLC1wVrzAvFPL05a7Mol2LjKByBA68CIXBS3 0OJ5mcuapUeFKZTZepZC06p02TzWGqOqVwPk6p2jjDBcUFMa2o2riPQk8xpO0NNKd/O52qcDRh43 202sSWtuAmOjN9aklUh2NfNGmFWHr5vo2tBY3pDj0B9VmFehEa+W/CAYerYkp04Rx8TQXVbVES4l wiPx1DqO3t2osNiJdZ9+FKdVDlbXKPq+5SPb668DyXdOJ0+MXpPuwufR0SuC7RbY1KCWFW9tyzYX BmLYdNqUXpwMechwVz7hpoC50lu6eV8yhvHCoKrl2TnjBCuaO8qbXxwQ1w76/jwvNXVJVJz5lz59 VN16SNgLn258fJ1Jxw2upgvqPC4bMpr0jXqpks6VooC4549eyRcs3ugo2TcynEk1mE16YKdGdJy5 pYVnrk/evg7tb2IiRmQ5XP8YzlvIpkhboArvZTrtpKGuBUxhBbwUF7tNeVwGmdMgmqMqnYOr4X0z nFu6cvYq3nXBus0N7k3mUHBSBOxHH3nhqj2+re7XGFnR6Yti1y9HCgZEojcFZL2lHvVqKhUD/s82 2EZOwUcl0kdHYIiXpEXAFj8tLx+qJ+RjLjU1zXvGllkOVwCCx1MCiLY0H5idgS2H84RmflPDx6cS 9Di/ywyyXkw/2Wf8Ot+5kzzXErETqNFvHYDtJPqR79ypF1uYL7gyg2rBRjWPqoNiRruc8IRF8/mE Cz1t9veRzCX2kaoUdoCLjsHDxmndNB2lI1SPW3FOcElJYWUv7u//nLHWj58SFO7agppRZJNHGsVG xRQB8towqVH+5M4cqguielxzKtMSunYW8Y5vSffu6zPwoZJBP9iCQOBGaaWhMXVj78Ev7Y2Xzdu2 twpkAAGtdk/VQxp30XaZKYNo94+kGA5syphgSGXQaCB+TldyDig61eQMWNd4YmnG9PIp2pSqi8EI YdrcTqXPc8v1Cc3+tYHim66fbMMxUN1MXX8x99Sk3T/NRPKx8H38RbKDS1sjITcAm83Gf9DbK+iM 51vP+/9ADJIhw1fc/Vr3X0NymrPrTZG8Akcp0ngZ7PgqAGosQYekRe6CMRzVwxtfJrwCMXsXMO85 ZF+RPY2H96NWL+htaLvfsvb5M7i/PYL6JAiNJKF6SGOSshDc+Il0QpANRT5BckqrA4JEHUjpJ1Hc D8SKBbHDmfwLt64olVRXfqIImSelyaVBAhg6JyxrNzzNLY2D+6moQRtNBNtdtoD45YN3XB3d8WUe NDkDRFp14sqSNa3gujiy4LeKnDhcZDYxfReEiPMb+XK7lAVBJvzBVpAciydXfrqGdSgCVYISeJ+u horV4Gce0vtoIkJTUqaGfA9B2aqxdFBFzrq3C95idSg1is778t97Z1ezkvEY48SyB7jlvSPOVcGp W+fkOUv89RGEUm921lNIdb5u5+KomjyOHx3sDr8aELXWCHe9DJh6CDWFslEXl+CBhKLTCQPluzvx EE8Z3ghd2xtnUYKuz1eCbDxErzN4X51BK6VkapCQPDH1X7TNYyjAJ+2A6fWowVubOa+Ji6FE6/U9 qqQs73Qi9HmvHHyPk12dYqxBAsid5fzuoRQJRDbtJBXAW8a6ANHLMdXEkBlTk94eKbYS++8h5AhK qLZgCaVRbFxoguovDYKlg3MXPSvu0WF/2nqR1e0YAqr1SS35rMW/n0XhYLOznide3cyygdgfWGwf 4kFWwznWAcGXd2Cg43CJ8CcFZYWsw+Vdi7LJDVzbL4Rd8NxqUUmuKVrjVpDkdI2Jae9UUl1V20I3 v7Pjum8o868P6jW2EQr6A6seBJSYs3FPa7M6K3feJMp0bOaV1YjWKvLt2giooOcns/47Kv7/7J+0 NWyUcx/TaxaV3trCUrF6cGNqE/l6/hb9lwLNbiCG5PkGcxzi+ZAI/+oUzWBLArEIe48l2BW+iObh 0tTWASxNAQJa0hqlm/Cpwch9bn9S3BBHBplsRRWsG8R/hjRvczbBml7auYfHY6cktRY7xocu2aaS e4wALw9VQ5h2G9VTSOAHI/YbA3TIZN4+WpZtC57AjDfjG6HQ3zKJ7pSbQXv0jXVTgmcqx8YNHNv2 xb29yOK30PijbWEDKz5kklRzWZO660A7FxQFPYxJFfDnonQOzWSRUjaoH+NBH/HSzohXr7G8ij2C 7wWJrMav16YTdsetzmHpdAUuEq4C9UKQA87hgxwtxl7vHU7/GdNDArokOwAcYaPlGjdrx/qjnEAq UYdRh4m6TIpob5XGjmxAyqH2sZWttfgc+iZM8vgLRbuyIyGppaiTwJIHMNH60wUNZsb+4d+04cG9 qV7rzaNWTwwPV2b/IQHhoxMH69QYiZKG83TS5IewMfiJBfkPJXouXNgZ0l2MXwVUHC8DfU1vrZNx fvnGQpAeQ5CnOa0VHYfI5J+rT/nIw5XEKDDVrbo5x2a3wKMFAzVc7gUUVGSK5/ruRIvPrAjzRO5T 3jms1x4exRuvuhZp+RGz2IWVQ5kpEaHLQDltDkYHp7uq74l7Xd632p034FtGsG4xe8E9gtZVskKf F+B1U0NaSeq5oEM3kkMrnRnwBhl7N33sS3tPkNwpqztospoEMjikEQeMRFXfZWevkbbRUo0spsx5 cMDshYxS6K6fONbdIFKx90d2tWCa+yD3PYanhjS/BPXKGqhNVbcbivtDvo9yv0HsG73pUjv0FK44 G+Ah5/wg53NvyoyOaire1k9IJDHvqu/DFR0zmx2YvXi006z69pgKkGPAzl8DIqQ9HWu9czDHqyOC okh3n4tATyfkYb0v+5alW1FuzFDkIs0mTz8lk+f7imR9nIW9CK/wPgbTdh5tJhrVTG/x/iSEp6l2 3UzTk6NEjMxwRn4tEJdWOfcyXUSYg6jnZJc7OnN0a/GY6K3BWA4+uG+it+Rbv2PWO+keoASiuSWc vMoTYjOeufJs6Rf/NJQytVBhdxZw4aXwYV98J0N1FKU3XnyHK8N4KGjqUIx0Nf4NlPa9YGZ/Xdjg vd2u5+0746NK5YAtBu37fW4B1SEah/FcqCLTXxrJWOUDwtKF889E9TBTtZ3f/Hjvqm1iqKktj/p6 EDGM5iDSBmojbvVe6rsvn+p2ruEzU6xNZlTIZXe4ZBOmyTcTIPI2e/H17AJ99OUCZ8VRX1ykKx9k gG6XvsC6wHtro6OlhnJXThPVciuCUYtncPnmW6TFLJNHsk/0EwyhpAKXf6bp91+ErcPREaDfjlcX JxG2eB9nLWdV7p+AXvDtsByeTNeZsPBe31tCZLikAKVMgLMh43EdPFvuGputAbaTH9Py0FxwAvXf Y/2zh72KLL/Gk6jNG5pb4sDPqT+RonV5m4bKTHqa/Yf4Lg8AynvbGBqD8L+quLYRn5wWnUowclTQ gFDQXQvjXya+4lbXFjrKrI/hZ2++Vj0a9pq4WE3Bzf9VL8pbn1l3ShHKwMQbP0pBTUKjXmGFrRiQ Uicv7buZdF/vgelRAXNWtZnUjOU7vhXUL7tudot+JNWPyyELWYNS4GoNlkv9IWHZFGBID6+5gDNo MRQx2Up8XLCJHcJ+jIxz/RaTYQQLfE6kZgGZ/NHqbL7w+T57mNwtky47zqXPRTu9qQwtrDgau3ZU pmUVcTZHY9uSRm4mU+l1u8WG7bLguKaYealB0c4vBmPeFObk1Wb8UtqGJn+Y+6/g9Zlw5nOLmlmm //jGBihndEpo24L7pnCOCbwmv+C4uNu9CVesWYz9rFdUvw890LWenj3UVuw6K4TX2tq5/kdq0m13 5asoE6fzVPIptNqkOzNfBffUbvre50MPWzyisBCq7vb+54EXHk86owlc1633W9qdqAt6MDpZDSMz WbGPx+C5IJeUYtLN0WPcLb2x2QVrHSHgYgqXPWGd6AX4s2BMolzmBs/L3lTm0t0YGcPpr+9izbDY RJLvWLds8s2qauzKctthmcq8iadwT6TVw5E0a5Lsz6m5F0XxUN2Y2EU6P5dU0fVujTBw7RjFZrdv VR75Nrc/5MPask7CHtHxtrsxKtZq8UFmUDEtzJIti6pByF4JYcYd+7BR67j5Ji1KNwjR5VIDpAb4 cAUdFwLZEaw+A2MXa+JoeaXbSPhsxiI+D/oq1rvAlFGpYcxUG2MDD6X5tAA0tOG4M46cNcMKIEao wfg1F6+AKeB1iSGEnu5hTOv406+EDOPRr5pduyOgqqErbaE8ZWBym7BllCB4DfCHxGAEsqxWa6dg pCMOI8ghhq4Pysmyni4jp3AbGYDVhN16X2Xd69+NjvIZAU62WoEy7G8kRQlcAscO28bYUubxRiQD A2v2Py3bZCDKUMUrWsL0bWhIEYrDO9msc9UJqfal0gHmrBa+Imt2qh0ZegOEQvepHw28lrZNG9p4 ocAnVTHCQnlgOQVZwN7upVivPh/zxiCKFt+aNKze+bpYbWUVtw3ClW0RU1kCBdIKQB81YhvbdnYu 6POfFb+gpAwXfl5tUacuCLcablFjFCNW/q+ti0n+KAHqhcTnh8YE3NcoeZpW3qoaefdSSQJPrw4I ehmeQ//hYoRsYaqZHwz9PFKMfgls+pBqlJQrA+3DZfccncAK69yURfZnqZrFCwCBU46dvPYVa/97 BPdqfzhI6scKzgktXHL45scTASlLt3sGyokYXcv3WO6YVylMRLWw4En1vK30AzJWJSOkDAIKTYUp 6ultr9mZepXrYCYdNunKcM7ztwXpvLRWi1MU6JdqS3tfpyY2drbtooUqKePF1wu4lushvcJ+VRP0 xflHSRCVQw25bsVWF2nQUa+g3miSpfQsNsx3xO/BIWgV+oYZvYCFoUtP2Hvnc3PUCaA/+YxDdK5S 7/BWlRaYQLcQ4zE65Fn9/RYexVTP77u2i0yRn4e0jjPigDeCg//a6lDFfUWWdyci34BpFm+Wsh0c dHM/FkvmBbLIdJV0ib1vgho0/8BKynLkvSAVKE3DbLlF6vPmiBy9c1V2JOWRHVIzh8SMXNXRsIs+ sUdMS8gjrZlJ1JNBeS/bYKoiDpn30HtU5gxt3/LS9eenC8ThsfUBlDNUUwakxi3MRyfXFBgEXhPl rhrtwVSzvjJUSVpnHgl2ZExxiNe+oFEgJWk= `protect end_protected
gpl-2.0
e8bee43704b3abbe3961d1a9c8549b12
0.949838
1.82197
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/quarter_sin_tw_table.vhd
3
404,330
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k9AY4WZfsTOG8o4bGu880Jqnzx/eKn0DXq2KUO7J+LOq/mgcmjrLgxqIYWo4XQcLnNn8/iq4Gy7T Nt4+19YzVg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BjDB3YCJaCnuGoiEvEUlbWb+mt3++GGM9EiA/NtWbG/vySlkMIYwuLjmqhQHgZGbLV9BBqETJuq5 Okw6pVleyB0CvCc7zyGSGMxjtvTniT8ia3lrYGD9OEKShSYmxOccJMOq1/OseQRrQma0L+cajGZS 0pKPQmDNIsHiMjCXu/w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block azHrCivv8LmLYm2+D2ffpdVwqfG6xj9dCrXHKet0WUi4oUJtlvzXaeMcznGyD3XNvhri54YpY6FE r776CV+WtnlDgZ1ksfI+5r506QMmKduXuiyEBHXefFq5Q0tZhNC1eJgKyUmpBm0U5TcByrBi1oFc o3K0+bmiZANB4Fw39q2bbmLz27EBuFmMa5gzGRTmSptbXUfbq8LMfBFY1RZpAT7fZWmBO7g/HMtg /XYpoggX3h3vvGnHC0ltbF90C66vxbAqKn7yrcfSWWOjjcVLm6k9SAwg9GZvuuZNh1zll/9AX04y 2kJMceCnOK6naS7R9ZZjqSNyzQa6f5tcRB3BUw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JaboN5xAVbbXFHV0DV1Fm+HOFPy5ni76ei5I5cTVLbJ4uQ+CZwk+AtjM+mKlxwZ28ZW6vzmwUyF3 YRSh/W3GwbuTvwn69kMOPIGeLTBEL+pS5db09BYnGnNfoC5qWg31qvsYLbNuuVJ4dUXofd8l/TEv x8p5LEAtUBseOg9InnM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Aqg5VhSkHKs/R1vubqUN2WV3xdjN43u8aYcVwtQjezEFLbrnDSvSw95Xm4rD5a/SonXteP6nveEL aaAZp6y2C3eQ4ARj+3JfLRJ6KNPbQmOOc2yhpOlrYS/ka9+WtY17BebsonFhljO046CNXOe4YlH2 M6/PT0uQgdVm34XUMVkbtd5BASzc7ox4Nfg1uUxIJ8KRh3vtiunx3KZNNMnyAfGqReFctNwhpOc7 z/aIZ6GVBIZ0rJ1HJNeyOg90E2mxhmKvItahREoXdhPPDMtrITOMijLJJgb12wNo7YIqQMrgiqz2 7QzT25jiD3YLwt57hFISvr6GDA6Hl/q9Ixuc7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 297568) `protect data_block 4Cp41egV33pIFtF1cKJYYZoNCHysqBjiPuAzY71v3pIFzDbi2bpArMUlkdHcuIfKMThAqoE5wE2s xKFLPg+TXfQs4n5ip9IjuXh3gteHXSX1wobUQEiO9nfa0Qi0LYO8SDa6mZXkO2QM0Bz3A25jLGKJ Y5LWyHuCQcvqflmxMHSEZHQq7X+3oMKxlbrkclmkIVfUbcg7KHSRDrJFkUTkRKodQLjiV1LXpm4n O3NJDXYGbfo51f5FSv8NL/WnUzut0Tj+Lpsm+LG+VkoK8X0vbstoGn/rVAksjhcA6Wr3M5i7UAHw BaQ9HaPhhwEDpHy+nzQXqADLRptbJ85jjRF/rwBRhPsVL7mHTf+VJRMUgD93NhrSzccMkNvwTNV0 W+0tHn59+y1hjL2getkWGzRYBd4x8rpcgmFcOZ4MZKNPX1LFOZeBLr6TXEedUBghkkq7BiFWBZgG jdEuO64RMbH9sqObX2ZnvuBmeqq5Y166l4CtAbNr3jDfWQJy7pwWZ7bOEiwF2XxXenNr8400p+jj TMzdEhFm+hpQ2vLZ+ewAS3fCNtYzKuk0WSG+tvi/sTsIe5rZMItx4KnI6UWj5h0KlA3nLrPTUt3C pFBbhceXkER3tce6vztg/DPygRB97KI4OgcFZv8N9L7/W2dBoAl0PTwWB4GnA8sdvuZurGkJU4Ef z96kHi0ax/Ip+Qbst/yUPRxFZdFsSMGScvf1EeqkJTI8xGapUL73MzdsDO4GLZisldKesJhkxdTg KUGdRShQFKx9XwK9M56Qvv6857+OKQECb8Ran/flzWSU3xvaKbF4oaBr7KhtsjwPZhtnq9La/CWg EYz9Z1OqMUffcBKnfpzUui19UrENi+E0Yzn7ympimclYKoLw+kiukOvLe1WixnSX0zzSMojPP+75 yHAIjp2F65smc9DVvgQSn2Rc2rb2+8Cqr/MPTU230bSECoocfpsSwaoWbKgP3616EOjqBqBWREKO stFHf9icgWjYmYGmzInTo30XPgncla5V+/wvFpODOgjnSJnJk6mNRt0DP3cB72acJz4a72+LWD+t FkHmMgtjLxe1yVNtp4LXRHLkKQKopaRfEu+8SdBOum2JxHjls8GUbTnODxDd6kWiXoBVs7xU7ORJ YjEWwRENCwB27qqmwXm3WY8/qDtIpy9sVGHEp5GxLihWiG2QALXdeu3WdpyMCY0WocD9gIwcz8Ba 1MdZAm74EL71VPTXFPgMDtexUEBYMsYtAO9Nsjp4HtT1nH/UyOGXxfcMr8vTMm9qw3q1+h8KCQLW KV0EPFoA8U8aOelP6oEXGI7UbgLWO7g7Esz1Nf7aTBFsgulcLskM+A2Qk+EZZ0XctFfKZKrF/R5V KFsJ02sB7z+ARF1BnQZ2JbZ745ULoXnOkfMvxzIaRM83C5wdv9QayFjrl2a8r3KojnIGgzcy6Ky1 Achvk30EP+YFkUj5Z2F8IcPs4TxEzm+A7AD/lGtpJaH09oXEjmolnC/xvfWUq6P8s3rPMULdPv8a L7Ac1O3MPUH4sVoc0nd7JPK40OrQwtqAP5mB8Po2zI+hTGP4DXfsJp27y1O7/epQ9wrDPUuTNPV9 +WBhIhOwFWja/koK9lXTBtJ2NnXXZcqKP2WY+Ns2yGpodTvsNQnN3fVM8u4hJoHR6u4d94VeRKOR g3IGxDdg93VS8B7zcapbsvR4zAMO+KYHzW/wvSGjDQb1BG2N3NO3/vyez9AK+VUBNMNrFDzirtSJ EAcYUhUxE94gW4qfM/v7/HKfCrP3svRCDS+a9HJEEBZBJavKFZV/5e9pYjMtD0tbRQwm2E7h3jTq sDLPro8jeOIhzevmHDdn6+xxlRyj1W4i/gKrvhMNsNW6pon98JB90MXSxbUnmDjhvI4oH5q7JXo3 p6i69u0BLiTZC5nwn61sEvTxsL7xrSbW1Hjh/g+fOkDhkzNRmtRr/HeCo09WnTe1RL6MmhTWDe0j vU9wBnRoghB1mE0PMGbSzCsoIKfAHwz77fp6naU+lah6sT6cO943YXTSQG18pZNFbQ09pHiKEZbT FBkT4joZ0LHxHEfE1FtqllFOlKMq5e2gprp1TFS5U83U1Qiw8DZ9r6cT+tF6BHTkvTsgLh86tDYm pA2TAx5SaTpqoLx0yNvpM8MqNtdYfnlZ6yoxmE1ImJlNeKjuKIQGNqJJvaZ0jVnu/ilLLJkNhZTE 7GqTaWWgtkwf8ejXaP/U2pXTyJcGqs5gTkL0942OfZbz1J9J4KPFVJRM0Kv7k0LGUujmwFY6B5dF 80kaceWHDcTHYGgtnGNZbQffYxIRI4P7ifsNVX5ygfzQoIDOR0n3BM13wp9cdn/OcsksgJ2PDVJX rg8OwxTAeXYx3Oun5bAU9Xgrv8kZFJXWW8PNBqtJ6NhSzWmFj9bYY7WMDnlU/Qmq8w3+6MAbgMLl XTOUGG1m9l7REjob7AHDNeDbm12oKZlNu4NO+8MXV4ZqMSdHJlrqVa/ckZIwvwVVQX6uln5VH2zz GdYlhBuLHu8hTbWbC2ZmJl5tbYWBIFKZPDkO4vKyb6uNULifFc2lCTbMQX2ETl2xsa4OUkw/mYT3 Vq3K0XuuQ+mEK873LsW8AngIdK4ESiPwePfOgeO605j/i1bJAA2WSSUDNtBSZDHwX+W/56QSiQcW U/rydlT/xtLDnL5DoIIJc3K8HrAZXNnZmSHRNqmQa4a3/AEMUXYGxadBrdjLpF1FtwWTJmx4Id02 MUv7dTMEDXf+sk1KbSaiJoYAQRsQwdMI+o5HggBb2J3L0pqEy6nWR2FBeG7/2s9EJl0/usFrTL2v 8I7xR+HiTl1JToxJ3cl0HLiEKSmCxABGI4O1dnSGnSVL4UFpGwvh+ypQ9Jzldby0faIecddQVU7o PlZq/VeDFksgIwuvnntMfeWUQL45tqy61BKxgXGz3PaAqQJdE0yinUPUoVFMIHh2kYgm4piTk3Lf 1pBi2qhcY2Yyf4F3mg87hFrSLQOaMIctWgWX5pO5Ebqwp3qvJ2zs5WIKpVjiKGByPsBL3UFD6hPN WNqa3MMXDsN3yq/lepmhNwe9A+sPAvkvinvRDuHI8q4JnEbKUEd0lEULZSRdx3grFtG7fkl4DSi+ aXM9BJX4iSB4QIqMdx0QJg43vLIJZK9PihIxIdVkboK0KLKPJ9XR5Z/ED8R303qFspsKnWw+Y46M 4V3Y53KPKDF/zTF/u7gQPVYhcHtL9iT6Zpw2kzuzEyPrXjB03AkDac7mVkiqalIDfubek5JlMfk4 0CkOzn6O28htHYuFGNL36zKpRqum/QMmCsVT7Dfp6e4s6BrBhAg0psEtadIrnY8g8wukjVWur3jA o/pL7NtxJu4ukwuZhGg6XR+WIOU+WmXCR/32mo/GkK1peOXTMrdNux8jRFa4B82CICz/qGLDT8F3 AkpQEkQdHCajzvW7U2cpxShS/bVBPlm6WJ9D7eiUd8ufTmcY/kSptK8+Uk2IAlBDHunVarPke18n iOrBfARVvwTSu0sy8OiR7Lvz7hM/xBghikG1yS66vPMGN9OW6ywSS7RSzfERhcdgws4obK3HUGWI WrLHJ5s1MfU6lTbniPgGap9l5kG7xUBYsJ4NV3ivL2rXa+XW8gi281XoWlaxlPlPLgoyCp7CQAWX b7Vy/ksSvNJ4W84Or15k+2/KT8BTJNG0sNSLEZO/YXpcZd1z9/cGeyDvI+rW9bS3tOHt9pvb2VWx a/amFgleLxSHEPtCkuYKPrvXGMjqvIgCZuN2HRcspxGMScnyCC6F/yXqTVdJ9b4+VlMPeIZ2YNGB ikPzbkRt5Pdl/MJJzY05v4tHU1tPH38EZx39T6zTQ/AAuMXlnoQ9bmtt4dlYbpNEnwUDs9T8p0P6 HENPELuSpjAJRYW8UnwrEZOmqtU8Dldu16Hzw5n/5IuBZN5m7xzHMKvFkZ5B56PLlTMIo52AXuBf Y1ZY57q+/9tOrhfLOMlA68nLTqk7MymZN/G+Ei1OBqLojY2ss8nv1StEUWsyjx+YPG1b8fTF2ZNW i4i7VSvbwtv+XOFxOxmGOFa52io89EUnq3XjLTJU+u9uBREJFwKMCobs9xgKGzShHOFQCxhIOLgS CJowAoCVpJ6SCclM5GmYZUxWo+JYHvgTKOeYmVoghFGNGN24NQ0gB1+NyPbY/3LDs7W3B+NYtZwm PpvkSO7FycI/7v98MrTQCRdRZk58R4AFQyENn5af5JJyShb+mpghAD7nHmLGTB5P5RHMpIdBTd/A kq11y1Zx01WkRZ7oFOh85q4OGrf5vDb6JrOcSo0CJcDRmWRdZSJvig11FKz2nRdCourEZ2vaKdQp c7Jvqzyq0fuYX5ZikYTulBHw/vm3XzzbieP0zDZQiuzgIMk5AJRDtgerOGiL5RD7wVdmQv5VNPWr 6yjZwMHF4JfXfVFOoJbPl5rho8fpJzi7gs0MkO8+S7cEy2W96LSdrYdYuG6Ab1OM2VFIZ4rRAsbT O9SWtWsVcEt1X4za9YUNuJxzSMNTxQZ+H9B0K/rDiwNNgSh/deSiUfNrch9wPrwV7ymNd8ewZFcU FOsfANp8A3nryV3+ExaC2A5s0JSD51yX8qTokB0hOk2ZjQHS4WnB1DJVwEv+6ixFNqHHS/KMn/O2 JB2lDqhzYxnAPTDfZlM+uZWlLXS+SLYymv6Ha84o153vG/DLSAtapt8E3Zj/IRZiQFeW6mpQauk2 7cYz03HaFm/a4vXg4slaQRJAV6/dG8EmK2Xqukzt4OkDM9YLvI+4i1au9azvvOtV0i/iZzXuatgp tFxLnn9WZAxRaasEQofXzg2sk0HBlkzb5NkCY2KEfSVlGS0RN8N4cog61puJcJ147XBgRkm/H57j y9/rtDjntvbAfkF9QR3O1THMczkSbaFowTgW1IrGeKZSYfaPzgTbMp893UeCf8fCUV7Eo5eeCTQY yqK7PIfw02MV5s6lv+hr+eaUDIAJjBJqyuzn8zim1/RAate4FfR8g14IAlxquj3qkBXToK29VOmo 47vVx9wVj8XVLKRnWS/Uts8vO1BXFZQaQppwsiHkJMI1J4rEFbQpnONw68wFXOf0SBEZG7iFoO18 45IE3WAljuZy0UWBoetsE0cpXSgRz2CtLew+GJu5CFw4zeYIfo8Tdkcx0frtjnxN3hWIOFjYGV37 jIqqgftXEIZ8lNA8SCdtZPabTtHHNgNKy0dQNbIm+EcJskUQUpaP2NTtiudEVRu+bvSNMBIIlh/W VBL1FInag6UYJ6+CW988LJH3NIY2C4EUUGk6F2KyPkh4c09rokt+ZU+QQoqmfkAjKoAs9OIS5lHM /IXcetq8e2p752Sw1NWxYVQqjY7UtQ0jqKOcHmfRj7kJan3PRecFct/tg0hCOkK34C6CK0wjAZyz tLBDkXZYfObPRnt6LhhpkkJL2M/4e2VWpKpEuoTLWA8pHjrryHBv2Gb1UeRXjdp26BEwbv3mXPXG PuWs7TJYP/iNADvaXC/7ukJzCEbBqvwwF9h64/HsBNjrIvUW5uwSoUc4pyFIwR45uCQbq1+Y6wZV uMSh1pq7fTQn9FSwxeRCIFmxGZ866VJvifOemO9DkWc3EXjffCQWxflC2a4eXDZAkpab90DxDxh+ ak9qtNm8eHwBFDsmaJI1PcoUcPW/jdJBAO3w79ugk7F76z9AzR3wjaYel32+u9vHiHC/H969QXcx krBf51Z/eKuMqH4edLkzVfM20SeLPGBGK1/uLPi+gfwOmEBvJ0dxotaYWiQfXf2O2CFs7bVFAF7J Lck1d4j8ACAZmtwl8gQPCPe+o+EfM8ZBV0DjCuW+fc0R/HgDZ8DVDCqpu06Pk6lBouAViwYNKaBd EubSCzgmVScPj838wxpEIWsbSxfnSnJabap05ZT1lJ7kFtIucpD5gtPY3zRf9fr+MsdM+P7ibd7g 0yFXw1T3vEmPivqk+fN5vxdFOrnjtbxDjDRBkTxhfsmSuLfiKA9yUz2M3yMxCbrBVLNJewxVvcSK WVnsS8W8tnGsZNsTQCKZ1Ks300c1U7bd4B7plH1FI5e4tUHbF1IJctYAB5TLeOgReBIAI4+J/e1h DXw1rhYx4GQ4yAIZr+iWgWS4EjYQIqPvk/3ipYNsv2QrG7TXe0JTqjHkB9ST50ceBrOAMXqfwOLb RpQtocaxC6lGYenXLfNEUFiIcnhH7+rsq5tKZwoKZasZErO3LFVZvbh/9/5erziJ9Yfv3FgxiMOP xsoYIA0WtWiL1Fjlmb8kkKlKJ5DjSN44+VtPcHjQbKr6mqXdm5ra06rCQjupccrnDhF5dpy6G5kC rXMDQZLOxpObNC71Elj8tucfdKrXf8kuxEuRwaI6MQMolxq3RsA1EWUdu4jMGB0GFQmY4LDVwjKs bvvaXWNCIdckY/f0sfmQBO1+ppLkrTE4X1lawOCXWmMDATLDbzozhGsM4KAdoQ7bP/QmCYyix2bN D2qS+Ir8GfOESVQI5niV3JhXTGWEUvvR4FMaoTOl9TtII7sxu7l2t/hKeiAHtcxJBX/jCG5vKNKd bD4jfv7gj8v4DBCq0KsSLIxOvPhEqPO6u7QfshTaAeM/3qy7x+gstHtIqXftT1eKfnV70aNu3Mkt +ot0iw+EAtXN+geNXixaugFcneM8uqcxlQmNM7afgzS3f/MPmxdiQzf6LES715HeB7ZXMjhbEJue UfF0NTjDBYHIShzp5/+ar4nxJKgj6Bie2BJIaEOBpU5ruWNCPwZUKO0AMBCde4RHBZ2fJHs+Feyc M1cIsyOI7eVVvgIhemUqxzYn9vstyj2uGo95f0WxK59AoiSOADpsY2mgvDuyenWjH/uwrYOp6KYq veDm1qbUcPHMBhs5HA4pwWufe2xV4/iEonsM4geo4oDrms6dI3ZsAXJzXexDpPDx58SCo1uYqOlZ RLrnRByEOc/1LCJLOPPbTcICRbMes8mhEJnHRlU/5VORsbbffnWVwOVa4WdxmryeYBE4NkBVzHaB t7GCi11CDjoykj2hQXalV7hhvo+CUF14YATWxiIaE/xiexhMmictFT19Y/X/zFfogxvVTu2QZgu+ 2165zjlgdtp0n9BK/BvhehPGxLkeyVCsOSFp7KYgc3h0qMk2UjxxPhDo0iFDYWwJnpR9TfGpVIhL aeyQov7k6p+lEfi67GKzmBOLaW00+qAkSZ1wuaC8aWs3oaph00Arl9PCw7gbOnyuK7GldoymEYDV 04C33IQPdDqLq8MR1rrziEBbAm512i9Uyub6Lyfzn7AJu3N598qoKtq16+Kx+FYamsAiaSuOPFh9 yP33boljw6qCSIalaRMsARQXaLjZERbIaC5MP2JXbM5KuukqSuw4mDofJYJk8ez/U4+77zdwg4dy IXuDGcsoOSoaGmAC+CQpPip9sHlbhlwjn4qodvpF3e/rueaFU2tdX6vzF+HNYgW3999T4jSyDE9r 1e55J5N+rKnsgVh6XVUglWAmMCTewpJy463YkX9W0jiveSnlxJv2gFeJ9R9L5iIBLVlLORBBjyPm Bjsr0AFTI5dVjJxCNeok3OPVYvAeSCR13R6sSTS8il4z1+MtSbtG2HnjOZmIl+4gtLkA1zW9PN11 s6TdFD0KqoWflr7h67zFAU84ZWwrqmbL1bFhLJyUsdSxQUfBHSZScs8xJdJAGPFMvtwcZ6+9LIG4 i6ilTIpLzCbYeqCSgUhQeHRDjKQlqI2vSqczg3Bwayv6wREpva6MRgfEoNzcBhDUXq8m30j9BB2l F4ZucexzCmoFEyu4IVd/TWNFrmPqNeDWOeRtAEXKVBRTVZSw/l/2XTKdMwJzdraVyNbHtFPtOxkl kAxCm9Xcr1lPxTSGsYCqHNZshllWMKDDbKVIZcRKxTdUJOnilENzqKe+2cA4jykxXDB449M97Y92 +iFelb079ZoWo0vQtsu7mDvJzj8PFDLzIpHBYnwERTerxY2Mh57E1yzZXHg7qUU7xEwXuf5c4BHI AuMhn48aC09H3KsLryJ9bkzpCYMVaQ6dXmTWOmOcweqKw2L730urgHZQv6ANkvovmyCVX6vx9lOL UTSlAB/HFxHPmhGeME4zOHCXwhlQBMjeSm5Sz7KMOnCNmnMHhE7Flv9Ne1Z1Kin2eFaJw4Q1zm55 elYBvFCV5ZAsps+Uu/Qq9z+yWCBLrJw8ZfCkH907Bc6xOIDUUiKQoDZW95aAsogmispkDKJgCUwQ +IysBiQJEN+96Me7PPWVk5A09LzmjiAWStrkBhV4bk1S2hLBkuSiC9vf3Fpni5sqHFzCWPXZ6D9t jBQucoRNjQG9b+DywdtyInZQMoRmTuUlkUv16UFQlDAUjFIAJfQ7SCZyRmnFJU9uVOAxgs9gC8m0 uIX+6WPmUbxb4X7Laz66GrWIMETEjQflcAEkYi/I7E9IpxpDhd03sHoLa/kXBB/moO3pWNFUBJlb gA8NKssg12R/Sn8Mc45ZrNJIXZL4/kwos2t0pc6dYXb36Ebgy6eobko41OdHSE9ENWUa8mTOzrA1 VW06OszotpxBVNliUzT8A+5WCSTw1P8aPHtMxKeDunoYt7rPNoQ1EiB2uJB47TeIivQ74WVdn1lX 2qS6ZpBiHBklioI8WFEOcXyUaWI4u6QZYtPy6Ina9Ky73HHXVDiKJwbHEdwW7RtGoIfO3mbMiQhC haptrXRv9+z6DyY/TTDb6XyQYwlPUpHmLIq8a18a9t2mf8bYL/PoO0eUbEmLYHdj3yaQ2nZ3SbGY 8uNnlYZCzEJXOWWcu9jda3/3t67mwpXqIBVqnQ59F4rCryeqJ5yL3VOLRMG4wnMpAq84gQCdDfeY ZgqhWFIJBogTBYWmBMJZESd30nDZsRAvOSOSTn0x94UMRheCpqNS+YY8cTWT5Ok1zVtgCuI6vqtU /pU/vvVUSpXl3sKDOU7hjm9GWuxIElfGwIkm0rJcQc5g7EeX8gIWGHcI8uU8gLmkQJt2FfEYj1f2 lw+kvdHGvOX8sjLt6uOAFMdmHXzCGkwaYYEb32cV2wtHLk1A89PbVYNgSdLXryU5FvPJSSraqQnB h3hvY4kbg7upbNrlnzW+oxiPFYfQ48TrM7i8bLQ8C/F3KDhB4uOI5k9vwRzYIqDLhTNmiAaSAOU3 e+9N/esWKSc+J6St2jijygPPO2wDYuTdR3d8uh4uhT5mhkJcs3DJduRnRbUqhBHzPsoWS+Vc7wBZ 2jd7FIhCBVzygyu6T0pYW1Mi0boSnn44ppKkDH47fQQ1u2UX64GNyPuO6pvd9ShlmK/s/tjh4Vem 2NQrttYVweYUoWIHW+AYV8wpHHdGewuXeUu6gcO9ul5/TxVAnIYA7PGoUof9C8+Y14kTZj6uBTtn xSfkGrmSnmhK3AkV5iKqR0bAhFeD4/kBxdD1GcdoPcbO4WjaDwWhfdE04afbfaHgy19FPNXLYRy/ QhPQH0zJ0ZcsrdwM4bmBVzo/og4vKLbdHg2VrfGlQYJHobAt7iJNXK2n4o/qCV9u9++1CdljbwWg +bC2FgYiIdyuw97JGlMuMLHPmSG7VbaHsSQztrvz6uYtyLUoELePBNiSwqIxAB+VDJp5Hqr8vPJm pDNKoSf21fxYGvywFAN5hCaytXmAQQJTgZL4YFLdTrXhAY4Swnx91q/8V1i6JxD9v5/lQHB++Ahw NgQ3N5IeZyhTKrWEwPWYFlma0KjNNn0zcG/PjDFVcA4iYyFQaw8LM/7iSFPpMTNFtgq7mV2PzG6H CsmBbL0L5lPOSwG6EApwrthBhOI61HMFhFQHKndr1K0uCW5iyfewBKNI7suscBSeJo0BCkFY+XOq Yav4RI3QSiha2dl0undYpJNDL3i2Is5FWLJltg8dsTqKW3coEhQ7P7LPAvRcrDUTXnCwmpCnLN3Y 3CiwEYQIWPUXeMPTdi8cyKdD3Wm46VUUu1YZecUP38kkuXc5VgfrvscYsknPvaL116GLOlQ48/Pn R37AUh3FxGtWe50nNOrygMZfMUlSkkvE+YEqSIXFqfRoNGysPvDpPk++z4qFkBj+cn3Ws/KJ6Ojc urfpXAPjIKlOagcjKeP8QAM30hn2K+/jE5hGwpjYH0hI8vO1zm41VJv+J7Cgt4TAAo9EY8+85daE miXLN3zrZUU/ajNwHoekB5bF8mdKytsWWhorQMq1kfrlcvJiucNJR+th1C+M6dZILb7/o+VFxygo wDZImwvMALzuqKb+p0nogTRjDbLEjS6asIqvS9qSEqisYvChq1et4S8JJvmGOCOAEBzwLp/2/mbX lDJQ1WzQdqqkqnnDutrO8y7adlgyglqkP49pGQAXdBFOq9apx6L51XCIz5CglvABBCpTOxnh9185 ZpoqV+zzVTAyrkDlTG5dbJ/JuqJ0mGiOtiMIrgFZ93vKl/BU7JfXraCEDXW4JCipUHksJ+ToTpR0 V62L2E8Q9wetfTN405WZ3i05RIhH5COAae1/l56cSPtSIGKSDlBuC0m+PlkZKlcHTWnAxg8gFtx/ l2bD5ea00gkbPR8I6FX3BbDk7yk6ObWhlQbjOEAlrj6Cn4nVcFu9+iJOcoaCZ7RmqoxSwmMdAisu A3wVcWw3qlJbV5SAmHef4iDiJr9u6OVbkT+2b74wnSJIPxNoTCgS4fRCLEVifpsyaczIUe1txryy qSiYOxM1TOcAvaGSucy00wS5brlb5Z10Onp6hwNXjHV3GppedgcIp/MLA3enJlALqoepl6KOCfpr K2FQYGgh/AwEHQzMHYJ4ZvVUbJ5PXS5GVVu2TTjJbj+VxDEGJVojy4DZYCMsifZFywwMQisN2lQI zvB7oc/QMYHAp5ejZXWJMdZvYVLn4w7i4vzwEGFgz4mz+CXMqx68gMdwtMBCmvydcXyfhT9nf1e0 FlEu1Q/sdn68o3Vb8BEJnAl7kWgagWF60mKN4b2gE+nik6VYJuKV5NbKDusgTCaBtad9FfanrMzk 2P5jAXTkW9Prpf2brJTM8pDIcHgRld2kRZLB3FW/bPVz+PKlrSq/1Tmd4GFECdHw0Y5otMbIQIAV NHqpeC1msLTS4+0GTdeIsq0rJix0GuHVxLwDdJUe4kx04G8mv/n3eQQnXB6SuDFXxaPvpw4s78hF gxhkZflVHLlgq19e8QacwsIWwkSSs1F4l4k8pZBoGZh+wOLBdtznQan01qD9nB6UEAgx2SkAM+2B RYy1CPFXKpOYbL+N18PkuHILLJrrAb8gedaTzBpkqsLBFCpXRnkG/4GphDEJmzPWVdtcBG+SPB+E ZbS5qlE/uEJEgaFsqucBeG+kp6R7zx/YqejmbK6WUzX1UY5igcjEo2aQ18sGh2AS/wbBMM4uFX44 2wnybzn/6+DsLtBtJHJrTw7xx34fgsKlo3Qg5g28aL15dqVNtdAoUs4CrwCwhbUBHRIinD46NS9C aIAtwitStouWN1DIcF0uINXD5N2KsvNgLe82IexDOI9Iv5OxM//7xgyrMKSqemXnKQGZZ597oXNG 6iIjdoWByT2ULcBsd9A+e1heQvKmzFwFktr+Nq2Ts2fAGHhckrUcji2Klk5vcHFpDeP89TWgahUV 0uLt++Mx2taQSQ8sXHoQktgXUfPOBe4YDn2H8ek+0/RSmEI4JUQPZWfosEYgU0EyCR2z4u2/bDI7 D0JTrs4B0gir55I6CQ54GJRyTC6rYSKLwuORf4ZntCPzoUDire5+8ldS7G9zhDhNLlFdefsi7ELo j9EToF271vHRluHDhFtsc2Qbq/2UOtdJzbfEuxK/FYnTbhmz5uOgbN00CF/2ffZq09nPE0ArAYL3 4VzGPqh3oavvGcMDzaAXMzqLPAVbGYlHseH8nvWQYtVAM2B2dIKxaJmoGlYha66mSQ9+FjduJMYU UcX9LW4jatVcM2qxNodG6qsCy7fwrxLVnZK8RD64xCUV3xPoQ1PUKlmr4SNJNICwlwSXZNbYw3CD Sn5NchzvQ8v4OC1T4Lvx81oU8Wzfnyhc+7n49b9psvq1EBd1HV26/rH/n7trEYU1dXXucd5KGhdR shPT2UkbDWqHThVbxKEcnnbgM/XOViQwwiLlt0BL+mwYnB12muySBPD9I0hi4yoKmexEcc1MnGBu CwwGkvZRfwZiT6LhBFNQ/zdwHZHaXWD8wc9b9PONasWyWXjStImvK+tCK6fbYrkJxHgCZPJ/Bua2 qToGIsDZKaFpppm/krb97rnZZxuM5f1iOZex+dqRj6ERVisvZAGsO5cHhXYhRnv2R2v1KsFv2Z97 +jcyZRXKgEpLDInEq8sfbkZWI1b5Gg9NejQ9Fi/gdwHbee0FzASe4IqR9dCXWDt7G57ORwPN7fLa 1wP6YTCCNIsefsbm8Brb0WTAKCt+ZZq8nj+28LIqhmjSxFuZNqmiKLzccoPCGVzInhL3GSrQUqRH M2j2GLfrwON0NBMbr6AXoSTpApnhWv2EwVSNfYQhQ1Kven6JAcDEObcAu59noaR7oOzIGXw4/xL+ NCLxE3ikmt6njmOmorrsskPRkZVLAhpyzJJMccnAe/Hx3f/GLBEE71O/GlUtLf7Of/UmRxuZkMMn tuV/GsCfLsOpfc7ZrgHfTGgOIY46oNPbt3gS2ZEdpumEQxzJQQs1CUihlSZ9SwJ6UfrhT+eXY//p qPRixKgkjRELYNTosYGveGm4yrSFbwtfhDQB4RaYwm9NBcfg+eNVcU+DNWvgow4hFfmjH2vnzWRE VbTjPtpI342Bb3kam+JhAaead4bFoCF9P01Rzoyu4o5BkqhngODYtI3uPdYdJxC9eNyUOULi0GEt P0JDINCSLDV1/wLeE/emoBYkh7Ovf7NEBIBIuzVc46KXtKG124P5D4KAyE13c9nARiRKmBKxv5AI 9C0CbLOmYtyzvWqz043YzPstMvCsBIEtzvxJ6ojEhQVExgACriwqNosn2N/PC+SDrSa2TwhWxTS0 CSh2vYNM771zL2aQrDde/oCBJzV2cMU+q8V/7PHmLChB+5GAFn8vqAzvWf43TKeTkCb7JiMZzjLK PP2QdFcNgO9NTdXrKc1NrlYJvmsEzO3p/XWKpafABnnz+97QSb4iKRQUGW92UqUabJUJMr8VyPeh JdcOka37L5E5au0xWiVzkWOHVGuuVsgrKbjSzhbSIaHN8IfUdSK8Yu2kPGCoLK3YP7EoUCllsdfy 5bYlAyaDRzNdkuh8+76BsPZUu73gaapWQFJh3jXBwXldHYEYTe20uZDbLAv09UuFbmFkk3w/oj3m za4Hwks80UvFNz7mGIanVxJhRspJ6HZvWFDaEFjA/jyU1/uRwNUjRfPVMmIhzuiu29VomYBU4fuc +HFZmYT/ecelSufQ08STEKoSltNBEuI6Sbgr8kgytvrvIVTOmH0Hxl0WFyojDVJjd/Dz5KDfCSsz gTD5uNFAhHIThp+xMFVkbtDqqKILZAj9/7nzSzhqm2C0c/vj9LNUCjjxDeN1MQcB3rBK2wgdtMxr Q525oU1Q+5MrktUZayDWp5gUySOtK9WvrxAbRSfnUeB2FjPp7YeVFVQVvfS+UWuyRAshmWLZyCAT iR/jEwx8dmnuN79u+u6m63Ebpmlghglxw4N2v2IdYLRjdCimfRf4aBUqlnQR4DZDpwUrQgnuSAte oykFzSikf1RprxohLyjb5zLflEgHstOqilOIhgtgbfB1HVyQjHW3EP+y8/UqekgKWSieJUYuJ9ru NO9AiT9AqbmKhmg3JqQzcaSow95F/IH3NeNYW5VwDxFSN6pt8yPGTKgYM00YfSsmaUoEIPnUXZ4R kMgb14WLAXvbSQ4bFmUUuDI4oBunEzvMEzYdpeOqEpAfVbag6xjVW2qYWGanQd3YuaBmM9C8aFWQ D/Dp0fBqNM7OTeCpVtzhninXGFaxqpiFN/seUylwoOrx6Z2lqiPSHnlf42O8zmkeb/d1mIjoH3C4 A4vAgI02Rz/7N4/A0R3noGKJggrYpuRYxvn3SwPCYqjNcQap+i/fbgCY/xetXcWtz2X4WvYal5NS 9yCVt2bmf54PwwUvZJf/CAlILfcnnytxntTwdwLgazxcYV04GFKPPm4/KuKnI42I040vo/GVcxKV WRAuUmhEc74xhOUacl5pyKEKBsI8AvVm/YiM8svKHFplVRQe0joOGh0Gn+xhBCfoeq22lkTHw0nb Oh6688BUzKYc6GESK2v7XvrqqjQHeDxlv2pWWbn4wWPqTzonkVeKU/MjkWhZVtYEaedu/B65ldQn wwsCWzl6q6m5RaaWnCrhIk4pYUJ6XgOAQKDveme3W5lixPBLnndd2lWoyhm55IChruvXPxYgzv2a A2oMFRxFy9CXb+DkS3dkJcEGVs6ikNt7umvoOdzII/+E6WNWjVCx9zZXRNs1+ww+F1SBwF6SJy6r NHF2RIcl1TjEqB1mIBIbLXBY9tCISw1T8XNiYm3i+pP0LUhgnFTUSgPWDZzOzC8v8521D1ygv7mL DSFICmU+zDPeENzTTZaO7DbbP57AzNVZGOwVymcoes+GYX2a9/bp4LmQRr0dG9/z+OoFggFbVOD2 LWi/TANMA180sUM5fz1aSO6BOhdxLbR+mGoBhX8XaQ2M5R0pnJjTa7B2mZEjUDYX5zd3HNwUjAGT 7L0Wk56IazmlX1Yg1HbuXigslGVZwNq5xyYapeaPgRnRAsfU0+qCL/Du6dKwzFT4gbDOJCDUKBkF meY9pTghdK7Pl16SDHfcSomC/+RyFksdWDli4+HMvRLjn4xrGDnX8VjFIoBgfauWHCoM65IKtdRO cIT4RJnIm6MvYerZ342rPqgqU5hL+11KTeO0CtYvS6bF1+xRlrW0JhVnbCIyPzZ+DC5zS5y8nlY1 s0Oc0AwEAyPrO5MetYWxhWXV5zMsMBQ1cZJ4JHGqrLtS34QUeKaVRUX9te1TSoMfMrC/DbPzDQYb Xe+N3zpR2Wd8it1By0uCvqAhzJVkwb3ox5VhOG7D+DUF7EEKxOCMgMlXA8tGeuAh/qrpHnSfQV5x nk0Un4ow9E5TP+w3lFcscvST5gFLNzWd9boCEFk9i+eNbLoKqwAQlD950K4j/YXS+X3gRdssOx+6 m+kGY9Y8B3wG2H3IOrrgLpRpNU57Xn+nasWCZXDwQIDeggqYR3GtJSeTT1VRuLV6xljvHwGTm0V7 cEuWSMMCRZetxMGkHUTsqZep2PCDW02sMA5c8MlkCvJyFE8zzqkMdY8npvdXZVD9bufXa1Xk7iCL XBtwFL4kl7PcfVOB0J8NfMZzTrbn2Q5UN0V2rGqPA1OB9lnhrd6jlm+yG9FutIFqEe3Rx0tVVGSj Ia/eZEEhlMAVVsh6hWUOfYYelnTao3+iashAuC3ebbXDpHu4MHJM3elykcaDChd24xoaYT1v9yf9 gMLlL5ZDBIMY5gPbJLHtSXwkvNN+G8B3BxbrIKpw3Vxj2SBf1NzJdh9ZtSXohKbKj6CNhEHk3WGg fxUftsLaqDyb0iVeJhg9Z0FGhFvTKFCRZPj1QbVnU0jcuxqECPNGQU1MLpalycciWVjpt9+aXdx8 pTn/R/bWpIpcLrS+FO4QBJf2eVk7bjeZn6yAElQsyNuJX12JOHtI0ZJ7JD+9ecojcZd1Ba/NsmIa IJfzXX7FFBYrvPL8GQ4rR0CVCl1QJjNaDXj3c3/wX17X3Fjjy3gGJnFZBxgJiBemTAmKtGzqKEaJ C0V/K8gnPiPzcJ/NfsYMk/BNaugjQgU0mu7itHPzuRF3+LQbyTAiyyPBXd7k9Kx1Viftt/SL5tL/ /xl13+EullwSr1KXsTjgNkIprEDK5k+jIl4qHqo1TZjwnBhcUKjHt00AQ61vRKKhbvraf+xjmsZB 36ll5aV9+w16u14yYSah4zqWZaXTbXqophW9oJveAMoWPti2ZzdSQw6/k8DeUXEFH9QAbiCrJSfp RRGP436qrmdatJg9eFYpBscmrC07+5W9qb+OkivFiDmfcCdH55jQi6VpJasBiyCXYpobki0eBIA9 mdC7WADDR2rVED+ceLF4kRZIqZpweqd5Zid30AzzumLWKVEKSCxE2M37ZaNBovUY8ex5/jGDXxDw AaL6sLdo3quUo4Q8JM0PPAUR3PJNrgyt4S6wnLgsehMd2xELHqXCl/xtZY/FRn6zyXZ1OGVlIenP seO7VGRrLmYgCZB/5x/nwvCL2uyhjDzqd+mTALyiYanZlFEGUcnrmfq7q1CDC7ypQSS9wIdJvuXC mCh4p3wLZ4Iffyv1/rKj4VK/Zo4Nqw9vreeUeROE6nw+ViwqDpRB3Oa1y8mBq6nUa3PdSPCk260a 58YAbVAcH/yl8am/NbB+hQbbVW5dOSVaJn2XQo3NDRxzi/bxPcU8NLgL5bsvCHFSDq3PJw4bJlBc 5RbpbYCESoydZyBiBDlrTbBwtfsklZjSFN7X2vtO4QFVlwOvtEuLwjMn2ca1BgiZQeHagDeS8Ey/ wD8HFUlhwgzPaeMbhOhtwUwA4EjK00ZOPQ+serevqDEkuLjIYi3UeJzJIaMelX6RbO5VZ4XMUPXV UNdVp9vHfvsobXn9m0CjHFbM59qqJT6LRp6UKDR4MB01fvQ4fWxl+W7g66gIeIHQkgmMuXAjs8VQ 7fiP2hcIdxpEfP+yhVs5HoiySdCj9wZmOZ/OunG2tVBRhAoo7JYAFY6ZJKSqs6dGGmkpqtT12UVd lbDFf0WTFTWUWyRASm70cOmzOQR9R4YRqbEfE9TP2I1RJUJYdemPWLjF89nOTft1DaQTWxjVonUz HDPxBDF1UU58sfHfQQwJ4fh+5Xu9JcuhKp4uwImKFipnjy2Tai7STTwYo1VxixONHcdBnAqLxdRV igg9KP/VFle1sacTKjZ8QkreIvmkXhwHg9itPoSl9+BaojfUaHiHz2RGcknMaXT9MdasQt8LOi1I T+I0B7cMI0zOa93e8K58DnjWNQppFff0Rv9ICKU4LXs9Imyy7tYkJ1mNV43vYQzIn4COgpcPnTMH 26YVyNtMfDyQkiXw4PCOULxCwhnRbNb4VPyKUZ/MY72vv1Z3UaMMi8MLQrqQQG6L5+5eHHNbOLP+ IKj2CKwxll9N5zfbO2XcR+sI85IKnIb10S2wYdBmC2keY8S5VdxXbeSih64lI/kpAPMy20ugQxYj sXe1HqqMgbFXuB5+wsTmOSV9wyVJqR1Z9i5WwEXDwXDFLkPECNogUuKxq8Knit2daBrNH01zAT0e xVDYePMndYLm8ZiLjvaz/9nfLELz5G/d2b9eg18LYx+ZEUmm/qfmbUVJjfL/kPQAOi5kwRpAA7FW o9Zi0O+H48s4ZHiSU2PdkUxTrLjoB9GkXN2lPaj9frCRgr4vkivOOYqKshyi+w2ncBOhXFxIkjGQ Kzi0lCOj+pSTkWzWubGjjeLL6eCNv049fD1RHsgLvnSt6GIJLMPP1I2F3P6dvBmDQIbT2IbQpjtl 0FTAbZ4deMn26leRP2CP6Nx4lOOyJzCy4d+rknlYKp6Sv+dH7KUdeuWmYcDoCNfN21j+/U0hyTrY CNIWlbhBQ+gQvzY6cBT+xcssOYKOBmvBMFsHSG8ZYP/2rYFvI8JWw1L3raMGePiOBBbRPigyCUd/ k2TsntGOI4deO2mKIMvrUT8BdJb8O3R0fDgY8Iq22RRwhw2wcpsDI6Yy6XZ/cwS2d19zvU/bCMgT CgrAj95AeJrrU/celpeTeHaBty6u612IB3ta5Q0U1lov4Q6VeAdgIsdqKzEqfhgKnD/fAAU2S8Vu TFSIQjEpBx3m2nvlT0pnopZgPHlh0YwMekvMFrRhmz5dp2V97oOFxyd7KOPzb42FZfmUHvyfVnRV cp1V05omNhu70Yj70V3dwvNGPSj4oMWGrzzYQw+Py0MbhXjPkeu+jOdToClKGCo7nSe2sux+UEVa /iuXpBmq9pIDH6AtCfTX9dGJIrwQ6q9LngasF44GFSjBf3hJaUl3dlLbYNLBEu3Gunrwn0GGNJsh /TQvVnAUT1FYi5ULy+zpQcquWXzPUDXTo+/+23w1abc9AeoikU4SUSTqZzx0Nkfb9zIgZkFSfQqQ dOvfFr3eLQjHJt4OmB8UW7iQ5JqtiRC1yuyvWNaM9RLYZGmk+jIqbNa0W/3OmTviaHCU7GcisN48 ufxwzv8WnUmpEyb52Erlbogbs+wPLbJWnMUJvWFb7VZyIKmdOhMA/iUWU1javj23iwTQTWDnbPUW WFJmq55nld4zIRa9L42RjDVmf4zAGAIg3B1qVWCscj70U0IyPZJzBr0RBU0jLrnNIzZD2FJD26Hs oNoZDPA6SxANTXx9JvFU8KE9pMpn9LfKECGacF8ao9zv+TfJCD4cXZJSpaFVPKgrdiHh9TcQQTV8 chjj77Jrtl5CBWX5C/rPSJFYLmTMOsDAyxqsoxAQTEka4PUZk6ltSzNX5I0e5Nlyux9LD09iJWQt rM/lyHCjb2fpY/SkZlhmyuAPNuNHRaufIBXF+NgF98s+nefRFUYlUJRgTbV7kwfjy2MTZzNnkSHn U+mmdvnSB/Z9CDQHyYuA1X0ucqmoJNt5VP4mN1dbwP5nkFUzwO85yLJ7te/YPvfox4GBUB7p3h+K pbFaOCW7+99D8fx3RxRWCKvFwYneUkNYH7bM3DPKggCTkB4PyNOOOBUo21QJw+AjPNhdmNXFxlpQ kTtFIApI7VdQw0ejw3HezdSybPpqHAnnCluSPrwAbhoA208ezw87rGK7U6bpUPbZapQosrjcPh6z Z6/gcJFBU6l6Krg/BKQ7+AOj2GZavpLg9igS9r55qfMgkCB+gcV7OEBYECOHdlk0XQmhaoLk0wNX LB2cBdJ74D3UMs4LRO+zwKWm3RBucpP4jsBoSDYUomuxDgqivAOikq0QUNrGoGJFn/n9cUH5ecM/ SY1TOqy/OYTMiXYfjrAvvt6pqK1dEOyn5Oz20GtVN9WfV727QUMMYnbvNB9p6BryO1n/yWq2tM89 wLY91umGMGuwY2a5D0K3fNYKCJzQ8EnadcivmM8fkMnghGp8SaEcVGFtUiZYb+O8jUenHCpTQ8Go 7F7518ECltdKyZ4cAHn45Yzp+Am6RHdo2xkvTimKtFZDh9pOh20OTyqwYUQM8H2hTS7qRmGM4EzQ k3gaw+qc6ZKkafP9Bqbjqv7wde0LG3YrES1X8HaBSCGIRNTcXkSwsTTJlmJQjBbXIfN8dDoxW+ta KMoaCf9odeiCdF2WjUUx8rrD2+jVeU+JNVr5aT9y680gb5w+5kPve37OxPnCRi0OpU9td8VonZnw zp1rHfVUvRkxxGLt0MjneE7C8qsYUpR7HW4YRzU/e8aJGh4jr7k/5wz4axg208i3Yk0Pth1A762y biYqNHaRZCb9izw/wqSxQU0xRrrV256ThqvVIWiXqc1XunRqTJKxyKqKkw7VNV+Gy1vH+DotOBYY N+VwVbkgQOszjpPlg8VdXBjmS0ng/qRLADT7uiZzsILqFlrI3HDCOgkz7H3PmM3FZgXZT+Et6S+6 lZsfrpAAMuIsq+Z87c2JlHlFXOl8lqsW9BziI2VMWT74/H5Lr7xAzrGSfgZSQ02lgKDSJJFgIKU/ wKA1MYwvcpNzZYGFILCl4XZtWoFwidNnoufs/czpk4tB14Q9RhA2y4/hVZcZZe/4jZWhZcadt1N1 pVh/mrcWDhLSxuVgeXe0Ae1P+kmT6iu8bgif3SusCBvR4odFiFz66Xla7gYECJ5J6lKOKG317itk z5NFk6RefeL8m+nnET6ZsFfv9N7YaKwT+1cOSHtKYb/cS33GYyHIoNdzKLUSG0oH+LeYXP4jRFG/ edxxaOEWo0nkD9TmD4beMeTZuKcbi4KiQdxnmpkZPTAKh2vcJ1hC/nV6fZ2tgdJM26FYkPNzTzBJ tL3OvN2pzPty85iJJX7Q2ZGJAzH34t8gXhJbqYWINqp/9Yf6+Bjo/ZJmby670yv2VVpO37WzmzrR eENBXdCnrhMtb7i/xuMoMULg8+dKJ210NWpqMi0KjQZweWqrBFk/1FHxtBmAwiCQBrvIaWHww3XG VxYVrCgD30entY/BAzlV3BObhKe6EyS+OcVfTW42P6Gu5HVypLQ6YMIigOX/tKmhRBGENJfGeGr1 GPbuhOVdAivXQXeOciY3DDnv8Vz+5gy969gZUl5ImzOelR3OawTsIo5GSZypkg1N/k9fwtPRs5Jy ruEnJID5Uvhgpp19ZormUxk7qtslkpp9QkbELnwWgKKBvV2+0td5YcN4RzZjhC//qss/jTgm00Hn PNC71nPH/AS7XXANQIslHVSolh/8RKDVliTAAFPyBUl8/RHPDuxNCcAJLvAH+c9jLd7fCwx/01+a bwzNLNbqNPWCFuRTMnO0abnbAuDOK/0oB81yHiUV9NlAMdw4WBVaLRlxdU9uHJPRP7diJxvwzHTj RfxHLRjMkSNRXNDEam6VZi6DtOWaAu7+Y1rsw75xnitWJmeJnA612u6t42D32bdadr8HjPfT6MEs TxvbDYc228kl7Kgd4dgCykShzzZpPbJ17Ns8ROgIZ5FkitSfGiQisQon2305Vh93dc39rW+Rk4DY 1NqgLCpWLZBJYVGKoxUZk5LOI7PRL4VO0tFl55SDXZ0V95mukBzE3iCVoK0KotKxa7RC7BXl1ucX iWoJuXrBOob9CAPZsOpRJ/8OFL0C3phQ3vjo6ph5tU2lvizNRJ1vfQ8r1OpNv5cXxWTS+vsyg/SF +8FBpAamAl4tmijS/Q82vvAhDztpDjyLzhoiys3fM2q2CTLlbChTo/p1D7nwTSG2RtpWYRArhdZG BPTOJ754Fik6W1iqxs6WuuiPNoKs+/YBOh9WWRA3qMpGb21j/Wm+/IZXq2KbVqMyt8QbODyoGUNE Uxv5hyiBOWsq9wOOHVgfkWGS5185LiwJImGlMm8mDub9IhIhKwojjgGPfbpYnkOAHyzAzQCBuTCr SMEa6Jvkl2OoL2UXedTtBH/w3l6MQLO2v5HEi4G3Cp07mZ1yJVKXBW64ALiTucWON/BVEHJlWmFS 5+DB70V4DDL4kR3IadghyHc16oaGg+ivAil0taA2SdiIl9yq43kgM1PItP25/uJP5FEgK4nVlJPb BKdQtErPLW6JDAw0t/S8vxeHhIr+8NELkOvHC5Ep/RCTgxx3c9Sj+dploCa+Qc5DE2yFzVnLGLrV 4ifBD1qGV8yJeM8cbsLt7kNnag1le9UIdKS4tBoYbvoREi8l0oWYPFqsJ8Qb4tvsLkTGNfBI/DBd zCkNoTfT4OkR+pfpEUX8APJPaYRm+/vClZK14H/tcZ4mfVhUbwGgkS9yKsCX/urnHMxzjcq6o5ka bsRKidHRnMoguES2l/jovpFmWsWWqkzGevhtsyZ38gwCyeRh/gegUK1UiJjictrYoEKRtzcYjR85 GxjgnNwNB4wK1Zq4qsoqLauPDL10g2s8/mmqKRoeKDB9irQ0uCUtO4Cmlm8wJ6jYCDJkAH2tZFpO Y9IbU5WTM4FPxcIfT7NzWNTL+b+Ff1Fq1GSIpIomD56pZOCm247SyE3zvq6/rjeDOq9YYmWWurGi Ddyjgc5R1T/KF/aAh4qWos3SZ5/kfYhoD1oxs+QKOxG7xEaAUAOP/h4TsSt9xaXyUDGmy3hsoZ6N Qyk13wwFhQmmLSe7K6Ap60daiTFApGcaRrJTI4bWUMyMmNKrayazvdvhFds1Mn8Jie2OPNI6Jfyl WNS9Eu/uNoG8G1yRj7O4EgKef3lXzcuXMhpV4+tmDX7uic3vjt+QIS0Xw7T+fTIfonDKAyeQY0WV wOQ3xtEYLQeRxjI3x29cUwyXiHJsSt7OD8XgUf2ttyM6fAb2SdYcO+kLbtJ91zx3+Nj5VdJGHeUa mqJfQP1dsKLgzL/3hZQXXOlrkHDx1RxVYuw+vDTTdFbgCf6wQBk2IoLLbWpBXpWyRy9VwoRZUzf4 GiaUzQYozwe4NQmYdlKQXbUBnfsfu9Qvi0wIHQrK+ho/r3XSz89PTYGwdtG7NQxbWWNhDrgVkEYT YOadOt7mAJPSgr0OnL0H6JS6ku/aaikpM0Ul1rDzm71m6NwBpWJivis9jO4L6yVkuVEe/vgWmj2C hl9vbqb78/ppz6R+Llb2cqDo0ZEt5pB3rdkeRyTq1a+KP97nXJ4zbXZYP9I14iKF98xUY0wRmzDI RZt8AVZRyvyh7bixH4PGVmQa6Af9P2voqEsNUni874TS2K8Y0rRJrjFmW5oSob4/t/MoWlgZIu98 aSmI6ts5nJOpLQlYztShfrKb+cPE5lvQXHTNpXzd5TOyjWYyK6QOIaC1kLGAcU4GCyakHV/VCK/b sG+uQvuM86GnVVLSBKqlKIMqMt8sTl8Cro07hxiY08JzQDCrHQfUs6EWQlkVO1pEh+Am9VBKWZsu Ipa3WFhKuOennySvcfBcsh/EYYK6FvzLrITHFnAlpkWD0GyJYwlIecybsOGHda2rDplcnZSQblMq /jk4xKGrgmeM8GHa7KWYCqxFjYEUGFebUrL1/WtbG6nFxzc/GyiIfJ4+ys4CFZur6uvSeO3HQlWI utCJ07s9spKZQ8ZjR6p/m5+Z90kEWAiOst30MNsC92eRvi4o8byJFdrwdLD5ONmal/c0CoV03j33 ZJ7lzBVQHAuCwVGef/1fKjLp7nYcB9GwruKUnPucNl/eMh/GPORApICRG2wMW24+MAxgYTRz1x0o a2lzBmykHfAhn9dQ+oFIOjyi/xt8O9paF6OwYB7wXdnr6bAj/s0/pyqFZKp0NccHiHMcycq3RJr4 RmQa1Urp0V09h86LXqKs8IdXctj/MFI/hx4pxNkJ8KILKSiLD1o4GhexbqP3KAI0x4SZyPvuS+HA qfHkAFKcLElZbBv7tT6rdc1VY4e8AMIit3MvEcwlnz/N88FcUPueOcDj7DwD7nrdcUW5n/n6WUAc 5xCBGZbaH1hJzOUtpyrauS5hXByIizE74wZ0xqwSshSc2Z0BVNGx2dTjn6Ug8vjmYtrqdYEneAzD NF6vHocKpmOr55PTWtA7Hs7OaPnvc/8IgH9w2AXsz3e4oNnUh9s5a7Vd4H6BTuS9banGG+9ox+yl O4LTA9LpC5Fwnfd64arqpO3Y9sYw4xRUq22xNLWzTS3GBOBbkLe59vX0r28dy563mYupdGUAZb68 POCfAiRmnK13L/E0jgzLkyT7Dkd2NoEQCxk5Vm6fNcPCME1/G37PkxLAhVvUo7SjOLaf9rvni5hO wtnvJK2tK0WreuFb/8qbu6oi4XkQTzWx11ja+/8GM4MWbUMT10l211hmqleLOeVVWPwjp0KjrH2H eBMlIj2XU6cWmk8ej9HKaAXhETKWBMGDuvipLnh/GjR46N/iPAH3I1Ge4gv98ZsdJ5jntsNOV1jF kz4kU7OqFps4LS/VjECkLRXYRmshdPOmfx9Yywy9XdWBhL7Oip2A83busRl93Gj43WowD9ROadsn aRWS91ftqkrjL1q2AhXvbtn3GCA90XnDSfxHy5SsSjvn34W4rXrqSF5raIankCio9nV66H7UWvmY bW7o73kjjmrKjZCcxqpB6VlqXziZnsmyCjyej2FiPqP3KnwFbd3COLHagBH1MfiSNtaYnjDJtM+2 fOoo1v+o2LlrezZWCJxd/jBCd1BpLA/8AKaxyUq/sCYHERDxp4sNYn3pSojFIB3/5E3fwzdJ57l+ ESfQLLoz3AGHfmlG7V3wrlUSenmkxcqQymlh3J955gkci15Mi+H3lxnStJqT91x2bXuTwsrJsaiz IZJNkcoW9QnsUIROu8RASSbEwIDfm1SXaAIhXSwq6FIdB2dSxPkGJ0cKQUHsWFK+hAn3wNVtltgT a17la6amAgurSWqWzh3ELmPzKDUD4pCa5OEgPCCgHF9rQjSzU6hwup8kOYYQwd5AQIxLnGTENcXr WQkMHE21Z9vHzzvJ9C8Dh8jk3ZtON509y6cmVd4sx83jguuVbKplPL9CD5vJMEa75tx4h0eGqEPY V57lHlllpPTW+dssqxRpeBajOwvnBM8/N4XBBBkZ/6D2hwLC8cri9R2n3jx6a16pRhBRdB/IjRTm RS4dyF1sRgiHcMgFixYiGXA5G4QSKo2xkJIJ6HAQIe7LSajlcju0pnw3OtbE8553tRv85VxgVZ5n 9VIK4Tbv1xgkJrGTqjRw9FkAxC1nXmUoW66QFwDyZZ9w7A3viHYoHlTsL+ibxxnPEcMGuAvXFEFk o2vCNcELB1/7u9XLrw7sk4dV7fkF8ax3dDjpzwZU6QzZp3FRJ1AMd0z7NcmBR4/CP4Db8Zv+lNyY XQCccKWc7mRYa807UetsCMu5YIF+Oi8SFcYg3WK0y5qHknf5YaopIbOKl+okztPnQfoRwXmipRhj HiNOJbHXhinzQHqPZgfRhyPVKpvnzzFoB10Ebo7BUo64F3URlIOIT34GJcUuQqzLk3Z3xy4Xa+D3 5Fl0wY4S4inX2+KruMwHW9hq6tr+As2YOe5fR/VD4sJP1xRSAO+N58iI6FAW5ix8TkKnXbHOoha0 pGm5Cw1vzvA/bVjwv2cQKlkUiz5t7Rm0dpASuvPCYTaNke1IQ7fWiAYgyfz1YXeTTwTvIcyUtvGI BoKM5GpWdXYQyVOZBjfxavE0GG5djBMiyvbnBBSLUMPR3Yr/mQpFax0qPgaRjEvLjhsMt4EQBquo 32w9zJnzGJZ7G4zJxVc9Ga7XNQFFVPbZ+dOyGIj21adCZFxrWuB6hOblCx0GhUbuFqyscqPnsqkf NHsxY7BGjMt4YpaEt5abXFmQi5UBcvfGILQzDgUaUI8fu/jFYbFTJYu8ovZSYJBCwb+tYRKu7yst zG6egrzVlr2/yagmtUDQHB7GrqRH5E4+WuFvqKgVontpnENnd3yrSXiGFQ9qV9CnqcCa1zBYgfOy mwXmDC2QW1GtFXkJKsLhC6GaVaUbpOovxNBRYmlai6dBUuFUaBAMf8lgdsxwu0HobJz8khhDAeRr ZfBOquAAQtCpQSI8EWAf/s5QVRJYj4GuEMMIsK6pObKNjnTuyE+zEEeNI7MYmROkgl2eTMxeH6E5 atufIWxTNJPKl5xPbSRvWWPJo+iilK1XJrcYT1De1dP6Q7VlteWWUB35xk/ph3nzy8VwXStDEvxI MS1/Eva6t/YXd0FuvTXoWJ2aESj72fjObmM6piiEKzPiLjSef/SI5aBBMfWLjQUwzxAeAr8wADuS xGhs3TRy4A9aoCkcBN4zf7GdcXAYpUcagh4aIDVjZVhmaK5Pb6OiUla+aJXmZHL3Evv21Nhz5mW0 2nhOLjbibO0/Gr6FWv24RMWw3qhOntBXzOjLFDVn4dpbPKKj4k+Yg0wUjIDFswvxEnFglOW931uc zzUf88J+qNCV1GChtioX6c+imtt7MTQselEqR588XCgq5QAJbgfS0Q7U+JsgLoNhT8ePZPdvA/2V cXxs2apW+ebxNIvaaB5YBd0Pl8gHJ+HhEG6YriOZhn6rsIOnCvrFcgPPsa0jZH04pgn0anmUA6+C gy1i4bfuV+P+wkyX0QaOuTWnTfZE+WXylM4KnlCcdBClVGegypg/rrGVQesRfK9BH7ElDYA3tkow tziMfhYLy7gBa/ozjhwkxtvbbNQAu2vFl+kB2dRVFfDcH47dbv4HqAU4CNyFcS1Vj75C0jvUoP/O BMzF3oJzIApv+3l3F+p89/0iJISyxCeYftsguwP0ZtstKeTkr/JKNBCnYcbUk1YlKozpbd5ddnzM 01hWbYYF7Gm7xs6dUN9r19vC5fPv6uIh/WqX4mckXJ/ZlJXfEsQyrSzIDfpxaKvw8jwVqy1Tt8pQ Ej9TL0O6TnKpUeZYEksCSPzcU24zsQ4879qIjYVrRO9BBRqQO+kIJB4SmtxdS724AE3ufdQd9gWc vLu8ZBIGXgN8uchDzAO4VH0BM4iK9OjGKYz99W/xAwagIW55XX2tez45yvDtnGc9DNkVKdDV5rbD bY95dLIXj5CNEXHpi6c2TRXfB9W3bvfxmMPjyQHLQDyQFoJL4saix1YHCIAg4Q7R9KDF4z5kwv8S /bDjGk/m4X6p+dXUxzdXZMClFkehB3+ts6fkwQeWaaPDOYzPbGAfUmz9PPaOZNh3ViE7WyUk69K+ 5h38IJUYP1RHRC28iOUNlr1Ww5uR8R7gyv6uYDaZHAhIbXWH7a46VOEvG/wbHYkGi9NjJjqYh7xs Pv8hkuveQ2SNGqIKtGXfvgmYc6hOFm8I1Ox2dgE7RNQ5dBSHuSR9OcGulPi07scxWJ35b0Dmbwtd k9SfhS/Jr5CEIwx//6X41a53WCqWZi9z9KsNzWmE6y/0WGy9DGSLjxgHuvrK4GFT9meXXChlM8kE H4XaqJf6+ihnGKgxhhPamK1hFuiJmgtnimMPXNqNNgUxDl80ruUI5fW6uo7DLFJDvArcKL9lhncH CB9iIqaEj5pV2MlJAM7z9G4gsAIY0ElHTv6Nx9K9Ovunf48Zzz/Y/76IhTzO0LwxOY6ov60fQ8lO vf0e4BhRZpLaBNavK2+mYxHR4gYjUveNLEbgIs8aw5uv/kvN6c9hOM+wBPBnCvug+8azIjXUA9oT 8Wzpf2eSPjXjQ48yOzrbm+Qv5+ui9hTsWVmoLHFA8HNqMqwvrF9FVzCBkpH7pHoI8n2pG/iQpFGr 5nU9tmb/a+qtoHiDMPfqDWErabw2jABmdnKEL7Yib++d5sAmGkHsPQuA2TIK9tu9AaO/AI4TeQb6 fyIsVHBXX7+Kgh9qkom2S3nQ4sqMJf5DgHmOHmhcLCfXTMD1dmd+h1/sH8iVv4bTZw4hujMAj5F5 vPvOhMtIpZhPWT4zmaNQsugKNiH/vz4G0Puo1FWyMjSv3GBF4QMszgwhJvQUScy6HC+14OzyjQkm YiXhtwJA5fXhd9joJra+Yj28g4UEguTpv3Wb7PjKpyPX8+1K7ziaYvqTsm7lV9a0wQ058KvUrV7H H6kMi59hF9n6seAO0w1xr4z5xcPMkGdmAIZ8KKVDdtBaDE4F5cxz73zJi/z8VZGVNi2u6DKxPUl+ scOHM+QxmY/albW2knI29CHbrwzkOVGEbbV2qj2zab45cc617K9xWaC/HJfFJl8rq0EW2cf9muRh 19xnfUz+bG7XDZCcSNOr9JT265xhuJdyzfYYSEF7HJJfGxh6nKOa7xeiEdzOdn+44n/0JA/IdhAn lygC0BTkfI0iD1av+hFtNnhT8cvo/kSt10jG2pwWZ1scDLRlvHE4PMljazWqAiZT4e4AbQwFYDLK tplVTxuRIEct/1yoPFXwKAdZFfYzgWa8jF6QsQez+8fDwx1j7Ol0KyuDEwTQGAX4Y/gcKpipYbhZ nmcjDvPVOvZCfO8QzqMAgMbk2a/s8tIYvD8SP0PvZMZUaWVw3HaELjDyDGyMbHjbkC+KqtzfLwMe r3NiJCrHmuWWp+GUQCiAEOrnXDbT7caqghEjSqmSuqcYBX2PgeqjhRYwM5Q5zljJ2IuMaG2JzSt4 RH21Lno4Z2xnFpO9RYGcWgnlNf73KaOmiRxSX6Y2h+fgvO6wWMZGQc17bgeqks4om8BGO6Sa0CiI qLi+VNsb6CZ6eIYXUAz1vGy73aQXYZ840GLjw8hF0YBUrOMH/bVjrmDJ3kgG45MuqLqTxsu5Kcn7 sBLzseSNNTACdQnVvegH3B93QwV1LlAWQpiD7MoizuEC5ZQA4N+xDjRShIDJZhWSfwQRyi/oLKYw +UFGJiolJUEzF9zwG2W2TuA5z41Yu20uJoRCOmkGo/DCce2p+X/9CkfxFYCDeR/MW5ukgtWq129Y 31fFzGdGiK7qm9MTt2F4y0Z+KJsmaJ5IOVuENhWw6klwBSenWGf4eTxw7XFhj4dHHXZ1qovH4U1Z 6A0i5I6x6K9bW0bjGisa4wyDU5XAowcefBwbKs0+SmCiFc5Cnsdtjdeeyi9vK8bstuDn0Vjgzxcg tlywSH2z8ol5xz5IYPlg1FE0C9NUZPmrjOYEfR9z3Jfk/xaYuxNrZCemT0G7MGZtU+idwptFP/5U yMWaOP9be8PHe1r8xkxADE5fgDhJVtRYKbICv5oJ1TGkc3tNM6mf3GB6QcU7sgvVVe6BWSLcbDms i/22xqxWkrbRgAZ9quZsSCoUoWcNEyEwU0GoNFObfzwLlZq5omWBaIt5tWEjzYThChRJSDgluFZ0 EcXKzcLWKkvbxzktI95WhAoa6UqFjUrq/sVnOPAxt1zcSRAO5C6PUf/Tk2X51lR4gFz7cIX8XwkM 4/EJJZcqb3/gWnVDTqWm7RzhLtln2Cgza+z00lJuJZ+LUdhZa34sjs83MGxjxD67MQuvtZR7MuLj 4hiiCdRJwt23RPkb4wveRwQbmR+8Tg0ZDkBG0I58Boi323bVu2dNpwKuqe45HIGpRb4osu8cpsjS JIt7ssfn56KbcoGNZvdgPhhXD2VK4yiXLdY9zc3/qsrcO35Qer++Yjw7clbggOjuZkKW9HtZDyTV ZvR/2dSkcaZGrarqy67y3wLj7xamg7RtH4V9ilywWf1y8WANE3qBEaxbSB3J1sBKmwdQblb5a7zT bNEl3pPbF08SkUoo+U6cpzo0mJEj4pB3FZpgvcgOs+EliIQ/Zl3BLH/UpIwhrx5MoRvv4PtNfaOr 1nLL7bHPLVnmUYJsFUoug9WZz9PrAVDWA8WKdKNcmhc/g7kqUQ/P/w8szkK0iNIitZXS8nBehxoU lwdp/22oyOFrooUzJRT/TFxIGNwy4vUTLE3VqgQHsAMOG/YG96mg0dV8ZVLTEbuC9jwtIs1oIvhe TmnEA58hgZWW8JxNpKFEQ1i58NyZSbdMjMWRL1paeSIAaCRWdsup6YdimLnsXFS4HjdozyzCJisU 7QJvFSFlKo0UBlSa7aRSYzg6Jgj7ir675kCrOVY5p1Fom/CFHHMStzAJF2XACUy2lLsRt7hzE+C4 qw3umrwGALktLHXsNwaB/lK9rD73lSgt7bZBsalpgr7lIHRl1YD2FrQdnkzTYx4DPBB9U66VdPBC TaxvmZ2zHJn1HmJz/5xHwTpLLWuBgo4sVVFGLdUflICd20v2tqSwl8v3SeHG/FyrgY4npGYqdmQR kaV5MGyfIe4b9GUFnXYbdCmk6sTHalAAUI1p6wySNkLMZWWkCnrOdgxUWpY3T3j6YPr+o9Kg9r0L l0htJMwgTLtRE3/hyKXA2caLszCH7cSiI3BjsxNqqP3drGhMqPLK/yQ89oMZ5yV18/7dhkxN7eeN YhHvdf9vYtarrFh4VTXIXH077bC6LzqhajE/bc03hufjq9JZwKeM2fSfoMEEc3TZMx0SHer+HGL1 Dg4dcvM0NS4caW1oxO8ltAnFSveVCJOHvrIZNqKkrk8h65K7AgkqaRRj21YYgVj5EjCzfhNArOQA mIqD7KouyryM3r2KXLBpqN5I4n/1LBeU03VG6VSAP0VLo/WdYNWUAxLrlaeE5RaJ1iMuWAG9gSdt w4WJYtaOY/6JRLHSiQUEs02eKXlmOAtMvLf0ZCNQmnFpFY0uVk6Bq/qF5kbu8heUvERwH2jvFdQS KCCwfLGnoofSO3JVt8GPvlF/FXsKsx75zblzo7JOSIfl/XW+Sqale5mhjG+8iNv5QL6ZUWGNyPe8 88uhhe12TPvLR88GVFVTXBVG+CreFHsT0GuTbClEu0YR7gHjK5RzqCmTeYPss1xyfDJmgQx/0AxR TM1jEtkYQrRzNCOgEJi0ZhyJW9WCW33qUk6AlGWUgZFz9/8OiylKT8YXvpi+5wFmNAeVOGqn1OIA GoTZh7/J+/9g+c8y5F2TOSJZ9PsvCQ3f7EYJNesacs6AiZS664dCfgz5w8UJdNFt0pTJU8BBvHhX oiWNjhE/wajHkLVsmvW7eEv5uH4LSRUq630NRN8n8aVJVq1X/oGXvt4payn1brLRgW8cfbjc1mJl 7Zod58KyDjI48rPRIp6qyiUMJ7Br5JZR505R8WFbcWyDCfzU6M6dx33/5gYAc1WncY/KFX8tihXg pYTcAes0E4k66eOHT2lWcXTGFJc2Jd20yb2Ixkc5i1T+FgQ58IirqyPVlu1QxdmMWK0ASow17Hh0 RJr+ue4Ci1ALh2/tO71vGSm7a9Ms6cqkfKQ4vuIggExIM8eucPonu7foPokG9bWA+kB7qvEuu+a5 CoxavDTsb5HfLBXqkrB2MU0czw3VT+4HYeMygcH8eW5ulDkV2YqzvuRVmZAINxCP9e7CQZu3nkIP fIestVb05PKdYO9dbEeh2RUOmvGtGmk2neYI4zqLWuxC7RVPEvejIW1FMO7FNI3wN9qvetgyJmhH py5zqxNLGIwUrbKG4NI5nH/28jSTW3B0A6l4E7zv5fRU3sybVbOfneLjy8vSvi3LTui0wUrexLa2 kJRvuxOjR9DO6+pNrZ+T11frXFzLRwLFrWeuFZfgH+aBqGrEdHfPctah0KgTpUo3rfrk82Nttd5u 0zITRbo9UeThVuwOvbZ2PWytFj6GwtutdbKYcOompp014a+I63GkugK48kMEWXAMfr1TUaK6Fhkz jQ+CYBSSrwddVZfDWuSuYcJo316py8HqTKSzUOwh405Li33dBsxujkXJZ5EE2+dKMhz5xGjEapDa xtMLrWZ3yrNOeJfGIrj21QhypvPxTvlpnkDEorfdlF32NrR4ZN1L4MAZwjz52ItiXlhv5iEceIsh zvp5sMgb+sXUBOdaF/dhLkTDSpSOqbqywPFvirTAuqH1LY4GWYnuNNXCesZQ4+O0hEjLurYlvw73 cXtc0nH6ySWDiXQkb2mxw/pjN+py/IDbmwpkYQ54Hy3z9ExvhV3fXsW34Um99/c78TxA1WK+sBgm e7EN/4CZYGQLORDxoXGWTm5kp1jxSp+qLtT0NLEJHPQzrMK7TRqWL+hVyVm79L23whaHVmkael5x Np3KJvsgvnlkF/ND69c7CfYgRIRPK0JjGgmnHDkorNFxNhJOAF/MCEl1isNcnTdxl/qVfo60VEto KdVDoRFRzD9c9UEf4e/4jWZvf9wMMkeHLDAp1HRYCwDUTySvCiQA77QanUrEVq+gewG3HRLINhTq rno47hu4ailEhSKVUK8AYBSM5mandmO36Gxo1y8kl/bpNhH8z8H69y1yu8l6XU63miSROo6G0NWQ h7FXpJOdNQUf1PM43RPW5AhcCT3Bbl0aNTAuHNk4063HkcHmnoLkKA4qyPf3ZpOrk8wiW/IucFO8 xo7H/zEkBtW/3lVaU5NohPoUs06G/m7o/8Br5wGVrDtt0wHJJO21hCD8PmsEKWDC9aeYaq8CDszW 9iRDlfYYPfJLZWHvmlXTL6hW2mFiKBhdNTpLzqPsJVgWZ0T/Pi9DZvyKoek7BdwgA6OEvoQDj4km fsjzzYjOGb5hfg0aoDGgsqgBCJEy7yycBWvux1gfGT8dHRJ1dXk3hjtUlvLL9UCXQwWnRMVBNA48 L4JxwVunQJLyoLjHfpuapH/qMpxJy9OTrHseDyfjk61JmylBI6KjXoTk0v4FTak/Eck3pSmDac4V 1mlt1rBn4qlgJAs7RsKIXvtw0qfiQt6HQZdFUrWNkwGZSYXxIv5iZJtvpoZe2h6cVs9zHGpUiDwb jpSBSPqBrGw5Rb2AK4PCiV/pNuss0ZxIGH22HjVlG12ZPZeFkUwvX7Zw6dTBQqFTvEWdozV/7YVA kRdcFa6BoPAI2YV8QZ1ea2M5x246I4BTd/VWIgEXoWLj2NjTQNwNWqiPVwIgKffOrTo4QmNRPVXd f5MZZYVS8Bg+y8s3IGq1pk84XDOzT8EO1AYO4SWTnTFnGTa3deRscdGDSgsWnEwfpTKt3e8hCzFS Pomom1MIpQa+6OjhQd2ZxFpDez7FZYT8ackPOP+kkxjs2Nsc7I/NNLkzKp8Hz1YepHL0VR+Tqf0l PEKthFY19fZ/WER7m9/us5AUw1s8g6H1rfGwc3M3B/zKEmOxuwyO9r0RBHPnPdaXCvg9GZ8IY5/1 oWioavtiYw+T0w4866upxh6W6C+U28laaGT5Z37iPCTSad21RkXFNXTVput/UJYDHe0rCd3PDcSR 9CHohZFJrKootYp+NGEW8cMfgrQbnVUBcrhDPKHRDz8HwEV95nuZsH908iHW6agbiqLaDZKpy0gw kAvfscSUS5dDPV1PyyEtiTJr+InhYs/4nt2lh9kxeVfD0MRP8U6pX5GHOpQybHG/1s1YiL9h8jYg qj084KlnwkuebB4XIddU8f6bmK1y+D+gkKwF3mL28sYFTeOnWvUNJrnVvolqzHmPS7tlhSc29uGB rWA/tZTUtuTuJuzrsJaKO8LoMxB+ABu17SiSi/YD/tusjLoF7oln8WOHoHZJmExjXm1zu+t9rtnc LojFGRw2w2EyRfy1BMsyXkggHEyR1OO+ZFP3c8wFg290DK7DjcVhufhp30pEfN1j6jrQiUj/qN9z 9BgwT+R0UYsl2Pmq0QxFj230UZxgSdfW9p1fQ8ite/wCjVFNR2xUdZsSPRqQ3ezXpUPZrLn4bFSc NfuS1v2nc1+YaL7m3Tq+CjtC74DDX5AtTQL8iaZ1jTE9DfnNGJsYfH9gWstETAufH4ww2kPU8UFf bPWajTonYVvHvRTWamwoxEMEszcHXij5HYVVjtDklpGOKjmz6ldvKLoFAGO22wTVP0uiS5bUZFjW 3fs+rBnlfdv9VdtiKDFIYplpSZod2s23Xip3fBNC5qzSVfDdZ+SunkrV2edmfMuz0XYa10ytFKP1 3RtRY1jag0KJ2GrS2V1RbeP64aj/lJoGKvuemzErekVverp3vpk083/4x55hb3qyVMkKvS/UD1AR vZcOMzn8+DkWUNOr3bT47qTsMW0XAZpk2hwIuRiED3iySyvdgXhFuGqR1hg/MmP5CpByVedgnVMP H3mPfOhmz0WqZTGVJSJ+Y6g6zdNs+ZTxf+UqKheNyDXjb9yxhm1vA1he0ocvnMGahyH0DhL1x250 JjzrcAM0PYY4aqPm8fSF7CrOrHm0SPVQvbxDt+GaoIXsijtJ13gSpA6EnGkA6wDPf1hETLSn4zwp 00ljmpLUFMU4c1BSVmE3xoRsvbN/KfpxnZ36fDLvzClytu+u2Y67DY+dFPz/00SXAuZ+0Ja+EV23 gC8M87jROhSXiRYcSjOwJP8KTxm5iEAXnt1cmGsXevjCCVKAUoH/13y3qhbz0w4rauN/3M5QxQuU OsfUULnFDC9MSv7lnzfR2gYWlNg1XScGoLWHijca/K8p6oHf9Th/sZNVeFE2FB20aU30+5x4yDHQ AY52vcN5QE/bbTPI/SlUuSjqx4xItGvWvNV05YQdNNmpERmKVJuQKpH5m+wi/kg2wHk1hPiIlxl0 9Zbd4654OjW3WIU1wCljSAR4bLqRmDb2QFD6pv7K8dRkaqtbjhaOslH2Nw/qa6dNPBgG6ybb9lkY P4BRUMYliqgEC0hDkM633VsR4/aijQItU1gYBk7ggOwMzmH0ngbTFclw0VH/HuYmjPKBs9tLZm3X 6DaMn60q2pNUu1TcubQVyMAPovJnrisqpCkyehoMcy3Nk+MSkjQWgUolJMjPnq9nf2/88GdpFMhx cDBLGgLDBau2xSkUL9lh77/Vp3+ElqXrq4UJPl09US0xuj/q5r/A+x9+oQlS5a3C/96vZe/0A3yz yUE7HJFBtbfTri1IzCTS5hUX2s+ZAxlI5rwArBgJUNjkmZFK9JHP8CcwsxcdQgt0fPMFisLu11Bt 0OHyYqal1c2aGVePlDU/4Uhrc2Ltmgjpp/4+0yGSTUtU8lzc7/53Oqn42l07WGJ8lrBiDbTz9oJa 6Q9J8KFW5okyvSRHNuVukQ/A+Jm7ylxET/S87SJ/UlmIglttZHhwnwbh6Z4wbDf2ZaSjmDJsL5tG Tt9el+B19zB1MfyCpfAkzikWxOiMT6+mlKxwnuCU3+4NbL2fi90D6WyqwxONC/Akn/PCahtAFF81 +mAL262dXmhcT5ZJfDZlcAHm9FvlZYMtgzlSmmZsS/FzMo1/tJVdpolgVniLXIZTXurcyqtl7/25 hvWw0NeM8KUNAXhMlw0pJMnvfbvih1iPGUuwYeOpDUG7HIrLiExJ3o0rLVcmJX1ux6sJhFLCL2qn T0tx+zbskr0fYdNnOWOQMB8ARXl93ozAdw1tZUMFCkO41haAx/RrtWoe7P3k1UPWzImIa+EMVycF UfB0hnJm7bjPn+FRATQ3PLAWqi+cB5Nldr2FffMVd08wQGvCjAwgF+HrH18Hy20SmYps15LeUVsK p0VKaIhy4pyPl+jK97EWaUg/QgbGRq8k6nqxUp4znWG3oj7wgBe9ng+/oNW3HUOmKlexY+qZFJCV 1gSewvqYqj5d3d96iNiFlRFcXJGJY0KZ7E6xY0TboyjdhdJ/laGSVvnymkTV5AEBp5TrlKJ5sNzj 8J42qPNulNdGuqBhVjod/aXCTzp9/0mLE4tkH/IVJTOZThUZ4Ohy8gMAbOelhcK1TfzM9dJAIzpk QlyiwcIJWWe5FI5UpwR5i1xyhmA31PiWM/PODB9lSMolPQ9CxmCfNxEd0hz442L6/LN0xpU0IrFp 5inlgiVDkF1EFRv7RSd21L8fucfCohTef2IGDwXQ7waADwd3O4Gnk2dmrvoK1JKR6DFdQze1I4+U ymo5qehR2iNcRr+YRLTNuu17CpCGhQQK/t4mVTqD24Fc9qw0alqoKB2IjfxrqB2v1zir0kO6gvV8 GHqcJ9k58rCb6104tt9FlvF3jf4gHOcpl46PlbTH2FyJsikmgZunUXhK/0gnqyizlE4+1lSEiqtG 7ECEFF5Lij1bumNUzzVw+7wytMlMo3GJ470VivmiNuqiJ7BSzZ2ps+7yIbIDr13Rpl0EiovyiX6E 7TEAl7Id7aqN/59YhdMdoI6yC9UCKM+/hSZrcmUgKVNdcihRkwvfv6uFKloNTunAK1d09Sx0mPPk SRkciyu8UFreAmVLCOs5oVhLD/dPswwBN4dJ7MiMEmRyDAq8BhYWSBvcaAewGAN+Ky285by9bDo7 v2Yns8J2XpwnqC52RuhN6PKUJouXVheSbOw45fjmEDJoCRgxCqTvTs6O2aeHHASPcWVnhmjnesRY OfSWOPLqjCC/sn7SzGbG1aHrvefCHfjm8kSwYCSPSVy7RZmywG6ilPvsSEuZn/fJ0bMahQi38VGW HDyGzodUnlDSK7j5ZqTau0TAvfi0sLATpt+Ph3SPk9X2TyCpZ6xxltY+fGNQFEzNr1J8nEhX44zb USDGM/M2qt3j1zyM8wx4ZEJnSQLUszorMbhh4dIsdRrg3nvZzpzPDd89jmNVl4qpdo8RFoZHb3Gn NurcvGYekLQiRooBDQj/BK3aGAesuq9KvTNWGU8FnQkBCnFStgtp2zLjc2ygIDiftKjevgogfn9F QQOpuZKgJMoRSYdt5qK3/uDPQL3IP3dpYEOv89uNANgQxwjPgM841/ingN47rt5gbWU9jFpiySTE Uwev8QPguig58CTzm3DF2bXKdhagVYzyGOe25rXaGvwRXtfWRV45MRwW0lAfQGHIE/Dw/GrE3Je1 50fY/HgSClPPW4rJRnuUFGx/ddmGjTelKXywBhRJmhmgyiwR4sOf069O7pldmCd8Ki/GCUu1vNAF uKAyKgxJ7SGMOilX8XNSj9Iv7j10emM5uDYuOi7OwssBgsEx3Mel5SMH1jzGzAPouhm8qt++ZWGF P72Pywn5gecTR1XGAcFFti4EerYCPeLLlar3LgOOxI56I5CbfASuCl/2nK9bWhmacWLU3c5G3LBm e7b6Cj8x/6A09I7rLDbgO9oAF6XRUVcPI7DRSQfCABZtqvRuiZLW9QryumyBA7mj7pYv3JYgw5Q/ xw+YcFM+NEtpgRmyvtS5Yot8CM20mmH/uYqfRVO2sRSdY8GfblN2A5QKWnw0EAAMbhkUlZFVLXkp k/44CuvfuifzM6wwPXjDS/XIVCxvV987mhUoDRHhbWfUHE9RXrcCPaPvxvJB/f+ju44PQEAG+CGB 3knxqAxj4V2PTTRqNdSrrwhycB0KwY7ylov42QvxQK2NifAdaaFpoCwPv9Q3motZljZF3ldS4vaM bUggHerksMtU9OHE6gOh5wJUrYDGmAhIjiZ1KCRPBQQVZGh6AKDXZiYQeKwu56bypawi/2wKmjf2 kgGo9Oqy32cnkTO/ESqt2KDe4MuY33M+luImjCLF8LJbCXVUcuB2kTwdhn302Og7WW652bDoyRWn HsERiXKngAXkKhrITSVR/1l2o2d0swLSIGpaVtlddD3Hmv7zF86roxpl7AR67bYvyVBdkrDk6cAu Oj8tJ5WAPPMRacgUq9nFy6rb2UzGXUziBX3CeAuqSg4vOARzOyowDpq8Z/0JkTk0qsfqOk/L3m3e 2TfCJ0c5lkuSvLdZezA1qufIAq7nenMP8GSHm4KvhzB50UoKujx4yE8LY45mRiNjNNwWlm9gKDHO Z0dN3Qa8Ql/7OCRLOMhEKEehXr2gc+8jU9H9BbzDkBsnCo4eeP2sub5M9sKsgtmOizansAJZEmZh SgU2LyZxiS/9oc2rFaj0k+kJfiO8dByNorjQDV7g3in62ChXlI2l19/pSKHK7hBvXVJJFZjQE9Ve 4pF7jyTvqMwk2ySdcMcE7WmTTy1SmJ71S44Fgny68dPm5crJEafxM3Yp7C3jVVchQ9YGC27wAA1o cLI1Sr5qytK2hjjsC0QXnCZN7sdKs9ozGBPVMo1QJbNgtlxEUGK+aNgkR8ZnpiPodK1B7iJGaVVB Wo3ogUk5pgYPStlBhJaMX0Qy3Ba79QUKTBSSi1E1y3btqGuskNDk900sIBF1zGPrAQE0yeAEPOH8 A9znom7b6OsadLhjjzaffpgqhpOttFMSnFYeAl4V6qxGrB2EYhV+tyvwiKypr0MpKZPKvw++T3t0 O6AhVNPFRuW3H314IEAwcBLFZR4nEu8pVRVglBSRGFZoIIOCK+OpW4UNSELw11dVjsYnlj2rSqEG N0PV5qL06QObMiYQYlTaS/VA3Y7p2fsguaHJG5x59yzjBZdUF6o4ZnBoa+FItEsB7559TirExVIk MgLUbdnxV87DSCa4McnvXYUtBGrsl8//T1gYIbJseijS1Ncma61wFTQYjgWyRf5nn9gJ0OSgMM/0 pSXSIox0qUXjckG+0qAjp+NuTnjb0DjqeL1uDVmKbas39JwdA7oR7u/Ym3iXQ5/DfAOOy7cZXPBg U0n7YX90xT3jKwDKj2w4FYLDKz81jdYvIyV+5UKxZe8i4CUOlzu2bxDyi2RQvDx8YkA0kqtPq+pM l7N/umzOkc4IkO/l/W2C3FxkiMWgnjULIYNxxJ5rARt+A093hAH/ehBQ7HC2GH5HL5+IYxFXwrjS s29douxCZD+svPLe0xnKU9u1XHQUqzB+k5n1WI1vRnAYx2Ua2M+XopIC34263I1mxHHMsMKjBMkE CIxniY//tZpAhcNgMOwqC+r741iH2Czx4/rNgQQmxJCxuCYZ1gEBN3awN8tpvng4zDSjNNIzbSfP t0P1P47KlkhWUe2j/lAnpvqwETuA8+bJckgs4lpBPTeAmkQ/D1lCW+qeRU/eq+Q2LFoLLDgGCSR2 39EJN2wI9LzyEiQ42PTymrWb1ytTSXhU4AyO3wnDjyjPc7phUsWyAjRiD4b84j3k0CBufsqNMY8c 5AMwJhkdVCfg/d6aV50BpGlmw7XCxW1ftPDn7FN14jHaNDDqBsQ6TT3z8WY5oMh/7VapdIqBbjhu 2yvxZtEZXgfh3CAwVncX+fnj3gQUqzP+hL9kQkGoTilgelFDc7x99UvGDx/neiWpfHgKfizlHd9w 5faDYtCp55TTI0ys87FOn85L/VuYKdHuxTonn4oehGeYxuiOkOPlwN7zfqsgLg6dTrbfqkPu4iGu LIi86umRiNPmLQXO560vbppQbjRwOJexSmadNUUyBqAGd98tDbcWFueIJbKl8AaNz19LlSV32FZP EiCmZQllXIThNSEX4R4aM7CAywATarwRTnD6z7AmDDNEQmThAfss1+EPPaaj1XEEehu+qFug870S 9Boyd80iwmX6lxhqef82lhJ0V6jErXLy4gkgfLYMwyyPqlu9JveVlA4xUJjoSg152qujiFcw2Iay ptojEeJRqAbfjEeff6u5Nksp0goL9bzWKR2od/pZoAHIlZ4gmJtffxRPfwU/0Tap+36Xo53ffuSu ieTU6RpnGvsvcWiOd9UN1DUjGPPAOOwQtt/rIn7MeY04wxb3U7ZJ4jeue33vvJ3hvAkiY88h0q2R NVdh/MjKcuJu+FP67DYzA8STUPaiJZru9FwbVpb0rKP8Fd+Z6RhJkuqVlXLzMHkQ8SMYwWd9HDG9 tRdgtYfDc4Dtc5BT1bHZZn1NcYkj2WV5HfMj7Wur4mWrjx4Q7SYFE+H7tKBKA2fgjX0byPgznjgC 38g3QkDpJ8XTiVRD9d6cSbOMVzYoDuPvlI0rlKtdNJg4G7fzrl1SmXRh2J0acscGoO/I61R3XVJj JzcRM/KwtTRuguUm6sqncD69ptBMln2HysfXgMj1/YNOa2Y528v0nuSAIWjHnN27i9sbG2O9NLDg BK6Sz3+F5bg8MyTF8Fa2i719CRw5DtkcjDqFtKoMsA71xqRn3jcQDYYkPlm8kl6n48+rjZqb9a5l MhAuY8KGKN9jE59TIjnYnF1ItQa7V1UPoNu5p0xu3uqJtIliFIkFvvWfhWutWngqoWB5d3Ox2ba0 dszW/nVLAwNhN/ZAlZ6JruMvT5xeIyp7jsG2Qy/OuJR3zhAyq3J2wwCIp93I1Lz74UagOGbp4cJF j6dERTDVVjU6gtqCQLmkDa3BfTfsxxw6pGnpGRNNH42tshyRwSwnhcT3guYjp1KBDUYuAzfpf2q1 XPAX8LJAt7Lm13CDaITlkmAPuCdpKyELV2NOIg0y0hm/cEmgXHIrUwpK4axxPBiJCItP0PXE1FWu NNCfbxJv9l+QyShqtSJjuSH6y3CRhyahALXb6MGeGs4+ct3iPleS0aW2nLCvtUR6i3UltVwvasZx YP6FppfsqK2dbUb7wVN0dryPK+8LmaOfMqbx+B5OJBy8VlUSYYMXjFiPstm2YDW8o0AuGYscqQ5C SCzb+jlBl2Lr6ct7G3dnRJHmfXAvojpBNmzPvvQ6JZVA6Q77yX9KyOZ/sp6+hTgsyL1gpoBZTfL7 iLQn3dhrBcDUbcro4QvMsthV0I9Wyl5OL+Xvm5j3vH7L+3FiCU3r1YSy6PASSP3GAcIksTJuLgFG Dh37YJ/mlNXx1x1RWxUN6DdsWDvDQpSIcoENT+92QpwVpQoqSHdLKraeL/Ak5bEwdRakt+Qj6FMr 1CMUO1FSJPiaZAzjZPffil8QuKk6J+11caBrQTaYCHVSCTud0xlstHoJyUQhiDlu+JTWrR5FiBlS aeHqTNtiN+dJQTS65xZjn2PFkUZWGOrqcH+ZEhEUQYZe0fHCKJqxxau5+sI71TxsJPRXvNMWWSNU qJ4AD3a4Jp7phl1Mo7vIwc1MiRJhvp2pilpDX5JsbHuPBIBvWj5DBqv0y/VxJJTrCLIho1ikgikP zJFdQZXVzmZ8axIb8ZyQ0QmIBB4wZDFsXATS3xWt96T1Rs6r19fS8pBMv4HgG6o0SL54rpiV5zpx ykHpsqAQMp2gvJONNgj24PJeQgPIrDfSQQZZ/J8m9rmWpJ7kh/rEoS2403hNTG5cjT5JlTp0oXZS EoBgfnxk7UALHJbI1DBW6UbSq+o4s31Nvi5N6DREa6DpJjJwoxzHBLgC3235bhP9A4SJdhJ2xFz6 IahhXaf84LS/iVX2uvJOlaw4cKikdBluH0TTACa82ACxVqybCFr99RjL2jLquYYfLlAm6usUMSyN Fdj+4IuB90bgUbfy5lbv/k1jZACXVSDMoy2/z/KuoZz5Hd35xXkMP4M0uo2JW9KQ0vUA6IHtRW+m wlnh9hnl3yywBxJL9aq8sQyvycKFdAnffa2xIS8uuBz2wJH324Yv2R73nxVFKb/ntU6hipuwnqA3 H1sb2hMKyWT9qIi9tUUF16zeIFWMy7jcb0Cv+qEfZEFCCgfQoAqZwcHNzTJjeduOWOVsG1kiDpHm IWmuye3eAYbD/q4TztqSfEQ9ZKhbd6jlJpxYG0GJmmvEU6yrwj0q0WnJaBwiDkJMynWQnZRemunj +V7ln7rNTUizybnE2ptN6z4DftfZ8YFo9ui+C6ZNahd+JWTnGtVxi4TTfQe4/h1K/MM5DI27x0QF cT+hH9C80sSSCd2eh0YxGwyDgH27gzilojpekpzNHPYDY2FLXQ3eAv4lcJUMYLbbXxTC25SOyRk6 MpLUqzi/skpyo/NdizkDo6V6HulGn1oTQKpi6YXZkiI0A2kO+XhzVmDSYc/TNy8WHSkK4CiMfNVm cf2KM/HsCYowWnTgp3sAuIYOFlJU/LvvxL6oGr1IIGuTNz4UfGEFUD0ryhTnX1gq8+fUoPZEEK50 4si8HHoz2pwyXW9C6tNNP/g2xYfXNVy1+t2QC4js+xQL22FilReCH8PgkUsg0Q1tlpcsOZ4h5l0V rXtQIa2sptEwWQq5pBhCPHoTgVBvbjqayU0vDMlYac8hm+jSd+1Hz2cmH1roIvBic65Y++r5foBe STivukAhssnYMTrKkewNQhk3s30Vy6Nz4UbdjrwRnQkGxPlhGPmmnXEJtw0xssPLm7fqeRyFCXXM GJG0XR8WjFhLX3S9j537hAi1HlnKD4c+gUWTuk0XTivzaRgm6kEiaSzl5pjRwXUNIYk6yJUQw0l8 wKY0iw3fdvJB0yDimIezvBxaOf3YO5cF7700LcvxScJi3wCmmXAm9sdtgYUz//pEH64WEUI/WUBN VKkQBFBWUGoxR2tBZUWBw3lNqRpOVHt9Ur7X+OoCn2hveW5kzTvZ8Sth+1TKz1F+IQLWZQMJx96A pTriwUHbYLwWpS1rebLBMbtn7LqxFyGILKdFwaPHowCTTawgbrs8JupBEXsRGRuVIs8Fwoo4DdBu bT+XKmgO6FFtkM08qiASTcXZx8d/Hpx+pJX9hrMKPpiguq4tQ7lqu4tRQjS+GXHErcDVro/fGj8C 1edf8jK4A9v64qnRnCkUbxdKHJetzZGz9M6F0U+x+Zi/vMkenzJhq3CcdzJD1mLaKWPP6OfFdFw4 EM3zScgGOqnzr78J10tFaAQ9RozVD5VZ5OVd8tEjimhkU/BBSk8OHf+f/yr1fmYo7Il7vDXLsDW1 5Tk+/JmZWlDPLCZZVbs4ZM/bJDsdmrcEcXKX2DK1TjNMkJZQsHq6UQ6ApYM98TERiNouxl26h5b4 zXP/5IgT4C7wC7+ZJuDuW+25AzJ4JqJT8saHHXEjxGYv2jDqcey8Slbp8qNjchp+OxKr/syfjYVF NEqUSdovgjQPUg9aWUiXO0MTpHOH4wVRoVeaVBo6p+cC9gpeMCHj6/EhnjHQA/pyH2SuSVEk7jbo luWZEdjFFk8XUkRSJ580l4IiVG4IjrzTihF+OueTt/qM56hVfJtJkiJXgFZjEqAZu0mPmnpKng+X UNKgRghvAroXAEv+gk+uvJkCwU4KsHeOm5k3VeDvq2bgPgdoGNwA/KfgDo/CRk03urweSePIXeNa /iUDRLw1VZD6wKy9K1U/qR/mg3gptEbkxNa/gqjq3iGhON/fOgK6eG8BaO1JapZyE83EHZjKYsoc U2D7U7ffyudkrUFK3C4ILRJZPq5tFEh2C/0jRVBQ4woLTPFCDSkVz0lPY0TcidaLOxP8hM6hHqJ8 FNa/70nlIqtd/vojra2lTBQMGhTFqn9W/BRgfdFLVoc2Xje0N2z0P2PfgdlkcO/qyWOeZdX9oywx +jDGTSqzjvhRFJg424Vvz/oSvxj/xejjWd4BqO3RXj5LpkTVfDANI06DiWraVxMueAir/WIJOTBh 3HojpjtLpsBgZLwzR+3EQ5iuY9dLb/JzulBGJ6j5OQRvk0PpgC0WzU02tuynGfzrNLPUTW5N6Tyk oZRiYh3M+ioRixeEBk7qXlqkVrxaCdhy+wGiUeuVaWMF78/FC6u7LxvoD39elseTRNoMy9gyTdrD TvNm+dauqGurc3HSQ1tYX2ULXRzKBJ3SjtGSi6MJMkWWIMnsBnMCf7RcDnP5gcUuEv3yOxCEYjcy zuwOFCXU62TFho/iSgPQLxn2Q0L4AuL0bUwRqjVpJOby5TOxEzZcuGdR6k3Gq23SxrtXV3k2wJ6p 5GJJpdteKd1kZqgnPcTArcay62kPd2dZX6afQt5tARJwJ7IKcLjmGpS65+MOAjwKdrbuMakKrybB LikOgZ15M69YdQCnyXlIWz4Pp0s7YAfWV842MQYtxUK+ciDKS92dlwjB1139zjwDIhvxdpPneJ2U XNyHXzB3VLJMml1g6IoD/uXcYxQjpSLUPfFpy0ytT03X91/11Bf2iPSBTp4e5hbFS2G4OpFFPygl uX2Y4A7ZCa5tYoFC/jedEOHxlkYAYTUvm8eHeXPdCjWhKajxIAQHQh6M0OV8pAXWeKAGGa4LxQKS kS41qk0hCJMjkyZu3zSAFlOYx6QYu1tnnOq1ciMACj6bEMyXHDuhs+ckZAHZgyrxKESHr9Y4uuHY gXTWeu5gUzWG/FZ4Xe90ctMxkLlxem0ROdnboYTkEPsx/YLH1bwVgd/WM1atXQ/9QhbIQmmk7rik HMPTJW0ocYykw2nE8j0t5rN6+1VmJwVhrp5otOItQIZnwMOK9c0S7FQGqHWnOP/UZzvdNuV2qgNJ 7leAZBDLNr/JKlLPHNAOnOXQw5Gq0cDvchXt17lnp/TglD7iMlIEtaZSXszwfqsMzo3EGa29GZsh A3E1YTJMivQCrSP7dj2eRRn2J8bzxfsun9gkLQJ76+h6LpQe6fItPQMFo+n8Qvsa0GPgKF3C4Luv nbq9l0EzRm0C2749kcnb3vo8bFEtBmTTvlVSnWhX8mO0KUJi6GpXb+F+bNuD2PA9wMmC56bHOtLf +Sgs6N4f5NAK0pQVZCu+XMPwxPoSyX0gIgnnD6zGKRi758eX/94kqujOXZzfFQButrljez+FTpxz aSLOSQFpU8NrbwFuwYeZf/bH53P2880AJauDmTVpYopzpDTAFkxJqfsBEnJ29DcuvsOonnm5OsiL yH4Q95szv0+vVJMHzHG6KUCNlb8l1N9KIo9+sTKzpeEbMAGktuldWOAw1/F04DCnR+04w5rHH59p jhjGiPTq25AMz3fWOpxftuUI/s0Y94or7CkQW18c3Rlj9roFkXWrNbpexY9Er45H5/oeC0qLWYZr RzoGW4Y7SisdVSZKoCV9HpXea+KSPateHeUeNtNzuRK9eQKlGSibXSic6ZsAh9Kxx40BKK+j+t5S qlZh2yhgq/iNQ5ANUTji+9GMdQcRXyn6nP1eWeg/Y/zyykuFl7FTzJZdzJ7X0hP9D+u4HSxSkuqE VzGjeJok2acbL+z7f+mguI+vKuvauRCOZ7jacz5AOBS8Fa9g3z+wCv0cwdmwLOV2ocUa0sKNXa7q 10Jsr0O2YHIMfbianS0pLyuaXcqKSq8LeADj1gvRJSH4ZSEr+CCCGpfQhY6r7nN879dGPkO1EuXS 5Z5OO8E8+oY8ezd0Kh1QcVT56z3hQohUk23c221z7dZAYwej2i2KrOUwiTvfM8cconR0Nsa7mtrH 9AN29WWT/uPe/O6VVblW6TLILz5zXiNkFC9mLoKgukLuHCS6JLVGW61LMwGPm8AC/bhN7mEQNp5Z gZPtYMP1BQGnHy9ZZ74AF+qrAIZ3Gh8ZoCMXElsfY99ngkdooVdgeqIFJ+4oXjLObSrBByutWm4K qsNFzPAfjQ1qzr1nWnZVDVrXduCW+PKCWX5JGAz126KsmJItSFlcleTkNk/3QRc7Nb3D5NxWloQ3 +GICiSydk820GYqgPMpbJ2XFeHjWe0jMT2LoqCrXLMYmWGnC59iLIdQTFPCa7gaEOxa/QxB4x8fa WJvb1GI82t70kPMabpO5z0wRjQpguOZXFBEJoYVg8lYrRlVA+EPoQf2IlPWEB3C7UQO8RsGpxrcc z1q0pPd8uVVw+dECAsXeYYXU6Ft/sK+/FPdQr1JAk4M/WvYuiijkSFZZKXiPjXsRX0QtrFvd6K4N xMqv/m5Euhb4PVmV89CE97ilSuyhmSpWdAXVSk4uz72uCWBn9upRB8aXf0ykz/kjIetIP9dcucvY U5h7358zo476x5cZZvemiPx4V3qn4lO4V+PPZR81tu+EDRG76cY0Mwku3e+wuNyBYniSuLqItSq1 LhIQP5+r8jFJ6Kt/itLyNRO86fsBRp5wHwZ7dIVT8VInFvyIKzVsdNNknyrOtASXrKZoUjHuvh/4 /+sr7g0Rzo8FuxgW105IdmRTYtBsx96Rhd4AXXYiTBHGo0WzoCwR8Y51Y67lFAXtB0qzdc43gb7m 6mH1GydqG+FBIKdgB2SEtA6Hz2hkZAbC5PH1vQ91mM066eiFncjPjjt1Nnffd5/BMFJeWwcYrOjl DtniS0l2SxTsig7Dt8a/DgmeSOKqI04HiWIT5NuRnje32jLYurb2PBJv4+f+WjcgsxtJgR5IFhvJ bcsvYN2XQbhIVJ4TJMJ8PJL0SWwvCJuIS15lj3CGRwtDtDdCOwtt/oliv4F82eB43t+bu5RdHpW0 ieTj1LiCeUj+uV+1tSPa1utSVUC15/SBOPiuwChF9LVpAn/htnbolZDndfovnXHKNy8RFZxz4ZrD r4LWKWA6FjtXiRC231b6mWEp0u4lXAfDMhiW5QWP6GelDI3k2NWL407Du4zND+lHVIplC49LjiMq 4TJQhAKW8lePaZhQC1qBJCTpBwSjk46faYNML7kVkpuxsESeuxb2yLhFJ4qioPJETD+CTaVC6aFz 6ZxpWMWBLiF/nCG0ZHzTiDjeWHbSqI3KjR2fpWTlcyrTFjSNKood/mH2LnjJwJU2iCuWR10IKNOV XdDXetK7YUHsk9o0tICNgbwQHP600EdxLL7wTNzEZVxfZqJ5UmGt0d4VvyRdh/vogyjSv78qEEM+ lBwleDo5j0Rwij6kG1DUERDoxESDsRp8bXeJlXNbb+mSnJgHOQGCZtUrhXxJ8J8orSmib/mf/c8n qJr7vTZPw5ae+2ceP4PdFYIEJthLxpjnen+c5k6aIRqvQCLxZimhwB9of9Onrj9JtRr/edw3seIW MIJqeQ8v9D9UHjHjofpxQdYsndk/yGDIn5F9UDXO6vcRfEI+3osvBB0GJ94Ys9HRHxANU13Zv4D7 +Hi4pHVFyt/e01y6bClR5H+VdYUzxtWDcle3gQ92piP/XG5zmkvxDBTf7ldc1L8JdisP2Vp1LFYk GucrsnndfENRzn9ur5ysESb531qaQP5LAFju9fQN91KXRo/gbuRUvG23SzchQFKHtOmupnG7i4FS 9qxNqGcENYAeOzEHPPaZWdtetCr5YfoXUm72rKK3F8HdLh7P5B539JoQJ/ApPgXw4L2H2k9ljrla wJFEP37qw4usiopNOSjK5WUZrgGbu5Z2reVrck/JCEnGJzVbapFNGNcm9E1Lrv8bxVlgwbXv5rF1 AoSHBjFDalcCRVEsIGh80kNrpMYoVr6YNJQXz8kRfZTVfsvE4hcBjSB238LYmfc3NJpIwFTs1aOw yL9DAWTi9yB712SspYoGN/uJBXh13JMWPH5KHy7Vk1NfUI6vfnIUydjetZg74LxiS+Hz42NtM2jc KghoE/oT7pECYP3st+X/fyc9TH2VbLO/5shCy4fWMqMC61bRYQ5HmzmCjLtLUMWvnX9TAiciIIvY GpXChCJiUEu8Ox/Tt7ONf288/Nf3fDuHFGtvk7BAETPDbns/nR9iHUERwIOXN6nctsOuxpo+JnfL vvzquZxSPwtmQQZnsPBZZBqa3vgFnqQq83tqJPy1tBkG7vA+Mw4XvJgp2IyK/05XTfIi9NvNzq55 vgUlKLdHdiczeWIagZGxNgw9T4kTkRINCI4jX/n9LQ6yX8hyuhFve92kejk3eDACPdUy1eRVLQEI EtmLTdBliRoDyKlijug4Y+ifX8wlunOq/6C07aG/3p2UqGdoU8wawEw/ai2L+rSrsADN7LXjCQQF k+vLU12EM9WJCkT6nb7A+PX0qkCIuF1r4yf2JvlHbwCL3Xnp1vXf7hiAmxiwNutqQYIUggq7uxtM ckI/aPbUDkjX0ToSVoImyEBETLDPPpn/UreNeh81jGwV3SBNn/Ct4KGPTohWEnw8H79pFCCDiHl6 iRl6vCo6r1S73deOJQqKBIQH1UM+gqrLHXJ9kUPETshRd0KriYYsvkK7YaCDg3PUPEI8x62jEMJr uVAO4HRq/FT/+hmzKPOCjakOkV9GSX6Kgi5CesQrEsPY+3noh6y0/+AHTVf8PDu3T7CPk0l4wkL6 gkrbFc7MG2UjqYl9+nBeyYHHhWZM3qwP+EELtW5+x5Zy94RmK9ebayfsZQSXqFJ8An3jHqhGJpdo Tph2mvqDtBa52J+of2BcQP75frL0tfk9o36g8Q+VjUUFlslVRr7ZllnlXADlMV1X7jNE821WEHQP 4vt9MhnFCNoODLvZQtRJdrSo6W87/v/IrFxcuPevA8o/EmUgLF/RHL8LtcnbMnht8LE7lxyK1/Lp YwbNJyl8XEneKxicT30ITSW/YBJmSkSuHTqfekr6LqNTYo04mBySeZMMk7gJswsVgbDPK6w6wlYa OywVKqY+ijCvggECZI9cyQ9rLjwYSyzwr+iqtyVwi0DucA6tgpjHSreLQNDjQWv09AmBlkMUsddG WuI8cf3+4Mk0zY3IzZfWgQGS3+JeuvFdPUcKm4E/8G0YYTxriel2mq22vz+avTTp5N1Hb6Qkvbky XZbj9rPhuh/5gF2n2fxMRvjtUegnoKrt03+4dTmJYUdfelXUlY+fkstHCIJVK8tDiUkbRxBqTMEV p4kozxf8l19bQhhbG4kNN+i8RBh8YNKAGzPKQdyFOjiWL3pnHcFHoBgUcIoDEwmVxMMUFVW8Ig/D Ofuk7jyheuuArHT/2NJBTAqpnitTno7wmJiqO1jijmYzK4T6nPI+xHGihuG4VWGum4TPPokH6PF4 qL0g1f1wxdsQgBLgaW9heipb+ciFxzxtZkKI3hf0ksTFckCq7nv3oH5lu40LGqx85NOybdleCFAL d/neVkoyDvaXZLUVqcxT51GeM0FoelsotpjZdciVWUPaknuWjL8aLZVotp0tUoCVqTGVhD9JKZeF PpugBcmf8PtEOSL4GX9B4MZPHs43hJVKJV+HaNPouh9jgKCaZKwdTjhaGewVtWbPhQZRvKcyhudg BaM536wxiXTF1jPdwAje2pmwxg4ZOUw6I8ZDLcYlpY3DbFDdyoDZ+GK9IBkYHq+UEnwAKBcgld0/ syyn66JcF31e70FhPcRB73dD9mU/XKT4nKEV8cVfPnErM2dkNLIVT4++w319I8FcH5BmLXEr9Jrd cE84mdFAp4YE8lM0mpZDdnesbZ3DGSooD1rrr3fLQCIB45yKzcpfwFymTXigkc8nFG5qK3uNtMC4 GD15VBVzUu0ETybJzO3IU6S1IBehvOhREG3yfXSNDcfcaL+dm9eQ3kiWahxjM7fz7fCzRuj+iRb3 xuF2j/q4FVirClRhuIy+mDCpwF2/rsoZzyqRQ2D2YEY/T1sJgjNiibtReMgum02yDl/mUjOmWKpX SB00xqbbpAGIwO0J4hpxHx1CBJjvdl3zVaPFh4hxEhQkgNHrjhXJ7BKzMBGQpVE3ickefX/43hlQ FDAxB58/LAfkOC956keryReSWGnGMHQ2DbPjjx5lkPnpn+5P+lIjZ8uFdGjkLD3mlW6U9fBNOz2j C7tdAKPEoWRhdOgpvTN/mO4kyTOm/1KfxPByoD1i1CeT+pHyqrXb2IXKWWPkeJk77pmcYgcLJL+R VdnpkSgEZSmLh7DiTw/EiilZNuPNLTjnapIgkBedcy39xJjNywBhnZIyCCtvGN8K2oII20oq2D6U wDyNPAlmmIbtApzpoXKQGN+IScn07xsn45N5DuEdrNXl6xZBDdetHOedJu5/d/ETrkuISGPv/l+h ZfoSvXcUg40nHfL8vzcFcjj5UDsOqh0O75GuymbPsejTKnAEHeQPo/OW3QSOO/p4dw+TKdsKel54 66Yktaia+HQy3Sjr3nX/HUlkYKu/fbSrUjtEjn8B7vjVsm5UlvjOZiSSXQHpPyXtCNKWhCc8UYK5 6u3dzhtR117HaegFoCU3ojjuey74dn7ci0KcGL6Fh8qc95xaixAL1Kee6TDS7CDRn6qzEuZq+PSJ aP1aXfaqh0T6ay8wPuCpRkE0vlB1u5V+HxfaKF/XDpk8GFt9VMtwJknPj+S5XUCKCxLm6phC6brQ HOQIaonOiTmugnzkb01QwDrDf9Lg4tEnRQSLfrV56+JJAKMRa/cWKVY7GY9FZ15EDd1tYJYeZEw/ oSAIUn6mrkKLaxMaJ2lsVlXhHcKLhtnkqTF24oQoeh9GEUDgzmNDUXhV1PZjYCVgs+OnJKaBATCh SwttspXfp0faQ1mmlxyBN/cS6ahyGZZx8PllLsIxDqGtbNWwQdVMYauJrg3Gj2ZPZuFiLQWJhmC9 OZce9zL+VgvVt6OnoELF9OWBVbu/RUWFvIW+m1LIekoZrmxg78KP07iMn83OUwJOEPJ4D+/37RA5 Ycb9OUBrI6iqVxOy296l9fm8PVej5bIwPycDOXKbGtO0tLIk4fL/CJpTx7PUK7+3wL3mqMVKYXJn X4eV3Bt7el/pZlvYGETJEl/2DKW92DqfB6vOemBr4CrX69XLNHxZiaXFYvcdnr9xSVapxRjHxgO/ okHMbYE309qMEL63mgsuoNzYk3fIx2figVKMBkOt9gsa7Bh7Ul/4XMiEpLsHqTRRO9IQOmPEuFlQ A161/Rg4FGxyIFV+d4oF/QELqngqxiuZ/EWLLBKbwosPgiCBqlrHcj1lUboFf/EFMgK4aCoxCFNB 55URi4Bxy8RrwrfQKSHNlNnr0nwvTkYmGpq5Q8Geol6LOKnAyC6H3Y5JFGHJ8RyspVbmjUd7bMmJ MtJZTeseMccUnTm873XIyxZISbtC/kE+w+NPWFVtPog+jlaC8Iivv1duXXFTDDw2r7nsxaB2zYva utzkUfkby/BCGIktHoTztAg3AvbonDcLskpAovoA/W6/ikKLD4WR7NtDsrhxug2Yf1Yeq6pGVndH SoYjBF+te6NQnEF7x61RR3e7zmHSo+cCvOP4g3Kdd6GZyq8wdyKaB86AuTF2Oim0quDSrn0oV0Ti NgSb4E31E9/x3OELzvIHYy60MqC5m4z3VfdNZTNwEWGTrSTZdG+SqdZnp+hzo03pcotOUP202Cv8 VebRB6d4SUy6T6E2qxS7guQIjhznlW0nTyUOuQxzOUQZAahxv9AX63z81K9BZZn/9hBvuaYPMrF0 s1CS8JElaiLJAh8tsBOLuhfGzpCW8s6sGFTyudxx4G5Swnz30X614qRL25flMIUaXxzOvx87g8eL QRK23EcZzU6NLBAqB68aZykRoBjKl5f1qz40p1orAghEwufDrUsBsUkrt1IKReCP0QZ5w9cFcZf9 PRF7Ha808PDKnyH591RroYJu5l8/dYWJf+5HbNuxfAn6s+YkJovJ4guzQ6/xyMGf0bFsbBEpIkXE BDHWPj1gN3evPE/B6KaPkksHDXrSFMljhtWw4NnrK3ZL6tnDVSsGo6vKJlSe0xQFfhEUIH8eBNud dYsGYztLEwmINS80G0vXrvFNY7a97fYJFZrRzJ6s6wwCaCWBdbvVG4EyMt4fM8L+Wr2SoW9BTTb5 YYpyYYdv7FKHEL4Y9upNtflubdQCLAw9CHfzOopEf2dOfjruE5AIVMqVAIudgQlG+aLvvXHRv/mD Ht59A8sC59ulPGz3iowju55AmD33O8qt1BJlFp4N2t+/D6BFdvKgobYku50bLOByRDX2JOHvN965 h+1CbfNbYKgJm2uxFNZka7V0/nl52RgeStRf/RGFNk+5vkL88Qx6vbXE2IyhTRUBzFEIW+HYqx3i gpkKVKUHSq+kSV88o2nYdVfuLO63z1U5DeIhVqKh2gvsl6co1f2q3vonT/+c2kqZ90jEWXZKG4fR FXIOQl2cDD66L/dfRAf28k2PmX+YNz+gIFXJ9Mf1OkdZY9S9FQqdFcFoiHC/bK+XnvlUH3PYKtpS rD8atqMkUoMOHUiZdGC+yhilEVr8V9gHXqbXhxO2FsD4Ab5eNNgshZ/rPlimU1EOUnrqbIa7XwwA ZU8SmvtDg1r9TaaYQjMNRMyRizwBXboulA7k962vLs1qHqLQhelDdT9MG/blUn6Mxeyia6OCykWR vAsPnCheTw/bWzTiE1u6cNS7yHvo5h4Lckx5dAgmSmGH9sbIKDkqCdxusdZ68oqxpCPGoIw4herR tYv9vHus3AX/RXxGjvOCVLczhC3ntAWc70a02Ator7SdpPA02EqxYZxM7nk7uH4tbYzv4E30wHCQ oycKkjsv854cxt96MOrVbc5DKEMkLUEStoFJGU5t9v/D+Rvl2KSS8RjV1akQ3dAEX8y/+dkExFxX R7EwgIdR8dtnwj2mshfM+wxkBMnUAWrQcE3jIVhAPl6mXB5E0okzRIae2L33ElEOyLhHBjRDLk/A Iu400VRbKIJsa/qed10X9YQ+7gDQKKCKh43OYvSqQsgG04vrhL4v8JGrAE6zjHm87GszsxoDu2F0 Udfp/gY2ToZ8Jb3Zja2LJ5GHfNNl7afpWjJAjIt3NxmYvFFjj3iAttUo0RjiuN2O1c/livlYG7ME exd6zyiGvF9IQmWsmstbyVbX4Ck06opuX0oS6DLdN6WcsIY2hZE5gbP1EZNpJEO34LFQDTgrS7rw Y41AHeMxNo0GakuvmRm9y8COjnU0PcljKtRRpnpfrAUbpTOO/deoRter3GWhQdB48bu0SUKtczsS RV1GdItralcNoVReoDn/nmzYC1aVeEZdW23G54lBhAVL18o9FaqOsZkzlgp4QqDhw1Ecqx8O4huC oJCJkHvDT/YxsGM1C15AwxrfuMQ6oniqgOhnzs5oZR6BsUxSTe8AuN47lHgMTXSx/24SQbBf6zbo KXzBNOuqmvOVPLpKDIHVqnTLttLVDKpQAMk5FMya3qibGA6GmBYtpohDLwwEJACjQ8+spQrT2E2g PLuhac18MYoCVpXXXU2elteICdqWmNhE9MHOHL8Mm95KSNEsJZvF3wMRqINaAX6uGjHYii5nkSpc 2CGTuOtzI9A1hj7n/AsvsPS0o86C6Sn+Y+G8jejvqE1gaNzX4ohRwd2TOf7WHsRuf6yQAhdBrfXy gDDADmI8qX5/7YjAx0B8CUm5EqxPTUoWR6ZryiWY3OvNlxylWNm9nBBx7IBeN9rD6tiBQBDh8Kl9 ElIzrmZk3MhFuKVZ5oDxDXreLf1zCs5tPTgXs7kSk9QtngBtBQ6e9ZWaU33s7xVrzSKq+Jtp1YGu 8eFRkOZ/G9h5zN4oL+ram6Wvw6tKGgpEkWKjbzGDA7lXkszmds/xhMNZRrtW4mIzluUp0ydjTU2Z P3pojRGhhUzbdmvPSIVk5yjIRmcs3VS1jpK4jgwZEklUnG2Ji3abkB64FSibnrQwnAD0CzIswZ36 Ib6FKy7Z3ZDbcc7JPcRQSgvFvZUaDQHafIlAAa573+9TmTNU7XL1ZnG0ogqik3OmmLSw6k/+5xDG JchWj2aifHmTQQM6HCIVvWcZgzUpvF3nP6ZOB4EEN3/WGSYbpuQeSmd3mASBaG74HkKf+S7l6kiV 84onyiwTGqBN7ZUEcGujEOjaj14pv5Shk7EMHVSrCXJhTZ2QyiNyFyMdmP68ADUYlZHc+xiABksf 30qAJNJMO0uC0zbOkaI1GXcdIVjnF//rV8VhHa5kAtviXmjrLXNSMGp29Ymp4KjFqJuODeJ2po7a EuBWZ1f+t5jOVw5ve6Q0pI6otqFtlWbnesrKo4slsxCr/JsLd3e+G812JwGe5wSrr+io1Ui7PMV9 IeKSJRZ0F0Sjda/IS8h5BLvXUvTuDX5UJpvOwMTW8+DjUbaxvkp/qU2yr5CqfEzBNQPDkShHdVz8 5qTPJmIKzr/PmqH8x4qhH283xeJnNBpwuCQTJ5TPe94V873rdJgkoUYS9DhDzraVnyQ2UtIrg62I LsTh7j4M0PtROGDcthgQpgzUuIZ0yhJ8rVARRzdzcfpJ5L1snsCCnH6vokNsalo0wu14sJPSHpUG U+1t5G+fASB1EJ4ciIrqpTh5YkwQfvxDvduANDApCaSNmAB2DyDXI1zqw1lgIJ8hDKJIURtSUgLT w6DtFDy9tNEZ+1amXuW7/WarCdwS9/rSFDWdiV1EeVDppFSzjb0PvDA3LUticiNP+LrXqdPivDMR fDQiUJnL8mcoeZlmJkFCoP2z5Tf/y6c8DWbG+5v8FtaJFQPiHMLQNsgwQFMoiWBraAFKghDp0TMJ hEvfsS/lUYawVfz7ZTRukhwo02tBMvnbELgIrtX3UR+GW6adBrstuETP41T1Pn6Nt5mYXwuX/4zm qiYq9clfuwMYmKtPZ5uTaSt15VTpnM2Ilq7lMp2tC0UQigp41gJbunpfkf0QR2tMbY+HU2Gpf/hD fBy7anGtlX0OHFu3AjJBwx5N98vQccPHcgWfUwGR4wfZa+aM+22l+5couDiPdCg2WH64pKmyCMS7 X6/DjMt9QupoUaCa24hpdCjv4QFtZIj0ogoyNpVKsJERcHMtUdWBKvcv8KJl51LERCp56o5q530W j1SeOt76zISHU08ZMJ0SOS1Z2Ayjf7wokh5gYRZaIP6ZACpJ3ZpuNTsdcTobhpNIM8jqy8gCFbfm RjfRwKwjWrteW5rVISS9KpkgKaMAU/ZG0icAT71j4lEoYMqMNWm+Cmytdr9KV1fHPrkLVM5PglwB QHvnIZaSGnmhdH5OvAGUD3LMM/pJpkQpx8YyumVO8uNgjFn0qsUN4PpcpiK1ns7FpIkc+giotJ9F /Hu6MbagPqTtSfrK/dGwQo6uPQGsM+enKsPRygyty+tCRnSIcz1t++yL2KOdbhtVQH5WmzshBcJu mb2o/Chi799/qW3dovsBx2C2FUeO7SYSasNBnaIPcLtDBxnD2JQP0acaTMqPMUx1IBjD659HpBng w4as/wJsd4WZ/75dy3T4hXOGxnudCvDfc2BOwyMgIq2sxZuM9xkUfis/CXpVP1TQQv8Wz80RG65n +Pu3LmKakSv8XZke+nKFzKkiELUtecWlO2N3D7BBZddS7QIxoWu1YS9EM1jdijL64Hccjh1H0THx FfKAIB04j/YgUfBvoqcNMQ0IYXxF8z0oVIVtdM0vKHVbYsXabn44p9Q1yE9KgNM7XPKG/PYCWe9A pz8WW5qIuvUTjG14UfUDjJ8i5nDFhxAlbes0kt7FrBt7Ut6gl8UA1JLdZdyRISeiN4bb6S3mcFjU Ke07MhODhi88lpetL0lrd6lKyGL5QDCXWIRVbSdEHMJLPQMbcON1fjOoo2oIcjfHKzBjQ+Yp5Vd3 wJSD1zDYkRxyW2orKVyhgGIPfl3hS499CpUxXaOy24DqNKqULLYImx38NGVf6fy6b+1prrNDpACK BMKHR1uZyYiHBDTwLii3Yi9vPuy04oWkflkla04QH1Wvt+jxRfogRIrv0pjfJkr16Cpvr32HG683 5p4k1s/ZkmW/6ARCSrGhDH357zuQMp6vGjccuIQveB6PyyWPKAlOuHBgiKBUw+sgxioVuq/uSkW/ zvIvvX9tGMWaUfPUJufT4G03Z7J98SaLeuNHUWMkUo/q/wwBil14KhiS0UJIkcBk78Z/gqF49xkc rHlzZopwEhkgFn1JzNVf/qf4M7zxIwrbeKTXHoOU3lWKG50yNEt7s3m7Z5pcA1bkYqqXnfJO0ZRA pczG5nIgsKpqdNcUMIgu4fOBJ/3m7CPmSzkNpfrH9HKzQhIWpP9ViYechnxSE/c65ysaVskWlDEW yhZn7JqyuAqCAEM/C0DvcJcPwAHf8x0WoM6ZlLC1xM+3f/i8vPJJf0fPEgH45i2LLHNYL7ykoWZ7 rDKO5cwzJ99QKGcgoayJrZbOvh3dG0+aZiKH8ZmJZwiqgxamxCy/hojHIu6WB35eJDisdVKSxc2A aa6MLwerE+VwXNUnzy524HnUrzw+QgV+Ll9epH2tWfBvdd7Y9wvlEFvNAnpU/ITuhVqHKAr2iipN Jn0QuY37X7NfzSW04WUgsIbGQbTnmXjGhNG5QLIqyeDUDfcH8efSmJLk2e0ffHEgglnifc90KTkV PoeHGsXPJoKBr40MKwREksKmVubXIYKK3TaTfS1HnAvWq6F0Xs0hxuSn+SeGA6ZFjMlH+nQbrGSy gvuiwiSgtve/P4SZrJ/S139ig3y61td+7XFY8iJV3/LjuRnOgPFeUoQn7BHu0A2doPJ4UWRe1d5S Nzs9G6e4uEqLUcRmSFytA0hYmBRMF2kg2vNRGR5VqwetBLZ2axKYUMxiEWDL0de4JM1yLPoYg+Oh MG1XJOScPwMe10wBSMPw0Gpkj68eE7ZBwphWQ+sn6iTKrvxpIdA/OW+59b49M2EjuYvZMILA1HCS 45fKQLrjO2M54gRZS7SDpXwqqhbFyEFlXZjEGdohhXrPGXNvyhxJ4GNMiy5tjmGzZpOFiuMYZygS qxhzgnG3PJr04iMQcYgf95p0fx0dJTNX/KQ2NeMXlliQ4Mtj6+GWL9Ny4ZLbQce9pad/qu7aqwwk aBvtOUTS9ttl089DNBj8HHat+Tgm6EZwdMjh+QgeFqfgnojQpZ5AU8/Mt8mEMmFeqLuOsD+6VP/n 1RrCbQ+9DMc5OtpA2g4RveD3Ss0dCT4LsXPLmVJMwtTy8oshtoxfoVQRXDynbvz76rnOWRNAbW76 5Ix9c96F73jWO9rKMIYQWxib1eVTi/ua+4Jgab0CPoVesEKHDcbPrJkWmQWDk/FnGKJ/hwKNT0C3 7yKMURVxiSGcFwqdLsMWc8Ape6v6WQ0i5I3A8Ie3003KGBqf6ogQ94SkhocEBSKKWIvB/iqp8gKw l+RLiJ4sp3XzCtuwG5IijStGVaC2pahNGd2LS6JsZMFj28+vVFR8lfsN1k12fgdtBHxf13szL3py g9pEkp1ZkAImc6PHR1sX5Gg71OTehGWpGnhyLZUadY4bLffRk29c0kh+VVcl002b6MbGOoalEHBN 0P6wORHV9A/b5GICKltJ20qhBASfdkqEElb7NhiH6EfOWlEBoURca9QXtl4Z5aQFj1rt0SkDY3K2 HHEF7PBCtvhaa7BzxBltUlHBNDdU1h22IgAZPWHxaLQEfAspSRl0sGmaW95pCtiQJGRlOONeC9qN jIt8//qLsPBoIJGt9MZ+AHwjtGHm0to2OQ5P+fRjmnpHk1067RVnyP9SyV9gskKE4Y03gPMFHETV 8xil0VcYgNl3o21a0onB2VGUeM6jjgbj4gX1me3nCVKLpOaaSW5AjgRgyauWY3ZwgHCJC/r4CViI KCsGdI6sXGXCOi2takWWuZLLc1AWrmvzkDU6fhLIeHonUld30+Iy8fC4edg/m/12Tqd77y2yseWB 3XJPdjmQ3hNrhyCyMXGHyfYfVBnAtL3nJXOrJ3gqf/Jtl8bTUfD4Xc5z1ui3imTrr6GKaXYkvg9U u6C/zOpUAekKkEjrj0EY46YrruFVsgYbBpNeVpmgUY5NOoumS68QwNKVBQFSxzmkc9LHK0agONzD 0th4VwFUOWJ9wytNpJBsnZelkoYtf00JxgYcJz/Kg/5Ca5J0VxA3PiYGnh0zhO97KqsAZk7y2qRc zTWjTNswOMagP9oHcsWytS+yVEn9pCneGsF7pWUJg4At1BiVVP0g6k8h+KdGIRRh6QzKMB+DXVZO kkC2HdtaIGy/G4YrayNooj7VRbNHu7Zj02n9XhtEDr0d8/WyoZnwfmRZfg8AEP58gMR/Jhtt4vIw SCG9SqaX5YEEu2Z9Wn3nif+0Qt2bzjb4JyJGUUHlBdCJ2ohxSEr/lpDe6BXa2SeLTfsUK7Q7qMNL JDNtvVBhn8vUZaadfyoHCb/pNTWW4r+6dOX9I5QdnxlkALkGtgaJKx9tdnqwShW4x95EjxN0Cj9F WWoKScae8PefDmVDugvz6vJvedvLbfhDm3u9K4pCcLX8eR1oVeTRRnd9B/tk2z2ZUYQ4UV6Z4/j7 fl5tFImRNI3CVd4BotljXrqbGzV7LJvErxcPiLmKbZOLXP8iimq2eV7PxssWUjn3dRCnAmKzl6Bc IfAl+8gHA4E3P+WVBhZj3JraBOWoRPsWddDjyRYuYS183WIE/+YBhSLlAaQneUQbDay4nX3EEVJq B6TN5+BmA98qEt0DIG0zUoqwWSpPDOJeJFY+e7Iwb9WxnzaZOQxIY9HGi5rIPTc5DjM9lG83mW8m SH3QgKc7vr1LA1F0JWqlPKHBr2WKGOmphdpWu0iVU4rN9aCjwpjqlSp8/IhJD2Si8lYpHqF1osOl dgbVTuyUkgDh5whd6y/JNw/hO1rLlHw1DJr6Wf7LN7a/07wJ9mTas2QVAe8v7jmWhjxJNIq5tBBH b1ulrDwCUe6Hjd9V+a9Z+xjq9PWQOKXpEPtfh3uM6Izv94Nr/+1UlYMIRWHZWDH3f9kLdoKVvecf ki1aNTQ20VCzGiUGhdyktuePrSfaPDzHJUyGIZ1VmAOLgFjbabLFMIUMMu7RaieDRYBXQzFHRdMQ KK4g1/aAoVSgkp6M+zJxvLhCCQB6ar1K5vsM4xQwQwFcCR8VJJfvSjltXlM1YXgRL19gofQYnXwe 1UQqFajCUpGvma/X1bUkIwMDDkHYd/cxDsGoW0Lu0y8+bdO4cYe403iY4/3kHV5BiSGGYXL5A6ve mTkCgR9TdFGC8Cs+Nblnt79iYP+poKEdHUaw2tvRehd4SL2a1+IPnsfA2q+EwhWYuDN8JG+lRELF SRzq//VKCLt9UiD2tbegVVd3diwqpas77DwFjLMa06xaFu5RHesRsv0FRxw1vdHF6tuWe0BmmQFR +e7Y4I7QAVFSChl2yNg4QbAucH0mN7y5Q+SJBqoOZoLtDUmooX7mTr+NdkdUFGsvlsra7KwgBWRZ AJghq5WmnquInNwbVLLX5gsn56M9lpLMiQSjubTaLw+hGf8l9ixRPftI64BUSGSBa3uQkYg/fUiq fEXw4VqiOeBCtmOxaqNrRJXZpicme60Ot9XS9xWOnZR6UbPlKKLxpgT8r9DuGoGN2uZ45rgzZOXf g6Kk0ZXn+IDz4oymTEFACF/SBuVqVji+qZ7em5Z/aO8e7BJ14vOlgrnlnu00xif3qB5d/XA01wQ6 X6/Q0RscatJa2n6R/yvwmjyTph6ukrwAaP9BgUlisajeT9oTDicSIPu6RnUxhzM0SaXESERYTl7G i16AO62b3zWtQnruAErFmTW1e1KDWKb+4ZrQ1qur8rd2Eb1tADxkWl4z50EqP/FsDAiM8TYEJX7O c+EptGwV4V5qJAq3gdaIK1kA+aRCuXAACWEKGvuDz/BJ3bazxEF3ikApfRBWXyeJrrfuVf34ZR02 4iRY9POKXGL9XEg1pNw4RRmY+WreROq1SniEMBg4KErfkaXttiZxWTE6K9vs4HDRpe/BVqfdhArG +UBguACfcEhAd0bscaicdhGZ4hgr7iAYEj6aMjnjYl0vxg8kuYxitpXQw/HTBleWWE86z2q/lGqy xL35xx8pwU59ZUSkjFxFkKkykDxl/T2XchVdOO81lQlz4MjfyFN098A9JMPD1PkcP7xVp1ZHcbrT MCq5tvuGCiRPeKJYKAobFfxOJYYrwu1rGF+ViQdWTafV9bmvFmk8pp1AdUj9NGhobD4vses0XaV4 18p3DeoMXIqLP0ixYvaNP/RNMcquuMhwy5QXuh1IYhITTTEgfckP2O0RVqlhp2FIH767qBUFdDgi wb1W12d4DyhUZtUULPOhdUpQI8oEm/iNeLqFnau8uSDr1yMH93qV03LTcqX8paOq2LuK5w1hD6y/ OZHOpY8aJyjEEKLe8yyuGsxbyzG+mhb90MohTY27JZgednBaR1NQWKTAwo2feh7SesVh/0djcQSt yGhTrrMoeKEuRC8twqQVGA2VG5ksgY5tiWqol3B65UhVt+bQfOxRgo5Un65sEP2EQDN6WSeL4J2B E3o7xoWa/HQyuzE0vfRC7XiDnQNi1Z2jyyaAZfLhLnPm1Hk5OUZbbKUYtoDu/wmpxX2E8FGPXkTy 53IYH49j6amq8PQOPWUXbTDZvU6lhRX3O+ECjxTkAcdl/C8zmLhRa8GW0/Hsu+algK/5E9mGwrv5 s2cMYb+GcdP5RToKejVSmLcITCtU89OEAEk3KAkpYJOCpAp6ssY2p6G1z+q29QmYV+K7BQE11i+I IwXI1tcNH3PuOrDaw/uUBye4SUG1a/mQsx4RJ7TzunEN0TtDBKv7X1idJhgZRjtg2oue8hGjeAt7 iOqYcQXNPJBkhTNleuLZh3x2uBx5FTKepWqjppnO9NaMXU+q5M0js9wdvdu3VwwIqnVkM/tCeI4x rKcrHM38JGaLSPJTpMVMtqNzwFHBIdEekz3/GSCfcuL1MtEe26YWz0N/R3Xk6kZZq1ok3QgcYNlf 2hMem5Brxkrzc4Q8g3DDOx93mqU26mSlA35TrmDPPQXYGQa23yJ/K/n5b9IeNedlsa+n+XNHxBIj POCw+5Tsy040RpjvgDPVWxxFmyU1CCzeN3Ggk3HzSi4oKT7TGIdE12UsZchaLrxDTVMPYf7keKbH Yg/Dlg/w/aeRxiIoVh/esSEcY0Ai/Ns1FlWgS25dRrvu7Ri9AG/w6Or0D0zXjNEPYpxphLCK48/8 Ukn14s6jJZHWoNC5MJbV+0YzW13NswUmXYDbbRRLCk7OmUnccD74ck+SA2AiFQz2nxYxgfxdQkzR aCzKX4viLbwh2IZyH7a2mwhUi6GYBR2a20yAgIDwTsAZqBSKy3mMPeaMIV6Jt+FDhsKe3A1W4Oc4 R8K6NhwECgAiw3E8X1K/ZfqNtzM+/yxg9LIGBbyEdcuLzghojMAa0on72w8zBdlEc4geiYpC2H5x dUFkZMCxEIeg3/eHfhevKlAyendg6SP8N/npRaLHj3xBKkfKC0USvWlcjVVy0J0CEY0epAV61rQh n9OAJ1vp2pIwxc/0wTQ6FhsENRUwnvZNB4Bdnrj4WmR9BBNbbemUG0CTdgwkVV4G0bJgjySYljmN A62rFlcDYihaez5IYyaUivbu0WugVwMbIsuTxqjGXs+BOa7a9FijNlcgOX2Ksm4ZNRZDUuxvGF+k BefP/5ehtDwTlIon/xwwWlRv/MemiEWfpcgK3CGa9nOoMFOLlD/M/jMfjPBlYzjrkGhCbUp0qpVP 4Jvp+jppJV2E2a5v6hGYVJsyJntw4uT/AvV6w0aAS/rQslsS4PAMJDLI8U+PQ+XsRh+sbSX1l9Sx T41nsfkYMG9lGvuIhHvpfTovb63zbXKk+77CB/S0LwTtGjOQMIaRau5x4JoHxyEDf5xzzR9rG+pz m68v3NWpLD+UHjHq2bzxhKuYWiN0sgRkUOEW0mOnbGOWhKNsYhHuGbJ1By1ibCUab6fCy1sJLhah AmQlo31zSKl83kbTSOdXTcnOk0mI5M9O84fJXOvq/QIU++89edrfn4Dz1sSMihi+9aybVrZKc2lm D8+gbaO4tvWTYoYLyPHnxzig/ahb/pMAHgM1QcBy83ymctfCwwUUbHFu7RUU9XbS15UlgsZyw5o0 qAh9X+SjUsPP3S7U3MjUanqOLA4DKYKMGL4Y1xpK53+XfJGYpBsaeJl95dOE1KzuoV3Rkynzif+J 53Zy5mJPHuiF8O9JDKk5edCL4rUChS0Gweyy0XBawtYST74KFi7AHzzKYsRW5LAM/l4UrVk/pX8v g/BcULuFwf9ZzVcJhFXrhgF2+mjEZxxhchbIGaEfNWUVqUNmBQQn1sdS/1DdZ+yGGaN5t0Jd5HBJ PLLW1NAAoweB4L61ED/P29WoW/YUnP/QciMOZ0nR7Zwzzbp1xht/4Om2bcaimn+usIdBU0Qseif9 ZwJmggycJwKtGmpVsBopqu7eogTx98fQkw5nt/OU1vK0pCa4kHZp1A74ttRhEb8UsBqwZg6fw3Sj ugALxcXZoSVjPmNOp1i987ap9QFfF0Qa3tQJ7kd0hpjdTJWS5azqaH4VvzJHhBPxzYqDbLkdPOXy izLT92pkcUcM0C/hPyo3fECiWwoNrj4YgvKSSsdqaIh8vGoD9rT/EhoaKtSt0nafFVgjD8ifNBwf aBGFmu0ARViv2+yz7SpWV9RViK5P4+0cfalIfQWISdI2u5iZ9Zu5ZAi3Ox+EbOXA1nb+B2u16YJT 4MVBvGztYVLsB+mcYpOfliiCYHKXx23T6eP8ewYRE+QWzi385YWdhjs0gkVMas4zjy928MnM+7iP mnbU1otXsdBJ9n65tYJG40Y5JKb6alJzEXVwgN1+Z36HJaTVX7HtyctEqFYUI4EuZb7pfs9SIe/E 3zXXZzVojJ4otckcbcYOuxPlMeCnFrFlUaw8MxnEjTeVEWCxaxaXheRvhkdXOTq6OA/NxyILkLQ0 w5QNl+DoLTFZNejS5UJQwxXko32jk3GW8Ex7RXo24DmYTbXw1QnlD3ZS2rHGFBXEt4ZlfbZ7La0E a3VVFYnphBZRHKigkDrS6liBJTtnAjVPkq2+ID42NkcP3aJ4HldeDQHZpNA8I85+PaVLHaFB/CqS eFFXlLq1zD5dsDHB5BMqnH9SNpk0DgSTglHP79jULfTWoMTjzF0wR6r/9+XBVq/+ZRDisR+kPF10 9JDLQOdaMFgH0tpjBpi19nW0TUuB9POFScgRUewT/yFuhbR2DMIsbTXJovPUVp3EcykcXwUrWKCh JJcgTy0eL5Yhrgmhz1YixrQ1jZMd4/99He/dEYTXUvEPXE2L6OeaCC+Am+mimSS5ZkiB46XLW6na +y2NgI2GJsRzmoatwlV6x5tD3mFoFYKAdM14Vl8yHkunk7q+Jn/D3HrIXVREl5BZhUxSrS8AbUwy EbG1AqMeXQ3Mr1oFHzAnNCfcEBLFyIbLy7ZShcFUl8jE2ICj2ZEdzmZybcwdc1hQi8eUqRQM8ahD AbXeU3rGRWyA+BjdvOToRQcP5AfKKWgd1UBcRHNi10HdDqc95y+38bcJAXaG5ssq5kciS5GzP0p8 Q+Eidns8+PZ1tLanVyHCOePjkWO8piWjd/N7J9pGXc4sfH7QH9g+7MOdP+aqL6hTci8HL4tBojt0 0LLlCwm0sMpnr0y7mWum4el2V4kBTyj7WjEwIv+E0/dtF9EQduIllQ0GGtrEgvAI0cdQVsPz8log zoRd+b64kxjwyYnPdK7LOvH8JuN2NSQ8+VLO1f4aqJCt7cgdbdPqch9O07BIFVwZN3vJhCh+khq2 1HM8d7eqdjGG1enPSqbbo8y84oHlCV8Xx01m5s/ZNUeptEWrQ1utriljN/R6Asj3e71Aqa9LEbAc j2vC6MQl3w0nd0jPqhmX7l16xoE0qOdrVZJ8ab2miTQ2SBFTUcIQCr1wkXAPUQf18TqeJov99uVr 2RP+yFRHrTnIJPha2bqvyqkJxMYnlycTgZRzjIqisMv+CjRVaRa5eCA9GIdNnxGsMlORO+C2ka4l JXOs/5meRjwM5ToReZAWn0PZmbXJRUHmwgfDuta8U23TRHWEuVivNAo7N6OWfaT95FU3y4XGSUKQ PXxujZnSu29skkCmLEWwzXcjqpON7q8GYbztIbat77OT3mszUkNVaOs2gQTSGwJ+m3T0+4B0wllJ BpNL9fm8zDb7WQ0n7bcMr5gfUzK4xvsbZbuhz6fKcy53LQh60IugicAwO3COTDvuM6ECaAc+vNn5 eEnIFGJbpeh8uurBeQG0yC6vUhWrrK0j2Rx4tSqHcjz/2tMFuu9Fqq01VgixUk7jfPy99tt/ZLNI PphoPm4Q22xCP2YODhZmBITagcgLYez8CCK8bHrzSxe5SupquanXnWhdxOMd3b0L9P65OWtLpR1V /o7f3WZlUkUMtmsncMXlkFiu8/87gSpWEnIuXiqlnhNUYv/IFvpWFDDx3lJojWu6zTCoEuFvi1iM lX8EeZVrvg/fgizSD/ZZsFlFqa7zpLtl40m37j6h3leJ43UJyXxwrq4Z2dl9H6QPVKDt6CQO9UFX i9Tj2n21zU4SQDCQa1ur34TeKBDx3Fb765BBO8kPpja8xN+R9xZdba/wPU6t0MWheHUqcWmr56a5 LTSKrb8qBmX3/Rm4cpLpZ7K+i3skG6ZdBRRdlz1EnEgKR9JkmfiZthq/a2LGc61Kc1EC4vZZh79l 1nxrLaF2d5HLh1PG2f+KVtdmX1b7L7/sB0cr8PEa3Kf7onWzRXFuI7z1gKfGBB6ZZdPPsT1NsE8V 2Sxa4jmw/iUaLJVInYd5N37fPGoRGiz4fabHWR1QKt3c1KyNicYnvTQvrv97YjTGA7s7E96hO3iQ VhWlGgaPJ0lVyO+CRfGllKsz/iFbK2UsQ8V7o9n73nRhlQLAgSOXePZ7oz57G6pq+Q9Ld9pwv2zb jYIaZMEOgRpbuoccHRd0l66NB2OqhgQmhybeSFdOJW/K9olPL0IlX2somWBk4GiamXWLpyTz+tvs 8fKkWfkppTXLiu5jdstd2yCh890iRE4J0VHompr95ffvIK5szMi6rD/eDf6b8qkCM3VCvWrO5Qit B0k5GfyFmM7x1U1opdE9DsB+QrluYF3WZ0JoXZYIB2xkvqplyRDw8W63oFAtgj9oVx6rrh3/fT2J 6drLO9iVFhoG6gKC7JeMG+hptNHuPSx2dMcqyUTE9jj+RrqbMCY6sEAn/rYF34HZ+O/25SLNlyd5 R9whr+YQZewKkerygUoNjUXiCwDaW7IxkRSqMhnz8KH3meW4nuuF22Gr4GPRfIgQ9I/JySKu2xk8 zTLHjIm5wCCUSYSSUBYPEBFziFeP7Gk3OSMBSWFAagjSSXV1HNAWxrFDIZ6l8ZccuMQYd0MS6VUH aircSu5L6eFqh6RniVgr4Puw+5LFZv72ZBcl2H59M45e9UaEjTx83sPzJKrWkt8WFaYG85hyzPdH rabUjjbM/rbINj1gKgfXuXbTyMV2/ZWkxp/tJsH5X1koet61q1XWcnLGZfLaM/2P40xSXsk0Gn3/ U6jDkBaffCWaivnS2Y3KmVJ/BFm2rOkzfH9RsmsqXdVS/n6gkzwLOPGgatGSi46HsLPTeLCfD5il YwHkYmwS1Qoi9CBeCT3op0S+xZh7klGmb7p8zGac0HBQSLb7WfeSkAA1xKZ6QaDvbCxO6GzMUTU4 Tn3ZAEVKi0zyJd3MH/beF9ng4Jd0FcrUH+8FW7/tY9dv+w5+r2WLSV9bQFexxsbgHo92LjQi3wyf o4HIo61v8JUrfIvLawCmSoHia9Ajs3oUssYhWIcirdFCaF6BcHIPKD2qdh2FJN68vs0knRHLplVI uJCsA7Vo6oJX2ie9F12Wo4kXuEqz2hpaTzB15thIiQnBx5sWUuoS+8cyveOjiItWSoUJVLLQ2CWF lXTCZltIOK/H2675FYim2/m0snJfVOfJug2MUEfMJheutHSckt02uO0+Lncwl+l8MzpYGhxnKseY QHseoKx6SHny4hYcNXbymrkX2bQWqRjbjq79hBqRx2dlewJyBPKZz5BjxHminhmfgvr2nYyrtgv1 6RwhWi/rAnownDapzRm+JYnDO/lstWZN2pem5j6M1i/ZbTHiOJY5vco2DLUbNp8n9aRx+aISDDAA jcvbUb6E/CZbt2eE3fgQM6gURFUaNa/pYS7G73+xYSUr7BQxZdCDthWtqH6/CvVLCCN4c9Su5g6U wD6lIa4MQT80p9SHH+HOlZYKOJM4JH62N4BuPrk+oepYB0TyEi0NorVQ1kzyRveQ+RVlyGGuX2bz GFFVlA9UcDmeYk61wTvyPxcusnHBMpkDeEhdazUYDY3RTU9xWJwqpTqCOLs/yNxdfkcQzsK4hw5K 7u6y1PFHPfhD7iFJVnnOtha2il8UueIe19TmEdGSlmD9QNVOMkXXvfGEEIVykazzoqGZi71Wn8d6 cI4XucPxYHGsZ5gtuKN8uMY6jzqnGrjLq8HGdwieHt2sLEXZSOCieO1Jrr//QhPP4FhaCbDhEh2T tieNKvObDQmJRVOWgsR/4eQ5C66+Bok1n9RjYBaL33gImLofmPQrxl0XX63w/1B7SrheKoYAQpFl bezQQiVvqjOqOW48dx0WaFnKSUALWs130hwh86H8dt9TiNCHnD6XuyW2GyA0pRjeXMLDxGFIV51x 5innG3yxYlHdB/MeCvS/qgxnm/Sjx+5bgO5AkKpn0yeLJewt+XwvhhaF8DpObxJBVgIh/ulA2SVX iTEyzYAnlieCHW0KhRpr5Xu7he0el5G9C2MNMPiAK4/BOWxUOGxD3L8l4y3TwjNE6hpOzcN6KDas 9iAIW9ec/mE+T3WmiV1Ru0yhNSIWelSbYePl8VxqRobau23LWMEySZki6FciZHhNyelbvcWIE8Km 0W2NjPDdw5l1I3jk/cUB+w+oUyzaKeuDgbEV3/UGOAEBoA70SRB2OvjTYocIlY1nbebIJA7NetGS av5K4ZYpy85oGpC4hRAIu7jy0tl6c8Cvp8Bh1EAb6adjU9TCRb5z31NEX7i0Nsc4Rc+tSSUtNtiM E97s+ZbJWhvNeOqm1GShyEc+MjDKZitZYVKVJZqvKZNHwXSWu303zl3Kg55Z+RbzXssSLSIgej/4 iufzaSsR727GypZrNlClN3I44SnQxXz+jrciOmqqD5EQE+z5QdzrF8WHTDP4b1hLMlO1QQcHfdcH A+xSYth5ANkZx2M0fZNu+lSCHrsQfmGQo1eJVOxkyR+2kRuOGxY9XRAFnXlZQiKZy/Ti+HD/qngz 6tJoAxYpD4o2CAIhD7RJsWuABjrJjF6eKtA3vlwCGbiR5cDRS+qm50vfkiIwJn+Bmq4xKh8x2XlH 8YZCgOa0mklwzJLWDUPZDbyv4P78vqfRzHiUmZLdR/NMUjvzdotCWOHOxY7A1QSp/Tzt8BJzSeg3 XPY8rNn6c0+XHHEdPjfmCWMv146jDXLaiLWjb7fk2XKm26ItFxCul9jLP+sHBjzpkccTRTsl+wx7 ypYkmLkJkaQM0VPuP8eLcvxASkfXk9/XhSpAEInqskSbOAq+VPcM6CUwDeNGNJhPDKtnyA/qsX6k CCzARG9Yhra8ESxUHHYDeDTvwG/o32Uo8aQQIOKIvXa+L4SlQYSiHJs2b5slVBjqQBGLCdUYiwbP b+wjQ1CpaP7ud9Z8tB4gARDj+/rKb35Y4B7UhunES/xdmmLTcISQ8wr8Fxnehq6jYzKD5LJqqEDN iYjFRRowwLb8pj3N8iJtirN3pQ2FM4an0vqy45tFnk6bmQlq7/F0DB7owNu6xZ10089YllUqNQjz 754TDY+jJHf9ZkMNBnuig+ASbdNa8LbzitrLWsuUVH0I9z4zjiZLvlOs5QU/NkM05w+7CojtEK+k nXbuoNhd0oeHRPxSRLjEyB+xyX4eLsctGQhRs9jzF82xjZppAJlQK4mR/GR6Y8c4HttDNmdJxnjV ZbWR/FbeHuuyM8PAkHksabyJmtxoio5h1kMsfxDIg7JZjGujO4VYNmlAefN54ropqqxDhHDG1kkz atXG65M0KONNYMs1JZtsS/4tSdtx6Xe7dHWEyLn6BvPyVgVuZJAAZxVh/EYqKz079NfwBRLkrIzJ MHf0EXwGmx/KTOvg2f7mEgGdQGQd07PZFNvoaGpWWWgbEkhkONe1QdqdYe1stG1YWnGRdCQHROSH husM91l9K8abTQRVyUqVm2DgtlA8ZISr3AkUwe0URRZTALuRVAbyba2gUhLtRKzEg7Yemo1CYcoe PbdSCqG/wR95J5uhP7RItg74FuG14tYAYDs6VDrci3yx4gV7FrS0haw87KK02ea06Pc3SHruOeeL e+Qw0KwLalYwq78I5/kn+Lga+LpJVaRmm25rsCbUAlnZFuLlD4q+B29178g1HadIh9JLUza5NTMu uPtjIVdfBHye16EZZEH6bG0+aCwEUt735YEkyAQCp/SpVbee8cli3IhJu9HNUqKWnxLMQis7psu8 Jiy3d2y9nhqgqrvc6jA3Ymvp2jFZaZYZleeiJvhK+SBqB6UJf+KTZxxHI0ROC9YI4GT3wISXTSGV Ti39cBpqN7p4hisy8l3KDSq1q9wvcjuFeI4UnbZI7anXrJwkm1FSudNZmb8nZzQsKDYrahV8+zuH P0ziggKQbb6nQilwPHdob5QGi1I9ZAA78Fa7o3J2idxxHjWpGDRMQmN5VzCgL9GkVXRZYChgzIyu nIR5ogxzJSaUOheKHhg3o7TtzKbucTRIzqfQcS2Lk94F5b4R6HcKFWeNHrQwYfHmCCeb2AZD/ZjC 7bn2oPs4UcesVphf3/0/39vRFEEEL2A5xOUQAMn8YI/JoOQA9/omNL5ZVqFIkthRwLAZeyWeiYsd nYNFTjdLFJYCtTOC/S+OiZqLG9NvfyBGCCy21aL4sZQ0s8ICTgBGxeLaIYlV4H42Y3EshYXyq5Sh fPxi15EiibQ4airQ6eroWbd3x3LQpa/RvF1NGUqrtBYMFHflUNXspEseGMGkUDejiuySXv0AC5cM /2E0e5YQX/MOa/AZSIYqTdDFYd2ILGEIdezxuKuEJm1cQ/fJHPtY+hS86U6EFu/VPhPfdSHsenl1 Me5ZoxlzV7tf7O/X9wybXPyGWG1vtodWyyMdjMtrH02LDI4AbyfXW3eY8G+HrbKEgU0JrSGj/+Sa s//BiCt5IbNJCAFOWvo+sF/HUWMtyfcTfkSwO/Pve4HWg15QXb1PsjiQSLolZHopddB6Ptv50sSr js3d2eTib0//wcUdxAuRyWOlxz7P6TeblPqSedd1STQOutWDmnRvH3lx9a11ggZJf5570S3Tpb0e 5lfGosIyFB/dOfW/QfJKAd6BM5CYDmH6i/FMIjXDf7YUeqO0w4DVg8a6JqxrsL3ePjq6fWq70bbd Vr1XX/6LWx8DcsfoooMSsiFv9oCcW53N8737yWyHcS4fqOs0SZW9D1Wcd+RoiPppzodBnaDez1Nx 6KGXaY/AkQlXCLtDXnCWJ4ROEhDk7JCB8Xcit9yEM5BCpfvyu24r8h23+daKEjs4kDK4sr8vasFC AXSZyR2OxGPsSHPEH1VuUrI9UBX1dFHdXCVVWkUUMifUA87x8rXlOAY0CC3Xli5HYbb2xuZoNa/O 9TGcJqYsfNI+2ipzVWXcMQQL9q2pCZKwLYMZtmp3DQC5xY7IGhdGjMOjwMjOSnjHKhxV0FuertI1 F0iUgMb67avphqrUykLQ/sYKSw59ntWBq9z1ZzrWTksyWys+atO1ZSYVUnpaJTmSQ+EszXmY1aYn xFZ361C8mHdtZVU7QW37NtlTUpla+S9NU/uKDRecHYrYkVdtHmJvT+ZjznNcsLJtVtE1h9pnT5qE oO/hW0SgrDQkuLZK9WlB5RqK4GF8f4Boqwhvkctni8vfZq/GUDI/0VtNqGskx5P6TMxaUvurT8ZD 8+kuU7dhddNzS9mlUZo5Ftssi9+aDP57mMC/DKcsh0oub6AGpVk1q83SF7PE0eDuh0aHhiE4AQgq eqj2dQuycSgZKwLpivac7KV6krtAMMYnn9j/MJrJ073XnR5OboLhXZpNAhnBqfPD8IdrzAHUAOk5 bOv//q35rPNhQZi6TSw4BMXW8w75qI+bnBHGqbGmu5FoUP13oyJjCVMq0bp1vNTVDAPSC9b2vW4x +W5uzKkK0ZUXP4yCd/2/OCXpHVc/lIOAGwZghFoYWGFXVI/1zJeaRibMYlHzzhyQLzITHLQvLFTK rtdOH5ouuOTgDkI8DuEc+mPI8cHAN+bJJUoofSDk6Oi0sJzz8iRRY8urmN5sBnSMFYzuK+B/ig0E AnRSjy45sRDqPKlj9De/ozUXXsz5gI6ZqQWkt53fLb/LjYZASbBJgbWeYza7985npBCm9wrzcD0S wY4pru/vU5vBcj0T5d49+T1UR3zlYH1rLbfume8WDX2m6rjGblCGJALJ7xh6ec3IpKKV1rl1nn30 pnUH1j/E8qnPSFy5fLzTqb3TzhgwcMdPkZ/XCCTqGYJI0CNO9OP9ZHnKopDBQzZ6D8KGCIfIl0r1 EYFo76LZdpygqzUl04pHW5grttcWF+9B92cfrJdB6E+TP2YDdvho+TcIkdKRGCvAm7H5IvfeftMu j9C9iMUPogwySKwZBlRx7I7SpzNdB2BkUsjoRedJ/PQ5Pw5Pw3CKyJVoM3JoILVQsmuuZDwyBu2U EMnFpU6nPb3Wtcmb3PQxCegMNXE1J6kXd/gSfT6IhAbwtkZEWAPCIYb7/dLsDv/ZguUi9FfX+v2t f+g5M1/VPwoAK3zyegVQbAjA/hHwOjtM5xT1xvX4INyAJOp852h3Rvy4W+bbLHq8hSLrG3AUFXqr U+Arvr79nuqBfVH9ReBRuNJstGzOcQSZ0exFyPy3b7UEdpEAljrfMVeQcwkjdJbvwD9HJ0wymCB+ +BUM5F1BewWmgKtj7tA/XPsKGho12MZnT5Ef8YtvFuYb/4gkAQfxDElGo4OctljKP3aZtAFdCShB EKuBOk/nYToQXDFEoOIbFcjfCoXwkj4awr/udcj+EJGo8iwjXJ2E4WU4jmWYlJxMt/pQfiSI3yh1 lLCGgkTepw5T/iJ2oPkZ4yMsP137Na+uXYmJ0P2tNGfUWqofNaFuGn9R7Ql7VX8/KEd1iaSTyiYu 2Q8PYvRCq36+Bz0x05rm5/wLeU7/jbLWXrUy1yKZ9dkD34WA9Yn4dvm1xqgkIMSPh5nbczCcpX3k Y6vt/g/HxEPofluCoyoJAlt0j2PlmeKc56FJXO3UbbEPf4Cxe72MVoBnlwk8zyfs79rezIPFtR9h y7iHotJ1PbAStUPtPPz0VPZIeHWr4hVLgkhM66tYGdQw/rdcgYTu5Ro27ODW4LSvXSTGHFQN9BuP z8649BoHIlak612BqN0aDFE27+zAYiYMupNrJQMroxxwn2eGcIrvB+JcNen2t16Uu7rmF7xkqcCT zR0x3yPtW4nCW+SmtWE3hWIiMpVeO93eQU5uu/6YS9ScD0thwpbznW/zz0T21+NhFFEW3MKP41VV WZAVyTEO9uf5PB8D/3fB81sIq9cijHuzYwJuhjuZu5ZFuFd5DrUOR0eyJJ6kZkmhaAA674S2DgTz gJvXv2t8eliG0S5LiWBckfzxqx8s7gSK7S3WlZ2QOxPTYfmY1zZmD7j8EyVIgJsfXHHFxXOFZKYK kVlKuxXlTnSlScxw2TWvcxYz+C6B8dueXyZ8xXqtj2OKJyGRkvm+xvXlVc197sc1X9fhFNFPcfnk PvSJszdjMRwINcfj4T/EF25J4VBJySJnXhS0DMF5te59HXFQfAF5T7O0KmRRfsXRN6nfthaS5tDO WF49H4VMrep2hjBx+TE1Hs4EyomBkMAGsu/+nvL7ZPVZD0m3Ot8h+atod7iwyjeXk+JCQIXas00a Bwp63UzOYl+aajbl1krM4FpeZ3nfF4ySHnK+wDEtjECRjurcAvFUPuDFWFToCydBVvPDBycql71n 6kp0mbM92fJSr/Dd+JJqUrk6kTYiLvg/nr+6jpn/mC8WeLdkfEMPcRjqOcqqdtbJNOZ1jzhIyVLx 9CBDP3RBMnsZugffUs2T0KaPSxC9kO6zdjuzARdYFxloDv/FhuoW9Huz2qIcXph6bgUK6rRJvr0U Po1g8tQiSIKqlxq6vGZ7LpC+SqYN7QbXI9ANG1qd6u4bTBgvHFkc1BVPBnp9I5qICGXrctmeAlOC nGSBJsatxpwtVOeQTUJj1e/2V+UPSfnKMFDMy/iIGbQvyte/Oe4+LICZel5FVogQrqhGJnPeo8F0 yzalNhhsIaJr0GS3VSdHXgxuuHsoHDQaaLM5Q6zW/kr1Sca7FHfUMK1hDjFhkcMgAzQijhcINhIE g6Z4SBAzjXT/Pr6VJSqUnrB7gkUDO7od8MRya+j8wWRZv5L7mXuRo3gGLt9eq+QD+/OiQWuwqILJ CHRAN9YKW1UwTXHpSjHacBBQGUJg9dBFZdN5OLiBdzQ/dqdO4MkDvP/Sx4uS1uBKOyV/nU8TjA3o 4iFe3jBgwDhT6b22Gj3RbHxxbSeSh4bdNgaUL1Ld5oG+8+sa2uexgA7HyykYDkNkLQl0j9gj+BmB Runh958d3yENfWfQ0n5HrRIlCzLu2tZDzQIZ0BpnNz9g/hF5DZ4LVbc6SyNCvNn5CBE3MFkWOKkS reODYWVKsJQ8wUYuHGnQuv4CxMYl5qEsYyRhQmRiWvcgHb4tgLfARLyjP9Ca4vAqd/kr0y8j6UcX nRAOmCIWTRzDEYVGLiIT8OoZhJNQs17HhjC4tKtzru1CDFw1xAcSqjiTpIVAGFw1TOPiFyRMRk4U 5WOB+4uFAgPx/JE3EO/XJ+pVfiYpHPxUIgCfuvy378mEZrm6g/6CMEPjbcswdIudZH6Vr26e+LCZ aL6eu5EqoMuw/PzUByI3/LoFggrQ048aaELLNJOfEQQwy5AfrSc1yuT8sXlrPV8N53wKTaS5L4a/ ibRY5SsGHTk7Eemjd756UZVFSIKqUDbv3TN88W0EiYSo61dulEhM12T/0D+1OmXQnbr3u/DLalxK uhkyodQNdAhKs+/2puKGFnySZax3pLBWs7zTNlBEdDVjVdUKJ7T5rgcQGD2pJTNxgpMHWpdqTcdR B2exSrjyd+UR4qkop08HwsJFrqSTjo1vxik0cJRDu5qEEO8gAOwhLku7Nzu6ZNbCKDFSxWaN2wbG pzTMODbD7OyYMb4cxFXcpIHN88cJ3Mypar9thoigNTq5APUeD0pAuk1hJrtuJN16okKSoId0GgIl kmH8ZoKNplcYXXBF9UNTwdxYmWB8rSFzMnvEmFPcORE8QedFCx6RQuFBgbXpl7bvwRvRQzC59BSR wxkB4kSSciytmt9qhh2oX8OqSWV8SdU3ZVpSbI+Ig37PlQ6WYufABzGTHfYcnbdGZ/k2RnnrdHzI cROMKYdxXqezQrTOwMhTdu5UsvA/sdqlxydQTD/sHDm+XeOhXPrmBYFW7JvX1EXQZlXeTR+ybhE/ 3ultjE7rj7Cj0W4MfZJDA79DSdP+1tXJIWaeh5BeaGvtNfihVhZEUJHsrN6YwurLJUznzszJYmbG /OtqHO1hJuUlIz/bZz6U2CMfrjJ00pJHb277LgeuksrXOdOXeoz8/EHn03i8+vr+kxWul4P4HD6I CJh0pAb82gckS/I20OVjTH0R1Emq1Upwi5y9JXKBzsOvaoKW5dF7DP9aVMdhHrKBCGFAMMLB/qQu SxoSQHoUi813qS9xKL7Aw/TVXPHE1MyhWOmyiVdZFG+h1+x9/VgBkX6PXwrLQIWjiOhqwtmyoib1 DLEdc6v+OFGSzHiZmYzq/BoMHaabAS6rB1lXqs2Puvd3kqIS0qeBKxLlfOtD+j0HU8dxDTIl9eAt /5VaAbRBblA8Rnn5pT4AU3sWGsntuU9FpTYsbtHz+/QxO/gqIAmeBSGen4ugHhxQHT42+MSfenFv 1t3fHmd4fAQ1WoYUGCVp5IkWSbFbnf/RqNgzKw1pM2vC0/WFOqP10Hbl+YR1trPJe6JNnS6KNUtD 88SOgF1pwJlwSas6oCkzmTq91v192YZVEP93dNf/8S1ad2Cur3h/48BDj9Rr3Fonb4hyqhbcDKOT hmCtspWy+/XyHM7UGToL/JXys+cOCF+ioSUm7sn3YjfzlkvV+PefxVppbzHWSbgBV1Zeao5D3RXm vDCLWq3tOm2Fhci64PiNNOVPEGkDlqoueyRg0PogkGgmHnxKW/FVFQGq6cSScjkcfF46P5/wXNhF 6PaT7vBVlTmzJGtjjX4XJ22U+eAWqhw0z9BkFy+dN8RAF2vlv4tIT6SORzt0GjFiwWFkWbnLzfTe HoGx6Q0bzz11JNWXaa7Y3Rz9X+ycEg3gsO8fjD133kO6eGjNNb29WWO8a1oUr1hns7xsK03r4KAh FjttXUmBSefngYCLcWW49DL87cNlKJRCtBldJXDMaIITLiYDIM3WavFzAV1AgJn4qA9/LtOrx5P6 eL2TTf8F+k7vvL3boOFe549bOao2vLC1XdcgQ2aUnR35ED4P9pIqcrje/+/j7eDP7esnyMVhqi0j HyGo/rXnTmoiQPe56YN9nxob5mrFibZOOuVYAkbOzuT8wE8Urn4WHmrGs2HphQiM7j+F4LlT0e5J h8/LOHSCtEvEHiiDoom+BXvxSzKO0Pqken5FPKjCpUKtVNgMgkpxSypJ0cytXBX/LTcQpmOnfmKr uEXrArKwQRM+NXF5FhZtZ2ql39JYvHlWrkOay/GBPbcA5Jdo3Wx/LluajMcOHYS8toeGAVYRh8rE GSLJqOyqz7Yg+SX/TQeLaiJUrloNvuUI2/qGarTy/+prlZ0V+NwjGv3u5soFamGvSq0CQR42yzM6 ynr6HVwwW0wejpeDX06E9nFSCT+eM8pkEEckFJI56N3DG9wht8uLKtN7DOVd2+1VjfzT/FAAyIxQ 76bWSi71BZKWkY0Q/Vw0+LeLawBp/O8jZjWbQ4HEXlAHRKq+BOA8JInIeOns+DFP7rPtuAWLKyHI 53usNnTI8xIz7J1egIzb+/kUi5CxqvQHHsRJxIp0NG5HcqUIwQn3AKwWDoQVdO92k1/EHak7XGCC YQ5llIsXKTgoElIApT61+kvMzMyRkQiwCW79mKq7oNKDZxKNZ/A1VvvVl17ZO8SG6/SnJ4NqxN5I eSASLIxaqfM+5J6Hy/9Tx4Vc9s0lF3x7rNb8yAOqOmShRv3gAQVc+fuPrTewTfr+6GtO8OagS7zm aBT98Yav7MUZ5W7Eh6WkPyC7e0FrvAz5gLB0Gb9kC3ClhJl6HlfkGU/r//Vb0i/uBYlY4hfQpb7W LpXTh8opIQSeMzGeQMq5ZOaTkU6mIcXCSE/C76BhHjhYmLAq1Eb3cTb46RZSGZ2Gm3MaJqJIvWF4 Ic0JiTHycfsSqPg38+MBCpPzTtwX0SByfdI75enxhMrMJWdNF/AP+UQQlavBgqjWJU6NYDozLaE2 LEsXOvDlEYYPUgThX/0Y87zW1CokROX+M2/5XXuXT9Vjoj81LPMklPk/1PoOxfXZJScnrsxrWS4s +cgOEYq+3FB4ZPgvhN1h+iyH2bGKR9LTsonKSpxBgLpVnmzo96AjtgerJz/oeFfKYpCHZ6LX1K+U T1RCn9/viicGDLWR7Y05RIveuwCVI/XlODYGy5YDeBUd82Y56t/OutDNsCU+tkxKF99s32M4l6lh a8FBro61IOMAsM8Ci8iIQpm49kMg/U8/lGN9z0rREyfZ8IFaFYnFoLZWGciLT2dkMBQxSOH6dK7G wNQH3pYRQ9TOsMy+AEwk5qmkcLBNe4eB+9r1WTNWx3YKZTcnveuP5Ion4HyGDhPmmtGaoIEKMxLj kX7251jEhcmfwLrGQ+AObrGgS6HTMrK0sYgL1cztAmjIFnmgT1xKukbTY5IU+TNV8zw+tZm53r5A 9RIr0P4N8STd7GXK9vu8I23rmS2A/wwVKc+goY6LNVU0Rl9AIrBIw3kf4j49SLHY9q2N8e2bcZ5P Ly0VU7iZLOuOiH2JWa40+fyYAt+rWK7niu3w7p8xetJ3eObuN3UA5+azI5E4UimmtvOwsC4J0p/h inKZz76KqgIjlt+jT+KYNLa1XDZ0/2/SjXOU9IhoA0/3CePRpvJWYUkOrZVi7MhFTGNK87i8eDp+ 5FhMrNQAzLohXEZmxDaZGnNV6qH3XBZxHkJ/xnHB3Aeee2auoeofdILVlxEXnwv4otxqyZHFa8Gg XAcJn6fALyyT2KlXqx8Hihscl4KGdV1PamMG2lKUmi9ssn5VNgJI1kbnUy2dqBR2Y+5HUXiWHVOQ N/cYHLMHMR6+S0uxaSPJtOP6IzkAdxB95/bG/+n7/zfMbEwxNKJSZKZWW2lO5IfM35I8fRZsqdsZ Y8L1/w0fU5bAqo6E+B1ktDl55iMG5M/x2vsPP4dXnzNPFMnvpFVzWGBu8mgGk+68RHhqh/qubIX5 sl+5XD6yWCi+L40WTlfin70+FRK75xC8b31UYGY4Z7vSZA6LtGdt+ESOi7lzfcwNUo6Qbuuq1qSp yX+fAUNZfwg8yNNU3lEiXWSFfW3O5MY5670/lEZDEwumw+5ImfBvXr4sqg+/EXEnmTebrrbYw7U8 CVWxCa8SPXCMvWiuw84/DQzN79EFis2F++HSCT/skD71LQgrmFWvif7I778YIO837DYWZOy8jgx6 YBEfAcM5yvx2ou6tqLJ9cBnWrbZgyTX7tczQrYKdENsNB0pXWRuMKKi3MLGOY0u2cD0GerNwzFJB hgMA6CxEjJrvmaIILS66TDxkgtElqniTjcbPlnXV46CUiYWjiCYjESWKYB05nPaSHS5uuBjbM3KA lIL/OZgfz08KtnuqXT5YDYTUWDt7jx0PO9xFSp19XHQy6merKpjLxTYAQZ2xjhM5SFpAB5p5pRA4 6dMEM8GlnRpHpNrOwFwpTmnmCZ4gzbSXy6H9nJNWkCULw2QliZN05/RZ0QigX4tH5X/Ba31sx0gR EQGO13UPzBdoG9xYdC6QQZrK6LI0DexRp2fGe81gFY1n2m1iYKXL5nx124KW1U9bdWpCTZcyyHH+ UB+XvoZTh8pKYKoO0xgpuSxYzPqTg0kJfB8VTjjy01oJ7MuZ5TQoryAYBnMzL4sWITef8KxDiOLg mnOmvGfRk4lNjudhPKqfnW8wSy6v7Zv+jCfD9hmQQdoqTDqAJa8NMV3XzBaN8gp2lI2Krm/D2pmQ Nsb7nGdOhoT1sd4pPcEnBqxJaIfgsub4v2Zuta7msp969GgLSFEEOreDZ72UIvtHGfR+BAcxLrTX G1Vz47eDcw0blkBQ+IlvS1fLDC67Jy6T/ObcJ+Ku0xIZYJUz8viJoND731wjyf/1z5IMY2bseZvM 0MvihP/MoEhK0c5T4FpiRPK7ZEll13hN5jBwJ29vWq+UcXUVQv6vpfmTbJv6KHF64s4lDpaXofaW HcMmlAdYbwBFfcbwcLqrWs8q158E/lIP2BCe4kFwwfJQ6uREiVtBtoaDD7p4YUgt5qH2tzVDJX71 s2trpCH823Z5lsQ496wXnKFjxYTVxFoN7Ogi2FqCo8yu5iY4wBrZLxMrDKRU/PkwU2QrrOcNmjCt wzdl6beUyHw1Hv+rmZL+cZmWa3wuZKrHwNxJipxk0otrOq2SFAyNq2rmD73If8OdE0EmTaoPs92O A3YSU+lo0GxeEKvj9LqaCT9PAB665nAzaRn9mWADISIHwzcTQOqrSqYR6iu3POJp4IMQHiV+KDiH VqQb/Xg7eI/e0U+Dg/blku35wIx2jZfkn+rKs0desLoMloK2JkIztG1nJcyBspfcvYeIMuL+qo/U 6iIGNTrzNB38nndeNydNjXHOH05vCKqLSNw21EaGMxQX4Gc7j+2K11tMudFJgNVpndmalFSp9don ALVBrSm0IqahtFQL2XsDt1upQs9pCf93EsEFdz3Sp6x/qRS4edn8+RyP9h8kpNvlZfUqdZ5ug/dA Rl0jRnpzLACX40LY4QgjoYpq2Hqk/yoA/P1k3Gg1GF2wiZ4XChRik9h0jRJHDyW1KiThg6nEr78S rEuLR9YeI4qyNSRiusEnf103ARM2Y3Pq2+W/yc+rgMjJLp5Y5Ya56vZ7vj+/XSkn27Byp70l7gUA 8fWr//NCjxMd+Uc/ZH5NLkr+YZnjv6uNNbp0A/14ongFWQA1QLLXp3tqROHwYdsCZfGwNaquHobw dtrnFNfbGTiaIE2RBECeLQKYtpMxdlSfxi3pV42r2AKR4CAxb4O4IouqfiGRPF3mLXVv/RWznSkc G1ThLJO94d/m3mZErmMaclV83zQv8jCKUGb83Wgp3WdLbuAdKIrwstACFRAKuWL/wjRqqNpWPJMc hZIpTNva1NaITmWt6B7QEbVGj+hd4GdwkdLG/ysGgtNx2jcLrW6++SZdefMcp8zdKCYo2Go43vM3 yBZ3ZNDhETrSJn8tt/D+quuGarHKHiQ8wnOSjf1cIR2H9JbGuLDdhI5oWiprfG2b9ZgPsuNksBHY ra6tLwr/BXVFR/2aDPxjhZ8V/X7jFiHcPa0UBZ0Y2h7CxNc9ySVwTj2sQKmVmuoFuxwxFqM1srrF 8jEAwqD6Yed3BonjUqFhgfCTllMZzUOvq1o6CdGQkFf/rKrwi2bJOg8nzKm1vwFhtVpo+MxD/FAa qJXkOCd+k3GdY5BwOEpjyI9FL2X8UAFcolHSeYgQVwjdl6AXEfHi8EBuUdi70t1DRkb8N/xREWXy VjmF/SDnXRrvKJN/vassTWLFRgkU683WV5LbW4UyPh1H/UC78Hh5WFAxuxYcjtshhwv8H4IpRLmn T/9yCCJ6NU1D5jXuANpzX3x7N67atoENKa52iX8+tS+YhacRNCHCip+OQYmlOsUmkDaps2llRHuy 5k80JyoLkuzMgL9v7XUWV3/qps1ir1crhPCMOalY59TFnS4q6rPzAnTVvf6QXhioQEhIORoWw5ig Pg7c2fCXd9U1gyzxsR55DrtxjJH9bWaZ1oCUXEpdJeXIq5ey+o5yUk5nGhEXpRgz3cbWtdE/WdPI kcqL24CruE0K/pSR5hX4notinqwDeqiWUvlVspH/2D9AEXwOwDcg/YJYwOQa2EZoPwcME/Hdqyxz UKOjTNZgC0jisUCVGNxrUYx263rjnoprkez0b9PfYGfsw0KMJgHPW3i5re5rLcUJyvgy/hTNM8Ds UncAdy2jF242rRM1gcUCspAWhs7E08u4Bhxtn1V2rSTV95Bss08RSf4EKcWxJ6m0NTbhdf9viW2/ IzP2pb90Rsd2jTlzlTzm2jMZDWP7+Jj8TIHffrleXCEbttJaPDAA+Y41Ek4UPCQIIWcdSI1gCMn2 x7WfvuLjwqHpJdnEHP44t6QYda+a9GHFuLQXWSFBoq0XBhYUzjEk5jRgJN7utakMj/+au5Wt3DWs 4DRWIke9rG3AXPRY3waoR0irAmgJUIYC1RtdqHX+m+ezfvgcaCxt7VuZxQct0qaNdDSe2309J6ii j6KiapHXYdvC0nZ4o2K86ffH0fEOs6Nx0W6KlyjQ47Oil0U1BYY2RM41+dLkrHImaD/UK2V9wXWZ ROprDmsXKkPCcNV5H3SVRXBp9rO322eKt+NBCwGB0dQxJwmJ29IoYropjOPKcZBkcyHvbgSQquhC NJsFfDQrjzlT/T43lZYlW7JdrbjYETEQzbw8Oo7oO7hO2I82WJ3fUvjNC9XeUZ32DnnYZX7j7IVR heeYUQg3rgcnl/qzenMYEkFUHaws9OddmewkAlSgXcsdwWHl7B0fVktVQKZY4G0oGNNMG5PEspDB /n5dVZTmDh66ses4UmOuWXklRsN46SaBC9eVQeOyHLPC5APW5kqcRH3AKiPhiMhDWkfP+WBDzVkq O3wsUiZ+jReGm9MmaCkYgjSKI3ySKyhzQvZFsK8UgLKQOb7By1GUVBVoJ2k31OJGyqvFGDWfZ7g+ 3TpxpFvd7g+TR+zey7mI2jUubPWxeAkXTAH0j9G/Uaq2lBqjXLQ0Lj2eqkaSd3lDP6+Z8aYIawWL rQBTuQu93/gXI7wjJ+k8ptbk6TcIQb5oawWEw6XHpXTIzLwWt+T1bHtNXwjM1fZ5wRg5A/OJgHvm DEx8OW2tJBOKXRXKI+ZHOkJOZYtZ2Ixjqe90DB3xR5etphhAF7z5/WKCFB7o+ghS4gokhMQxAiJo GBkzTZu2qIUL6OUWZfBBKEd4Q85+j4MqWJC/Ai0PfC4PydJVZwQhDMxxp+EE9rXl2zllcDb0u9rl S3kfGdvLJ/19v352+x3n9ti608J3GfSFdbBMLtkskINxKh9vJfPXOdlsSkn9oe6yY24YKe9zq3xB Hia9PbvEpHj6zfeMsdPK+khOtwhzwWEMkvC2P4Wup5nTZ3j+zYlaigGy9a7nEMGN5Aj4OHHVMqEJ ZgRlXCKGUSrGRKylC/Yr2wVJwFNSevGSabvcXn0FLdOkiG+bTNtYemA+h7VxIaQDqNhZFvoMLtvf thd3UqVdabJheJZSPkUjzMPdPChXlAjTwXcF1Yz3Cd9hzxh05A9hwSzanAQINDtLyn4PHzCxWfrT pg5B2G6M+/5q98qOIElnYK+/dBHgvtOOTUkUX4CcPRNl4eJ2ir3fehXE3WQPGa5lLfiUGWeL9M10 BEgVbY2uTR6a3bT4pn2LTdFXyVJ+Daf0UO9kEtOhXV1L89cfXTpuFmXWJe+rzZoTIluvDYUg/etf WqGCbuHoSVlijWmO+xwfF0Km2iHCdV4st+2NLZqjs89swtIhAYK07YEqf6ol28wHhwpRhlE6OLIL 43hUUCd1BjXGaBmEWYP8ODrmcIIXYg7P92vfyn3EEc9QQf1mdpAKPe6fr5wjTA44/Zw0QY/YuBom F1kOObQw3OaDgqFkq9yow97SdBXhlTiRJETC3x/z8VPZOuavu5eqTVIojqxrAQe0KxMDWWkbHNyX erxW5qtsPa3mRR+TrNkpMyYn+t1SYbzO68d5Wra5S6sWgmppSvVONU1fu5y5zmd0BJOp14FmdSXh PJ6vNLPSc6ZHWs82z6BndgUGCPHd4/U0Noc1vFTnMjdgs7pVXU+QmQKtlz1G4ebpYcYneE7yT0w3 H8r8faGHjdYyppWO0qpFwj8P659+OHhrvw65b2HQUuuXkZtkgBhE/jFt9oHwSBTL6mZm3Za9DNOA 2Kzx9tiJ656dmDMKoggwUx4CshiUTBsXKhPavncorfhOu6b/ZC4b5JYyceH0tP/8XNELkgFg4LG+ I/XqWZ3YFWGc72TI5FDhXQ03yB25MrA7Npk/hN+QcG916SwEqP9SIXXTkOKeSzl/TaVApD3FP2vD gnB2abKVI31ea5n6dLCSiNWMqDOfckaLVtgWkyKv6zl0H0d0dJBeExqz1+v04sj1i/GUlF1LftYQ P5dJeo8B92t5YSxXGFf72vuLvSznmwC+U59T77sJ1RI2Rd6wW/KjHzdBVM0PcTP3RZF1wwn3GVM/ I3yVALVVwHHAg6EGDO8Gamy3b8Uur2d39Q2tBV8s1XCu0mwKotjHwaH90CyzCDSwIipFsvcyMpDI D7RUwc7Ila/556H1Cc74+MU5Y85CK4WdK9y37nQyftVOKPp+gOFFFxoA+mi7o55rx32nJTUaZEOS Sv4FNjzpvf5C/y1qiN1iN0JUorchho7PDsy0iyu11oFXDs5Nbipi4rdTIlolCeECpUqHHWjHbTCq tTR5DmdCzupV6Gjh9u4jgziC+xOEGhHsmO1+Ytzluipr6Be6THX0y9coPho9k9BXvcCNc8BZ0lUh Itbus8sMM3ZSJGqDxxpxGxPH1RakQx3UvQYWN4WRX5ZInEEtLWar7PudwiZjIe8gDvMxcT5Ajmkj +VFbO77ER11oqcdMoZwYugPoQowAWZcHCYia4WiNR2+Mtz3AgnstNTOlKl1iwuuZJXE8bMxUBW1x 6N1GWTRfyM9xc3lYQKdrYycoKDpXMFQMkC0yed2XEpDecyyVKTQyBOSweo0lmLYch58RUrUVJJLu zBLDXkfcC4AEkevP2gJNsqwAWPg+X1ueVelRUDpm6TNVyV1KdKqlAmef+8tm/60Qyy+AGWZV9bxW ymoIILjWWgs5MX0bvxhvDPEqjrh/ckjnmTBLRAODUNr4yfJH2eGi9GZFynfF5OCZdaQYEbby1sja 5Cj7ao2xsJB8LAnwXaXkE6p57EewzX2Plk0FS0bKcbLTHA/0wnBBKvHf2rMgojg778OXLOaaEPyu ZjXKX9JhQpcyI46pEUzmDkS3bQlSIJ8IGwzrdOp2k4BFcFRL0VNC3bM1KQsTaV+nEanUkbC/sPQY HbxTeCBWTCIYLxzP4CFqM4qQXAH7mg6MLhFAL4NR9dKTlxSiJpMOIxCMrAswvQT7RoaV0psB9Pfl pIeJxD/75zCzPaKDAWAdySjsdUYk6SFmiVQgfSOiBrgPxPMTjHJSuTnQssMPkQSiOraqDHbz22Z6 pUcFSvI1/VM5wVRANzxcHbZ7xI1myAz8l99qfLOOCzV52l9Uu9S8FESPPXxrJYl4b6Y42yKjnHPN /XCHfsvna9/6NDoldd1SHVL8DrrTGFXqGHPvgGSGl/P1Tq5mbDrhX3Rxrgt38dcWJtXgmnZ1THcr iOYcRR/hfyjZ4e/oH/PkHelLj5feypJh9gOvnvEkvOmV/TIRn4qzdshlphjzQSezS/PsEqnZNkxc X+uGSL5M3+6xYc2w8m6YEoUCb7pYzFzPyzEOfAcelRANer57QLT+2gLqwizb/W1CNXMrFCcGKxXK 7F0DSLP59SwBVxYZhvhAx8zikm2xTLcUp0hTgDO3f29SC4GIbbgi61Is2YLzOn53+JPv5SOFCTrP VtNBPgiAAUJMzCvMmmduBg20f+PlciIoxh4E8sIM0KQbgWsO038wALyDQzJwY3XjfvqS+j5eUvWe O2gbY+bSApovVdO1X3U8D2LBYMwMLAMxIN8Nkkd0N2LZRF/Y7m67J8uw1LLgqsenZgWKLbs/rCKF j+84yS4UOSQM61MQS6NYBLqJqX8IaMl6j1tMrfB2gxnRrue3mhsiOYZYWwY6bIHaKdVL2VO1tbh8 7Mkd5y6/PcrfteixtUzMfC01E/+afbCqUcNKbpg7cWJHtcn5zUIeJdTCe8Mmayh0ltoGEMOQm1hc ppk4PGfJvK42vI8f/iGgJhqS2+Et3FS1T+GQxlzM88j99C4e16+xOmbz7EZGsrx6hQagCRE56b0N xTvGJnW56jVKLQa+NqPj0Wap/9gTgn7D1FgLO9spv+xz4dFb7wklNRN0gMr9GV3k3+REugMaVxFJ 9hDbkrMZGMJv3EKmPsKDhxajjj4rik6lOM5wJ3eRJyBHCySIg+tgtnd+NSNVlxFkTlmkxlFt3Wy4 BGdKjx6JqjLMH/wK/CiYAFvJLucwvSBfOv+zHLnmg5sYXxYAU7UUkVfPmYPlbdVeqkJ5vdSAnBqC H7C38r9t2CB54AGOmw91p53OfcbcjVXLtrAc6clDm17oILzTHLsY/XViFw1aM81SdqamMK/oppPk /DketYPUBO6YuXLqsE2mhoBRB92S/fTGb9fTrmxw/v6KG2oLh2HWA8TTzpWItZcdy5gculmoLaxQ UbMWGnxDSuxk7igK++czb0dKPJcKhz4YXuufS9PJdQHRFjGpFuXgtvoyrrQImTdiUsHbiOBXyZv7 ZVsRct71vjVQ5YAzib4vg6a/f+CrB7jGOu9p56ZSmf1Al9A8f/AkS4pQuUrlRU6XwOXhVK3xk0IN PisFlkFWhIbeDTuxcz1IY/S7l6fz/YJoXjpRE1k649iCiEHpcjWWiuGCUfxDusoSpI7qdHFkLQRb sW0TsDlVNjPK15UTE3piaiIWYjnDhQAWUpK+bpks5kbF2usnECSZ3lL93yazhFLnd/ZpfJo0RK74 qdI5Sq3dftD/Hcf+tC5m1Kl9WYlSKPgoD4Ct5GE8blZ7Wmqk+1bM5flBwN2JP38gVYkT35XPlmgs 8o3wP+B8aRznS8sIomhqZi1gjduTpVf5Gc4RX97mLYXHlVkVZktcsBGxz+yzdu6PJycbbwjiDu17 ptUmthfxVCDr+fME4+YMFDF+l6L6fT1ruxhdlHuZFx2NbX6ZYG6ElhRIbqKH/3ZOOtOCQQ6SKtkH /qTvB34JruXp2R6hH82aVn7t9aGvCLfzbx7tABF+r3MNLydLda4zK3VW0MJvz76DE6jdaSVp+HLj icNAnyWJ8Z552m9B8l0pgg3Lc2MKxumVhiFHFOSEUQ+8rBr6yQkQwGx5sym4l0DbHtscDVmM8pE2 3kGDs1pVMnaJIjyE4aiatYX3+kGlY2hy0Odwou6Ta5MdA1ytnWTb8ocg38GTSE4Vqr5YWoxxLofW 90IJTDDVM/3jh0ck3wbVee7sxcwMOU0V7aIF0TcPcbLNn8mmxzmEIJXX6VdfYhbLF3IbO/viSzmX 3JtgOllYZ6KIOPOK29+sBN5op7g2pkDQhLN+S+k21Vk6AUmRbR6tthF6RIxhO8bqf4/y+eh4eLKT couX2R8VkcW/AuT3UL8sR1oAocp15GppVb6G2TbmLhWr0wIX4VnRrASQM4Uz/FXCksUdS4Jr5+LX OKL4nf11X/S8yIyOQl1THNk9V7O1/HlWbwcF4A/iGl8a6+AsYXpdbJU9MbK5UHoLqqMVDrk6hPQ2 LbKL1RMplFSZpOWy7cHfd6PH9AGW4VXTdBz7xNDnrfGdk465++Sm54A/GAG/IUKti6n3MBxitWgl L1LHHNJFfvwrTY0QzdhaoMvYfF+mNMsEXTRsd20t1tzP1L1mqXzv3H6o0WrvTuIxoXka1PLqNBMV etvX9LAx2UMgisCZ5ZYitp59IjZ62ynGZjGIjvUB+LuN/1/2ElMgMzINT7EF9ba3lgT8V/+ykCXN PpBJUnrxOJzTK2Kk2qbuEsW9gu1+6U6l18v1b0FVQpsz05XcTCl3QNEXSrq2jdNtz47GfXnHBthW 4/4mIkTnWjYr4dKxBIC6YhHQJ3CmzU7aMhbmqCOHn6AXGjZVtl8TrFV2WYsC2DlkufBz4QLd1mK8 inKd3xSkwi70JexkJjgGzoEBPmHyzxAJY1UCX3qQl2PRYkDbSyiX9QOOitnGEdFTAqGGsaxqp9Er 5tJgbtiU8LLdg2hG/56RmKxCrcigjJD2D/Ag4dSm9JsqEx6w06AeuSt9tMuAdlYfNlS3Oe0pxfPd 4QpqK4QvqjGT2hO29DCLL3RX+H12RWSlzHe47hmJP06VyLfKbINTM0Jnp0rybdEUvEBBx/WjKH/G cUREqYKHTTtFAaS9l1fIVihQ4nKHXgbWOULVaY0zY/TQpP0JZTUVURleTINyUoQLU7Z3gjKHqK+p fA9kSF/zmGZZhLRR5tsmm8Cy0lmY2XtT24A3K+OqlRYHLpb0ysKow+oPasnTZl7cRSDfMQIht6vc UdmdR2ts/tCry9H6rxYaJ+GpnCmX/+TE+dFHXmwXSSqg23yx+dIQv7lfh3WLUCF/EbgtNhX+bAlx hSnS1VYBXXcriyeyiZSAoj/DJ90Op4ODTDapQgiWt9s6hTtgY2OrYGU3Eyhe/IjvMHnW+s9bcjDQ bayPfDCs4k1HHDJJXyTWOnj4SSEJ6X9JcFDNBUbVgp56EuD3xg6lGzrPaAYrnkJkH1WLXesjTSZh 6C3GZcHwXu4MAtAc9Z4fGHfl/2CuB1RSMcqWfflZIBOJvmn8Nw+iXxmCQBuzdVwxMxdOcvXOUwSH QCZvuq43FZfSA+zD0ZEPz3uYJe9k2pKd5UO+jx796jR+z/V3SVjSTlRRwH53wOzihnUGHd3WqJ27 DnGENwYdfrTQUn48rn62aILXjdc/hMsJqW33WCnmBs4H15dXMJiaDK9pzph1Tz5pE9HKVf5Vi+We Az7Nu+JqkU1Z8jUqgdILYpELiLkF6YiEa2anuPYuu8oEHuzwtgeILB+oHTVxBhsyGauxT1jlV/Yw +/dwcxRU8Mnplz2T8k//5XufXXzkUfM5j5yt26RL/rkyInvO9yFwsWQheI92I5AZsr2MWqq2vuC3 uJSP9sobvoQomUmucT25CJbhcrBuF8rX422fLMpqrC9T9/XF8lnGIec5QOFilJJ2Ew+QW6m97MCw 2yoC7yhxqvjJqClmtioREoAApSdkS3QxJLrQ25D88Mr04UY9fGK8UzqttXDFcDOVF1sFkHQRkp6L VnksmN/BZ3v4lcgTzEdJ5MIElahCcBFC8POaTPx0wAjbQb+6Vz6o1dsAolgwOYnO2fgJadr2sabZ xTmAqkiPXavpYR5h2lOYb8xrnL3Ct+pflXlPwkbX7ZYjclOKSnae0/EL5402Dc5eqyuPzIFrm3fz 3Li5Y7XXzBGr9yP68mVb/Yy8H7p1pByupBIsMBrnxlW0PdxTZg/aXGh2zet83pNTsqM1fsRovazT 5oNwFlJVfhV9+YkcX8LBzTFFIVB16NlrivBtLs/5JHMyR5VPmaR/YT5YsWGlsxHYCRe7kKraOjq3 AXSULyQAsL86WFOuXP8CREmxcYTdqDyqNvnt+ym8Rm7X8oLSJfQd0tbiQ8WM1/C3W/Q/b/9z+P9/ g8We4/neknX2J9Ub46hp3eMBhPO87JSlAroEcd7vFPmWkaNIk/UG2OombV9OOW7vPj/aP6lWhSjG S6cIAoSJ0d8CWvcG70Y8Jiz/Dhk69VyBwn+qAa2q+xjcfI9BnImsxF72zJw5Jcc9hBUJNY/xccBi uaSg4UwP8hO/FliBNdl136xpiXG5Y44/d4eCC1UKabtqoQ8z4ztzRGfOzPWiZtuvL4zy27bQ4izy eyj3KS0W7OufG5TTKaKcl8yfs3VPZUyz96xZOxsjkHNPelZWmUyE/e9uo9koMyQwxL+FG7kCsiAk tKiD05xupRV9dB0Ckf5sndaNqeXuw/V0xnjbdjwMPnTAIwmqFdjDmN5TChKt+JCPIN5VRJgrndpI jQycYspZRmRYaGDDhy2wxO3YpcSQP7nviuiq58yNQgQi058aES/dqIses6RYepM8C857rOqsTXnj 5+HyFlBO+FwO5j2vdH906cQyVeA/oIJPK7KPAt+zNjdihuDXlMo1OD1Pghk+BNWT7ZLMMVZoOAB5 L0zjiuzmMUXq26CXTqKICGGs1J8Tqq83hn0Xmr7sgiaSxdPThOxzieKJhGqGSPv3gL9cJIG5/Rv2 RnVINhskezX3I4NKED9jjjc0k5ypLW2j+DCdD0a/NqlEdjtV5qavKv4W7ZDMXmR9VRuKNP/8Ovss 7vcXtAa1Q60yN+kvtk/gz3i+YeONUVveRw68HYTlhERLzKRBwpwrFQ1qVmZz9NIchQCRl/b8o5md NYL6SXdBvte34sYP6beS6i5oxUieYXNf9Nxgs/Yb47ByJMHoBjDeyLbI0zrMA0I7N5xrejaQzAHt RUO/dFuKX9MhUODZDTgNVjrjUTgB0RDbWel15Oz42BFpd1EA7YOcOATc8pCvvwORwIAaizN5cQhZ 0PyxhkcbnPbqk7FsqFBA0K57/Vo3U4jwKL4ONrzDJGGcy1KqPWtKSqX+iO+m3cgxwMKmWekO9W1B E1i4Yk4AFknCA+vvnfGtgi62NPDCgB/e5ZyjJQySOjFb/6rSPMMsz2AoJOXHppN714msGyrwRlIc DteJHnLQDpUlq9CWs0Vx2A/IoszdYw7SERCsL+3EwiqdMtEubTIAKGSjls7f5eXMY+V5kImfvzYB /+D6l8I4JLCHghQx4ICEbfs5S/1RLBkIdZ7TXA6Mo2Jv4d99yJ9gOoLOB2IfM2jeP7iIZ+ejfOMo kmdhZLRbs+o6ke5VzkpeZ/vwgf1GmcxLvXXQBX3vMBaLi1zIkb14QfR0hY3hGECO4RW5siIk1oJW +dwVt2hBvzbAH4q9bfaL9+hWh2KJxyDQpc75yaT+hJVf8eWvEy0z2YhJ2P5J07QkVkaifu8Sx8CR io9IeiuHy5J0ZhDw80ExEKXCt9YEKjsIuy8VN7wFsDmWtyo6a61C4BBOgeeF+TYr8+TcKrzwE3O7 QwyDWA/NdEXHKRgKQa+YaN5YZ5Tb+wBuqMTgp5s9MZ+VoU6jRGIp837hLthUzObGFdrbQoyLLryN poxUONnV2nfr+JwAccBX0UUajCMRsIYb9RLPcwGD6DqIG85QSSKG5b5WbC2dR4gehJmqNRAlp2EB m923ZH0a3BCmykKbKaCxYM5WFacOImsnqvDT0QJg1fKEOyJqSN+rFTzTnEZVLb8awqfaOixsqFoZ By3YX5xjOpwLsFm6pSFjHZwIgjxlgcTFjEOc8uCPseQ6GewFVG2JGBz1S3Oxeo4t4YDoB8xdWCMo 3u0bTcsz/hkD+/mMmi2ZRRzl1V28TykIEPYEzjKFolY8cGGkvpVQyjdji+BabVQY+VXeNV8c21ov KC4lb+KDtXY9iz75obxjLxQVI+/qSi8YNXbZPvEGTrfMdT9DudCWc0he9sromqeTy0CMTsqQM5xH l566qpbUMUXSDqzU7XLsXy+xKzHHcKcJoSt5Nd1jvRB6VGi0mddJx5ZZCpcMPJA+iw+D3bU4RbVK YHMhBkjibX7s+EIQlAchb8PLsxqcbTmxCrPH1a6BMISX0KLob4PM21HWF4STFDNofsEeYwZonJ8i pV4JbO7Ha73VcH+MPqsCMArjueRIU0pYwsclbYREMaeVd4BOVr6Ll+0dMGUTUJw4i6LPUAjcige/ 9zmBo7LPhBHziqUZ/PTsE2HNpYI+c8IjB+JP6p5BCIEPTi5LUMl6hxkpjuA027pNMVTxgNytGJsP pb43KPCOfZZ0U/6578oHpcVlb829aTQLb0ZjnxZryN4urdfBteNNHiSG3n/QT+0XT7SsHHRxziPZ 2KjXGPD+Cy7jiSfumKXC/L7EPDtzAgjVXGoKUzhnUaE7KP7DPpdlFUxFEK++O684laWc2uiTQ8DX Kb0yMH9L8i4c9zE+/O5Wpo0ZlndHOHC7UwNyD1Jo04VD7PAc4i/qdrJL6zOS9vGnzZI0aNIAXTfb 5u998Ov596uBkpxyFeR/jQqNYnikmNtWNXYf4df2Ickqx9b0bpCjgpTS/A0VAutD+YYoERyUugxI vQXRT60etiMzXUs5Z7DY0mEbqr/bStrrQ8pGIuv/co06jpat1UlXShF4HdabIWtGpatriTmwhobj /PKg1Dv8vFGlEqZAYMxV6fLKqyB83jrNhT9fcS0H5s39xs9mhIglj0vyNS/BXbXY9a847aTlf7Uo fQOQMLU9YdFwDXA3Y9MQVazNIRtp4pLmL3j8LRXHo0Omn35mmL5uIeBpxkGKEzWmnZCbuDehPJQT SCc2ZuGXTZGkCPWSfQw+BaFmtRYH/StOz/WC/VYoZX39/PO5QuAWj0ci2oMM81934k5MJ7CbULMj Vp0EsLZrHmjMLmhy38PuBGHB/hJkLEPaZe9YeKJUEufdstEvN85KoYMC1ouiicSo0iAX9fOstacY Qj1GQeUcrWTAl+DedlLU6bvJNDWpQYz1INvzXP0i7ABQLR+VEmmu1LQmezTYqKhlrJBDNhDdj0rk O3oH4vP5Qt4bLs6Hgzm+TlC6TfEaZz63ZRpyDDj9ODZJXh1diNkjnIXfQAE47douTNBm8ZEhuGaZ LGmlVmFWcmAGGjfwUEtc3VzJCbQOE2FNw2m7XBD+q6inlqChlEpSTuDhlRwyYBRUDw9iQA5pxfV3 2apMEjX4tI5bb9+afU8Zo7srxfYCeicRIH0Al70/N4ZzI3HZWWgqhcD5WV4J5HrFvuDWt+JhFb+S TWpDZTG1x4Vch0i+4u4nfNKqszGbkfBHDoQ+amDSzSg6l2RMIBCjr1G3e7lFfeVGd91EFxlxz61D zFajX4ATff+4B42HnC4TsWQSbwjE0Xv/syKU2/uMLjVA5mH6PM0WKDh2tJmnMn316f933B7gdOrr wqW5ZuF6apNE6AB5ipjuCmQIiy7+pANJGX/YTrl9X3pRJvLIkEmRyBSdNzxhXKHEgt5l9ugT5tuO cZsE/u5nMYINKmyj0FUgkE/7CpZWiig4Y88fDc6WOE/+LWzvonAGnmrGpS+f8gHZnihq3me3QJZw SJjyGtFz8Kr9FnBOu1LZLmqgPfJM18pfMX+AF0U15Zekp0msKQsetx6u1SH7PY/jU17pG/aM1nc3 ATWvizH99k1YdH8F3vd5kzlqMRwXOQzXsSePK1lnFzVbeLZxfx1DCEybEXuTCCBSCri/QG8f7TqT Hb4slzR/1vL7spiKzgQEsWGTatz7WwayKhLWaPrGzsJrcebEyDwdKeUw+2E6h1XxaMSS3OvY17mv gGbq3gkPj3D5+HFi6qtC1YV9rIoD1OI57+j4NezWdWhEw+XMqv+M6WyJ7g9l8UMtLijoOiK5bLwD 9zcrppRzyYyvpEuPpDkWUfXpPzeHmGlS/0wBe1J9z+5eea47iK0dqOk0VCLkFpKc1WJc8dbC4meD lDQ6FpZuiuwSZvQP1Eh3UFCTwEugn6jWHXNgEVucdxPniM6CGFuKGvga1ElSM2aENsv99d0DPZTe d9phGkGi1B+ZEQw5IjJm3WV+HGDXgs9sg0k5Te/FpwzCcBVJStQG9z+tE8CVZy9d/DCYw7WVyKhS r/HjuZKWhkbJCkbsVvXwH6vkCvcvrgNV+TFloKE8ILvDGg1Fy/26T3D6n/osFrgDLusTMLSKqWqB bx9PBIAnjrr+/v8k0c+KdxJJ/ER5A8kHgsQtEIM4R/Uc+4rC6g6G0tCtRLH+2G6CpDMdmr5P8Np4 QaJIHWI9X0ZKRJCXHXyoyXeYYjJmqGZK5f0xc9BdJH0scZ0pA7BS7vMfJGEwssFGhQBwzpa0pghU gSsMx6HQe13VIXO91hqRYrj7jqhKO9UFTQt5tNROwATNYuBiUHYITd85F+rrwzziL08TNMH15SmI NnPHWCsaPM2JoGk5hl3SXaTfbmklEpXVOBlsV7Sd7PN9/BWHX7oHnb9+DpbWHdQ/nSUBHa6S0na2 MlwEzDRlFlial8zu5f0NoUxthkGlpyXbc/iVuINZwBtgu27yzd2Tz9y9ISLRVzfdith2m6lg6ssq ZjiJA69QS1Wy+ZCsclcwbfTJGZ531xZ8jp6o7iwu9keB1spcPfeGYSytNCXxVfEndNUGwEjE7wMB K/fqDc4SMhZpSZfMj0ksoZ1HM4CbsP1F7Cja9HOfaCla2orMBE0gUdsz4RBlFa4k9dAFyFRrVluQ EsZMpoaG0rYPKdkR0Yyjtj9V6C7JcU2xswzlXMmXkZtDXJgLq71te0uPYjpX78iDqakEAJ2VjcuQ OlSLr2lTjN+MM+ldVwPj1bxhfkMvZoApM94kLFJivGerktQN2F+wIXBmkDqdXh7jgr8U2xlHTvps 1bSBieiuvSUWXw8GK4Q9Ub9J5FEbbebVgE9WpW2Nu85pSvMCV+AwrN0HdaQjHhzI8jCXiZ7wiO0c kkrJvqaWFV4P9UiFaxtsUrywQJpEeRNr9PXSREy2ZxEcC3sgsNv/JtXCz6rpvWPjc3D3i/66r8I6 StxLBUQzUZMHxzx0yOQpp3+V+YMAThyTigSo1ttR6GBj/RhQjGL1c02AVLIQ6nVXVHE9Yxg5/07g wZPpH4iU7VD0mse1C9GKn2/jHKZMx0XaLjXxIBkAWlhq1PMf4kEjKo95DYDehHMWThdsuUqyeMt9 Zm/GHChCQZY9JQjuJC5JP2YIJk7ER+09u3/MvcIMgYcBY8XEEIsd83/eq+sWs2b4GMHGEbmuiAd3 crOI3HAOyZm0NgwL/KYtKlTnrsJ43LqnxHmFl8FdXfmz5u4/AA9vqB223PgaORrq54L0ytIMICXI x0pGllt3Rk+yEyZ29pYmznwdRdm2miRBK4Cqe2h8IXlzvauJ4bPHtHBre773sizcTeexHbRnuTDZ VbCBiKoEYd9aNeKolE+BmDbdKjEapSJ3hHFRSoiDB1tCa6fOfm01EmlqESqH/+wuhjY6/PXnTiIc QxEQsNvUArZhXYC7bcQG1ouMLh/8Rb68jGOSJsrFboTK53WGSmBzioTNphL8LHQ/r1F6tziGD+yk Ynia3x+Byc2E6iW4gntaleZmsV+ntFNgCbp1IAJsb1bBQDAsX70BP85SYutdBMf5VW++ugVSr17V nkpUuI9KgdKR3rsriNkm+e5n9OM2UBJXaRkM/HuW2BETWnColweBBIs6gjhPZf/0wc6xMzOJ/Ahl rj3oK4wgIRDsKrFxl8ZruepuJFwtffD0vf2nW681wgRAfMgfMI3OAhkKI9dZF1TauH3pHIRrHrAU Jfq8jvkjIdDE1209Ur03G0MHGVMdCDbC5x89GNBvxdU4CzLvZ9GYaatTOQMfynsWF4+MgNrMv8RQ 17NDglT3b+KioS+DOhKqnVwJdBsnIRpGakpR8WQx90aD8MRZ2W9u2KLOoo4QlUwEslXJQkl3XMUT ydvf1nwennNRAPV3qBT9r9PIsxAPBRn/zzJi7ZFFhVK+bCEXgnhVbACl1NNth8lusbbgxCYGUyBb HlIjE5Y4k0u1mS/tzo/lqZaPL1hMDUDpFz+Q5octD2TTyIZ67fMJ4/mpabj9jE5/XOo2YJzfX9zd SLrGXYSFV4jNEmDsAfwPXMtZUrNf5Fuk4brMlCZOkmo8XATiyyCzGIprT2DChzIYgb8JHSHk7CQM Rq61HdOIjBYG/N9O4WemqTsyMZffnYDcLYWcBOiyf+JLCAY/28kN/cF3RmcKmKkp+9nD4SdBvvPO o06gT/6Li+Da47tFHLwEviPIk/obgtAtwQxWsIOVciqO0WrPDGmc7RWfXB266cXOitbKb7fU3vF+ k20B3S/YnlTCu6M6aUTbiPDZbfuOEzowgLLRUt3Ojg5PIonTFoq9sRKPyMaTJ9pml2zF49NkAMxx pzIxe8R/MiwS3FRHusvS3wnEzswicTlQxdfVvM3b1IEoUVe1UOqFrO7Ycs3PC9lxDNo4vSPedwXx UqP/142NDO2ibvkmPUWIYtYbDjIc195gi+a6zZEv/lRi6F/T+BJZi/SA2NA5CuWxR7fsOiG0QuE5 frd81bWfSw5klevorjOeR2YYUAGqwaNd2+OAxLogASFDZVf9UDHlMz/rldwflv4juLV7A8hJpz+f PwgTDIRfj5hWNTXQ2nflKnQfvcKnUE3xY1CwSDOdqV403IlnPDSbfCW5Z8kFCxQWG/691NN7hVdd wDgBrGd2GVrYqYLYK5uZBNy4O5MRpLHt63RFLr/JR7im0zTd82qGgeiftvUUN5qejsj0WL7xMVg+ W/Fl0t2pWgk1kwwnEviid1S+1g5/Jj2nc+WfGra1dpOXv/ibmFFcFd+enl37LbmJGgZI1hh2DNNf 9n1jhi5Fxj1a4TN+WhbDBKjpf33vCr0zlpBCes7ksJYhDEs6grPO2KgGnyQLbVZHI3e3mEXw4/Sg G7Y4o+JDOzG6sJjTi7S2aQaozxugsL0MmqKWjK6JcmRZVB5WUc0a4RdFrghFTvzelF/9Kfuk1jqi f0wU4SriGKKr7WVZe9oodKpyIWbpYcf7zqteeKhk70IzWE522BBCpV8Rwq4ykL40sSaz/O5w9o/7 anYTQxFU/hfk0h3b4c6iqPZnVsWh9dJe3I11IiP0D3PJgghzkgu4wbcaHykoMpBZKdfuJt5Bj7ad ic8Xpzdcjgi8Q/e33rPWSAUiXJmV6+2khPRhdCmpO2gRQ1L+zh4JYBJuoq/qYZ3/7LY3vZYoFAvb DSxWbPFnyL8sRKj0Jlm5KHhHt7p/l9hSqXCipMUJmQFDEN18r02XA3EwmbA9+dswPti/i576FV5L tSHla3A59cw1QG3fiYEeqldtu2hYS98t9A81HefaK3D/NnXjbV6M50dBAOo/EJhSD/+31xM1SWY3 XyS1dz+8OWDgDHjuPLf4A4AmwQ6/2tyAnaRro2/EjaTvcnNs4rYcryNluxnohO6MGeiqkJnAK5BZ B21p/rEo7xJUJAtAa7SGYcV99a/WpJHHUknEqUT9gqUmlf37n3wzrqLZiqMQaYR6EHYNGSFfLpXM Y1HjL2uEsyDR1qyxO69E38WLpTsmNxrJEok0L5gTWulp9gPvs9SmUivZaqx/qNn+gyxDyceJUc4g rlINrQXZcf4bWK9HG5j9rZLpUxCUFEz/zUkzhe0QtQPDZI4nqS5+gRjomUNGOzosRyztqvTmHk8I 1WIjsmi0VI5R5k0be3bpBoEpCJCFriKzRplwwh7TAcIiY8LC+Wk/Enz4eOu9Hl0ode2bQ3oge7E+ ZTwO2T3MQ/pTF1xfcL63DJIdemgwitpVrZytOm8lLHvmteVK5m9bGtkPl5X8qmmREpk4q8lmaooN LAK10uoZLoh9t2tZGZabFEaI6OfCBsSPB5uw70eK1FWv58dp7VxVnhBpH7DFLDWcEiAd8MYM9MK2 4q07hjqSM9QMuQmOxyDEnHJpvZf1iiYQrEQG+22K9g06w6Nn60XtB06eSwAkAQVNqNpAGly4y9MF 6dovufo4t1PlgCWp/scQRtc73NDZuvyoMhrxU3ckV4wMAofJgTCe1bgVUJj9G1Iieu+U6D9mu2pN 0gO0o78NCvkmkNXiEi4dx6Zq40Nc8Lucz1ABfQMUTYi2ZfEMh2yA3M+GbAwrMsjrewsyT4cRjDl/ hxsRW2Sd9Ira98vhnM8pH0qPa+pAbaniJblVazMJrl1ReTlnco6dsxMfF9Ds5UBwoyhyziNOoVD0 tucimaTZaTdM0e0aG6cLaOswbAm4aAq3DSCuQUIb6lQLoDV4qzcE0zaXNWWWdv1lycbzTPSRD0Ji DZ0Wo5HSBnOQoh79fGvcRkHzzhVYpMzSsudvDmWQUcmvRtBWgMaG0iMeE8RqyTYd4RyZL5RMOnH0 njqWUKKMILkHUUbk+kRP8aLAEkvxGXKZ+yYYJSU+amlayZtgPMTxyTJRcxH7LAIy8wQIWqK+gAaZ kS5w/x3Xp2JI+So+TXJpsGpzoQv1cN1diIukgZbB1NPY9GyHuQKuf07pIZlS+W4hFDbbY4cFKrTX xnfQw8VouJgzTgpgyojfy+5FxFskxBlmqjDvSgeY3hzXryiGFQCMZK58x4sDqyUPKQJUe9u5McPV QgoX5Bs/9ttVsahjaFw2Rfw5eegNkS5SY5wwf+ekn7VdV5rq1LstH8B7UbxXNKlSkSc7/fDJTAhl bxrIS5OleqFKTGPW3aEO4+QAm9rDr9ajPsV4Ts+NKjUpKjD+9Wv0Sop+CiZDY0korhJzJj9oGzce AU6M66oUsQJqDXfB6vSRLF9dYANKx3v/0Js83z+ISMKdD74zSSjYKNUcLtrHG0P8YZTJScv6G0vi LjynTRtq2xHOn9ACZQ0ORwgzjQuehqYfZtoKIAI6yNvFkoq7OdxEHQNPih7Y4vbAf4ppqgrgMYPc 5WJ90uu3PsJ0ZP/+Fb0Bi9CzsIVOwkjw/Clh06vLfUsQeJa8fNhjhoJ1vuglVr1aqUdS1V24AKuq IoniyTJjJXQrH21DmukijWuLLeYZrP9Nt+7GQijbf1h+uPml/ZWrTPRVPGvrv/Swh8HB9HpI4/rc MExgCu4pTLU+sJNMalP2sG6ejY5d6W/JSd8QarW7WmaFWirJjKyDC0uCdNIVfLyC6zxt0E8xs49L UHeIidx6ETiGP82inSmBW7h6lxBnmV323ynJtAJHAiiWzFSpD7GBvrnXhcy+e2cNRgHtPqOQ1fbj xKU0c7YC7kl1f/Ck4IZvk4MaWDFB5ItrQHOo3BQxfcBLUBIhavwPSRcGM5GKRjudA82DyejYGZmi HYcEDUmIwc9MF/ph7cgWyZ8pQMaRqzb1S4GCwR/6iQcVizAaICQ8emEBm91ZoBhUoQ4KbDQ1Smjb oYfa/h5AhmaxlaIg83XoGcLx0O/UBCxUAmlEmfUn9qccYWxOCuPGMCjp9h1QvaL20VwiRV0vN/Sg X3jyhU2Wie8MQ1eBDyDJlOTWQpUE34F+x6r7hhMsMzi+oLKIOcVCG8CEW/iKLyJu7qN+bzSM3sfz zjVKXFe/DgpSNWcPxfLaWiqjRrZzYvsO1JVPJRovTMLPUi66rwJQhVp+i8Isbe0UdwexOYWCU+Yv 4qhVNADfQPvyXS5txiBtLNgyyGeuzUjg+ElnDawsYxT9UE2YmRiWslhiEJhnXLFscR85WIlsZUg4 kOZ6wXZYW4jX4J/+3vGzm7CxNyx1uAr9Dr6asKZ8Zv02ollV7r5N9OjkEy+M/4vZ7yxO9so1QlqM z+Wr1jWffxpqRCx3sXil1MR9UXQ7leN4J6XzgLFcQQp3xY+WLv+pOXOwMFx8eWse9PYMgSwwzoT7 0txMYrhXQeSeWNvnB/nwfcL+Cy1JltubixaMmcmWXxyekc+iOvOA+s1FkhVji830SU8OckABQxk9 QyR78KNqZXYmavPYPU9cUQulXZIFiUITtiTOP7kqWFAjJbkU0FXE7n3ectwYRAZm654YhWMqAIEa FuXFVbELyaHU4lMyITnZAJYyelYFSCNH50YPCTZF9Gzl7HvCQ7QD8I2k3uQSifOvgfcnqvc/U0R5 auDHPf8u5JhAlXOUZM7CcU+5k5oxeepmTJ/iISu/cg03tA6CCVpHpS1ggah7DA0t8YM3yP1TZHKV azaxJfm/qK577YYJ7YFcHSPCvVD1FSN91wjYJxUtFTZByVVak9bdRXWSniyQ6T/MN9+ci5ZhflNS HoS7+sSoGjrdAFXi05I2kDoklqAyaXC6pzUgzAeNOz4rOe1O7RwvwP66Z8LeUAlEV5/zA3UUmhey 3AUKGFvoSuSV0QNjXDtOcUVPrZGiIjfFjol0T/LEHCgagJsuFI7KboaHnUAzZ358/YREYg4D5gQ5 P0q+4DapTfJuSx8FBqvswBlHmIYD2p8AcBVGMy/gNyV6Fi4tW4AS/LYy0tUpd0qVtX0gUe/oxy8W WHoCbIHyGFixpcBNAkzKQexRYz1IulJch2At87JdYLcUv/TaMJgKkg68xQV/m9kW+1uWbI8J/NFL ldFkYIldrC2MHAssJgpDaKXju03lAJ3NJWHcYNRwn5C/hDMBrsObuzHLizLRcG2w/YLcuGisKtvZ 0bE70UdQxaaCTwYFQmtERlRq/cbK6kzi6v2XVowkAE0pRe9y1e5yuNjkGxPAGsfT7VNF3GoTz82O fGLOBgfaSp3941qBCSaAIMjWGJ4/D5/A9iYa2pfN3uWbil74bekeywtjijYN997LvJXrmF5g8ROo CEi/xOEDYChob5DL6KJuct8RQ43m6yGcbnhC1LVXKHI54IbwibCnNaWMkgX2/Kp/wCJXNX6Mb1cr IOxanREi13ru6Td74hRzVK7JEpI1TplXiAj3k2PdM9DFjxBRRflq/em2LzHX3Zv7kGDvT1hZXw91 nZzqNSOFpYmrfk5EhgOKf6egIriKDrkopPAwEoza9yH2NTZFZtTZcZs+bcy2jCHvTwt/f/9RjQGv eAspKO6BL/+ClDvh/VFQxoTt4SNl/ttE2HWjyVh1eHpPaZycpCQRx8QxuOgmrNWGRD+7L73bkVyl 7LyvSiwzA1w3dzdtO/zmX/rLIuluwf7F/ZqkpxLjtKMeZIO6PelwgFKLYsdrUmDR7rWrnATfx4BT 7Z/8eegZgwjUZZNL3daFojDfN9baAECkGlYNgSfjGhPdICbpSy4Om3dWPs6JYvtb0fXo/WDSHVjM IE5tmM8ijZ0JbIC47Zd5HLlDvQdsj6kfniPUy0TV65aUg3mjIjhWW29U4Hp1WziSkzdXkvA2fqAD MNZgQBrTQN4oez/TpepBs0VPr5+vm08Lnle+cBo3GoKg3CSdfB2rpoX9gVYWH7bQRv2r2nZ9sama t6Wtw6XiszbR8lclTbR4mEEa3S0HzuhAXb+v4agRKU/i7t3oDLOMMpflPr8ZnLyZ5amxM/v8kaVu zVhEe/dTK6FMZwTmSmgM0fvlxwnlisa8028RHpKZUgfuZ+qHGvXpp3sE3yccwZZk2umVZQdCnzAO VxoNv/kHrabzvTxEIo+UX2JADyR5NHbgU2yqTv7geeUyTegpzk5iUPczgOOi9vOXPWz5Y//ogieS wJYN8Q8MGemxvuhdyeuvHKEkiw15EXNWdaB73QLh0mJIeqs5sTGWzOGxxuIE77iJUB5g6FGFHcqZ yPN5svt8DxemHoNT9RX+XL8DhljvZhQeN5mUsU1B9mPX7aZOSvaj+ZnGnLpwJWA4pSyoRm1Vt+XL qhjXI2FDWwFiPaXRsysHDRdxXYf/lLEb764gunyiL4GiQ6djzFfg1Mi112SQnreIMoo6vniWbj3/ TldfHZydWz4x02XjFE1uGXxeHMYbgANwj4gJA6Ru8gulWYo9Fu+K3FSlnQtcUdlBNNiWtLe6WV5t OImuLKHHjXJcflqcURvhfN7ancQOAKlTFYo8F8az68lqp5WGIxBfIOxjqZUmHrzEUhfx7aM6hw6r F8lC54ZrJX3aFe+qR0jwFRv7GFUJ/WetpVxW6OBjtBQxUfu58aCx+z8e3dxeEUZiMZy6gPVETLxE eHZ56CDM3pStjaHGG++IXN6DDVvfJm4Lywy8Ie9nWk8FQ/EqjHjmscMYE4z9KKfHLwqCBaHnIhhn 9GWNRxvt4fhvpEboTp0Ol0/vuRYQLxVYdWzwcOHLdCdzsPRqYTuxn7WUU6VymUidTX9q+AI0geyS /cHjZP94WltdW3fowxqOKzMBxj+6+kcWgChiMYE2IpGZCgjQvrXYvHGWLQF4UGkFZ6wbVH04VEIh Kkz+rrCwQNGTDw7XcnZql2q/LWC3nrEkizsxJNdOX+jT2jz086j47PB7HrY20Z2LA7fXtjFUw7Wd qgycuXj8+TNmcaLqJ/poebTYUTKxuLg82OkRFkzqVZrPc6w56M6PJXyKzsChz8l2EiTDm2IEtpF2 uzZ6gVv3aFv2LD/PcgBcCyMCtIXUh+pOv4MEZto8lIlFdaSrYuUvOfpFBBY7U4PiElTClvNXTI3m LWNqyjqZchRWq+NH5i2Zwlv5adL7lokIFhlykcI+19JZi8dEL0y3lgYRlrA7iuRtUv52JpkF8lns qmEPmKH+LrNaANQW00HB8uD3nkCnCeGwKmIDfBEru/LgbR9sBfCIwDeC6RA3waUa37tGxKElo5Kq G3AgRN0UdvxwsSWM8SVq1mYJjOPDJjDuUbfp90Tcpf6C+hR9V+WLso8PZpmj1okl4QKZaY3lxi9g KCH1utEv1reYyqKdr/Axvam4LwETy/JDvTEm4E+ZkpqdnTxHcjR4YrTEcw1BrQUbJvEA1C52Isyt tRph6K26VZaXtV7M6RJnTHJSMNNBKYYN/gu2FNZy/HQQK3ac2kz/r2t3GWD2WvwJGeT07JiclCxw HO63MlNqGInimHMF5yGc23HqmG6SR2kg+KlIrBagRr8Riv74GpraK3jviredn3Av0rq4tcqcVIT0 hatN/LtkZq0iBrmSY6/quozcV9eupfOj6OQFdwDXMznyCDGHb2XDUZHjpooLZfCBIwukTvEGRrlP rlV0pNl+KeyDZLJSyBCrfSvm3zPTcXWGGWmQouI3z9cMCYDV0qPD59DAU1oCpVqBE5EXzyOhAZOU 4aR8WB26lwvOeEBroKGt6PjwqQxCrtuQ9cjP8oQ+lDYk0WJa/ag0eRIsU0WxcNp4b8H9VWLiYlL6 DvxvLq80PiBH22TK7FP3rC6+y5ZMpOwTpZl2HKVGKVUF589oG20FedOh7Nlb1ot3PqKVHGsbwTWx 1ECu6tb2NdQ7sEBLdsTFYFboIX5bgLSZ1sU+2bND6g9qq4SeUPglAWhiCoNhGWQG52wjE1bYST0h rsCQzbB1wPAev+IJjG1dXWvR3Wb9cx5nlKfC0TF5g5+fnCfdtSZfFpSwtfIFYZSaK+kX6O9q/uKY b0GXf8Fyk4i3+S3hCR1dk6Ao4uQxkABSO/20NBAPorf59OIleoHEz52zeOCssZ4X9j5XetYUxry/ FF9Tz/KsNAEQ795/JBooTcHV6NVPqyrClps/EXkjOE6c1MnRmMnMG4w7HET5bPRqbh1CRN8Nk6Ww vfDxoHVFuu//vr1hteQpKVmmBXOErZ2TWrPSefCbCDcKNI/OSw6PHCzWfj71zd1EkbP1lNIzyGI9 myhQL8Nda/CJCZoZINiDVTYPP/yb7iaTFgwGVJ4UAGoTw4Cguf0b5LOTUV/+ohB1R1287rJWm71x 81cGoyTz89XX1Y4okuAsTyAVG7pVa08dWWMydUhTuPZe+L4XIO8Stm9dBD9QrnvAVQmCPOrFOjpQ wb4M6yuk2SXzX/QyqB1nwWoYIQK92MzLDI7cjTZFCOc3zqBisuDzeveY3rOc68OqXEQd91kXsLaX u/QlAvvXV6iwEqam9c239b5/VdGAVYBg9HyWNnwef7kn/hndSlGzAclyIHuWe+bwsMOxXFLTke8F QoLENB6+2QvzdOVdKEagXNt1bM7RoAvT3YOuZF2VMSz4cUYuznlz73psUauGe9fPoH/IMMdv1q96 yTmSrevHuGIp4Dnoc5yYdFlT+4XcI+kQ/J8pQ7ONpR2RnIcw7urY4F3TqBl4K8Y2C6cbwvg6IT1c 7OXDlGN/hqcx2vZQl5GcCanCUPP2fkViG43GqMRLz/JNP423MULbr4PGUQS1sjRgAO3xi2hm0Mf1 eC3kp5XcI1/QXttX/4fU27erV5QWUfPiV15kr6kLZlfdNOueCU2oP611+EzfdBz8iaGfIi+6wTg0 KUPXpGsLGTfMZf/NgItWonSStbcxWH/hXECmqM5MitdHfQaNok0E1uRzI9Z5izV4mQSj4AC4AEdB ArqYYPZGRqfQueY3FdpbdF/addYUF/UUFhgQsX+fa+umiQ8CKRVxwn4o8J8bTRx2fxEr5bW35BEX Ea2yH349xiV3A0uK2wZQ8CoIdp0ebgPdfIqC0TnXKTcRYCr3pOVyVq+PB5dWPEfqo3BPyMYn4Vfq QjVhtzOtwX40WyGKLGAxB/m0Xbdj8QPCoOYG3ZWiWdxW/9qKhR10YGoLxqjXLQBuO4B4fUijlSSQ BxZ1nkk7kzq3wsTxNz8pToR/jSHC9HNDFXieLPm5AGqMsZUFK2iz0R+B7FMKsqxhxlXjczJBSA9D CdvazP/KuA7Drg01FjH8ldU/uvdQtmJjlMQsI7r8B7J5At8R1Akz7pMt2n0rRAE064l/A5K8yhKi RYdL57Ck+mCtRNfQwfdGmyoA8EuutcE9nYwSTcAtAy1aGKfgGmAaN8dslgEiZ8uEKDfOTs/XG2Xt Cw25B5Izt3tdJCH5loGLTIyQECTaMOKpX/QdQxF8wPWO1Fbd+yg2iud8v9YxccqxxKapWRAQ3+dD WaU8LbEfAJAM5SK6mlYp0o7wwumBTe0QnDMUNqkUadbR0f2ptMyatl7DcmELpZ/tdxfKTkFns+Lx 0uAf4Xs6zak7Fheo74REiquZ9XCjMX52O0ouaC4C6gH+jDzhhPjU/tHU8QzyqXLHKtbBWXQpDbcr IEIIRtnau+xV2hQIW2p+ar68FYomUCUyXQYaW3jGWurfMUA+B0+T9kxddx7GpcDkviW125SVABO8 jsItrWI9pdEMOWDhhcqDLIF8RUrV5sLZK2+39iHt8EDSeRnBRm1ndxmPSbKv741ldrGdO0RjF0Wv jaP27fkp1p1ElxLGXDNLNjd5BzS9AgKR1VF9w27MjqQO6CtztmnkQ8hbGSEQiHeHpmcrDfJ3M+f6 w5WSMwHynjH3rJF4ItmWkoNMRdsE+JZVXHIC6TTHkZsEvJRkr9lezHAxa9yW4NlSh4/SX1O8wJNj fIwNRSC/N6ah8Px/udzoWxB0vD6pRJMkInB+jDGB3HBjwHeqYEuNWPukLGmFXWsrzj6J+e0TjS/H nGcLHSOz27VsQJzFER+vPMd7iVX4eKx6OCe8kB10n9V2ErsYnYHl0HkGwO0uABSUC8oq+iizrjqC KjksfYDohOkJOfJGFr3tRjCZyR4qklHcXoWV6XQ/WvvAybztlROdCeLz7LSDO1L01320uZcSFAno p0wTqA7D0iz7ldjzIkHoQ1LqIc0Hp2ZiwAYhJI8JlHpSl0Q81qG4GRcekADZRw7Yp12wnUj+reP4 +foxJ6kZXo4LTUiiBhtMNsI8Tjg+OFjBrGGPkvQG3tfXcQOOyJNPEzJVc+CqN21UWM441GLFr72w duFo+dJDdgLcaxQN1T4e5CCN8FKl8P2SkmcqQHI8MCNW//KrihtJAtwdpcuZEa7XlqBj0bvlVC97 RBTq3O1Kn6xe5TBAayp4mMHdlzx+92YsSe77MbvcIi5U7/eIIYaY8DVyJMkT9TgVAkuT3Z+Vl491 wZzQpyGkkA2CcNpdM10Wg+2j9S0HH39pHVkt25ZRibSqIsBSXyHnUiows4vSfZQ2wyjWO8jObXgh 4+yrQklUJkd53LSNdFz4lWFLViJQdoiOqJ1ZDyoZK+rMRcsWHB/VnvPLO51MPKP2e1L/AcKULCpL SMN9cPY4jAFaGjs8kBbEWg6TSzlKBetMzv9JGZviuBWoh0QeRQFXCcMaiO4bfdzl4ssqbYk7uPKm xDeBd0GBdrSdCBf8di96q4OVMXjNJEhvwd7itPEEDW/5gN3qGaq4tmwtdZdGfi5tXkypmJLxHHG5 /hrn8EcpaiNvdBsOlim5YfIoos15EewTkV2D4eGhX/AixagwncwoK+Bvr6AzThM69+2m0jY1VqSR fGIBTpurUXTcWxBc9z8194EjPm/L675obBYCfcnnk9d/3wUZH7NBVdLKxzhRJx8wG+13HhxGN6tG eVG9yrTC7IgXtGpR5d637szvpCAwIBRIMONWBr7zPdCOHum2BGMqau3bDRyucg1xp3pU51Um98Q1 jYzHE8oGjHsTbJMc7d752JNHimrO8+t4aGb7XWbb0CiPc/qnXuZx3yaM/neOAkPCnRm68ZKI16uZ RHGyzlnKCMVHLCQDJ2XmYKKb4fXWEoD7lMQkqDUG/+CqEK6HqAcgxrKqIGLolNqPZo1uMbQGoGoC fY2oXAQp1u+qO8M2nCp5fVeBuziti9a5LV6I1qipCWipudlykxsq3jU0NsTli1VUKp5RWNZlFK1+ lOuGygK4DDfkFTRTkmixNaesJcBXuDPlcDUD47lMlVcRUX+y2K39RNrXryFmGggAWaYBkgednBW2 JlgRq24YGW6efg11kzOFcoNIpuBTd4J37qe04ckd8XX4MRZzmFPnXxx4XwP94U5YeJi0vo8l5/xT Y1RwUknBRlku2Qv5JD2VgR5x+8DdQIVcZpkaLJAbzpC2XM6YVW3BRn535bHkqJkVkQXvJ9CZ9R3+ UPnkPwcwEbDLFX2+cRCJqZw8Z8NT3YC153LnbQCTSqR56kdlPXdYLSJTLyQtX6lCAZ0CXaLQvLLh p/kKTaUYJJv4BSq9+7UuUh1Y7c+7uhroLtvdVpli3PviL2V3YQxqq7PxS+mPQ8Pg/yKsllmy5diV jnj0Ke7e+ui55u0KvHk3Rp/qT/aV8Ul2BkNHOcni/d3nYehi+0Sc/qi6a2mQLBeMpmqb9w2NZ/tL jruJKiYZ0GMBmlyXRIfs+OFqyaxaarr8yWsAKdE8ZDOY98rKjdHowoN/sK/5cifTz5wrFfNjdl9L 6WjVF1DemVwrCks88LhIYgsfeK5h39oZkos6T8iArhDzaeNfeeSqJmJBCxG3+ZNFHkxxd/CvIDWC /DxWekYDR7EVK+DN8eDBv97Em+tc2VdU1Tbt/23c4rM/67QDmy2ryYW3eGiVXswvr8QtOE25NV6j N3kj/tQ3XaYztLtPBR4bpkLxwyeHkQ083ryXkj0JUfazpOQ+l0iM+CS4YrMTnEB/Z4Rj8Ofgr1MR LdlVOuZnZj5bdthXTucrFS+QBkxqelnfvomNUvCQx9hLUvVKNpoO4JDBws7wGgu0oFDROfrB9I4i oLo3FoxvpU5f1a5CyUPhojBmvFxStW60ZZOR3p47iIR9aUHjNX0Xyj+qEnwwbGNQoQohu5LjTrM4 YPaGwiTrwYfH4Fj6neEBJmT8E6hy/e0J5xj+xJdqBdjnWhunq9CVC0ktmGMfuCK+vf6QZqZRFdim FKyFjOCSxbbVcL4+PWNcQBDX5VbwyKvHlcyueEj43QgdNN2l9qsU4NQjA+zTfF69nivMRDODIsCy RrEmqWkkyv+TAHRAlDhgoHXaD/PbZJnpNz2QRbvaA1rEFhOyYrOmfPsDK0PgRZ/KB/DUIk4SccNx PmH7DMd+lSO0N4rp/+uV/iSoLS4dSnv96YOpq8/qUJaWe7gieVOb7j4DjfwhN5yNJ7HOL5ZMoouL c3uNseWDxNU6wUI6zKNsaEUyYDYcEse21YOjgrKxOJQPQF6/HBY6KHm5g9/Fl8op2M7OmuKHtjdz eiFw/c19SwPhsiENqzkGYC6BvXoXYXczwv+HLkB7yzBCf9ZwRwH3Ob78P/S4ssX9gSBpWRnJhj8z tMOtns0+p5wYZod5Q3pZOFa1tG0knupDT7HmrdpLcs73x+zyUUi1hk+Ro+feWa/Qei2k/s5oSVNk qXuxbswv+LnvP0LWYc5QGgOGpjHJprJhufV4gva2SfepF46n2z2ah1cXdfOLKgoyhaeZEJYEgObS tJfbBJUygHfFYjt9c0UUBw6hoFyeA7sVQm2K+NWMP06WCvQc5U4JheTCEglDS0Zt3P8MAryheCoA qN4ELag82D6ZWSDEgjr3rul//RXMKWw+XbGaeMwGmXtVS1vzgXT/cPN3JxkxXhA+FRMfSPwZs2mB DDfyP0ZjVO6FtizimbM99n3rAzW3pT1bTwEf0mdKXy71cVuKTYUXJU14gmZx25UUTHSO9LxGTlho 438EHD9zSI6Mi6E1muGp26eeZJ2c+kMBVMAYl7DD0/Xe0LLcU/K5mFToxEcIe4YKitY/+obYp7hz 50R2a7TKw2nLQY5nQ/cGdgYZf/+/DWN/bMmrAqSogv6e/YBvRxdwMHeZqfCVtPbJ3uVMASYvHvM/ evP7eJj4PY0zvXH102t8OaQtjUAUOp0zGLkQoXWEU5xe6IKyIzobxQXYXuZ6Iv1inYO+B3ljRC6M vy7aMxXCyPHCBZkwhGmBuLFxcHpXwKLsrMy4IDll+IPklbocYijHBiAauTCgm2/S9EBrogctcbfB ixdVAUEkB4n2ni1p8S91gK0aX8jyJvOmxITZ30epTZzBs5FAk3eKx28KFwl90myxhMDS5kr1LNIM KU7+WRGniw0yJAquvwnk4aqNc2IGw6fjejZPB527EqI4LidPkXtmfDRU0wpL/tSwMdgdq2cvQo+D eeWZXFcl6Jr+vgvzmtXezo3mDWKHvJ7Am5ezdG6nEFnkKAEdJ1RBCPTpEfVQksWec3xDys9ikjWG jLTFeLAvjfrdFe5tW2AAC1YJeuAW/A0AnwvqMjW9eN1CSnLW5Qgq1zO+13fe8BRTVtF2yIIChy8v 1kQmGtIG07m2lBKCBekMdaYSQlbGJowTp3NoP6MfvVHZIvkkPB4szDOLKEjUEjZ7UuSjaP9wNZkf hwrK7FclzVrQwH3lggX7HzPH7zHZ2d2Qoxk+kBlvqpbcaz1ucOd1xbUlHGLtuC+nM2tJGOO4G9b8 btN4JNBSXUZeIHzoP9cqHlznmvwlQ4cS0BqWVUgmdiuNqTJfVUusjKp//l7SRUFfAFgVjOX5Dkx7 /0n87kppQn9qMuCbkLRgHW6IsPsEJ4LggvP0XV3/TWFT2Rq0YcXbtq2y60aKX7D5zlf5O/GtjcS6 BqiLLbxFgw7mvCJYddFaOU5asVjchCvVOPHfnSz4K8cqliwvE0C+rzCB8Sy4DVKqD/sAbNOw1QIa K/JfIQi9rfPaLp6nwGiBHOgkBs0bHjjTH/BYFBHIrxr+FcSav9xSsh7LaYb2JKx9AD2lhLLkdAfi sF4HEzx8ds/sCVYZCfANfA6qruVu5di+vrOfVMKOje5IENMvGv+CcsSukM28W1VqCLZyUrCjSu5V Rbo6oJJrzPGi1PNpdr0Yw3KrRwQnQhKK9353qejBCwC8A5WF1u21H8D0ShUiYshku0sSQ/wNPC0/ YlQErgqzo3JX/zMTJBsJescqdtHc6x732a1JpCjninsCp/y82XUkFOrRmJ7uKY1Mqkh4Ipyoi7iK wtEiMjhvY9ayKg5+AVXMu1JObA5ldoV8KyNPiIWtHToBo2WCghODrU71svGsPAG+hdDuXs3xYxu6 tN/vOW10IYOR9Z/z3RhYb8Ad3/375OF6PfR7upFvTxG+KsI8J64l8Y1VetC1Xe6FfKH0xxRiXXS2 OQk/pA/Zb7zXe8wtUmD5gEVZ8jJzaMuhFLSy1Mfn53VxHHoj7kxwOsQ33EZmdD5BjErVygXSwIWT DDGtOs7jp1xe4mSQS9Uwku1DDrV4wlT5biN5YYGcYRxIW2YQL/6g9MuYd3owF4PdpquFxbYD58lv Slb0/rqrUFN08PBueFhYHS6mnbJhALFS6K8ZJTrYQ/vaK+veunsqU9sgluMebtIEuBuUACVorZOY sgcNfTSVBh5aMtFP7PJHZR6PRDFmz+Z+4jdSlDYS/PMtL8wbdv0XSmIiS096cxFeYIJr5Mn1V6CJ NQwPwKfU2MO/cWCj2pr7fQ4+ZZI32mGE+JFgOxVnxMx8WWXd/rMpcIlL+TjXbHAa6VzmMaS3hcmZ 4u9kYot1loE5jUtTfhCDiMyLgBm9fcIYH0M5xd5kKed3Jd+qgUf+Q0AlT05JY01cX/QG8LB9zH38 8+DXDgZUdNzTC6yQ5pIio6aDenx+v7OGVB0h+2WsW7d0grr0AuVQCqdh8B9r7nLuktBLlDX24S1l tCv60Uv7ZsqQONtPMKzZTTxUnFIZoiiBygCGBvOx8PziHe6p/n+al/pB9ru6RfIQJOhebL8vKECg 1vW5qZtOsaezWZlE8oC27Mx3AFkYQhXy/VpNmY5wFSwo8QQ3Nfinl7EAYjje+UV0NpOorXJBUkI0 Py/96GR/yMiCPEusX3BRdwgdSJZIMGqGzlubn5lPVfFKV7deL8fjSFWlRyZerwWkbvbSMyD4WdfF spRCf/GymUV9XvqsPc0TJ4m/r+mYZicx4oyNd2YgxA4Kk+z6OkmyFw5d2eIfdotxCuMuQKf7N1xa Aah20OuW1gLsyp3FfJIm3UQMBjG2q96qh3pojxjJ6AADXJFNbbyztlh+P21i8KxHaRg+DE07zcbW Uboh9UZih86YxixgTQ5OZdz5BZX9iTRQOil36fBzoCwyVDwxHfjcNt0//TljLqU0/6/7ySEj9AFp 6hQoWAt0F2G42C9ggE5EO0lTMlDc2CGwvlu0do4MRuSagPKOGRgM4m8fS/0obWk90nZ9TeUTYdX7 FeVkHfCjfE3zEdcL/DBeVkaVqhd5MqqNpzzuwKx7tXp6wYSRaZZaBjgj7hMdYvYNdVFFHkDoGSR3 rpKp734oMTdKSo7aTVcTUcxRb6c1Tdyp8M8M+A2mkVLAOXOPu94CZ600GhjDC7VJxZLZt6/lmUbS S60H0KTvIbEbLgWTt95WNXjeP2/qZ2Kim/0AfNHyXDquTLCAkzZWmaEb2EODbh8DeX3bUksn4KVA 9ml05hOa749Y60SvbcClYZpuMbysERp/bSBRR8waxDCsDgyJDGEPw0+WfmXtCTSfMWN8BFZTemzB K3k/HULxNT/fSjWReMZF+pCt4GRkCxc69zWw0jwb9bii91XFvBKJCNd7aEdtTA37zcHtGO/P7Wo7 ewRyVy2d1IIl3z2/VaWkXA2cO986nuPwkXn+R2grOK0S5f5/WdmNlHYOSmZYwmX6hVomIiy4eZC/ sCoLrWYxoZt9qcpvobE44IupsUIb2b1EV40hfP8s0iEMLa5dkSdgEqhG9j+YNhkZ1D2tJJI1W2Y3 WQetxcOfgQ03MmlPU01ZBVPllZCYEPGBE+JNKhm0StFy2cBBzfKmxtAjPkUhK4l11g8CTbuEOkC1 hPkNxUkq31K7J4lB12opEUaYAQqXylaGgK2+BnEvOT1UPxX3aLaBrax4XV06WNugXY9Q3WomFlhf wJQKOdbyw3jPndIg4T0JniPFUdl1utVukwludHrMBwssdHz4OwPjumqxok+fnb7ValBbOxPaefI6 qRCd9+WiJwJ1ZohYcn+Zb4Pd6T0qTi2vrY/IPyOjLzXGiHMmPh0tJ0cC++CK5lblVhithOLTW86Y k/2Q2iEm1+bK9lbfIL+hxrRJdr8imP6IFN4jr1btpwDIm51c8kSwRqR1bw3dytzcrQk6MjePyLUS CZgtp8ueFsnEaPdJmbagsppaabh/xRoRSnzKhWa6Eo7q57OE/3pOMbI0mNGdECh7kGFlIrhnJniA ZwVbI43xrD3JcJXLALqXvTiZ/N3RjnwsO6j64tl+C6EuvjWBc9sKRCJCBamKND30r778zhy7e0mI z3l24o9WFYx19Xc85EcFQwBh+WJhDRQ8QjQ1R7aY1WiYM+LC0+aHzjnyE6Xyppc6IT6RyGQQOhnb TYwYRYrdxbytfodPBNZms/3Ip2sfhyMVunS5JhZLBmFBwyuuEFHmYqXwODy9anlIJ5eUqZZfZfD1 tr2kXoCTyizPCz/XFIyrkFDxOghidln2izrwngyJCTimhBrh+Pk2RSS5sTuuLYxLJrbNkSAsXR74 EZ38Synkw62IO30N0l23mnxogydzlm72Ej+gYudJcluVyWezwqh19f4TEeq6E2a2WchIwA8T/xPQ rkU4eRCWHZHHPaTLx56XzUCiWcF16NBE/bbA67cK5sZLnLXDevY75w00G4loX0da900xum6Jr6hU TZUzp3otPu6gnfM6eDnMjTilRmHPbV5cZ8EAQIXLicYGLGIuDBhS5z1APosp2bUbWXMr9QJfSdD3 2B7j+qWcK8ZwQOlWMEMs76xCQ3WPVbE5KBaz/WhLI5XwZWXok97mZriZ/+5ltzQX5uXmhmB/u3pv c7HKCUJLvbsqaTYAvfaDI5QIXIXG+UrWSvLOrx6XrXAgPK0xGW4pzbTZh69v4KQ+Fu+MFp7ih75Y f2qHtpH1g3DusNQ698tomCiOyhmSSWvvdGZx8yHUk8M0nX9G72XYB9K3SBDxiHr+R3nrFKO6t5L/ LEq1r/k/PdH4U3UHzAgOPMEVrgw652rh/ulIehMfPZbxA5w8rI63/CUjtFTHvcudjI/Jrgh7xbWE ARgFd2Xn2VD3IjSOE/ahOm+PPLvq451yhpQpjrl+iHgwxrEnnFIGNHsi0LgvVgEHgZEYWWRIEvEe sYEdzkpclOra8XZcxvflMrucoP1liHmBCrARKYheE1aM4JvcOVvD3qhjKVenSldQrA4zy4Kw6k89 douZheKARiRokcZfkp78mH7cvL2lNibQRewjaXbW/lt1MsEsnFUqCQLNSIGZeWjthw+KHRFTyPKw r4aXJC9XfgHkrJMo+DiYV5/RTg+yO0wpJpGW5CsJlFG/SaJEEOZ1rNbAKpcLGMBN+ax9oIYvRdIU aylwQfai3BdyaNHboBD7saG78M3VQPnZJPpAcc9Qup4jnt7XaXCtw+UnTnNd+AIEPnHiwoFWOpCy bdGPdCTEIjLcvpA3c/dnwkE38Co403eLDDtWQEXBC1xIoj3AAHmBm0lHTgHIUGpxFFDWrRUkeKr2 IrnW8mPPVdlSoVC8awx1XUWBlIeyRvrYCnP3svI97tOA3yCUq9cOWITDJtAOiLt17xkigtI+3xfO +vOALYbJrl4HjpCYXr+pVOmE73rerMKPyzIaKcN4BThLbSSjbqlE/Fh1qvYJge/qFr0di/nq3B74 YoIakBjj3BmPSHtPjTdBYNqCikAq40S97ETaGEyWIA6ySh1IflN4QyIIBazpCZqeSJT8SfEa73rR 110pyOtTLsgvzwFmrLtbLmCoHrHl9XZAwLJm1Oj1muWS00wlTpO169ZqfJPjxdYGS4FMxDG7HM7B u/b0/z8CSoRXCx04glTQ9YNpgYKzq9ZCcQLYulMkhTP2lWLQ5yVQt17ANJTVXPLWq8eRDnnZmvRL uiZbB1OhzSyK7FyxAyyNiB468FABL3/tssZWquYBSPzL54B13RsmByv2STAIkWgllnS3iA4nAt6b nKx5wKRHh7Fj+/yI/5cAJEVEnY4kpHyCUUSK8zjRgn1d6ovNOa0JQ4++G3MLecxUYiqIjVlAbF8X KDR8BB0WSLUnvZDcCxVeTRc7hGSiHi0Q6khn89zraJl96m+FMA33gyrXK3ayS8RhQzKv2dag7VLU Nm5YMkbHE4B3azDEgVu0cvAk2Rnx0cOFuJTBqDc8NI1txS27LB1TWZuz+F6scukCZP1IBXV8T/W/ tFgh/nFt4lM5MaJkCs3byMQEp4Fne7lL+Y4tYQqSm9yjtXxOtCzrIJEvYDv1u+3uIcA5lTD9wCd4 wxX4bir4zIQRxp5kVvvf8N+dObgQHd/k8TnL+2G6+gRkzgojhjJ/Xl18IYkGFR2hB7F2ux5/p3zh d1cF4vKHUKTds3pmYsmwqRtYQHX4LVclG8Ej2iEFgurgitC8w0bGr+lOsdSwOxCUPyorQWWBMm9V ljccdI/DpnRIOKSKctliCW/8puEFxWf15D02TYKtA6BG05CMIHEAhMQbRbjL888S8KnSMekIc8MW O4VRtj94lC1Rc7gYKeDjUTuVMxrut76qNmAjSD3ytLygok5d9VEwoXy8+wfUZ8oclr19Ha8S56MK uSA/IpnanajgY4fSofFCGclZWn0nRvnzP11EAGiXXhIk6K45d+ErzaKgZHTzFd09LcyURntegzXV Mx0UrkdVnSeMQUXX0fxBJB9tCVyGTJZ/yCx6ChiQL3Ur8TGmRK8HnPSzLMDKsyR0fymkdEJ0PPeH DbrhAbGNd7+4v0IgMvBCVpU+6YYBIUDfBKy453azKqpqoXxid22YZbr2K5rr2eSOsvopX5qyZMZy PyVgk4u0UWJ8AxC69LRtfiNA1Sp3Rh/vzZiKqKZ9pkAELt8P2GXAVwwxOo5N1QwxxjoMinoAt8z5 /Rm0ErARtDQCZpFb3kF40qmzpGp2OQCPxKZJlBizR94QJcyey8qtiCk4ucv815H/k3rpsCZn+vSv XUWIYxzSeSKJbcSfXdWiBvB+LKWJLSsmsabS/d0GBl3Pc+z82v4/s7Svu6wsMb/i+vSovq2oPWCB gKsN0lAi6oNT1fyQiqmdUcpTrELVWBrt73mBhjqoUXXz7KnNe4Vl5rl+mx2cTQpEHTCRX61z6eU+ k0gClDt3MhW5hkmI9REYCFxvJHTslge9XRAV34lyvBaTwfuja7Lvj4yfLQ52tFnDAou784u4XLO1 3eAdM1jySQswa7YrKrtTtVrBIAPJSX3R+QF/G0gtNYy+CFxPKyWISyjEvCtcBTMeIc81PJh7QCGs eWuVmQVM6dmHFqqkalc01eONMQ+8TrbxlpkXhaO1r8bz6AY7O4/cJLIdhsELEb89xWjX38yruhgE VB1B5dn+PxRJr5dy2VPv+Iqby33m5YerQh8lV02voeZDI/eGG3THnDjIjEK7Ql0K60ffMoRqb8Rf erPrQu6lhQgvEehN7sFI83+3Ufs/R7b1Rd9NfIh3FF77397gVHoxDXie8rdJKbjkja2qGmvlw9D4 hXOQ+/iXjyC9rEz3X1nVtClo6XghzduDVws/3ABr5ZIya5yJT11CtRWpdAZlKtVtdJOOnkc28XG5 sS3T6zOkSO00FStp4N6/rgEU8xqVK39eSUWYSaUwspmHGEJQLI2s0kjb1+29/zhqOpS+30VvBZLE p5A6XVup/Xv8aNsHv0xiWFhE40wNtW8KLaPaK8DLx4uXaGeW7K3bbsaHrN7Hbm8CG/OoEMzs5ME4 QpKhjhIev76dgmsvbGmU/4Y7+W+0dtCeAgf/DesV2tPElF3XaqbVWkGK7IeOu5wq1AKVuAX4QLPK pB7MgJwOkV68ZXJ8qeE5MdR879Idf/YchbjQWoYnYpW+sKi7qfGDW0frlo3r8/utczEsT6GbLg0R TB6Ayn8g9v1WVb/2lMYk5zsGNAwLBBEIbyXLmsZYHH6GIu52JYXGsA1aK2SMphQG4AOpa6EmiYV1 mUDqHZGdCM02H+9E9DYG4v0ZRePi90WJghu/+uxH2DMSJFD5Z5g4PSvV+r1yw9AQw8bLYRyUigER vq9vNFHpWK5S9mxCYWWxCXR1QIxPhpIFB3iBEc4FNr5IrYor60ulM5Sw2uGpxpvTc7Q7WX9Jsz4K AdFxfRgaZUNoco3ZSaSm9FID497buEy6uX3G347P9pnRdtLvruhqQPaT4fXGl0ePX+4ZwSFMfhdl 8xnfs5jHSWwByFAuNx/Z3YtFaDPMDpDbGp+gjiQQKU0uupWjIpLpYLXArjZ5y+aRVetTsr1vEiUa YhyIKjHdoiBWnM4aeqGSHEY4/M1k2WMlu/cHu+LxWbeoFHqxloWkoVxTMbGYOAod6gxDwZR8kiIF 0LGzUJCup5EgxAln6ouQPmOqgIeQTHc+tI5nBNc+oQTc8yv0rikO4lfqahW9oVXt4tQq1e3jWZfr +pVc5j/2+0EB6ByUqPq/YH/xOdmXmi9NfEQKnFhrxenNk8wYgbRFOxoO0yP5+Rt0LG2TLrqqOUs/ zvTHyKNWsZTPH0mNk2CMk8GhaggmWZ6JHF84RNRkHzBkNVG3zpxVnZXbdLmP/ACfMHBN6CgP0ILm JyWDXcKGiMu7Cc2We3/vVqlthUzfTNFILxvaxsTbGLgE6LqFr3zMcmKl7f7CFbXlDSx2uncCG6s2 /VUgOEqptaqNcVs91TDuIFYaDVTgGI+eRM799sm+W8n55te/znzD1VDtuzVCVdESBDDA/rwvOCzJ VJQiMv1ooW//o1eSL483j+iTE/6jhVXtH9eqVHVKzl5eMcrt6f1WVBDgolKF+aA0yebFaHh+mgY1 Gvq5f07HhdcASlGeD1lHNG7Rc83A80TgIioKO2M200iWa0U24kE86kurN7uK3Rd90rct621ZciKo qdo0SLBb2y76AlT6QWWhcpiG1Cxx3pTnQ2PoQ2fhO7h7RIEUhECsbTHXhIMLO24raiBxhPXibA6r WNe930IjXJpQ0AFOKvOqVEea0zELnbGPlBgFniiDmpdb4P2ZbGY8JPD3JBnXP9tR04gq95dz7Nrn cMZzJhHtCpJaJWjQ4AOLsGZ0aHzrbXvAzlPwIsFp1bCcEZYZualcxuVRh2ky/OlXqv+GU/1MRJZm wFBkHfQBHosF+ue7PPFg93l3yT+m11c1+r+iGCFKRlaRgcGsxdLUg++RlSJTEKo8vrzZjH8Ns2X6 GxBMEzmc8ZdXWNQe+7uLdnH2s1f8oTTc20nJ7smHgv0sPQiIRzRPt1hZSwwTMmU2YojF8qDwlsAq 2cSRezi/Tp2YhF+IZx89/URvhIvSG/swUei8+BvBkoiyJ+jdmAA0w5Y5xsP7ZZmPKSYnoCsl2rFi sT0eJlUSgwqP7ySEUzlywAR1sT89GH0345qs+XH9JrDdcQez8mOhaL9J7JYk309lNV/bAXgH3VSV vRaOWeVLvb0ctKsTs3m+qy0UFu92YJUwirKHfpVNfvjV5cUONhqDvGw7oCfAiUr0pGMKjLGv+oMq 23CPnhKin2LHQWsyA35lyhIag4qxFAooR20tyYT/ZyLwcO6guKvR30Y1oN4rO+1AfW8ZOvLzG0WI Q/Sc8JfMPXWpoAq7Y/zsSm0RgvxZ04tmMMnF71cMD5dRV9D5A/CSyu0OmMPZIffOj9jhReJBL/tC RV95hiFwjz1Y0wA5+ho8kUa9YkwXQRkEn3JCKNHH0iqJjXZmGjkY6iYEhyEPpThfmmkeCHvj9jWb KC8Qfe66POSiomJxph7DQTSIeXupsRXayI312gDszFQwLCkl3UV+v1Wz0uGiECEA94z/wxD3QyS+ nvZ7+K2Uho8d+rjQ4TKbgP5VGv07QZX8Pa1NL6vDHX04iIHny8FCqG1RLrwN/l3M3O1c/76E1KxV tOp4wpvxN2mnMIQO9Sgi2mpFb/XCZdnJEebNLYMhnukjj37oQdir6BOk9n2UJOJHEaZM2+K4Winx 2K2Iuws92/qFwiLkmH15OQshF9Kos+JdCLFXuKlonaEfsViNSjUsYZ06I9XIc3yUD4yg2rLg1vl5 toi4/1ZRd68/kP63aCraYYWDszN79wWEdK0RfL6tII/9gFCIrQ05sPs5ykLk9eB5lb0Y3cd6cplt 3btdHmAE/A4D0VZhTJXb1r3daVlFzOVF2Q1pvma2DYvjd+w1lz8IAqCBUB4FjwEFn9J69dEpEEwL 3EFpXSKXzyyP0+dvx/CQ+TQqZwkJxWbX/uvEDvqfadZCnjagbBa8ihsjZqROIgLb1UtgygJVOh6c MPcOU/GxgQj7tZC2xsRekORiQI30H/yJxZaJfMrbDwBio9ka2I7OBoc/J7bXNxTRVJYVwJN2pK3P Zf6xIITWxpWiaEVcUZQUc5/pC48A11JTYOjJLGgWVHojhN8/2Khol4z9jBhARf2RMdkjhtg8dja+ 7hmY5LDM8G4As+sSLVHeEbFYhkWxNsCbbNV8egY/eycBrFipeF6PG2gT1Gg9UzKOHs/ROYJE0XlC /NgzydxEj4oFhuSA0qiP6n1hH4Pk/am4XYvfd97EjvqhKjWKYU6UyHtUo7IlwbQIF/G6h0wYBWsX hYqeSYchuD+T4aCuthK2ou3/PHgGQJ0vD2FscMmYa3eNE8Of3wEbnHzSdHa5D5ob1YwtdVXoPL/X 3rTbb4tHM9gcxjn9dfplK1PsgmYWmodLz2aJZqB0kpybsi6WijRJqErxv6cYwXfidrqi07Gppgh4 TArcOFRk/8MlVMaoRreDafpxESHatG5qCxLqiZVkzzJ8qtaWovpoQzRoX9wxrG8YUNg539RG4wRH UclunIGUUVR0G28Dq2ksxTUT2lSVWblKIumMo0AytIF6qttaBGUTZYN9BQfEz3ZGgp6OSzWLo9Lo VypeYYLeRH57jHZ8t63tLgyN67HtcMCw9hxY+4VjNZhd6tkxyyhKBfUz/1xOITX+XePBZroLK/7U Zi8KDkiosAd7ufD2nXH0NjFlubraSwl8VH7a3nD0T4POFPhgDO2Dmxt/QY8jzsJWx1p6b7JnAHKm 3tUAbfYrC7ZOQoBBTNoZyYwXrTl/cSb64bcy2gN2PTspM9ZtCIR3DwgrarmjdjVcO24w/QeYPTU6 6ay2Cax4tB11EtFppWOgf6PfoXdm90YlwjdOxfF4pNQN/uVeNehkraAorX+5xjTf7dL1xE7lSrQE 2WNtxirMnQiUWS8YzYob36srFd7SeTq5+j78L6yvX862gxdrNp2Att4DMzs76Qg++35pI9JY8mqT NXRknZdVk3vgg9jBOoqhpZnxXuvuooUM7D+76dLiv1E6T8jDxPXOaMBmLPW9adq5fUZTUK3RzQog Wa1mQK8J/XbkBxw7IpNWCVuv86Bs3TNwzylFaAZgR9wVcb5WX3IZzD9D32JJMDoaAXx5g3ZkRtYI nrupVqJpAZAZMxnBdwxrm6k6Er0AKyfq4YrfPa9pBaDC9gzJeD0l7c7LikBLUyw4s8B0vcJXMkEG yErku2Zt+5hmtzO6FfqBBgUiyKv3SJdO8NdxHcVSJheCAKnTpIXIV1CgU5RLdo9HarJQbGgKPbn8 aOnQ0gYwL5f2MOJekA7SkkYbSqUWIBiEw/9xV2y+90tXgPpfLpK/eRyr7FCV/LH4Wvqn60THIc8O hoRHw8tWTijqTn49hl4TnD4hVocKy3tSHX3lwuGWUE5jkaS42/1rEdI+ZCj0ntN5x0G7lbvMMP+l WXgxqYv4RgPDn3Sy+KbzbPOEV5mIpObNmHID9dHs99m1zBvUP45JY7mnHIQK4NjcjqVvVDlzs5Et 54yrqkBilhPotCWsuzvTJ1bWXTqe4OysXN+OofA0RedJceuMmSFFLpyrR5rhuOh9OJ7oEp+SyUED bdv7U7JgkKGWsSFSUzJQNK7U+4K58nmkGOZQPzCvL8BBk2dWVwTboenB9yb5rpTnTLUR4lH3PLsE Y2uLx0N0BwPETx8ZQUS3bOvZgGmvhQhLxejnth5FPrVDT8iJ0oq7/lDlIvnFe9f7P6QWbOk2aPW0 GXjOqY+E6xuPAoW2KrBpc910u5JB3mpC4yg158uOF2Y6MkCJpHZVMM4x0TjSQlneBoCYmXFflP/n PUWJ/rWXgW8tElPLHyA9W44vV7ObncJJV7MPmNL/ECmQkv8PLI3gYwOjFq/HQUYuxBmOlKYALCBr VgWIx9G8X0IrhVbzfE5FjBDBb5jTV1gapqOT2apWTgPc98YUGcUJJQM5gUXDMTaJQ3CeT35lGKNi ncF1UIAeLHYkU7hGC8vRxnoZdZ+mqAL0dslsQFl10v9yKjSJfVp3enFCUTQG+IfMkgsjNsv0waHc uYSjz14lKrN2nwgY7jMyahfqhJx4N1lajZ2qdSQMa3o2hXBfnNuaQJyEgA7+34/dzpXtho0FYbfy 3bCc7vohIeFfDNJxhaEg6gRNul0XSzOpRk1XJRe608bHiWyIl0J0gemMt//IN/PuWuN/fAO4l3ny gYU2ZXx4gayyUCUaVKNZ6dWEtgYiEbdOgFJg5LR5yoU3KZNJNYgEDuc/ItYCBm6HcfcIQBn8uLXd yDiySf7PRhEJ06GJL9vpb/DRxoc/nICB4RC0iivebS3uoglRkgJiMP6l0Nc4GDbqpVZaLAqm/67o GtjmUuUBrUfw54NnfXdji9d6y/MGfh3C2dMrrSt0XZobQk49VtyRODhUbhEtseF1YMVY4zjHJL1u IQgUKo+QGnWdcrv8WyQgmtVkfGxlGF3T4I8SDxYhJSjztmBkq6g0JzqqKc8KhwPr9HDDsE2y9hYF 7C17pTiypTcuvybU4bgq2Aas0yI5pNbJ6KgIz7b9qk+Iy3Sb+osJsxAoD13XakL0CL1mpG82HnxO F9lBS36ratD+KhM+9NLgj9ap4A/Mbp6z6GU4HsS8jq5BJL4UiGSxlbqsNb+sh2Uaz4qyB86eA1vh 7ZsPsU7Swh9e+M0Z0NQSEwN9eaIqyPP1M0NIFOyNdLfjU5S2iOwoFmb1NCNjKFXLDGbY8xMs1BGe ARKgihc/IbLw46qwkQ5xRT34e+npHUpU2rzox02NCrDhOGb5GxFqdbpgCMikD+SkdRGL5iCvzat+ jfwCX5p8+NNZvbwPHnnKsCD6rNLdGfshqBXI+ca2yaridM1zNNa9EsWfue5oop1Vi9EsE1Itwjo/ p0ArjxqghNfj09i13IwO8mnpF6gXyrFXYQa99oYuZMQ1ekvY0PXyd3Mf5VmCo9IiaCKzpfh7y2T4 6jB9uG5RqurrGMc7s6g5T7diGKB0Ql+gaMHQC5paKXEquOpKAjXwZ5Ib++nmY9K5MAYMJ0H+NZXw jwsyGN/vfYv2ckM5folc8CKqMsBu/zDuBtoz1Lg0xPHzmg4OO9TMSS7RrZE6JkoSsOJdoo4t69ok JVPme7ZnaZKGmQwvblqN43FoR7SNoQ6M3BhLfIRdhL0Q14Bq1rCXcedmAD26mok0BcbYoKT7xlRg De00BLfKvfLlrCx4hMrwXWNudYApQuD2kuPJ1cj3dCFFwV2rYnkMOxBRLndykBL3Rx51hEenFgqi Z2OznnolbCueELyaLGS98S+1d4dDitqOzngXwoFSNmzVbX6cAkY8/2c8NY+pikSp6vG1jUzVovlR 0GdW1tr9njRI5ADlawhCWcQpYySx7pw1Sf1VGK7DFQPJufc1kQQ8pNjnWNtmJunP3XI6gkhZgPzk 3ru0SSc78duKe9unzoToE+TCTjNlE2g8/iRWL+QjsgwBe968imr3nu1pBkGZGcMyRz2Ads526rBa XbnIohEnQ1YSyvRrzMDX9896MAat9sm4yyR10/j4llYNhz6o5+dCVkvPMW2NIo0DFDtZ7mhdV5IK Dzqq0GE+qY8qT1mCntWfe7pdNjAg8ERolXE/MRSsiCG9HnJ0Wn+lXF1Rx79lP+vZQYIAx3u9jaxe NiLWa+HsLWTadWY1qXAGaXi0oAkoQmt/m19FbITohBfa9G7L8wNBvqj5fjSSVB8xfolKQYnwHMwR Cagf3vZI6I1SAqxh7OpHeibAulLSL2YXv6kfh988TtLOQS5jp10/+AVnzamCztHH/Kj3LQ9aNVg+ FtLCYTu7CXlXiVQkSKW4honXVqajycugKllPdsaC2uNXiDY8kvSnsV0b0tMVXujpiBcECEzaZbM+ F2xDsTLjPg7Y4K8x//8mhXWBPmQ24bzgxVQY7apTpt30SHkxZ8CbxuTFjllOvNRRjDzwapVhTocd Ysk5akM1FCEyXBbfwo95nDe5LRAbRFEsDLdiGV39GUMroTtx57/cWGFPnOoUwgIxaHGRwRR6umyj AZFtbOJYyRtdPKVykpBBdT62YrtIjR3WCp5/JoCAH2dw+AIXi1KwB15ngIlr0V0Ou8zW3AhkaWKi Vl/3/ZXN/NtgCrdS6/isNSut7sSn1IO882U2jARm6PaUF4ZEXYFC60vDqPzlsl6XF0L0E2izB24R wwrDRK1vRaZ5zeYFDQRGEDWsJw/kiONU+PpCl5ntGP3qwd3OGWoEu+INJzd8yJZWmJbOO6arxvf3 v0WrBjyVxDOv9QC4qXlvXqs92SB2BnlVwja28CDM875vheLc7uPwHW3MepxOAvryJl/dEijyhxPd Ng6ahw8EtfwjxRIObccXn7IN4qa51ZXMK4crolqc9EsR+2wNFT11dXJh+dl7ixsH/PUm7el+oSMy XjzdnT/9ex6ZDY4b6s0YWw3tYJHNDPROgQt1jLm+B9Ov0kdc+uiqAdCyaU9YMbQPsEaFL2kkIBWz e4SObt7YwCULfzUP9LKw2XOyiu/RmnY7xwCSM3UcglvueJ3SLldqdjVQ0n36Oygpl817mxHszjPt EjD1VjLfFuYxIbdYDR46A/tNmt8//YgdvmuVft4cULmxHZs0rMMXPwR2p7N9IJeYvFXopvyrC9TX QA+8+AIYGbOQ0AA0SpSX/ZACcAKjLedYTVzHw68OkR+eNppoNTL9dGwEX7cf/a8CBeXCWda2BbTd YfNzaSLmWRNrfSDFLYUJ3B0aPtMlk1JUKSaOE0YpRg47uPFX1J27ynjCI3YmUHcCixfT57XFV4QY oMrxKbPXeoh+7eiz8XmkYG9+GO8k2vy2n+D6i+1g64wrrGx2W2On5IcQQZ4nwmnnGdMCpJ1XisiJ bJQf308iFOa4xv+308SG0i7ScIdzyK5Bw/hIUsBo6z5d/LahUbvCi1ki0tst/jnOu7OXF5IToA1O i3+nLaHzI9QqucwRm4F9k8appUj3qyt4YhS5iT/k71iqqiO7OrAVh6GQOfCtx/Kr6tQycrKd7MWs VlPrv1bK9qUhmdN1ZASbsQlvN3UPcigCSADqqJJgCI0g0WpsbCGGnpzplfpF2InAHCF3mTud2g4z BuEDqcStsgt9aQiocvAzGEwANXYPNnqKIsW2CoeWm76vtk7W6ZoKVRoGlvR9w4SLjG+mh8bE46He iHQHPCeB02n293/HciiHTxCIX9GgCW05osZr/klRmzzsWQFUx8or4QZbnCn9EsDYAAl12+omHuoN qeCC2mtJa+//T5w4peCaAoaGm64tW5nM35U7TyxEtxcgPJ1S40pojL7kOv4+gDYOc3ZerJwiX2/v QHJvxHCQh+JdV0BTxTMZBIJXkZsE8vKkeCl1Aa7hXaOqaMSV3bdmcLaU5WBYrd3ULzminOsGM9OT hXVGx7oo+bN4e7Het+HfhIJJKgRHQcoLpXvmLTnSF5qwa87EdZR89S3OxluZz0BNC3epTs+RN0Eg qNoSVs7OIZRewBSI1f8jZXd9PHCrBP8Y089i4a0Q+s4X75gzsXskGg7W0Z0NflRY4Uo6Cn3D0hpQ 0W0ZxcwUYyPFtfZTnAd1k1QoebVjoLA7wPBQcBGYMZ4pCEZ24AntiyDCA9ckWSbYph+xxl0JCGJJ cvGn7z+kwcjPQEFzyFMs5TiTGAi8ANUJhOjFyCyUhxTrwmu00HnH5JQ0vNSVHHSZgf6D095fQNUP thDKGvjLQpFUYPp+E0hjtquc65Ki3fSrFRSzxxgEQJBIDIZUHGwuWjXSzH7FsxQH7Te1lmLvoiL9 mPI2oQDR4Utm/v0rb1yDoC+snXlsY+86ku7LUMdRKH/dbmcWii+Nbictt2lJQQXTuY5D0MLfIsEm 7BNph0jAEGBuJr1Ggr53DvY3CgcuE+T5STwqvTwRisBlIizGAcwfSmcPoiSNlfoJYbDXJvFW7A90 MsRh9cvmuslEryA0N/OgeNDheW3XP7Nc0nGFdi2p3DnRuxTDl5TExXOm800KDo9024U7Of1ICAcl 7wu3OLP6SsEVcby9AhU0BhdI6/3fKZhfPbONxukOAqGrGThzrTe5kMxOqBe/KAYIQHvNRMb+rAEa f01PTlX0VsYKNRbuBbA7DQp6aVlys3JPTVmBqxurc6kWvncemECMiCaJMmryQzt01cjN7DQXyzyG 7VCB4SMWurVbnzxO3PQvxmZ2eFpg9gJH33xnTXdH5bUZ+PFVGe52pLOHOe+np+6ubgoiMtwaN3/2 rSrexkM/kyKOEYoB2PQ9YfcbPspVpHCpS7fg/lB70tOhUZpd9rE9agn79MsGWK4JJZw3F62sI//d +9/6Pt+EFx1w3C/YrKJeOsdIkl8kdp4R5FikbxXFhkFCOBmDcZeSl/rPrFySOTVuQsjSFJp3NJLp 7LwcbUU6JjG/huK7Gu+3yWMLAbJ+hn6wUBHaHvmFk/evxJPjFyQVLxkSN80hFPQpbEiUbZk1q+RX auf2Af06obV5g5GWEpLP9TuO7FlzTFGUbuA3RYxl51Lf2IpSaTW6gYxbve4ant8J9WbjIIemt7NH 73/CpUgYh7jZ945MHqc6yIL1NfMSkNVVw/hM7WtVITFmalsOWX5dgHk3fltv8mhoZVXSC+gAnoNP /T1B6JST2uyrqWOYwTgwWqx1p38a9xNwE31nbiprm/1ckP+96XwcL/kA2mJNRl0APCxVM0MSZCUR sS3d3Ib5s7Y5cogAXPX4teHTu3HbGq3M7CL6fG8Ix3XHzYWnKN8jstsHAtUlOzyqEUaiRmRwpc0/ Opa86WwpOUlynMqt4+gI0MYfEWGn3YvkJDd90aVVRRnXeDL8K45NMXG75zMd1uSjdEZUVQYyj6YI coWn7p+VACjc0FFg9NuPpgI/JFkvR3KWSX5547FgCx1Ob2oDIF4mKrkApvciG6rdIxCmYO9oSQ42 Vrbtgdvfum2C9YDmc+tSBUsAt/zbh9Nr/JqQUqxk/Twq6Kd64sCcZV4RCtjlJLZHqEw3yCyA5AGL ngMN36/XjF0j9r/GdXPV43HXUlxqYgwN0AJkxkBN5KzHJuoOBoopT/KhEV+fsaz1T6d7H+Shkmrc speSd9E4Uk/3vbA61XVP6MFRmk80nrvuh2Trs01DiiRJzCklC17QoxEuJV7wm2x+cTju8THZUGQN tQvUYHr0XN/g6Qk3ZOTR8G9j2psn2oe2NmEOYGPX33L2hkPgWAv2p8/rl3TOXd9zn6P+BDJDCj4Y PqykCbYh28X05Wnk6/tbOwnkFeUF/R/Bf+qCN9vx/iXOtLyBkFxR7+HrQ8UPo8w0y0Y7kPXDVsFQ SLYK9Nd02KvwwrpGioQbCKx8zb17Mq/q1BayfFynA+2K1+3M1TTt9qysT3iMXTDmw+nDQNBoYYqO LqF/rbqqaI2Mk0inFayEiPzNIg9vHqxQHMhKZWshI4SR2aZxjDR/XIPVRqOlC+1X//oLcjRz/OQw ksfXojH1k9AKuHls1kouqxbN59HGD8e+KBlM4Y3c1Si9ldo3iMvo5obTJrPhIk+FXNpRy/ewny1e lKce7sHGNlj+TPNIkvfH6OSclhufoHMeubydI6V7RfOgz4SqfYcvsqwvb3UVIjIQN7+dJDd0bP7i Lv+/FFR4gJM3yeD3uLJ9oh4ihQSEF6+42enI+gsZHPsfKS0nuU5drTRdtBd3gDDlGi/V/QZM1fAC 2Rrc4VkKyzT741zeh+rD8dbJV1wwOGfJL4RqeXJrdm1imZvk8tFFoulx/g7fBUC/0YlLLJZMSr18 sHODUIe7nFD9HBUWAPK13b51l3ejHDR07WgMhAnOhIu31gOHzcUGbO67sm/p7EXaZ8SqzaUXhhXf AcrsBMmXeO3uofpmrfH01t59WDDdCUsQQrtpi2Gtz/rL6jDCU37sSGj2yGdOPg/YWNpOHfoVyE8n F2PvVioRzVLzJgpfH7o6uY8H50zuukNocfydzjWtD85Lo/GX1iZAyF5fMDsJPeSYs0wb4mOiMqN7 j+O+orIolP6DltWBa/ruIBhG7Q2j3xccwaQKSkPYrNpAwdWn2suDgjamB8xuJzbI7XZtuGBbQ8Hr BfXCRYDgpapQm4rREEoxe4bYjlVjsWjrHxX4JCM+lydCGphcAEZi/iMhqrEDRg7TLKjznoZw0UQ9 SWjnfuuEvHV+eLqkk93b1KSO1mXbvLcCJk/byzvBSyNcryZ3dfMe5yiY9INOX2VRG9MCK/X3QTFx iEKxwRAk0xDo9u7+05aDeFV3Izgosc4Q0jHy+hvJ1F7wA2Ceb3B+DORod8aRI+hvSsG0QY24XyZn R//nrItPkRZP7Gm42vOMZt0IClJrS5b983W2ttE0jegd0rXvEnVKXV6vATvTrbXQUtzH80a+zwOW 5Hb5DDO4N2EvdFwqoTVxSlNWv63EoozZRUass+6cUgWpzaUj4T5bLLA3P25BNMYmSHzPP4XcQue7 k4WB3/axA3a19HWd6OtNL4ICSPoL+LqzEuJz6GwxZtH2MK2Iv9xEq/KBYf0HJhhtEPYLC/7pwUxi gRx5kZ67uUB0NkFWoPA7vpnvs7Nuf1bUGyn43ksh3mcwRxTMby+ZWvLfcME9wg1EKPemPN/ESeqZ /XgPdySBzeWtuV+HMwlln8WLwSdlZNuQzUnBzPWNMnED2DcqiY8oeS2NNrYWLQmc68KfdokhhczC OVtcIxbrZxjDKf6GUozLCWS8rGImP1PeXm2DLuwL4LRE6s8ga8S28M9nR0fBOPsOUwdhf8r4WGQH 5urwPp5Ea/TlK7DFSVYhU89EPKk98ltpa8ck1TcXLvpDje3gTFOoPy2usHveQ0SnoBTV5V2A8q+c p0zxsevaQizW6vql0JEJRu9YDI3k2o/Lvu++XLsR+SBDcgESk6oqMIGEMsxpcZCkRkUh6+Orcpjf OJFW9hn3pB5BO2vXKD+34k3jAjb8Fto1jX6fMuFra1CCaebdn+pWdqbGXjzhsFOvMiSj0rPXphKH 37oYmHflq0fapC6LD42yzIL6DPW1jBh4LKTjOaGElBjup/lzz+34+4MqJNgMLT1Izv5pbWBj321Z LlGaUW3OZPGnP92kaPpGW6Bq6ux2oRecHeiRtF+65zBVpAznHGTsy8fQGGqy4NEQZyhCjNykFS81 WvPHeCDt5QfMYJ5nfAP8swwyaFxCRRAvSi6R9vB23qdrIB0HRq+Y8Xb2idzOP+YYxGiSx6moLrx3 PhhumIA5pzTO+XgZvDOhjTIiNgFpDQ07UUDic6cr5SmGOWIVddL8MhhA7IeCqCiNIbVgevdXn0j+ 1aVPwSr0q27xPOe7rFEAs7jaPjHJeRsJ2jiAYZHuqRXT/rf5oO+gG8A7gbjf0Zr7UXqcudmKdSvc VS3w4JqAiUFfBYeg/Nngu6J+mZvyOlBDKydID6/RZgDURIa+LiSxWoEiEnI0UoUr0in+8E/misi3 DKiqg/cl8j8YZ1C/TAtt1JtsNdzyMO15H5wZ0WPLoLjRn/N1aU0rUrXNY8wxIZWFbn8b4gOfRK33 wYzB70K1lOKGIoxBmtPLDAFcwkK2Vk4iwlfSNHF3uYlv0dOTga8G4R8S2R8GcTWmbtCuaFbfHYR9 yI+SLykj2usoaoHhO2xvKIpKP+fES5hguO0xF+d9CLZi83GIieIxzrW7gd61aifK7NBsb7EY+vsD sh1jezAwmAix0MVIFWm+3ZBxYMdgB6KNPlCXXgiwWVVgBFU7JX7OD8eAq91Nm0EjX064Kkky+YWj CtSkF7DuNFKmN3my+WZPW8iAEt7JSRYmNV08s4lSQssoLu4B3gMF9uYfk60mVr6gxfEhKYm7LpJG 7oIkzlcVdroNbD4F66+gZLibvKzeMRhrovY4r4MaCVTbuz+9lRTgfIbMY2wivu9q120DDYMdtG6+ b0/s7iUNy3i4a+fdr+HDSqN5Gd8hdFqxYw+EMQj7Wi0y6KcTNAg0FJpqSEjs/KyAVQQONWA3Tp4m I34l3ZWjQFelU0hUDnElkHWf4Obu+S4iRoXVQrDGiJdPced04SI/S/EPKqI6p3/zHQSUZsrg09U+ ELH/tWue9RP5dm3BKhRLE9739jNHQSk4XXb+nIsc68YDKGsCMS9D/b/C7lFYQZcfcGWHgYKai433 /g39sWgvhu0/SbUTGaw1oUirVhGK2chT9l9mq1XFaBDq68FAsgxCNyiyZrZOOBes0SKZIeRmZEXQ pKGow8we3p1ukY3y3kZHmyBZZWCIYsqde2IPDwpHa4gyo2sn7XAVPn6VgYbXVR8Gu7Z4tqJMNSb1 XEtFxhNoKWXjygQfRT1HYIrF6cNxctadVE8vPtuveGNxx33K8SbPRGIdw/VyE4YNM2pe1PE3vi/S olvPsmCAHY7IZQZ9aM0rq4nmLTav9njeilmy5c/DoI7CYbbGk+QChNWNiZugKJ7pt8W5PzaRwbBy hoRDLLM10p5Goa8v5jkkoTxXuBphcixtukZ0dPJex/pzR1bppAteact9f/LDeagJevp4sltG5Jaw TpsW6yBYo3+WYZsYX2D2I15CWzgTcuTcvVGdvPZEE3y/cdDixUSW7QaKT00sWBpn7VlTZVo1+cZO VFgQt/8ePXT5R0/By643Q56mYmC7OZ4ehLWT22N/hBGFBIf9I2lt9qk80D3Izjscvyig9A8gXJvA pQchARnu66lacBWfGwiEf+tIeyH6yFTfZPk2lfgL4BRqyko/FQn5hmuaqJigavxq4Gwap/9RNg1y z92E+0Q75Qp8WgcOebMr6UIeNPqdI5uxHd+4/hnymBg7UD+dVhHFGzx9kgT6ceO4ZduhPgTGNYd2 MUgqJtxKGn2qxaoTs5lNWynSYajJF35EENwwyQk9dguedPea/cbfglmEChrkg9lvfi1IhyyM3ShU Q7Hw8OlbOMgv2BntBF9k2wmiQeyCuSan4bfvNVyZjhXRIcJa1XblXgcSGrOEdhRMnlfca13c3ZDN jTHMuvHNWJ6JvF1PX28anoIs7VJU1i9caGgXCvfu4ZQgfO3grS01RuVrAaqJxI28FJEZhuZMfbDc wI+sovA+gBIQ4VMYVD1qpVDqM3fHcAbMBH1ZsirZe1GbVWroSNbUlt8y91E8SpXrr8llB+V+UFM3 HbbGbKndZsR9urlNBRBciWcr9gwSq5I4nx3Cks9mYTqsYswI/Ar0uTN+AcfANoOz08bAMJg60KnW KpoT3BZjD6+AgZxZ5dUXnz1Fi83csF6w7Qe+zJiPrtwlwtq3S1sVjBxvG2HO8YYxKzmbWhd5rfN0 RfTKJlYBQ2bOWaPe7ipoMORu2tAGRTvC8whsWcQaKXM6SGAL6bzE0s1f30AollCSKiVITIceavUb HKwHAiKAWobNCzGMhBLZFE8n1SQZCuCbTl5z78Te1qMws0rh3Ug+rqLb9CwhuVsuZwfrogS9z+cM ptI3P5gZi8YJqNzXLeC3M1AkKejXP3berCtmGzIs1/jwml6vv1jmjDJWu6S+BM93sRsqwFgTmyAE s+QFYnyXN3cCIYdeUPqkNyvZTIU+FuotZyafExjPzRbc4tEdhBZBRg3u/Ck/bzjzRM3m1A8Bd4t+ 3bylvxjclkhhvac4c4qtH6v3Nj7r11hSW/t2jKF2EGO4S9esOkOIYaY0MZIbIc6uuj8Rk2Cp9BYK bcmv9BQdixROpJlDmNkqPjTHaiQKKi52F3JanI03cCPGTKcizwyOy2utTwMcjIsNMsivw1IvJTMx lMe5aM+n8UVP5W/y1J/+aVsmOYZmCXY0rie0jYEY+8jBVQ28S34Tv8U0KmhEgog0N50hqjoitrwP tuVSKUUwTLSj2506n472ZE3ixgoVgXMV/mSSP2FT7exHf4404I5nbL8kflwjPueTE8c57R/tMFwm 76Zvi3ihgw54zAVQZ5GelNbaV6Nic3daO8z18COnjr3wzpNeWTr7dO9PGoWD7sVY67XitXTRuwr0 +Y4/E8zT7BKHuq8NdBJGllEHLa926/wT9jsHzEGbXxhNirpXJQMlGfA3CQ6ZPu5Vv7pLNcscXPA9 iToEp1iKQvb1lrX2Ww4cztHyXwINoS5w2Xg+9/zra2UoRC7QdCG9A/Y1slMagLBvqCOEWhIJ7CJi +t2kO+WOnaII+d+lgMt8GmzUX4PNozKWvbuMdFwZm5Q2Qjr9DZ5t2gscM0J3rRJa74961BmKw0L+ 3Epy+m9jT3uJKpYFR4YLgLPNcUF1ZCTBq02ofxzFKY2Vv7MkNOMxAPxPldmbGta/DHsigw8/IihN XMXbWW7RE3LNUmbtcsyqM8X9HSC1tJ+T+A18ygR8bLeoBj9Y3uy+RJfyIvawgiy1IxJIqm1q2Rhs YbwpG42jlZomdYRdr9t901wz3STSU8StHvV57iWuOOHltdG76kTQlE1/efUfZ0JZnIyBgLiZWTpI OBHNZUTXL+m4GgFhD0ygm/MBEo4zAY5pvt0yPmREKRyzeYzxd7a3rzsR9v4Xf5bON8c6eKY2WdJc tZ63wFH9sm4xkx9Iu2FMPG3I4OH0IskfWbJ4ihQ5z6ZohgXXOQFIGSq8GctMJOcTcEMZr5tYPiqI ZWi+Wi/m89NROa2vQiRywb8gmbLgEaOVNAgUyCA5PNJZ4UHT3zTK+lb8NOYyqdymL95lpLwHjLtd +8+zHOFEYr1xHWo5GuTsAfYqZ31F1E8acyBKtLRhQX03xS+/wlAlrKMIigmvCt3vwMgoZh7pYY48 DmGBL7cxNydbqa96dOWNXeqtC781+0bSwzSnzbteK1v663Jjj7Gg7vzfzCl9DrK/aE+S/FUNB1zp lr8StPJw1xmx0MkBQLwRGYlhcgUVSZn1iLkmgMVhNT+Yr2xbfF3H195Ej5NjvZLAeV9YpYaaqPd8 nBN8ygK8aCFMgPwUKMgBSLjfVQKEcyDZI92TugjdRwAONGwhcEuH/wZW7dDaQCRHTCnmxhdS9oX6 t9582iKY+xEwm4e+Tg1UIkgXXsfu8mrsaL7pn65TWwcUG28bURGflksJNXt/swMOWknMtv/6eKqX 3T9CVKP4iS67WS0vb7cjWrOxcakTjyQaGiYxhnzOPDR4ttAZ8nlboNzkqeoWliqnzVB/umPGoR5E OtDTvkwRdX+t6if0j0er1jcbDUCY05tP1/PQiIwi+SAZlrqC0WKh1o3nKmCxEwkbUgturnlDa70S DChvMG2EvWv/Se3PoMK/WVcC0My+GCbonLDJqhzmbm11kEZfEEQT1Pg5iFCZ0S/Pm9ueFtaYGxjz /a/ALyd7RggY4evs/BSuZ4l9qI95t8jP14Jx1ABOdEXerb68KHhVlge21iROzH695NgLJiRkzBhS gUmAXMnr+njFVYOu6sV11zMio4wgv1kRJO+/pSH1vkXUN01snSGq+8iqgtZoR/kxFI4f5zcLmWhT WSA4bxQzsvBe2Vntqo5Jlc8kyROryOb7wRs2ljO6n76MwXIcYWwlOEzhvBZ96daP0T0P0fkoLUdt kBxDcN8eZHn/g/DybwUXrx5FI9k//QJJf4rr7CNAa0uMXvCZHnZfLRhSdg4u8KOv7WKfsIJtbcBQ CEt+tracvuOZYFPckJ+9zYFp1jq6ncGkMql7mnInhV1jigeimTRguqFScJZwRu89TP4W8rDBj6nZ sCursDCa1f5D3Jq/mSTyCq4Lq6xRgn8s24tUe8Fp9VdObrReGAXO08QQrDRo2pJh7GmkMoWF1Tzg bhYJN6zyu5Syfk6F8geLNs0CHaa1s9IP3uuswp9TeKVlurKcuGLEJqvg8a4/20cCqhwh74iU22sk ISdnB9vi4ZB499M0b/NFvjH7Uu3yLTpVllsAhiZMVOK11iC6vQ224cogkxgt1fzjLPMClYWFmhN4 /IwTeHQTkPfPSfPqpO+q3XMf3K5tVLcegfhQFVsy67kTRmfhbeb4PIPkMCFyPG17SxnBjGrTSOnV TCw/Z1uuyV8fxcy5K48jJQamlNVuDGHHCY97l0Cmh3hSus4RRKejV7y3Nlo4/m0sZ0NXkuOeJ8IH OHeDYaYkzk4WNU/uecWJjS6LVBqsLeh1MyzIXVBkUqxYIdzkHYvnvwE+gW/j3c71v9EX8oQsIG9w cwceHp66v62ZCIInKteGQiEVxLLTWmSRH03yt+VFWFJ+HEFl8/2YbsO2a3b2vORpMwTpWj/ENxB/ Rn7TRfvUwkutLh3mL0+DL+QeGMjyd7bOWU7wfpwWOx0QqYg1tledgKVbTjouciFAwJL/C0e/tFd1 R0vROmr+CJahDGVL1eks5XWlHwoahA1tcKLi/IVRRYEWu2d6IOfX9U1ozaILsX8hdZKwcgiMiVII J4/AK+SAb6UE9VRfgmkQL0AXzgUzqnKl8tNjqerMMc3fYTs6lZNmrYKNJaeeR/+p5zlNNRzkvsOH QwDuUp901zdLaDcOnBfTQoCOQi2vdgTxHiPJalX74cTDKtNpBsG5Xvhbnf5LzNsQ6hzrfZYNuyTD 4lmil2Xx9+Az/61mBakyfvvKI3l+vC5ENKJLytaSAhJYpo8xbd38D63KzWaiXz0MWeJoejFuEv2P x+eMNBWn16LauPmocEHrFNdgILnaukA+OnIOF1RNgzVWmkQQQgUNYPduCjSqGiOto1q2+ePuc76N e9Ov+c2aMDCpp8fgNwjLfKC23XpZNYtETbjct4DOfnXCAH7sXiJe+i1T1QEBhsT/vPj0OuNCrlSy CGqLDtMTWolszjoOPL49+w4/nk1AiZNnurvy+eDGKLjwGADsuLKEIBwp+5vVktUWEt7PshAg2DA7 rdqKE/2f4Hi4cV/0dD0mzZYVLqL0ojBEj9675IOjwpcOJUlhAb5xK2VUZphdvBh+aASUmk5l76UB TSA3PNCpRmwPW1fx8b+2O0xfctD5saUnMvfW6zyVoJwpP8sPR1rXEjQ+N+hwNhi1tad3j2sHMcpI j0JPTOVtPSAEqHuX7wPVRJyyVPaOUPrX7r+iE0YxJrHSZf06UyOFDt9ZVyiKF3UfO2KheD3uFLWo Zl/tCQwwDuD8mcC/x8teOyvBGBzznTTfWPXAqdX2kVv8k/jqR2oHGRTdE0fovxGlxQ0NSmCbWm5n Rd0vdl0U4txHCyUjkJnlcbY9aIm1/vgfbtn1IIXk9WDOM599kFDzxBiBVOP6vcLeQsfkFQ4i8sRJ kiTBZC0W3BYnsYKpFVhjzwzytdMd8dF0rYxd8gBx/QsZuBBDDHCAVnFNMj+c1f/IfPHZpN6mkdtL RrzRj9j1VCB0iRDd2nF3HUIcYMDDOcHM9zHNdyATF46GG9c88jgx2u3nWUheqr3IXhhMvpGKeQze MuwLw6Haar5ComT+IBDtxkw0khKqph0YuoHAnPVhOs5noHqk8oTRu/atpy6YzQyy5BnL9E/3Fg8d D0wwbRMlieDCzDQMSxMdIEx0S3Ehy0pyPd9EihKnkdBbP475kl8dii4fOSvvfTDQDHAy2oqzs549 5vOl26gOBrgFyPv2l1vvMOIS4ZYfpPav7txW5OAGukf5JuiATvKK+l3GwJKOF3gOi3+OXIwpBqWC 8JBuoRQ1pNNZWh2veyOt+htZTMHijLJdrJWKsk+9NFxTH1xiF8mcUBMZhifoia0iFHsSa3XN8ZBw /r2bxgLPbvMg+VGJjMAv0fW3QzLgrmyIGfh+jBJQbWovfIIET0n6dXdtRMtWC4ls8MQmn1wzMYbi KvlL86VpduSTjesYGyEXrWx6cveLF/rYsEft8GxRucddKEjGW4D/4t6SreZsFRMmenNifQyc/WpZ 0ZDah6oBxOwRrOZkkYRAoh90yOkxUNUSfz5lDaY7ffy4+1jjbatet+AgYJIt8v29OlWXm/SgokHj LJu2XfSHSlHV3NsOr4lxvZVn33VnI/cFcPeLva8eUygxxZIrvFJRQt6zHgY0O0y4njgg3Bi/xKyz 2wFDQWnkTKvnyaBK6CX20n1cGTvgFG1CW47HIfuifQYx2Vs9ui8NjKbOuN/ViMrnEiQrkuD8RQW5 ex/3U1RWibyDtMHXjHCBT8u+BNVIptz4CaCe8GZn3nSWDuA0M0fiv2lUQwN5/Vlb0dDlRSszcVYQ 2e8FusqxYqmegFX6DrnGjed6qGPgDS++E/H/d6wlyaWoIy0w3IVTVCwfPRtKOwh2ccvTgRkvoIFy 8Xr6e1eNb1nFmbXUfJrBuHITbIirrEQZAml1UsnXSRFyPn6Xvj1br3uMsV2m8IBDMxxmG32jCZ21 RsQN3kQs7GF79t/05VguewXWEhUBJ7DQWEUw0+PY+v75J8fxwIXSXvmXT1ANNYXDjnyUI2M28IHL dOpUjnONv3DhUKNWNXa5cxk7/VMeK2KDEmnUZtQyar9cB+px6+iojL5X36v6prTDqRQUL4SVhgDb aZteTjGFgYeywv5cN+ZIUtxT/9WBtoZ5/cP2WFLGKsYdc2cxZsM26jsKybxHv2z/n+aQk0hwLTRO kcbVk/BAHpz/EAZ6TSX8VIUIBJjF39xolbfADw55j4m5cOoYHxkvOvY6K9luD6k9rlwXRwRBj0aa xbe31Jojqtgx80ZR5eqkCx0qFBUqI27kvawB2RSIuZCG/+r4c9VKi+Md1+ZVKShpfvDpHCYcvYlj PAj2l4Hkwnb86cpu4ABnkUD8GZjmChLByZB7eBMB/ZX2TvIh1m7jDhNumxa1wqZ8MaHQ36EKRHGp a/tW0oMsF5Intj5xHPzo+ybWpSyhjB3QEZDGNL/GWIi7un0JFM0KfkIMXzJ8bVRWGUfNEJjvNEVu sbQEHEeWewm35YneZqeoVn29XxQ/xShsa5t/HvMsUxLo9zLSoiQs6L87zramDmnCAWzCdoXym2MT KNf1bW0CfZC8q5tS/1QY6uAxkZB7euFYjkZuNx/IW2dnxMVUC9i8redpFq16sXP5FNhQtffjLi7U frONlQPlQqozMe/QG5cCvRV6HfwH466WC8zbkPrDhvu79gdqBFhXZ2SYP2CcQ941n4dZUGU3T6LZ hxlldcOWOQdavIjY4Oa+3YrOqFqf3c2+92U1P7kcOnuXcACONewHzdcnkfIhcJo87W6mQyHN9cZt vd7thyWRmfzSy4nR2HIWiDuVBn5Q4GnWQ59mMxzl7fBpExQ8J83VXiLTuG1ThQLzOxPgtEDv4Jyu g8xfPsNEznc8yMuSph88WKj9yaLHeL5pA4xvGJ3VfPu2B/t+4uUqvAeAKy1MjIHzdwlReVo+UYgX Ow0puYEiDPGiAK5/Px5ws+73d5ua8+qC71PqcD7bNyYR7Cd7RcUKQ6HMDEAlrHaWL5Srb9Z14ga6 LGIcK++fzPTM7b/M4bkL7yrJEfds80RWDgJPt7x/99Xrz+KlXeCWrejNUj2UUDfyio7zmK69ZNmK CocGzBwxFhUXYkg+VLeVKBMVs6G0I2IkNDFJs7/gsFb9dofb17htnbhgPJre1dhwlmDG0XEcg0Kz 0RCGYZ8+zyJGUaoiTxypH0RnDnlpqr9xO9I830vxKHDMYKb0f253iRzVhcM8aNKbNnJeOeCplOc+ LVf4nAcKYKTwO2UV6fqS6WhQ1Qm5NMeoBto6wOY6v7/sff0RZ9O2I9h6GFqqf/mmpuL9KqnpWtsQ lSvdeOKgcF/zxuwYQJQXfNbIPs1VUe4mrSgtwCf2q0XkTiW/8TVKcf4E8lchicwUZF3u9O/s+KKn Jnk2XGmlpSeaqapFOUeqdhSzqJ61OiExu45fcpkZrRm04+XEjOqUIhqoEQt9WismiZYkfkF9OSsW j+C5LG5cVexA4DVs2PvmgJayStu31cFtZzNNhbDTl2UH8bxGkxIGwyqT6TaAqeVT7S+xi9A0tWE9 VXlJ9ixgnxVGxGL8FQNkzp3uL1Vant8nYGz7ZLFZI8AJGUyhfjGwatpPAfb0MTkWIHwDAgRBuGUG IwN1c5PCtXuNYwpnGEPeQ4wlaIWbBp4Y3EN/N8FOUTFsHHs7IvQ4MD7paRmNWf5o1rShLTQHWeGa SscDij9TBKTa521mGeTOw22lIG4JyvUbWPl3vkYyEUUNazisDVejB31WLxX/i4DZsaaEFPCWzaL6 nN5KbONgO2F3AQZb53MM1RzJjvrx82eqmqm3GvNKCHTo9nu1QBxyvVTq5wIjn1B14fLEvjxt/Hih xXgb+omwX7rS3zU3ylfDgACPCI2PfuqI/fN+7ZuBlxcU7SxQsKs6TjDOjNU0TeTbaLYOxCXTWvQQ E+gKFG92dSv17f6NQIERp71eAgGtwbShsmpbmXfBYUtBOCvi/1zuBWq6RYkXzflp/0JKSzQYdM55 mG1v+jLxFBCgfxRT1vsVOA0ubxBEs3SJbkvvd6+o2LEI+683X0GqPHLoB+BxVnhWrVfNpTnZMUJR aTjS0SBmteM4K8Tc6QVZMXTChAAOg/R4GdgtrXTTkPow/9sw6qnBDHqhgNMUzg2P8godS2BOe5nB wiANDdX9OViieOQsFZbS4C0AOPSj/DHoihtn5cfqAgfErrKlu3xnh3r3gQO9bn4YZROXCoyRhHvc Y4Y7Sr5lTs/mqDaxiQkNPYaTvys4+CHT62MziQg79gX1TptztWSdefKRCvqJzX923hzfzWzDSHxL CtUAKfJitsxlo0LyDCTfDjhmsB/o9j5BOC+YBOl1iCjY+SXtEm1I/K6IsWboTfbxaff3MBiSftDC 8PpXoGTH4LXr9OcfUiRgrkjMeRMTnuDEh4PsqSJ7VQv9cOxdHJolBraLV+PaXxz/HC9Xa2bn0o6r vcNsw5lonobD1ujKczT/WdEOp11moOnMaw8K9WxJmcvofxeKWRjnm/t60bmnwggdteDDDXRvXLyM DAzyM2BmJhfYw8/enKk/1til/evQlLE4S3mI9Dx/eVMhzL5+2rj8G/dLb4LElHe5ce2cFfrrfrb8 ll1A4px7rjwKy8G0zBhnXqV0ZuYMlOxYqoeNoaLaU0vrRXALhVjrxigmAhN11ECDVcetEiga4fwG aCghGegFwKuYPu+VQMOceq4kFAYMjNNl0YFUZM+yQ/+yPlPpBrKpGgX2a4n+5zgHIUrkWHyZheMU XOYEkgS+lHdLetZWuubNBWAR213tSWIl+im/1BwUyolCgbRcn1IgLLHDcJTCYyxCI1HWJrQ5Jq3O tnvWs/Srw8505IIYhObr/Eky8J/gFmI03aiif11Nm+9gGZPGHRhVY2UcecEC9Joea55jG+krXqLI j+lstwvBWXuViP/EWb6/98LulHENcB4ZQQEo2VFjyL98rqn0kkHePtqGjm5tJYwW4IHcOb8XdAVj 10DJT2jA3XMHsclDT0Tf4M/Kb0ONxYVp3P0yfFOJSGSKPnOMARpgJzb4x2oDzwSf9z9oLJ1OHubZ voSLS3yQX5D7MeTU3XA1NTnvgMv6ELIyDV6hCClnmimy0cVp+AcQi+S4UYEq16wA14JhuHvuQTJJ o/h7fHpG/wK/0W17wRdKtacPxfWVON9UTwmo8GY0Kki0Efv4pKjkiwHCQfEKse1SKMhrZJbGVDZ5 YXGn/okh/Y3pxgY2BYvXt9SbFA77DPnDErEU+xCrgX7va+VHBXigX0N/3Aom6aBXEkRpQL8DJjTn rWmgUyjGk6buP4vPnOCznhJMlO3jNklDXP6GZ6tNdtVxUDsdv9o4aV9GFWfUZxi0/MnOwY7DEZy4 aGnlETZ1IJnXyY6z+uaOiTwo5CyoeK4eDiJsCH/LT8dZQwGCJHg1qzaD9wF47GFLMgAsdzcZ1ZIT DtpViEgFhKeMmfr1pplaCXv4t+vIU72yJ9jbRusf2o8h9fyIVBQUCaC5RIHZxk/mqWOI0k9ZzOwb akznnQHn1+/1FOywqBCVd5RyhoM0lK+L+C1rtyJIheQ51hL2P7uZ0c8F9phiKa3uwG4cHwiUZGYr JWFZULGHavA/SRJBmxT8j7eE/Bd9Yss/W8e9znI/Sy2qdGQRoNHoYtMXLtbdNyXG/TIMJEzLBj2S L6jRf+QXveqxwFOUdYf7yLfLbGD3s7aqcYEdX8BZtlvLePhoVtAQA0D314iuIRUgn2VBASK91LP/ /ONGf3BUkSVdqUvtlejoaE0UUamvp81e/myw9lxLDoagF8R+gJ5fbT+yU65nXXnPdiq4TQNcNn8X G3ar0T3yAl5Lm25qe8nubXnL5nDlZ8PZ+J1xW3Oau2mUepcJxHRcmfwZDYp4+MQE4weopOfrrxp0 nNex2eKBSx11FCrSnPFKULZFbVfu6fTXA2eGFafJIYZhqLuNijmT7sw6ZqtSpB5CFKnVdS/3bMK4 a+wF2mWMaC0jYdXVLBRLbH8tUomfh6vGhSxzf6NpyItN0CWgLrSDuIBO0yKzMhtlDKnPKVqYWaAs bsgYjd9do6oBeGcBht/zuZPo7KXBlDMms+UB1+itd12WXJFsFwv/yk8IHkHAvc9b6qMgIi/Ur7pD ZzgOxpDH9fNOA/Y0E+4dRw+E84H6jQJP/PhK38S7CXQDNM+mvnCK37h6mbmP2ndrGltjYv4486MT AJBMPHHGTQIQcxgK+btUs3705eghK/kEVHRm3YdBVDO1lKQVY7fGsjvD0ErfdtIyumlJUZvfwiSa jz3gGo2rnvw0umuvk209FAQTKesB/Dd79pI6K9vCPFzhLZ2lek7k3rJIfPMnGoIrQZpBSakLSC75 sIPbyRQd7FrLecMgL47L9TOfiXocISl0zSGmH7DFj2SjSiqZS024u+8YOpiRhtu6FC0Ka6DJI9gB TYCCt9jNJw7yndZjjr2+QSnXVATcyNMMHXBPQq2zDRrwKKuPawPYN4diAF03umjy5P7kwatUEM6B gSySJ6/hrxFTggXqKmOl6pOmMz/wtHNeH8CBlU5yQTkvgsnjU8KkNMX685kr6Cb1ke5d5acx4JUl 562sjATqjlmDFzyIOUCCaZj9IhgzqyrkqHHLeJj9b69U4Srs68TGUnh8tE0g2ntQWeDe28WP2WJu fLSeTvd9pNYvOHj2cjm8r5a8YzOFn+TpgJd5izdbTF04bOoz0Mxc9A3fORFdKiELf/YWH6ZZo/51 PHQrEjhksRLryjz5w3yjE8epT30afqqCgebobWbfDy6jIyKIm9cxrtBaid1ioXamM7idmYRH1mzB k8E3/gL2ThiCONbv5rhSqvdEDv7N3/yOGn1HtiSxwYCxhMulm3paslFUKcGjZ3Wtr33Tou3A1YMy kwwLqaXiTyHrW0JVpbvOG+klpP9Dl5jeUotnTSpjrzHZFmEcSGFJK+iKMD6CwEH/5mD/BqevD9Ji 0F0glI6tIG+DXiPlQDp9O8Z4DliTWyKYHe/4L6rbkWozj/D/YG395fAwLU2LV0m3Ld5Z2vm1hR8j dd+8YxhTZGmrmJRBQIKuZjO6wyzE7YwDjxrhZiPCfiO1CpFHTWQJyfHS/1W5PcofIj8fMs4a/sbb We5wZUiPt56a7Q+AigarTXZA6TNENV//8sSSmrqlaoH5ZOqYvqJdDbOX6OaB7cso9QyFJN9Yl+tQ BMYFSJA3+7Lbt1IxWpP4AURvUgR6ltWi5EkSQXcTQ/bdxgVozsi4IGiDQiHeT0Y4WP1GRg1Iy2o2 E7GTWHCn6x0EXW3CjBNBP+ec1sKrRH9W/sgvezeINQhNaELnTCiFw8d608n3SLTjcxhEFh3fMMWG F8MUFrTTrml6x7EKO8KTd4YorObi5R3MciAv/08HxbONzKu+U/1/ejjxR6lpoFLsg3TuPxteb2nN pUH+LfD5ncrCbu/o0MOSYFdyOmAk8eQlTtcPH+tYaxrGQZUFScyVLq32KTagvGvPRo37+NfRfHxE iw746sIzpHoxX6/LVx2p7aqQG5rgGp0VX1+YSwxcaIzGaO1UkIhbvhe4tel5WrYwkhxWb2QV85Gw dguf/xW0AZvvaxFAs2m0UcXap25hHF10LZ3s5Kw4Hm6+JG8r3A7xYdVmzQHHNe4iXD0c8ZWXRF23 QP+5IKtSWJp5Kt/O6r5Aezy4KOdheuw6TwMxsgRo81OFgztG0ehWy5bha0+pvCyxqd62qGtCldCP ubdNL4S46VQ/ytNPoLo+vFr00yH7JFAFwtEPrqfDcDD9U7jynpkXXYj5dx4mWZbYYF8LCyaMaRaJ eODKcoB9BCCeZ0p1sTmRY7C1XM9xaZ8Ijc8gZrBOdchNu0YSpXdsukp9tffiGM4xlHR8ZIwUnyZe ECHdMlbBoftfTJyvHJ+Rm8SXbD98bAeHr4vWOf58zNsRBLiQmU+OlFWo6zAm1vgy+nQY3ZCBS2eF eGFiTfxjbRkSAN1hy3ka+Lv2gj4jr04TiXIC8wM6MGSqbg89FcjZXsyUCwGqY+Bzudnq2+FTBS8m Zoer1FxIJ6aStatBGCEqLoKcBSEpdiz9FO1H/MxRNEDAYAUBUbDXW+0Jm+/UzIuRjhdfpTNjMzRi eXq8evFGKMs1Nn6wz9eQoYQSabZ7rucmsS2sJ8TOg/f9jnak319AITDymNi/zFN+LsyLEspSuNJ9 2XRKipFFXWFd1QYe5U50BMHd2h5UuTMXtDBu58P2KC7t4Z5/QJttPMQGAsHSm+YHp0FmOAU3nyHQ dQ8gFGvIrL259vYrrzfYC/lhG8QKArcry9a72Rb9EY1gUGxgkywKJUtIMjDtQGhDPoIMDa6ukFMR jXD1kRsBRWTzZlmPkWBRxVy9azOxlmhfpUNA7T1E/maShEYBWQlhCdUn0Lz12dMCCgUdDJe/5K2C WubfQhDSkcajhVytULMZQmr6YfYuTIjfpAa5DaiIl0RjFbCllNFyieRFzfUSX6zT4qhnB3BjA9jv Pdv/TeZhuGeDvhSoHDd758aRSEevAQXTSNyTgILgD8UYEFDDQF+uMmrXM/cvtgxUT8byg7rnWbUU jVu6wU7Du5GlABggdPqeuGs8uu/m1A4cqXivjE/IcSaccJPhRof6DMhTT3eeT66bn6LQnI7wGF4y wzBLSv4GsoCFVnTVdmnPrySNB4FpkvZJYTtaNMs0eUyY0aUDieO8F4BsQsO4p3jsGUQOVLEGj8Hb 5+NOavUXVIAB7NNTcYt6Ox5QfG3TM+jMMDBd3ZgLZRMpDEj/Gc+X5t7nFe7pftfv1pnXjQAgrEZU hcsAbD8kWkgaXRXdM2n0pwSDn1QCa+c2Fr9hJQhG2TsCl7mFh+hyk3Gqo73gZwkTHxNR83fIBEwN ZzldzzYOrbu2FFdALOyBlHSgtnPMYg2fbLXKbZu4FLvx8prFPQnLh/nLM1tH1bmaHsFkrn0B8duR csh1xaZUGuOjFsw5jVs1kDsI6WpMqzO0LUk7sFEaq3KViisIn4fJ9F1tsUdWDzShktJaEnTJ/CMX csBAz9eyymvMuuw7SBn2E/pxR+x+RD3fOOTe4QgtETZUxTpJvm5rQyzTGD+jQzJnn1djGnw8m+7h hN5vGWiiR+ejaMH2oTk4c9SaSWYi44lWZHVAWu0qL0MaPND4lMtAj1/XhrqfeIn4KYI9o+jSw+vD ad+cEpcZcs3G0OsES/NgN7obh74x8XrPj08k3msOlJre+44lKqO74CSZiZLEiT7zFKLt7yIzW0xd jBqwPSYD/P8i5+kjfpgn4dIeinBjwMpT8fY9mOX/i0E7jUkN2xsSumRDF6vr6T+CBhLS3nG+DcPF SHvxPy+m+VroBsAIJSPKkrp1gQ2Igvclrv6PgZP5wtWaFukhjAd1cPx6ApRPXR6O0Eg98eCueMZN c5+Dh3iTQGehnVYpeSadGHpbS+uEsmjPSqMnvSHkh/SQr3XkdjKEbYvuGMK1K0JfCQtpiLqXURjJ 6IWuwZW8iAXAlJP4My0nxtAy+yiF51nxqvo1AcBOJrhBypdezmVC+CboALJNyD05y6MDYAxe4VIM MbUiOlB2diLGZ/OGoPpVBwoDqdpZZr2eon6llbpwLACg74sRxt0w5n5yxEP6+oV7ET9U1mIRGUBz mS2cdTsAioaavJz4v57gDgCK7/7NXUcqkYDiaKZi9VpKzY8ntWRUDK0jUTmvA8SlMavhv+Ub0Bij mNegv76b8mqFzE6JhfDHY+bvzkj7FN0C0QEtqZRFqnpjWP/4byPqvF7WwPrYNo+oe4o7N5+3whO5 vaKWh7vp8/af6u/0OquOkKRwHReVz7Myx/u28eCC0NcXZrKeuu8fJ9rXG3das9PqFHN1kEX0UWS5 1vQRIQC/fZ5gTfUfIL4e5Jikbsz/3FFMRxkgCevTVvCfnHC6YQFjLno2L8iPjqfax0DB8qxtXU9K cfpkcI+P9B6iJbnBokudH3Ccze6jjXHG2BR8HvjR7+vMAmLfHBXpYDnVDWYL3hiDTkflJfDhwmGw XT/S46iym5HsM1+pke2Vo2rajTj29RF3sTiNwUCSuBhuMRLfuH/vJt4naZTmLewqGO10j16hdj5v 31k4Z4Jh+tf5Yow3DWp+0Hpt5aWN5JRKb4XK4vXLY/1qNE1NhdSLnwSth83Fd751AXMChrbaKXfI KJ6nGhjciYG2wxnuVmDYVCVS0wvSHQhtEICikT+imyXIzdUNq5Hgg/AjZSX1lgtAgBMAc0L8h2xS jewSvSwLLDihibvchDaqbPuamOJbIX4Qhgr2YNZJM80CQnvA/LIB8dUThMYmUVMxqsyc5NeMK3nr bjpYToyHZAWN4WqAgUJZraqrDhePZAXtai03ZRI+tBg/sI4yTsGam34s+DLwmGLjckZcahZJr22E a3pZ0X/ao6faDeP16LYwl1noNxzQOjlFHCkTJ9xVFURQbhn2cH54IhLn7GyjxJsKVqVOuN+Uij6M B6GJ9qSACZR9ufZXLAmFahKlZX2+RVSBJAgSgh3KtzLbL9yiMKOj4CE9edE5cSgCYrXSpguo9ul7 Mee4k+a94s5HQtKu++o3b6gXR7jI3ibw6ynB94Y742KNcfJ/wJEfR/RIlOIo0wT1tNkMZVgAnKXJ JjbzLTGEocoReHOCTqocc2KUKry2eO9o9dh9Wx25tvaLHMar+deKMlBhdje+sOOkd+bMw65efdt3 PZfLMv6AaBTQh4UeWYs7xjNChafNk84u007hNzZQOoIzAMUA/bHljgD9ybg7HN8As3AtG2DcBDGg i2+WZ99DUDgnd4A7GDzALkzL4Q9IsJBZEdzx9GsCDy3GGRVbk4PDD951mPmzIgEyN91CutrU0Mkq QzZ8HHIbCw4yJcdFTvlUukMIAGH3K5DaI6V8HJarLrqSZRa65s4Oa7Du8jNNDnM3Cd8hicSiTWPI 717A7MwRmWCG//ufG0SXBD1Di9y/VTLe8YfcPhlMdvRhkKTeCouxXWtK6x0DqnRjjj6NPMYPOiAE oFD4LrBTIzStDgvaOSHRA4muAkLZ2cMAXfYxsTH8tFQ5dGaiKum5uNbi3X+n9Ur6ofXIWdDdNjjw hWM2Aa71RNKBWXrPMhbLUluoDv4zcN2l4M0nXL47UyUz+rJk/GbMVmH5n1gcvbL1/NyCrsl7ww7r k7316Cmz7Q/GXb7IuBfvnhwR5yeMk53R6l9GBunm7HGl3k9l5+9uCRAwNq8XPHw2L+HHieZS5CH2 t/F3IGa5dUgMd0hgRwcFrUqDQLwz92tSRBAcrkizBlOLObRWzUzVLVphL1v3EnCFZTgM+9PvXAmY 1pGBSzgrWbl352i24wT8lpWmeQ/aqjCrzZVFSQkO0yhKoN2VsuvnFsoEqxiSlxv7u4viJBJ4z+Ib ErPVQozIBUlhQZMVhu6nfVkWOxXqS1SizvWsmzA+LqIh0MFC4jCYgZPixRcaNfN9nArVsiPZ0pyw +Ckd6XwJu9aCofzrbeSNLiBJlHLmC9YfnG11xeCggtdTllv5I1/QcmC73ZmqfMCc/hlMP23o0PTi Lkmmj7l36YopKjzYtWu4g/4vq3EEDVbSUR04tIKVWEBmS6rkW375UZO60rNKzC8mBHwI2Nwkkgxx IJ3BBGEkMWpYgEW213pNfcJdolMmKngp1o8SKST2pSrYiXOJ2UQpAL/iHfYhr3ocSpP3kaQ2OQEf Mf7MO8BY582FRzLWLvE1aVugvhw5AjGaoFCNqx30dkEcrPMq13cL28JkA6E8vPf7QwW2C6ADVaLp WCHIAHcEEaSdODFjJ4pvMcM+lLxbeQSxk/llS2OLyIvwyhjlIHylDrJRVyWu1zWeD7+nrf1n95gw 7t5oVk4rYdhx0Q9REtiMmAb2KNvrjK/TJxT3xmjKpdKUGsE5IrR5wQzXglvYXKNRTA3cmzUCk9FE aDHkbsmpjjXxse3Nl2Y2FpMuH2sl+R+o48hajZJ2ybZGufITd1oryHFAnljeBSPycNInb+WEA2AL 3YP1Nv3bpklwZYAipLTf5XA8BP+3YLSBxnWFFfHVyNcFWGZNJYYQnHOMMdyZsyrBHb8fOj4ByX++ xGZ4/S8cKp8qZd70UE/cneCGoajWdEE1EbgDjfpmSd0ochdvs3SbBlXooe86Sae/+O1XIRVEqU3U xap7xQ+dCiYDjf2MndXeB18O/kspLq3z94nIumlDx7D2nJmG/gNxu8di2N8y0h4T4SsqM650FFBl 2nD2oSConhxOoUHxqw23UsMkZTaes/zhFMhKTU0oFJ/we/2zqAgP0NIkrdli4nBBPClf5dOIVaxW y4KblYhgklMUEfbTiJN8/o3Wtrx7o1FMah+CMpsEynKrKqfLb4NH4FKRK/9uLLzyiWzHO5GZO5EU pUru3Spj0BaVaLfDpjw5/rJoeDb+3sL3MzRzZuDq0weEhM7QzO862Dh0Luh9+Pz4FS7mqd70fIwF UuLLXHaZecsmjTemPAsgAy/Wu2Z3xYuQ5QvS15y2Zo7sz27+ASCxCk9FbAZrLtiPvsuoP/dQsip9 uKFchg5HrYfJuFry61bi/GnmNbC1V6U4ruZ+jMCzvdLgM5BXk1DHXKyZgkkeHKPitlUsP0pC2FV5 qjq0S6naB3j7Sh+pPMh5wTwi97bYzI6dW+0L2QOGPVF3UPb+MiNWWGtbAMF4qDyJx1E+CYEqvnve uhUOdCXWqE0fpl4i6uSp8YpyeuEsayf18RzxYJ7gn387vrDthnD539TodfKkZiDfIsTeXsURABBW Tanp2eSs/qZy2gN2l6xDJMGwKRPzkYD5swiS141wrKsk/7J8/lVa8VkKl4pgCJzECRW86m6vvn4G tGL+M9dsEavDbU76DLeqg/CoGjNk1lTo1txRQmOrxooQeKvlRibYs6oT4Q6oE8B/jQV333TvTJd7 c9AGNBr8fLuuy2wpEV3xdJmLq50sOXIL2j/1JqYIvQhrjQH2Qa9fA0uU4iwnjrMlIkdsVRIbe4t3 HykrKHFteOajb3tf/E1t45T7carKdmEFwOZ3RjEzBiiID0Qd/FUwBHRlixUxgfjfzYyULuAPcJOj UqkDUjwsbf/EKY23m9EEfvgnGDZa8rV0PvCKFELkC3FmydaMrF9cXOiS78M9lGFymhr8BcEm3eC0 yzSy0VZ/8Uo6xEqQX0X73Xip6xkuAsUAmS8qL45aOPEmAhEF+uGpEYUG09IZZdVF0WAiBdcYk87K Si1slAjQ+W4gAfRVnJ1KfvUk7nLUr57J+i+//qoda6/0jn20C9T9BpU8WBdxHqVMXhOl7H/2c05W PbxKi9gTXWIlo8bFwU7cShD/6PtJDcb3qfdIbT/+ncJqWNgvpUTkjmt7hL5cv2KCWePme0OmaIr0 xfjUyoYfyevy2Dpdy5Y2c5uobhiX6GFcpVdqRV3rKE2mRdVNlxz4cstWtvitZcGLpW6N3wOHlpmP FYJR6uNXIFZ2ld+2zMFbKaEwAzv1lmUnhm3WUSahysyrohgs6Z4JK9zKhUnsd8Zv4SP6hq8nc1BG aWWCArFol/nY5mgt2FFEkMxPBPqg5U0iVaScACeGp7YL/h+v3S6hhbJCjwP4wuVYtWKmgZu4zLdG gIVgj2WQSZPgTKyHJ0aR24rnVLPZJ44hHH2xFpfKpY4/yJZw+geXJ8g12tO20yZb6wcnb6sj1Gxf 5vEgQo3hzD0SPQVDswpZDOTFZEkMRK4dWYlC5AEQvP96Cizddqi2ttg589lCj5IO3nVVVciVWI3S 6hGIXmJIOHGzmsHOuZNrDs+KHz308MOhKRACnIBYg+WR70wn3LrzBNXNcCoi8dpXDmfwCvbT/34y ys8YoyCUDkzKQMpQOS3uQaVtSSkt+HaWGobMLsnrYwT64TUJv94A9Mo9mTDmSw3X6cBfXZWRYVBI 0C17DjCAXuB8m3eZ11uUAOH2Oan3Q8lcdxsL0Z61lacW8xO+qD9A8TwvXAl7I18bVYnBGksISudq wJNNb91iR0BPTxcUyMvrJxpt7ZjRAc1QevUxW92OymIbBSpGuu7negVIYWRrr+Kezx2bDugWHH3n EJZZqYFpWBVL9U9quOZY40f7Jkk6u4pNO/0gVyavZ5s1aECd2MkowiHFlDhpnVjLFZS82wtc+nKt KCHxn/+//HS+fEsRQ/braG3vykzJVnMh3UdvKhfXjr3ZawrfBwWKgbpQ7jt3UQMgLo2D7t+57zBP UOr/3QG2jgmw7JsT4rvtIDt8ZrMFBDYMDdou43rjYdbBnyG1i2hMv7N0Kmh4K/sz8dp9Mg50hMAg rlAMSLuYCWef/iUidUA4Jr4mK+mzlsbzyNx9IJd6x8bdDib7zb5VQLHUrnvOVxWGctEaotVjrlaQ o5ilJW3GIn0AODC2rgWFIV+VwltVcfrSiNA34ZvD+/v9UFACDzXTdJ30X4pgfViZJf7qqGGKgLN3 eAJ922A1SUEQNg/4zC5lx+4RjP3eG9+AZK67Eyi/FyBQUMlOQutzYfzUikNVdUUBTcQtH36TkrqE ETx7EMn09UN3HB7YfOnNoc/t4x9Xwratvh4aTPPweO0OHNFLvDI+6/MIbZaraYTz4GIzmakhT2II 6RX9+htARTLP7NQFm4XSp8ve4xutUK23fxZQOfo//kE6/J/2tTyTtSBvthaapINNPkHJkOqb2WTg JBSMymqP9CNdtSW8oocNEpuI77G0Cip9sUxct3jM8KC1C907dBui1tWe1+gaJR5R02P4WlkKUHc6 BA/oNTK1kRk8Lili0mqgcmD0lOR0C6ymCSu0HRo8g35XLz6csBmDNHsY6kHSL2t/jbIyKpoDXz+O WCS3OCbRgLQhxfeH6BS/3bIQIJpgDXsXjvce/dLTIBiZwCu/Whhmmmfl9qTA8OqcTUp15ALofsg0 i/mv4jsq9qYcXHaf2eZS8Zun9yIIxkZcMJ6dNla1/5mt4LXhWmXMtFIoap+a59iH+jpGaxDKDD1J A+rD42n0fMI2tJsWGYpiNqrDKJjt0lWKB9GqEMhmeujn0MXa1UByisXuw7s6Iqw3SRvnKY4agTyK B1IJsDY1yBuO3WPbra9KWWZk1bRqSywqu/Zdjx11ETCiV9kUO0fz305PMxFQxB1NFNA2S+dRCPR0 cYOemuutLH/rBlXrkx09+KiMA3+3il0VoA9EPaHPCqPWO03SkvcO3WB+ftrGAT2pymxpiqJ0LEPz km5dgfhnI2A8xKgMxe++UDBnEyAF/SdjML2auwXgWCIeeGTLnIaPOjYSwVfrdR3nbg4Mm4lzOFsR OrCgLRgmAGRzb4S7rydwBtCEUEMAqr3tiCrkW0QSfFxrC7rJmLM7VFXeVqQOsw4QlUAi1ScG54xg 3dJqkPJMnwQuL1tfBGUgSmlFwImFLtMgUCW5xV5wcQNYBjIVsBTb2oo6GoUpUgpBOl7QL6napfPt yduSpM8pBffFDwe5xxczEzFUKrFUBnQMjTdju53HfmvpNdiHvOBKDCSR4VoZk6BEY5fcSXePxX4c ZNALXb/KRrieUJmr5GeUVmfqnRfXoqaPElugHv1CJk5Oa88KTA+f20658CZ5Mlq11+7F7NNjZx6d kvPRioC3gOQfpVsN71yJWApl+PpLuocpSD1UOv2EaT0qr16rmZepOQQNR2veVxjKZAzhBm960BTW BmwLAAXBHDRzKLvejG2/hN+fVyYTKjZZtnmw+BRmMSg83aQGqqDVxzDEZzW2SExMgPOL2AJmtklH JHEmizSXgWx6c06+uUCBeFBajRSbyv8wYe5nSwhxutHo48pVK9bCIcXsI45tut5uRXL1AzbdDz1Z UC+cA0Bi4L9+2+Lju1PV4HQ+KvykhzmWy6dfyXhcSQnHdA8tr4CNHKm89YkNPBS+M43zkbhtsMXJ jCdWLCDWFw1gvvt2A4lBCMURW8bU3R3UfTxg+7rD3rmNkZhSWwcLUK0AnIG7twVwHGJWYXA8iSgZ hDfUJ1/Uu+upE8QpknZ/YAkoXgK/wbFcCkNtPmQxais5EewnJzPsKxIxjNIzr+/c3sk2euvMwY7S SOCxjMqqCb1LcBrfYmcCOi+00n4J5z+gXD2SVELzuB58EDrcKxxjPXOqLQlklzszkqrcgt1Lco44 VW2tIKfcAoHZt1gcn4CJFeL8HFwDIOnOEiZGdTiKRJzNuigrZKRX9ffuXV5Vy0F+y/LaqO/H21Oo wCPLE/i97P2+3LTjfmvcZGQdn6SOtMY3eKD7T4eJGNNDfNdUkO5oEi3wJwdUEVj9dMBgWY26BgqV 7lvYuRy0TOxF+vCBVN/3xZ0Ux6MQ9TW7X0owQ8br7WuDa/odUgCQmaZUuF8EKDQh+HzBJ95ZTotI T0YbUkDBlY4K9uspB9xXVSOvA5UGjhVieI8Kse8y3NTtrisqLXz+UM96KRSwgKU4beB0rydtQK9R ZfHLVCc7tP95fQ9hhKuAqxSZ8ZzHplMwioykCoZIfKX4yhGhU7QUO259PPZu2FWQT1kKyslbZVX4 /PvVJKqISWUbvhpuYy8hDBwix9NZXi044cXILbD0K0xSqa6zEWTgUdtqgzXOdQx+mTYtevQPGYNh hg/kCOYQccACOmO4h9GNCnxxXGVUHZJPzBjjBMxPuiJyEZ2aj6w3uLJMhbLqkAGRJyHceW/bbuUW Lal1UujaJnGplZWGtxWx30KKyyDX3lwloO7tAuWWV9/bhpoy2zP+0N1+wQhD+9rEGhhJRyhHNFdy AXWHWp/cvo58i9RAx8eB1FIgi89uzhaTEmMbVPSNIZxUehxhbuAslUUnu3/W7U8LzRZqxfn1QFZQ 72xAzG7Ezv3p9NMMwIMcWBOSsCn+htvG9inK6IsPti2d6r/ZU4JoW/hSg3TFZBd84thsFzXn24Pp rPMwvERoxMnUPqhfkadnJTS5NHDhDBHwM7+3OIM1Y3hsBC6d5E08WW4h+gavn5mp9Lv6PKpez6cR k2AIBzatp1MCSsXd5Sejp3+6DqTWdQhwEeYJeJL0VNBm2/jkjDar05UQDy/x2asXnMfweNylUzj0 RIZppUqK0hxCV7q1FFRkquvfXI9UhZnhi606CBL/FGulU7b6GrboNq+UIjz0lX6+VHfrle44VyEX X6rHo2Py7Iknh/ib/pP1Do0dBRgnXoanvx5txZu5WQ2nmVM52iUSIRT8Vc4ZOZkRfiKy3tho1DSr fRQS0HSJ8DpoFHQ1oW5eNTD5OcEw647U/2C7jJKiB1Yuyy4gwpHAxh8QGO06A6vo+4fPIznuQ5Yr JXyu+pM6O5v1gwayLdk5a808BZaQTqWN/iNXm7Y2uxgVg2a+o73MH5n6yHv8lawUpQYw5VhLHcSv USnDXesK/OpeJqedECC/+0ZIB8dJUf+r6b3aXPgFE6s539IuxElnnOJGXZqRjK8FyZwh2du6Yxkx C43RhZXUQBd2chkXRJn7L1swKWDMYHc7s9wZTMEKKeShbQKUXMwdqfrb2wG1x0gjbFvMsEBIhu8B uYr4qr9kZXrN2WeB3wQplDziVY7CGSRyTJ9nPlhUpuyrGTpKhrktfelGrZN2NhDAPlPKRrtZPUmc DqEQDXJDCj3RBdi5Aw0oTL4OB6Qyk/JYyBDbNCbSmEV1f0ApEevnHE6kdIm+GB18iBcm2WEFeNW4 L4P8maGhP6lA2UKTVsEC6R1KlsQ0k7lnfiSu9Fdzzt1v5Ng1miVUbnU50/PHG/1gM+zXUAPuzv1y RDDMjEsTC0Qhdspqj7N4fKVYtAawyFIG20XPRXsCq095VVRGkKVhBcsPhEAf7SJM9YyEivrjFJ63 vMuxbOUZLBGpQ/2+aje/mOkiwUwuaJF6iM3V+JmzG5GO/t7dGxidT6JvTXJxyw9GM/onaM0B+RZW IA0317NDNB3ckOXC6MUnWpPvcaHH7DCqgFwEIClwwmxFQRa9Fz4TQygRx2I9nEIfNAsgYDieiAu2 ka/rN5sn9OvqYRAVhtWgXUeViCtSMpKi6/ghEu+7TFWDvCJ1txHanFcEX678zA5NUlSGwmMuj1QM iEXRxZ1/mx66V1gG9PfCuXjVle3yJk2y47jzG1+wLHA6KRbW1giiFhUeS3R+Iw/fzPKEg6ZNPU/r RUZgqS1Qaxp5y+66eCOvnlERIojL+ExkcMLh7bXxzjH5BdYOOuYzLCPWmbjgkX/8r8cAsgwIl5Gm +uybmL/j8D/UUUpaDtgztYUXcwhFFCQ0B+ru3iffbAN2QRMObU0qAnhaZDj+zFf5ateQWKy6yY30 a+I1W19ZU6pa6q8pNToEa1+YZBFWEhw5XuZD0nRFOjkH2HllcOWhM+NI0O1AF7CXD3/3wKa6u3CR yvG2mcdEFRuuPyIgi+F+FpKt8JozOZAVYwLd8izMUpV4Iv6Wu3IcGOPxGDDsNDKE6pWQv4atCezh 46kZJrXCglxBHLrQzAIgg9bZl4V+6jTN4lZaGVZH4a8iC0fuEgT8iTxMc1qXx3Qzc7oO/gYmBdQd AmAhMusTgKwqrDdvnHLZh99mQgqW+A1iUmLDMIhZTRxl//kFttnbim9iJwj3qs8g4n8HE04kuSDl cRPoLsVbpQUagBL8MAbogAlXkK5Yoo6dwz4m3tnVmagRnH9Q3NaU9iNpJyYQOMgNHIJI8nKhbLBC sJZ+qJoERV9KOMAnq6U++Nqt3Ngn41Rb3u3J5IFchIqNpnk6rzf7mhlFEyFErp2CmvthwF/YCsnb Gy+aIjCNJ7BXQ37UXdYwjm2XNDUU9PtU5E0rgpuXx5ikVDnUHS2/p4F7u/yuHFZaADMN+FuTfpZt Ie0E7Htu/9GsWKAzC9rnUMBnUYhaPvNQmLScf2mndTFcExCIG8ZiJ7K8PAcqcBw0rlcQrWvt3O/J vfxXog/7XWiaShO8xIYOAZwFrc3hVQuI4XrxIfHlyqlqBHmY291ghtExHefQe0J/SsaAqd4O2kDg B2fWpvMJrwc46+ouyEoZapTDWp1aDUaB4UNlF7xbIWHc+gtPYVgw65SWLPwz2quPYgfsL6UaEAma MMINKNlXLT4FlyDbWqtTvmJdWTmZTzLIqgKqDAhWVNiI5AQD3s3sYeKd2pFThurxwPk8Bzp6pPun LXW33aAYGa8r+xaYRxLjVRNrwVsqYLA+6nATIsYU49fJfLd4E0Ku3p90wbgjVbRSu84yvgmtGbB9 YiNsRRQfyv/IeCxsNJQB8NpBggTYKD7yfb4i8F4IerLcmiD7z60JtB1Nvh72YZINXqx3vuMEla/J yHq9mnIx/BhcTHUuCB8Es2O+de0Iquka9m5lYYQcq6o83dTr6B4C+cB/wEKVhohL/bLIMo+ITzWA WfYvAgi16xpZFg93LQ3v5zB+39er/5pp7b5HevaU3DK+nM/kcYZKXeRaZR7wCmFJ+XfvKkTh4rTd vOArAXDi3HHQmvyuC+U/BQa0OFT1a3B3HZ3kw8oKMJkhxYUHpgslj1xo9fQJ5p+Ew+rQz+c1lLZd mLjI1qJCRBkvTf2sMNoZ8eFXQfcaSqq2WZUu1Tp7GNYuoNL7SvqHrae9WR3FgFwInEcwVPWa9OaX 9qx0t6Qk8B3tLp5UC7V20w3NoZ6HBdSkGgyez2hi7SHzoxV0u8LITziDFRK+JYGTvAaTZhrZuWfB a9wDNqmSZCbf6FCHQUr+H/o/UAdFvtR91B/LFQLV1MY4h6EEbowwuAfceyJRGJzuIC28nQg79eal 5ht2fqEVAiqLgChhqZRpbNqBgfr14uyHCwhq6p+lYNmgAAhH+57Nqsu2zR2dvgAGMHtPXxdr5+0Z a6ejIesDci8DRcBoianwf8+SOjOVhO1Jl+O5IGtffz0+18/+qc0iiP2nGdbEpvB0MxdLqd/WoQBS hzksVl6xArhX/4JiRCU02v4y94ViM/JlBTEr3KsHl/uOn0F7Y/9G6uxySbpMwarkzTu+7MZPbLzi erdyRSkuwMoniG/hwNGuKtgd3sBdBXmUgQmh34kxSn11U/lZfKrqq/N0EwrI493Zhjj/TQra0PmW e0OkGOj6tO8UH/AVlk2/xzwCbP4lO6pK4zcHq5YooEqL9RxSh+zXEPJAHe9Gurg+mriz2cNFNAx0 aCiZx0jptcHNdcuFeqfOrh2K+PmlNKMJY+ET8aGI2ZzpgCo7GQ7Us6Cg0xZsYyLwfqDnlJdYfpRO G74DIVu3aMMayNFaTDvyTsl/XEq+Ps/9g3HqiJarpwQMPPwD+/0eap/F+E1CDx8Jo+bURz7ffF0W YoCuoVi0URdUL8iSGQK/NuKcOMcBqyhUfYLx23zUfUv+T1JQPTeiQGs1xbWGb9tWYV6seZKtie+o EQ5ix3uEwE2ofn5xxYSCbLyBGKVU80DSogVsJqRn0vwD1WIIWiWUohjupO8pmuw4xddW1BWyYk3K yWOHbP++dREdBWgvAbev49C14chq+oObBPOxSrpdxJUaJYo6GFQ2+wo3DlcOHancQdpduqaHBiIH ZTjUl69JTGrF7ly7til+FiVZ57sNT/SdwXXovsLHHK5up/Y/hJTBPUNRmSDEaHbxHH1XAvGFbBMs OyYaVirz9FyiZsmjQWwuVY78HARqWr4rltbRfXnIhvsZ2oeXClNRK12ba6wdxG9gnvAvKP34QtvZ f7YyHk2AdPSUOHr+GVvWI/kJKmTnsA0UCzEJ0tWsqKl1m29syfAplH04YQircS5mumE18eiDeXWp ZG4pygZdCcj1owVYl23vAOIU3u4mjrzG0ktyFm9kGS5URkRKPGgviVMnarzuVPjDL8wIFjhdHsMl ojbn68EGnxeO3GoY6qjp/V0wawOA42SrGFrNjT0MnpxIqajGjX+TcEFDMo4YP5oLSRqI8d6oRcmQ oN9huOf6BP+/yyPeRlLgLBogJ1ztQmTaO6Oa2h5VAQ+5pBl+OE/R/ZwKKuGFLinYE+yn3YfoKUf3 /4vNQreap21EnGz93JSnzuQ7jEnL9OATx1CJg3/RjSknwzd2vA9XDXPbyDuGpSzRdSRSd3IsZeXi DZlTEiMAszQD5R/OodShnlE+JH0KiHCAgn1IQjXTF77+kwSFdxlHIA4nUHVwwO1UKayexEjl//E1 V+79p+muPVLdC0KLAieOhqzqyRWY9VnrsHe9ERgpwpaC0pDgmC1L6vCyHgzfq6Dj5VXWtXCIYIZ5 dT4wGpaCUPrrBNnee50LDvKfshQYBlW/f/65WXlFdA/ErxjtYy2xcJqmkw59jPRNI6KILCjPb7wz KoAQc5U3ECTF91NEdmDU1bkq3fY07/xyWmowhUteWbUMqXHp4z/JlFtCT/GlAPk176OQl4+ED3E/ AuPkm81enzw6xsj0aJYSxY8wL8Nh7fiWgGCEc4kNAkaydTo6r5pSAULKuQZjwhD5vjNI/vfJB4HB kTCzpZ01Z7s7pZwf/XR95/TxQziBuLB2uSS2z+iGtoGdsqot4b94DHS2M5mu9VOeTRty3R9npeBV jW9pNI0O8dIRqF+vh3EaZaonBpI7DR0zC0f40AC3Vz6fmq7CBoRUv9ApdpTtUaBsGZI/Q1LJMVa0 eUXPKBYUffVNmWtvIb4iJBtfkbL5MwqUoTbtZ0kvgVmG+LHx12zGb3MhIxzZ0XVTCUT34/uhse+s lWVHNUjoeohXJ3jHxwhFC+9WO+x8fpURTAK2Jbhur5LImuydjjKZGtWJyCxW59yaNZ65eKXTHDKV IRUvXr8jKR8ZRj/Qn8m8RzpMnLvZTfkqKkwEPh/tzNBMVec4EFuYTEyXFkcTmMsdKNsTtoj1sgL8 W3p4wsb4ftByR0n2O7OqNeMrGh7Vt5sSnFgugTa2IuoNs60lCdyM61raA+YCUmx9wESFQstwJ07Y D2QpBDYNAHwoGhOiaCPoiuUvVq2aDDAB8erq/jLJaS5WZ3PYDEL8fGlwG+gnlvBS5VVPUzeQXv2F 0nDNbpuDxE3rSwF4hQzHJ418kxFUIwbQwOOOyqLHfhxJvQj/uQilGwGF4QJmugNOFPfeAzETYcOs bqy22i3QMpu3d/aOemGYy7Et7dOSrDThCbb8vD5dfxbXeHC/RrFF0gPk9FDdT9ype9AS2dkyc4A6 1VGlMUuLZr5zkuYFtfg6P7txF5n+MAD0AQTnLP19B8mtS2TACOHro8KBE3AtkIslVExOkhXvdVmL bh/VR+CIRS8qT6vql3uT+kGvqaVH1Sed9geatweBVW3f7/QtmyXs3/5wv3rFcQtk/aV6RIWPCzPk LmwOgH2jlWEW9DGt3qFN61DLFOzuTh2MgJA8nAQUVUr5tZyZ4C3P1mnobbnnk0/AGr48PZxcDLZo T71zaXuIHThoeEMJjjK8MD3aIyMp4NdCycPAgVx1q8iKQnXjADaPmkWtoOKR9RSE3qY5np7PE9kv Ha/M3KNsF8wydwRJeFj0wImkRLQsirSdRxpptj5PHskVXC2+g0Y175YeUyudsyFAplZdMaE2uawP Ki8dy9zeOG/QLU5l9/33xqvDjnxDW/zX/lmnHM8dd7i6JyQH+h6GCrEkNaIQ75umvH8Dqx4+FHCn Dax1Iaxll0Rj571Gw23ktB6/Dy1GWEAg44oypkdb9W5kneQJyHKqKy9E6hSmZaX9Cag0P0lwoeC+ lSvBw6uJLW857AEQiZ3IgAAQyTWb6KDwlLbgDVQGcV1v+b1wZoGP9B+1otAM3PU9O5TCXXjUrNXc OyOg5sYYPE2DGJr3q3gEHaBMWcRofnARHO91JXZ79uUwbdtL892JCCWB7tU1VcsVqn17KA5bFG7O VCPvaUYlJ5qN1G82vtNpP1gCbQkpISr9xZyAjM+UJE+zQ823ybGI1iqDHAxPX8O9Go5QRpRQgXYk AZI2xErrvoXygwjFMq12o/zPnyifLeSiP4bylh0v/B4BxufMfZkhkXnw64OTP2U1EUvm1AZi/dVp KJ//ZUHve58Z7kYNwyTiNCLl3V9oI04NAflZburonDk27gIXwE5SUEKK0CGOWvVW5bz9j2VD1G+K hheHw0/hLmPibpzqUmqcxIELg3uhlIE7dTskEQA0qX3UNl/kD88kDcg4PUEheYmA5w+jq79kHmDS G4iUnwCG2fxATnC/Fm7lKu5+xKDVNR2/I3R5PS4AV9TstyjMAgnzezLAM67T4vkcAa2SmsOKeWhl cR1GWANPkGWbN8h9F5SpPvnB/ABtxy4UDtr7AiovffCCHgtV8UhRXkx+SvRzU3kdUsu8nCbQkini +brLzUcaY3ywThq1U4ZhOzE14B7m04xiD8CTM9dyb+fnrcehR04f2fORo5c/5v20qCMZNSeFn2Pu D6/uZEiL/sn8fBLH814JCU/+U8kwwG0X4YX7QgZWeK3XYnJ+QEgId9cdW4I2onMrA+kouvoKhrHN GKUjNEdBVgCe88t6oOPw/f4Iygq89Za6huoA3rIPtrEJ1dq039a+GZNB5/d2eoEp5k+VyyKD7/ml QNGYekmSGYXdK5zUwEif9M8cEMOx4XCb8tVjm4TwOd+OLwHR+SnQp3qQkNf3L3pZNQ47vlkbFwtb +Wmi00+tUojmH1csblf7v31yoltgu75rAk69zJ08c3LxIQd/uiSw7F05gtDcMcgtweyHwiFg7MUj TgPeq9D3bEIT+5Y/Jqh+58jGjs7sP4C9uKx3s9IeRhBl6UJwBoW2KtvOCcBwofsrFrxEPwdsnt1o mq49QmqArWTeYPP24MhAdPqOfPpCtbMZaccAk4fJSoAn2s7hXryYOfySKH/R/dnsp4bhshYJLPnV a3q8hb9uTEXlGzCsFzZMxjYCZwJxsnsgp0IGiahGEEw5HBjsF03D0FmNcxrDt2RwSMCEBvF9TlL8 f0oK1MK9/fuBMmBH4TEZc74G1UyqLlEskLKJJE5lnuZ/bUZsh/39CamE9KdJrzxB8NvrLzeqAkMh N1o5aZrlnHgZvj+HyyiBDZIhXxDOk/i9+MBKKb/kB0L3m2QIdifa3E7Jff/b5/W2MDzDk/xD+UIi iBsIokpgnBD4jbCADtLPHZD+yTWMGGNtXGgpKG6m92elNRrwGl45ca1WLntkNPDoz9nKuCyceiNa zlKkn2EyHJksDvvZEOqdFb1UBXCiaZopaG6W4yNvK5AiKsycgP2nPx9kG554vlTXLTstxw/FUvhw TtzhhClGGgd8GKrcgpiR0995KsQPqJf/hSBnYZAbGfbUT5dUUr1vnzU+NNqSsohX9vwXoLYOMYLD NoULdxKGk6X0sUPkWDAKqNnp0YWSv/AKaKrkLlIcQWb3bRG+kaV2w7nC+p7VUdWcbSK+kO5/qZxy 8q0BM7uD9hRD1oP+KiVZaJ/tFwogwnYrb208slJmPvl2BDjV1rtApYoe1E/B3iRS5NYfxePQiJSI gk9svvNq0lvFQoQiq/omyzwQUK6UE9zvWktqoMLIWIL/ygK411oKMA1gq9CdGa6n+5tZxmG6+Mk+ eJgyIAFop+8vt7GK+u+vqFhMAMcgzMMqbUPMSxACFajoICwEQVIj/zfXdeRIfkSdjSyG2Z/NHXNI ii85RKBcxTf7BetJwAT4KwTfxFgr7xC+qb0IcHM7ak/b0laOEMGlRTM7cXvJvI+ngzsi9dSEvMtN IOcmv88B/XOlnHPrRAd6yse6b51maavHDR7x9XZyHOOcp3TUy62kmffm+LjeMx6jeYMMbM91BzVh 3oQDb0DrbfSjVV5RzFgkrZRZCmRHW12lvqIVnWAi7q09kqEs2jbyaRr/aaLiJUNephSwGHkYHSGV /U9OG4t7dmE+TE/r/cUY6TvZdnZAMmSUUFXGyFFxk5e7JVv1/dbGD8TwXEPqC7INCJAgV/gsFJUX BmnQ1w5oG1sjPwVNnFcji57Ohu6Q/6gy0EOrd6m5vsoww5W5ssd7vO8JVqnTibB7Ix4wBFRx9Oy7 6rp+dQZyTzEp2SU3+6v5/EqAcbF/CrZZp9t8FpY/55nlNGkJ7wsJ0+RBagsivxCOa4QaLZXnNOl8 InOF0kA+qcq7u3FDRr4HFNlqgDumTmoDrosl6ngviQnDkU76JY91eJR+UhavTEox6+nXeEnm0KCj jH/Z/F+8o8Y1NdBgxemw3ln1adQpPJ1l8loTmvc+ZucBCl5fp3dX+9HTT+HLhAXeli4jU3560agY n8uKOOzJWrtH6Vjykt1adgG/sQCbvKGJa/LVyqiBcKvNGPRHVSGSdKmzrfErP4HXmRvH/95SN0+X If3kNQJuK6NyxFxi8YVh/uQ+HZGLPwIaXWE/taf/n9HwIT3+K9k/SUB+qeUjqIgRfIO1NPx1YKZ2 AV/Sg8xYmj/nKkLRyoEf5bdf2NsC8i+7hUqWRbfZxvWjkIg7VfYm9AexHkzN/3EUXt0XA2XoP/qx VYagt2kUpMl8W9vjkz8XBNRL/eMqeyZmCUoy1O4czeHTMcWxAwjF4jFuwr3A8QBCevODIqWiTLk7 mTyjjynXrb9Gx78+pQ4cvdWNEM2pA8/QQrVzTop9RHEtK4Wv/8C9TGQgysXK+dym7lU2rTghMN32 2F2cO0vJ9KRT1/4FRSE7yCcTH9a33Qn7/i2oZDVG5ZQGDAzs/kP7VZILFu4KT1k4+ZTj29fvj3FO O4HnNZDhkl8NBu4kXwn3W8GITLo3Wvrb8SeDrqQO1dJGF8v5oeMP/qqnkDhY+OCFOOTQngEd1HEd m3n8axGoKQZZRvghP1o104oeFifzCCxi9pvAg5V7IdlhsqTufNhEXP2H0bTURvVY9f9pKuFSQQMX I7p9oMS9invoYCFACRiKVL/mh3Y9V/AMt6+dBIQ2+g4y0xvWuH2pVM4dxWaqweNtqJBMZ7jW1oLR 4kJfWXkePiBg70tQXcyIpGnNsT5/VMqSXAN18In62e+FmZ0m1FvmzbktcMyxIXSJyvzpEIMWeav+ AuTjiddWeXNuv49/hbjRZBD4uMQQO/lmbZdwuwvjrs3PFg9zu5llEvoX2tgfZ9jMxtz+gFEtbWuO eaXYAb1qPMrr0mdtiTkYSmr+R2vYFBL5DpoLFvDqCjaSontM5PxDWkORK3XBSzs6mYJXsar0K4FA nwbYWn8rakJbTRDqac/CfmYEwKCHXUrxSw61dSARqbS2HDyD6T6cio3ebV0AWzVWbcCtLVG2Bq2f Q5joFB2VXVooMOaqoys4R4eA+Zi0QztEz7Btwg/lPT3Qdik3Yl9r3jOVQc+eT4wayc1BVswTTYL7 bK/edmDvierAGbOkXk6oWBWyTctPjHnk4xTmsHk2RvE28lXRCdO38Viq1lhB13earhbs9kUwsJ2A PylicNXMech6YMyIje7c2LFus2vMQaLbRikuMYwfXsFVovAtXD18ttQ2HUIErOwul5fBT7L0knjn WaA9NznynNtgxpDhtLcf2HIkiIktxZdSZpjDfHNFwwX6pJ47KXrBF1NHz1j3wU04OPnBtA3YSIhQ DYHW+q6zIRgF4zZSNvp1BUcymY8DGKLwKs6hlLlj1VlrCVT93PVocK/O7diAGjQRRmbJcxqYdHVC iQ+EpeLRnXfKVg8x/2XYDYnhlkDjlhjsxAUdsUXwivjQkYwxTRsjRfWd/Vdtmyr7J8d/bFMEhmCT v6Np037ulUq17fRDrWUjIfZEM1Ja80cjK2SNptfZc53iYgvoRP18WyCVAVIoDr6Y1tzOGIA1U23X /DGZ+HKe+fcNgmnVyZ7cxVh3vUrl4o7nKMe3UTAEtPfjat3Ladyb31xJuIyk25wNeTrCGwXeW91C R34GYJxfcJZlR8Xdt/7V2tnWJGykSCnu7iuddBs7j/HIChHq7ZfB9pGSGupvrIoe+AzUBJx1iFHS ov3BJ1qXEFHgJBPe569SYkyJ0MsWdn/KycyfKsu8z+Rec9cfh/w2zwYJGANtydBAEPykn0ocQGYQ /+1sf0wEIqWLd1nm2D1N/ApaH7mp4DNvUxtKgYdqgd1oovrN/4Yep45r6sEx77QMuYpPvO/1vhhS R4KPxDiyOJm0LP8IPbNkRg2aBl2pGcDCvp85GC8Iu8Truhadlpf2eh8l0koW0XvjObi77OzkueYq amxRj7WbhwltociIHhCZnbEe6LHnrSw6jaN62WxcvxX2seoykvgfNlnmZQd3FxKoHRbHQLBqnvhH 7cQSuvAvQ7KpU/QQ6e9n3mT3wia8l2735ZEI+wRmE5X3sTTA+VkZsMTVc02rm/k7fJG/yzJegkrP pWQO4iCXqwyxzf92eT8eCXBqAI0oM1CetDaqUSllfntD5gUonvhX59TajzkLMUAY010xWtcYu02x SLrwWeHOvUqVwVkwpCv+wC2AfxWnm06lIRcfxn0K4j/d1j7i/l8y6uvJIZtVifBiQjUi9oWrm+L3 qezKQhWpilrPCpY7ZxdRdF9fgZtzFvfH/UPv3C8uFxyc5OBI/TPaeFQhA0MRCQYo2XkomnSZWaHL Rn2k838vI89AAk/piUGIJ9CnQKgJYIZnYHowIZhktNEb8GdQH3gcBxO+gS17wLrgh2xDA9M0zhoI HvWQs1aIDd1nzVwTZC9LUmVw34NTkrBJpBvjnUwKWLac+Ej6/hPtfXIycN050FlQI3qHHe0sTy08 jhGF9wLbEZM+Sl10rOewLPnIvcP6ZWcOja4H2H731gchO2YPdX8tzHuOfpAiajAGsB5lKYXAajlW PMAIHS72t3A8WopN6BaTVeSOoAiKiJPT8Sr2SLPFopxxmo1dxx5IbDLvonvLWaA62SIIGnSgVtwS tTMhrQBeuWQifd29gYle2iNUM49gyPb+GjriexZrZxfLM7ygarmeJv22ucUp/mbrXnhE7mODJNXO GsN76eXDIi5MHNbDW7X7ZO8vYWII5PtkPbnmj4LBHG6xQLP6TkhcKSFTFuXFnj+VcEqsfui487dv 91woqg2N7t6Kw2+ymMsins86Bowlm13ssgiEvTrYMtwolbuhx3+Ibl4SZw3JnXInNHmt98RIf29e /hLkMsWEXL39SEq1K4HLTQSHkApSOQS04xzxYXAbGE7Mw1NpO1bBaKw7GiuX7qXAbQV4h/P1r8db qXrQVj5YBDuZCBgopSCJMd0fAGKhGnsVOP/LxaXp3cnqF1XZKVCreKGcI83GRjqxy/0qiCALYEq3 XFeLy1f0QalUxvdAPOb3ncebUNV1tRpf7C+WCdI4TO5G2pC5sRNZ31VPUpMXCnwu4X+zvHrvXBhX ocdfHuvatQeb5iwC524KBZGYfBJOM9JBLfhPtI9ZY2hTaQXaIetU292FRsTNEo3pmov6jdPYNNTP v9nkt4lQjnCuSzUrIDr3Z8kutXn/sCILIWsP4oHTVmtgA9SBGdNfLHgsptlDrm5yeS9tqg6ylkzI WsiNrDRDT/H918QzeGzWAyjKtCNpRa17yHKRIhzmH1cYf1jU1nB/ycbZaVGlxRt5GvfagDwhih4g jor2GODdMjTThOBobZuOoPjw3bxOZrwtltP729hL3IRhiktxNDSBGmfuajigZPTFURhx3P1DEJnD 6cSUD3tziMouKX/OYXS+kbwG/lkCLDLyyPQ1AAR8DHxYCSTfMZ1/XUbFQyMSoaCSl8x7zzm2Mu3b IfGVuonkVDriA0LfEv74qM7C0TY6Aigf6Z1XgiVPhGJLYu8oQFRS1pr3rihGGa88u+Q4OZg88iNc OexPNmOvtkYjLYLYC9bnMULMolyw6Y0SQdzdZ3UWgcUFeQWIn1iqHcRjU+SRv9+eCYJkR+lIqPUr Eoku87ezei9lC+I+DD9yxWUOqTuC14u3n1LoYx8v4a3rtvTvyGmIp90T9ui2fkJQZdB/jnvlutMU d8+ycZwR+Ep56fpDWdTKQyyXfYUvzRVI9BHJQ9OnQG/gxSnrzbswaKxpXAveupSEehhTGyodg8hi nkUYwhlnYGhi8O/ApQUWh/gL5Dz2dZkJ83n+rAdXE1s3Dj0OTeB7+23QBeua8gM3XgQOpBFohCoC oA/g66AI7CO5qw0N9VRDLdZLPuvCGartd9RZtS0N2NpTMEOp+DALCHMwMRWLyY0os9M279L156K7 zP6lO0dFYWebHCHf+PVvTca0RZeleTmMyxVLbrKud/UHJyBLeiwtKI3WUH8TZyeruMw4MSN+X//8 ZSA/Uk4H/bNJR99I4Xm2qV3qQceZwmH/TMZX+JAaCRvOZO+J2Hp+/TaC1PekpSTi5AebKnN+Hrh4 OTZhiyY1uT9EcTfvWiixC8elcIcq97sGukIE01NHqxmxTVfh+hDhSl7jIBGrqwHibWbYfp2dbntF 2UENhUoRORFdQNGJmIGu6mId2GgV+Q6eNd4CkWfG9hWJkSJbENLKkq7h7O4BZvwXG5wEjiNYoZxz fE/glbmhPyzvyq7c4vBqlXo3CEnMlSupe/BsUgFuxtdpX0hZM0WIeqWPkrjH4Kv1viC5X7an+5vs Mk434fTHEjE4ABsFTtyUhutyUn1+QQnMT0a8VeFpCLJjGg9JnpFc/Ya/KdI5q+jVbC1/M0EWOGq9 ljQ7ezRrFcVMEvon144uCpZKC1uy/AOUobPQWGHeP+I+U5DRMC262+TQMj+CDMAsvErtQntsK4ck CO5s3zByTBnweRQ7DlNZPw3ZD9hY4cS/Gt2fOi3xs8IjRMNE2gx80qaHHfAb2tID2m4DDt9lXKcI bbKtq8lwrkMklzJ+3JPnyzkbp8cxCgfMrcDDpErjH3Yd1gNTsOIMNM7b5YSK6ogakFQFp8Wej9py XR/ZbdXTqYBvbMWomKQjh6i6cAppshpUmUQzEYoeSyg9BWfEMKU6dbcJX/0IU221DpIJrUcrMIeK aN+wkgJ90MHFztPcTcQcQUHYZJVIpbFqngk0lmYtpplAuvtZ8NDK+q6TXUMmdm6Hr7Le++e/8dyo 1cxfWvpnThe0zn/sE98ObbCZRsVBpAnLpeAI7lMiOd0A2ot3THUfl4PO1T9BziL1M7zcGxwjBbhS ElI8p7rl1LW/17iTcBBxPPG4fE3wVVSjO47PHgdaMrO1Tr8bB1Lx4xGO6pv1l9EmrWNm+rsC6ASo ryM09wzStZ++mJ0y+NvFDZ41PtLrnW99D0q+Gnvvbxqz0Tx6Co5Z9TW+jNv7Mhl16r6eNktI/lLu KX5R+UbRxxEA/mGzE8yZ2raioUi+amw6vSvEIYo2b9iPzLVKEJp/QbzV7fi/P31uOwKb0h3XcmSU Ae5aKfQew8jAjC5CLKicurONta8cXFMKvarYvfxZEXmGY32XYATYnUPomh/GVy/HWTusRdHhmBje CXpKJaosj6Nrvc63+1fwtHbaj8TvIN4QE670eT2+a9jSA8rWgcAMxhxHTrWX9VszN26qW9XWGQxr B5qfstDdO2HwYYJPHV1HHSDLGAE5voYNFtcrxrTZjMe4kvETFT6DsuTSs97vshh8UhWXdDSe2aDp vH3BGvLgVuesIe36rDURow79fXyHNwuhPspNI5zTeUaB3Z103bW9r0SmlpH68xSeH36/W4TMO75Y QtthkGti69PMPUcQzFFRsGyEGvAyITTMR65nLcemYo33yqDv1lGQueSwLJFLoj9hhoLhua/XsZkp 6J8AVAvlMARMr6C42B0zy2FrBSQY4EAHYM+dEWppdG+s5es8BpPkL15h17iS3MF4oVyRLWlVv9DB +2JT5ks2Qx+tvOJrX5Pzb5iq3GD6UQOPDF1EqixTGRAh5RkR/fixx3cJTvGPhenl2jRwITaduqZx Bh29f7P6IeHJwwMdEW91KuefsF/kJCRTD/QDwdhK9Xem4WfiMYjPUZC/3HSGN20E9Q0398Agj8N9 aH/KEx1Sw2MV3Z2SiB/IjPXp2jD7SLnwnJ0VvaKUSd5sGi5fPp1v2SuQhxA3jZppYcX7Y3yz1e/x Av+orJm1mTNcvyjVn+bHC/VVwUWBu4ds0CC01n7THt4mwGhZ6+6VCvG0xBdG5SIfDVpWsmZbMpRi Ooe5chagqLMmYy2xEiHQCdfE2htnZpTPdc70SyZr1VXSU6mQcpBztS35KbbsFaQU2K9wHjau4j2c IueFYjirXrYcXXdCwpZkdn9ae6U+CPvom8bAz8VEDMXiRSghZYO0FyQpE7l+SyjXeS8oRXrV/EVk lXdXibBoqOn40VNzlOpTNKq3Un/S/goS6R9QdUe/3XJZI3Q4MheTVbJIf4KnEjxcZyJAp4bIKjbk cR3cZ0Bp/HAO55S3x+5vUhP1C4PfamT4bckQkVts1gWN1vQ1PK5KxtKsQpYP2jXZRfn61L1r6wu0 xvOvxs9H/XL7LSbDUXSHTF1TJCG1UiTDJKRRwA0RYiVp+aouGU5eZkRS7F7md/SWs47hx9XEHS6X dVny01bbi8FaijKwqd5Myua3oAaijindJAf0sfD3QJUC3QUVgB5F1/LGJZzfTss9GEWJWCKuyxFy KfTCJDpPRowHceivoNPvIE4Si8QwUh2KypyRLfTAtT096Q2NcFLmOPTJAg8lJ1kDOpQX4vBt5arP X+sIpg45JrQCzusyzm3WvYw+fvT743afn0w/SibWZYGhxCRklBNxJibitE9hImDPm1spzMVQvwa7 zNbfMstd+N7u5Me39G0HWq/HM2g+8OcwfN3f9XwRbKXZySfQnSV+zJOqTnVMEZarF58+JA0NuhVx KMRz0UqSPllZCVzMnsLn/Ip4PBryiYztQrQLX21DavZE+TKkdpxMHAL0UgQN2fraK05UjgucOoGE FEiCShBpFEQfua+1IfoYZs/S9+j+HZB9Ci1pyRHpEonS3heeRaEurcjgbIS5x+u7hcIVOEN+neOE NHOTkMijDEFHwcJTiyIO9i8kJGRWEw1NWy3ayGmN3wassjFuuZOq67qVSrNfGqbV82rbu5dh0tB+ dvjmJ2IIXbc0AMrmNcnQhjWdmV6mIvTqM8QuKqw7KruN7i8ckyCnQv5QMCse4YE+eILoU4p7jiU7 oU0PLxUTuKRaumYa9FB5brHgg2BpxoQEcAcXDUk+vK77syjWir4As3+QHQtrPaCkzX49bLmMniR5 cQaunzQX9phna4p4MeYMZ96TbcBgm1q0ltzFq3lnZJY+hp52xJIuPkt6ujafmnt1jIE/+pG70avy sJOzACpfRsRz2ZGlK+CUMolyCjM90qmy0p/0pCRaLLap4CKwrWUX9248AdNj7ycUgSc/7rvan2PR g7AeKB0/Q8fYM25QJyG3v6yyg2Y96CB1YrMa8j/XsutaCg3drj2IRrmDZ+pKh0zG8VUvbudCaYIV sU+bxJWsV1TVcet/PmNe9FwbZoHB8FxlMUA0+tg7EvxycZrseG+faFtA4qyxYuESRomHu+R7+aQY aQZD5apB2uJVVfgi4JOM1grbazeFmWBdKyFRPK6S0wJQ8uFKN9uFw6q0E+tPkhmwuIJPerBTUEqz 1eh1EnkU4/tzA7cifE0RPeJAO3h8HTl9A9vPkFNP/LXPj2L4DMV27OFIPwxVqd3YAv2KIDWCZii8 3cSnLrImuj7R3dzBlFHOoGuIKJ+2JT3h0ICt4SuTofk9DNM64LKmEWd6hPYKSCeG87ZuU3hrIMsk +KqbPiggx/WgpOt+zH5hJreVHIfa2T9zK0CoHPbsflpEMdyq3O6R/y6QcEuzJqFUXX/J/HMwPN0w SOWyA264d9ftErnBIHzAT1Ky0YrdXSiCFBPUl+1ozfE1OhmFd17w4Xk/QK3WVV4+ThNBKLqExUcN 2/BhTV7CPjAUrqpn+3VeZSw74ryYDjT7eJx2TGukGHV4+O/ed2J8HIo33yi6pAdxCwD28JaiCZ3F C7fXsNxVWDE9C0gu1VXI7g9oGQHdd/L/i07Yb3sFjpl7SK7ylVKMWQcmSEp1zk4UxS5ILGHQclFs FfaTmSED11PWeyaQKP/b6I9qcDDTOs63ItwpBHGoInJbk7Fxey8Khwqca9W/0YiPtFvnypzBkbA5 sZtZEcGQYTMZOa43kN8Oa8nt28/sF/a/YUQAYaC36Vr0erPB36mj+inpOxVGhuMCUHcORUi0HSJt kR9TJutsLt8+L4qehh7IOyHrjY2T+//QpHFOFAOzobZeino0wl+QOwG9zHRheh7mGJ30uzmJ/k/8 f5vqs6JxMaKij0UonX5r0Rig7AFQ6G/LHlqndIbcjOqz77WnEulgUn1HVEB6JNHC8bNygbMnKMKI w548PUYqI7XmusuDJrmLL4TJGyIcrnhZy7w3qzACwpXTpgvz3OOzMB6EhRCtfVLhWYMbpsWhvkjl 9s+SVm4PmYXdY0OvvMnQjE07v9cuZlS/tW7vi+W7W3t2mx9IrY8JeRlEMdr+ab1HLFGCbCgbYJJp 5dGuDfbIG0lewTkxgon+qMSr8Lk7pi6GKbtxaeD+/OeaJ1uOhBquu75/BgXeuHEfVpr3ExUfHrcf 0gIrIvP9qPGJKn90fsbFetYaZp1utbKttDOtQylseE8xKVoZL8+FizsyU6HPzT/kJ00na4Jmwx7b 05ymmdK1QS8/oXqxV01QMeGjQgCsqJVNg450F3v4DMqY2MNccUrFtTmW4YRN1+EqzgzNOBrOjCoZ t4GQrcN7RyR+QxlAMcQWmudKxQsw++ePY31EzXvtl0gxyxim5ZUXKuGdI5ZXV+q8IBAFeltOnnc8 WtjS151jscvnwLDr1z+qhacL2CIRkweP+dvL+U8Qp/5o5uVP0jIvP3ju6cld8Uiz7o7aKyBKHibl 0Pe+2NtaiE0nRtQ1fJ2Bb5r7Kj0KmPULaWfBgymRR05oW7nSKUpR2hln0qFkElbDhxiwO3Wf2NoU MVGDI/69AvYqjTzdUij+o0XOQzoQRrWP9pfpcAFYH//bINc4xWqY0UHX2lH8oe2b/Wz4crerlgrt kdgBoKmdWtB4P1pzHNN6B+W912Ai7UdY/c2m5bAENW+PQ6W73+1z9x5NJeUUiIga3/0N8gguoLLu 9A/cvf0CIBzV0hQxnhErBDCEPiuDqEIgXWvDubxg+fAxJ/hdOqYQy+dVpns73VsOEFCy1Po9Ofu4 7FOSfx214oU++EAQVK8Rfxn9WLceIZIn0x8PYwK6NnGGJMfCghBA2jRHX03PyE4XRkH2d1mKeya7 EdgWSGQR+N2G7kDZerK7DP77jhXXYsl7isi2/rmquV8mEwW1U3OSxHMi7LcE6rLCSfgTthFJq+oI LKlr4pDxTZ/S1Cs0O5DSeOAcnud3RVlbktihzRw/UPGZY4dT73cujwaf7A/1DWjiAyS1m+HZ8TYF 590WTctUE/PbUU9ECCHMkDBMk2YpY/A4x2Oc26MXL4qwyfcsuWUOoAdkOiLlEoE5xKl/PPXHs7vF HNXH357K8nIQlNFhHHs6De9gcha2Qed/z7sC5rblx4fZItIMFK4XycvxWtZWcVj0T5wzD3o7KI+L hgK4XI8gqhHepKePBQoPiFZRtOkGmHptc2UwfjqNE1fIB9tJ2FglOTUlgqgsbM7ks69YQvlEIaDK C0wVSANwUOW40ZTiIsaG1/+Y8e1q1doxc3EJVQzxjcYwxeIpjBH4RqcIyLwdJe5z33YkgC6Qr2DI 9tGY/2Myf+cm9HPjdIrD/0LBu+xylO+MJI1bQAX7FHy18cfwl6QPqIfgAti6/oBSbycUc0Uf4C6b +1H+uG2Mjoyae+CgWODxvysWXGJvaQ2nqsggVQQO5Hps0EJmQz7CkReCqaa15QCOdRiY8MZVSaU8 Uaiqq9GjJHRyLl78dW/nCa6Mi5dyf4WXUzAfj7Sjy/LoT92u69FceRSbOtHst4B3ijR4mNUGXt5d lg7xqeMgoIqTIwulfNYeYorBsgQI3yOiiL3bQMwIQw4UlcGyiNwGBdYaj/R/JfYlXdK/eaih2l0/ P31Xie2kXH4VWk59+5CPi8mUMxwL7i7YF7/BpYNUHju7nlGAXdgdA3FqENhHnehSBthaINNIyeNj VktMW210BBJnB0nzEr8O9uRnLCukpmIdKKmY5pEaCfuEAlQiPa2P5fxROvkoqPUW1hmNgqQvLebU ho1ON6JkZmLqXQQoqXXhn9pNdB27lcbJjoZoHjNZYwAvNDObM1AZzkH6zpJZW0rOYp4FHRi3IlsX NL5xZbLHbRY1eAx30zyywdHdGJfmUVaNTNXSgERBwRKnOfbgMVFqy08DXuHxUv1T4AEokLB8fiTO hcFdqtb6B1JW8SM6p0OQ/h6GBV/jw1dVdST8l4d/qN8vZ/r6yGM+cLEPlv0c2T9xBaDOiBRJXYTD yI5KTVNsQdi8TVWkhzCQSbERA8vHNINoNXf2qLiOUD+2/HsSPZAOu14I75D9GD9C0jwYv2ZcJXl2 zgA2wobvSClT6ap4PEObyd6XxizLXhDCPfdJwFG1x3ya1OQ9wnJY6b9rFNvOKu5ZMF8M8jrUrOyE pei1yn4LKrBlRngeBFHJBkmcawadGpRScCiWX3872o1vlj189ImpCrhKLpueOIP4M9Ku73EtFQVZ Rennpj+zB/jMX1axx8HOG+Jfa1gA8pubxHQ5liy6zpzBRKxv4lISiabhpgOtBMBq07qvPkJb1FKr b/SilvsVVn9TC7R1b92ODTBuibwGoKbpQ/yE4Y7E0m6o2wOHoDhXHjubPqmyvdBU7Ea+gpoElYU8 4b5DSILPtqCv8MGlG5QHY78kkuvzZeYm9wKH+y1iygMU32iSSKyUdb1KVJq90xIY/uLaBQ5U3B8i k06nIFNoPeHDgfRiljcrtIUtTUN6y376rC92J79n+G/4PxrroxO1zL7dC/1OkucGfrWwxPpl4AcB MP6l8NqUstQCZZ+Ej61SiXMR1/SVb7aMwNnwtyH+0jsbyobhV9JY46M1VABap5NZ4DzMHsb0ssKg 1v3cvsUZEMmVFfMtlx8dxYJDsMUAAs87KbOHKOlhKW/PxQQ8hshVJtwVZZP54L3jSf21f3TEaKYs 4dHXDirEFwbVqNaLGnczeqefS/UNcRRyXljD7cjoD+6eLrNwN2ju2hHpi4Xks4S8B2GznmJHdSBU ibiuf47/mUTJRgRyYfHEzVE/MCTktdJjcjS6EC9KevT8Hwm0wYxmGD+sZqZpHC2T+f0UcfxvGV2d Og2jCURNqkqGB6NSWQOzag4i1ZiorcRQddsupdqZeM+m4ko5QOed/R0wUn5OXGCNfcDA3JPiPWlH LQvh8tsIW5QrLISHFE2bc/3TrEDQs7ABXbrw8CCJKrfeBWc5PbzdyT0bQPLtYBTMqULlQ86JHiqz 1pCXulnUKgfLXJaBaVaOJoAEghr4Natkzrpe0CnYa6xt6cl+vReba3VK/ooWkFKAy3uEkj+bED1c oHkdiWHKfQIvyE0zXXIdNAvwhZMKn8eKIWsFJl0BOlJtqGzIF0rm0yprXDo3fo7C93Pg3Bm4ewuk FXYYbq5yv0BI96AczulYmR1nc8BpYk8OYFemJLD3vZNhRtqICxKyjDr/uMcWhgZBTucTfvkBpngc OTHzeT90U3BIlJhDEqHUUOzFt7BgKTYYo/bGVDRZoykfEUbUnVZFXI2PDs6hr+idUF1zNuQf+AQb raw5LO5QPNxRwwWTl8mVHeBHgRD1rJuXpKI2yrZ390iT676Qd8RlEXkIEa+tiVLQdiQ2VOctAuss HmCnEORz8+dwijKsN4xnMhUWFrn3dFVxrtoFJmmZ7yQgjS/61Qa4C/l7OZIO4a4NTlCTmSd1/2rY WMKp8VIFRGpc+8CMHEn7pPE6da6QDKjNFm7tzW5IwNk3wd6a84em1gks/z030V9ghPp2wS9kY64W qZfOU9OS8UmuECvfYKrwQ8GZDOJZzdFOAOH7LgqTHwNVyC51JF1k1BH3q/xnzIFA56yKA5gmfxrX pnQdIQmLm8P0avH4U9J6lNbaY/hSw3uyfJ/NJWvK0MYn5RIjSqMcUz0NHEg01/9V2MvsqE4ZdItC Xdp+HJpPtF3et7L5zyloiHEj8/b6jRTt4WOcXjFgmuYhhNONFWRJPabDoWdws5xdB6LSS12FVVRw 1bnXygJDISArR0TLqh0UxwnJ1wYJfbSLcXnYGNjNSkQR0ux+HHdLGDCU/QHETF5Rd91hlUG6i6oO +7FYSb9vmfVFQDhGHBch6R+NTHDx8bMVm4hCAK/Zezq+uy4iiL0ce7SPRTwE+FwycXXlR+pmV5fb gcqezvtFsVb1BoWscMkrvk6QZLqnYRBIOACwzE/IKY8yyRnDSSXUFi+eJfr3MkuTFiCNsTpDQJWw WoWZ8aLtkGOzkBXpY/5p/+eJLtO4NAkN6KBBwZePiffQ+vzgludTRWJgBgv3OElmO28wCOD2kz6l aAwyndKc3N8zObzcNrHPUJ7c1P8/smulZEEl510E+ZfRIgv/AfJj/L2aYKqWndH6mp3H5OzL7Kbz UxF37mhRjxmzHdkQdUgDYuiYr59E12PPM176Lr6+Yg8bPnOkjpVHjZA1Ue2KM6qYCAyZTrhyXBTy dQZpOGVI7cO4J9jyUiWtuUmpIg8nLUmOSyRW3KBicllRdatCxmhd1sivqKdAvtp7A5aYsFvp0WS4 z7q77KBzGBlapqCTN2V+rf0L7diUjs7JpAw4C9Ggu68VcYRjvxuvN2Q1MBrGLk0Lr3niE1xLYjU2 pskIm3BaMPt1tY+A1jwK6LP+RoZQ1TX7w6rzH6aZfMxNPItskKaQNMvKJrqW0vjqLR9dFiPeglpE WjT5UH/0wz7qCtm0pGLtMHuFPEiSFFZxyDNmc9bYV5DXSD6d46qHaILx35XWEmx57iSf9tiyIOrb wCcNjjXSP8Q0qSZI19aMQHxd8w2e+ojSNQvHeXhkkvT1K3S64xBAyZFiz/txvGHNLE24i+YPWyfk xiTfYL0GaslEoVGhDo2Oqcfzwt/nvjURute05Wk3GuIQsPm4ce3UfpKQS8fXdIBL5LsRvQV+SZih UBr4YzrEIY0qJJumkZRZ4sQAbCi5oMz36ADTXGvm2Ct+rra39rQjuy1b10yr/YpO0EJEFNDKCO5h Wd+cMa150nw0PUTfcif4SpkxseSPj6sXu5NjwXyDIRwWwsSg5fE2yxEKqLLykoz5VXJdH7vfyyrH 8zr2tfm8x1HIIC9fB1lPapm4WTmDCzooZ0mlTJefNQeUrnarMKdLqKmVmI17dd3LUp/hoYZAFUgX ZDWUuuuq/Kp33WXe3f4uaKZwkQlK44wqg1ca1YSTqGiL1y7OeOVqMM1uDpyri5sUmwLfozhpzMjT CDZAzAfhd4roCb86kiQxxX2rxWT4OxFWARXe/imleJbqg8ygvLk+DCZ07X/PEYt+mTH6sbln70RS zov0d2Rvxjx+LIdocpBdsbtJF5DjP2+P2TCd5goLjGDfTkOUEZTbamK7SoVduarMR7cHV7wIoxeI Qd5DXv84aBCy3rTAKJ9seszN1X8JwGXEA7Vx2+A4Lw/oSF9spgdTFkjoVI3A5wYT7+us/bMlepnu hOv4LonXtuNHN2VjZ/q2BU5Dz62uOZwaAXRQ5YG/tb6B0EaE5Oqb6PVrcwldnDU356rVhD9GVoi0 RqNJBMseOoRm9eRLbTYZWOjNLWdCVKdXGemPt9Lc13k1PfhMzzE8OWOh0fSwIIyWzcvoB17H2avS IvoNGIi+lYb2WLP/FLsB0ugkTDQDM/hBPH/7GQH+nHdrIOwv/f3xbI6m310EWrHVTruBS9YnOoYx EHJbGmz/zyAhO4DSyCkH+/vRGnlk8vztyxit901Msf0FBkHioq+mLC3Dy9mmkPEQhGfzAQJNepfD dE8EF0G8eVh5vFLO7gHwE7+hOyLrqnL5VpZYd7Ek3P7/2pk6E7e00emupVzBOSM1qQ01UWQLFBwt SIFOdZQs5KTpWN5cd1mldI7SymbDC1IRYr32eW4gebjLqB5XkLWSIQ9L5YUM4wnOeLw+sLS9CxH9 TdjLrPeOht9OUAxTwTeHdSmwjLwMBpxeVnqOD1XkifXIlO/ltmEzv0rjEHncAWKQZWeVReluxxWt U9J30crnyXE92Uhd1DbcYFv9w4vto5/MOKQ9wjtfHXqqAh3CdQWFSNGA6pQrYLh8LbpTWoUSRQx7 KBlGJEEgZfsTbz7SR08dUiurOTbpXJE8ePxSM48a1FRooCtwmyZ6wSbNLAnZNvV9TE+UOIXaZ8Ow M3FqT8p81griVyipF9eHdoDkE4IBENjVXWuK6qbm44oMHgJVT7swisGI9YvKsuVezrsgTQaSHFeu xoCD0rzJCn4K/o5akccGqe6xZHZ+J7ToB8+8+M/+mDT9WKYwWWK+SthZCsRTnuodZbDARxJiLqYg faiFcq1XQcWhNJo9XeSKeQdY754UAPLcwcP0otZN6d576RY58gDummnSAJwmzlIDR+4Cp5KQpGJM NF1wbiKoF4FiQYO/WlwQRkGeJ0cJb0c7ObfKeLqvL3yddqhEC2hxRW2NdQG4edYTnfqW9iNK79rQ jZ2bmyHkUOcW9R3GpdgV/QfM+9FCDfCefXOYvL0ZL4HU+GGEOg40Gdl36Rvde40hIvn01lIIUDDV 700FoHvFUlwnz46TApuFeGkoEbnjSHCR5NnDqdYEaLWUgbqbZOqyTACrc+jOSNLVZYVxX+zyZKcx m7L1ZVsrEHEhrrbCEVrSt54jtEDGTxwzzn9WWCCS8iVSxFDJgppUqDSvk7+HY+IMfq+rkzATXG5s LG2dNb3pfvUOmN0AXtNWbqnK2MeHcyug0+ulRBVxPculcZ/TyWNHEZfDOtGecM1dDDe3VU23/L25 wAhsRdmfIOpUBH3hsxRxboEI8mCPeLTK3JT5NUuCxoN+9ja8aQRL+38wADrTOpNUH02BCLlrgGmQ 3J3XZf2sB5vfsKBqXPy0/CdY7Mi9z+ncwasRiTwttIbpOsYMIEmtoFTWD5IEZTXBnfPXMmzsb7od UZqfXMbzLo76Vtd34wPz9k+DaaML6X2UKa0W2g3bs+SwKmtSlxn0QoUs69eDNp/uPOiZQ3VEch7l cnNImgvo+cc/hHLT99RDpGuKDkl+elCGHMQZKudNX35/NLcmbF+F80z53wbi7hRHCcXSnh7p1bHy 07ErRcGV6q79Ac2Lb3kCmowjynrAgQAMzF1W/oJNCBcRuZimky2y8JrjA9smWNYpu2Oy8e1Opw3a Z1KxHLM9lUrPlKtemIvGUpazOuZ/AavLGcxhzRm0g7/ehjvbqhUtYLxKtpIH6c2rquXG4Jl/z4uL ghJscz+9zgNGr3nVRFT7jj+sK5hfFspXxx4wP+3zBNh8w6t/5MceZOIJP+PcXPFnzw2yLWFgmI1K qAoJ3gxnC+bBjMNvrFEKB09aGrXQB9/PjYYSppUZDUiL22TgQDW5yuI7rFEMKuxQ/rIO1Lma+nMw 4q2MX1gt3yHETUh17s2EQiNpecTUNoAuRAC2yaTIiWQxk9K+yoT6fZBuajSdzZ1q+JgXN/ErlMNp 33grBNtkib+NbZq620cssuetNIyL0U2pyozz3MI+Dc3fgqRNgPyXlb3yOfvqfHa/65j7k/r45FYd zQhr9x4zQGZmwEy+pMGwmgkGSMUflkexJ96MV5rofEOdVwWuSIe1gQcMntTBjPm59EaZuTmrXjU3 XfPeFyEpDzG1gZpMzOp//LW7g6HFb9BwEKC1cJIZCGd1p6mZV6ABFKgDSXoMoFQm+ur+b79vfxpa /zxbFYJDh+9Qoxqxi5j+4Ti98csFyuMiNWOiCwYry8+PP+pkmg7WcgqsWgFRKXQ+bX8xbkAPZyr8 8YVsDFq172JKO/KoblLrHTkyvpqU4YXiIwwd/v+4KhdFsHfOT2Neo8ZdRiuhoda/qrObWJxr3Qkd 6Agj5vmIQY9vwugjmN6kzHzc4KVde+AmdBdAflRIFBHq8gpno1OHSK/38oSkbmKEqoPDvuxJc0eN 9wk6GIm1xvK8uim2TyN+3Q8jfWTPREcEvyJWJ61pvpltNnctKc2VKKBi+RCdvl9NptxcCdmO9E7q RVDoIOoJrF0Vl8BxQ61MDfKxOqvEcRSNGW/+S+3h6xwmQYvhAfwlumMImo6X+e0KMFKHE/PRQa7O pvnATrj0Y/cBYbuonI4P79J+lIBWLKvObr0u3PTwHPiNaScr2rZBdfzvLgl6Rymyjj42v91joh4T gPl5Reav6wjWUF4+EhRbihrcU2G53FGqsJl8QIN98wqplxotL0tXeqEXIz8TmhgWNzJv8Ri4kp5N BmrHvS5SDijKrb61qapMs1cTCMDyVTpokaB4MComATWBXdzqQr3QxHHuyV3BgjgDynjy9rzz3JhI UiCd/0moQfQZgOZtGs5R/cjGthrMU9CMRXFfhu9HysrFW6/qcz0U8MM3SfFCzfa0KyOCAkZaD7Sl 8U//vv7AphcZ1GZb/S549RIRgFt6Nx9Ggem152m4eonA1e4V9MSQFpTfcUFTA05ef+Q+fWdaOfZf nwWj7b3ZDVpCWviNSPK+c2zxd1Nz145W/+hIjPUgNM3498NU8pCsXHYyNa5zcyy5xrmbykpUQ8K9 6vpbTGdmCcZor6pvxRbbJkA4ymT2l/MK3dEsKOplgA8sgSk3yrHh9rtSwPHnwqisSWYwYWeo5P1m tTB7Ay+W2d4yQ5oGorRlwS/LwW3bcSLIH7h6bjTJeBSawvc1fYToxARUsL9GtRPx/4jkzcXuEI/R 0jiEdrEffBzD0r70SwD8GzO+qZcY5iymUZGHbTOE5qwITH3l4k5KA9IEviv+T2SXWIgBd9mC9uwu COmiMfVATPVzul4nayvA4OxPg9jU05hYJF18W3uU7Ug3UGpAC7fbJa6dZxrk07u70eqke7oUu98g Bqo/D2G6Xtld0XStM3T3iPuxHAliK2XaurBe49pWbxxf/e0B5CafXkrdoC58tULJsmd9oCCTR+KL Dp6l7XHV/SB96xnreKoDKSb3qMo+HFGeodoTnp2Nj/hvjdLxG/Q0pBJvjL70+MWeo4Ve82y4tSmS MOLLuErChaO6KHNIPgG0fAlvzjF5DAH9EuPivAS5GRtIQufxRAL6e39lB4moZQDY2mBsOMtGaGQu AvcC0iUb1Do2fjVgrnovz/VbAVhGUSKMaHlrlzBOyyO+plsL6KpZzTjZQR/7/1RnBx4HhGv2cEH2 9vEAIkZ8OmuzWkF8eZX8U4kRATcS9J3bGpHxeKkP54+qZdAmQGCoR+xewZ81GQa68uyC+C3JiaZC 670lREbaA+p6hnGTdiPy+8UpttpRJVV979mXdENSu1fM3KIqwxVALsq17fLFyzt58EcE7RDnle/D G3lpGbve+pHFCAwo5XTKIMif6IagUHCEwjgSp1q9Le5WlDLPPIrqny7ztREHMoaHHJDZhmY/TLGk ycJGZnfrcG8/+XNfWQc0MrzR0nf13fZ5FrvkUv7mItymImDNxyYZyOSL2yuzyyeDmLSUaK9/bwFY boPXrU9EjJ1gIajBN9Vr0sJYJwG+CwWrnBGG0/px7mYJCPyCz7I3IUMdtXSXAdVU/StiqjDwv1IB rVrGJFqqoLUart3o9n6eLsG8e2gosbJ2amJKWsn4O+otk5vlQEDbCe8NczmLCZ3Z+IwxlhO3rmSG aaudY8zd4QClzdtNIhpHLlZzfG2A62rRz7WO6JHTTONgPbOEaHE4+6IvcKxEiad2/41vBfNXxCtG UVVu1GJkPkmshF44F+Qt32e0L9CXvVyukYWtq2YzLt+ZCyxiLTnmSxD/v/xvXPod3i0YUqHzi5xA DsryxvsLGQrIDINmPMsbUtMPuDtdJHTbaBSH8D//MbpJazOKjIAPgYRlJi8aKNw4+rqcHyuHSneL 3i1dbmw0Urnzqby94lVS/D7Sc4FAn1AWCfeTlp/KBKQFNp5KzzUlUSygi34Lb7IfUwZORzFqYbIt 3TI9aw1wQsP771y6vMqJ1p32wzHY+labprqHGwld1W9QM1Vi2xb9cW4JnO3e2t9QSFY0Po86W2H6 0hhywiCM9GNa843JwB1KncVes4Yv0F7RDiqHGCWPNxSDv8CSumVcevSI1MvoTgjYjZRzrFdJZTKF EEdg53DxhUWHegET+Cq7YHBLyBOaGHZ027FTuuP3gqBDP7y8RHchRhleSP4E5XF66A29E+1zQHiw lM0KC04abaqUF8bR63AVhI4pIEtbfDWObBVfyTxbdkv3bgv43ysoXOu4d5eH8Fd7z0aRnlqED/us gnckxiiKrkmxTlwSqsNeqf80lmhp5nwvhB87nINqCBOZWQRlfJq4U8OcLorJWOe4jXDq+EWJWD55 JQsCL1nynGmQB/0dAfmlQhaFu0cJQfmAEEJWIdEKjuveRa91blAktQhynQfc5R0gfvBBJK0m+37i Od8Ds81Sngz4doUOJo1pG1tC12wQh5Z7taXi1AlIDN1FWN/v+k+donln5OqLVPgxekUFqRab8h0K 6falWU/NgtjuYRoZM7vc4hhKSowje7DUyvRU0BGNTIXutNw6KzNYDPL+FyHg+tMIobrylAMfRM90 1EKbJsBOBft9iaV/tIduvIMyhkZlP6IJANwYuXA+SEjJju07CQjZpw8fMBa+o6f/CdbVbNa/oTDP 1kUbe3xG5Ts7OuK+Vzzdr9G+uE4APFVv4I+R0OuYcJH9dsdHXLp70Tg9pXSU9QCWrm4TMJ2zgY7C Dj2f82jDBuxGnUILd+04nLICbgAqVuduUrvntEyf4/BmVZf5hhn5ZWNwJwrL9GWnBTvbxnnzHMF+ IcvavNcodHpPv/QPvztVnYzgcsbOPwsIfoXzKUun2pq9+u6nhxonrkULc1FvLO6AAt1TPFlpEjof VmDrbW4hDehbnMRSWdfeK7YK7arVpkCFKuHgkbWaCn9vXSeTXQyEwmcxG0G/U2hpcNHW6CUSkrGN A6kMzEJE9srFRIeL7hUZZjICY5UmimFsYgVFrJpX9fNI5JcQQf1BQDlGHxXQpJVsBZqO7D/RIhuy IBC0EqdyZ4YsrtT4qgKaUTOafyPU97ZK58WbWA7SNevNRswqxUSK/oJhU0mWcZlx9TqYl81KugWS W0QmIy9d9xcGD+HWXk4PwkkN69x//JGKJ+uCERIaduful+CiK6rio6BlilJ81CVzd/Mco4KdTtdB 3L6hRrDZsbNcHF6aeut439+cma7h17ZV4A8Dr1F/HY0a7Eora5L4TuvjlFgj7WedlN2XCzNf+V+u jBV7ORkUh7je65UE5tlI2Y45B7MC9kDaPXJzy269vZGqrHWfWsXxLiT7u/yJ9GhZPb8rwL6oZkgm glVfWsk7E3WhZvK1eXnB79SCelWeZC0SoV1tV9ehq4ZtIx5/Kud8GVlXyoc9OEA/hWRZcgTAnFPz YgyyJ2ZpZYf9nsgsz1KcYMrCXOR/e65+wGpuyVTQBBjE+B6fI4vl8hXrIgSgcFGvewQ9eSM9epzW KflFOk4Cs8ExCRbL76z9pmwwM+sr2gh/n4763/VSzXpQVhdWNXOlDSUUuoQeF8asL2evt/jFPY5y ooxrVqtPX2Gw3IK9XWmSAEMlXQmtT938hDY5nYkejGgSescWJjE7iX1k2iJnZ0xNT3CfytNHMEgt FATyQQ/8UejXaASZARmfTEVYAOcA5fw7VulfAt5Hk8EQKXJzTGBvk+imQ+PXKMwoV/Kx8yd5a0a2 Pv9nnT+LwCdUPgdB2lOdlBm1Y6zJYxktR85+nmTidPQCVtLILYh8XUOAPyUMz5PGyXbfohThqtM+ Z9ru1/9j5i0RG7iEpCGIR/5nq4C3RpH4yEMecHdDtm+kYxbv6D3BQ2+ivfat1q60T4gd2ib5WTq/ T6N6RRAgBzqkpnaz9plSxyFaNzae610mQkFncjtnSVIdVIuilQzlDIJvrrjMQZKbAORzja1RWMMZ QOCRbMei2EmhCg41bQ35yth80tLWaYS1PyUN4FGgu2U04g7E/4eegvsD2xq1hvnVUK7cdG+h6ljJ oJFRyqxY0TyW8o2jw49QFxuqg+az+YKq2D2Qf+CDjCzbZQ0t6Vvt++o6llLpPwcfgeUbWpuFYlnx p04wIQuPLd6MdSsv81SFzVjbyOxdhFeaflumWYdxzCWIUFGo36tOcMLdU09EwJDmp4d2xkqzdjSa jvcowHL5qmVKNspn1lED9fdfUIkEWpd/dPYrcgu/ygAb+9/UrIpCJmRf1jg+VUA2NE9g7UAaag6I sN+WNzQZSyzZcacaaVH+ucNXgt1GumlDk+SCZoL2Es+YDqOLJE2+HyAFKE+1ASypiEZZJ/JEk+8c +x3aHr+NnWbv1cLkP+M3dvhhQ4JRMOu+C5rHFJ6Ui/OOi2KwTmqvc4k/NbHoF15hHBGGso1/woBI AeaweMxBseFENVeeSz/EdIXal7b9hd3zEHgwEJOWmNJR7/Ww+637HAZ/8W+oRCYZAjNfyOac/0xU jlzEdDJB76udHicla6Ta9SbKFpaI0lk3nsCejB6MxHSz1tSkYaexLIXPuHYGazM6sxhsDO1kZMCs DICrgF8RzOVkyzpZ+4ghAP76ByQZuhBJyz9pG+caX2/gCmvrt0YUwQLbQ+9cV7gJN8wEhBENTZ67 Zb+kZhbmbkbnVKIE2fjTCOkvq6S2IzmwfYg9NQX5AutMZwXRQKiJHs6E7/W3W1xZN3ogV69e5OL0 5YQ2gFyrdI2x2zpWVXM/huuOi5RA+y4UhXBHLywXLcKb2exBIJR+yrh0f887yzdfFIXztAsSiUli fA6m3RIsUCSsxIipI1FisfrKK7Lvh5WQqlmARw14nZ89q9YV115eBdKX+3lafKGRna4h4G/BmLG8 gZumxgixitEqh1fvO5tobxaGn6LBawXrmQInIWNPwFJus4GqOuNtARfxn4tuBTqbi8qZpprIROOB BseB2gNDr1ngsbL3eUfMrH/25JG3jrXeeQ/kflspX6kWgTq3gKYOkZ5p3RK1Vpkubt0KkLRgPkpY fC38IwwM0HiIjrownUe0J0/k9VLkcLrRqA6RAZerNzgJZPqc0M5E0IocfN4EuDRQrn17b5ZXhSu1 6C1nHv165pPAd9V0Kd2vSbJn/U0vl3RjDhUwyi2CG7IITKnI3NB6y/HWK64aMoYw16WrDEw/GRjr x/pIbyFfe3Wj24kAYiK0swD4iIVyf1Ze6QXDsSjm+Ati6nW7drarwT3YAVPz9kiY9FyH6eE4WAcG gWxUxOf5s9Ekd0Wh81SgPgkWNnTEMVkPq2y9Fjbv4haUMwOOEFKF31wPoXEdhQc7rAXoCbxt/2F/ nu2Vqn2x/b6Bz3hnkJnXLBE5RTsBJtV5RJO6CiQb8X/lISLWqC7J2mtiP/gmb2d1Bl7jmcS/mtUt nr7tnmB0aAmUZCLHAB7dpW1rGeTgbWs7MRN4qS9fmtM6SvAKOKdjecAJGe3dLzjxOjI6eg9SywDO IAoNWx5yzy2LuG3yNJwyp+qwc6dFjcs4A6lBttUziI/Es0diPTUuB3qG1xuSZe7pFRXL9vE2Q2tf yFQ3J76BTA7lMVPT6OFUp0RqRVDDIXw+LKCyZqumF57zanqILEIsVn/ydzeTqKVCB/ptIVa1UgHS IdDB3a5MJ8pFXjjn7IhRrlznVU4hYlNxgtsu8+/A+VDMwK9LneK8yGWQdPzDnJvKpwJnZjB6OAdM Bxc7DR5tpIpjyb9uYZ13Ta4XpbigNvyNFQrJJZJUz89UH1sbFdAN4xhOk4xiwpV5ywiCUhv9lS57 7GVv496Mf8XjSj9Q28nEqrHx+HQSxj46WU8/Ymj6LB/ehmbgnFXqdId+IstaLIg2OIe7Pbs5Yfus oQAS8tZynBdLPeVWJceRd99tsLQb61XnVH4nhEyRPAXRlWoUcFKcGCxthSq5QNsZiT28rVnBoUc5 vb4D34TFW4843w8Mw0z3ViZX/WEk6xYEnZSf+KDojA7uHwNzglOoLp9t891mEJo0wxdYUh9IwjZh O/SnwDU9kXOrcuqXg0IdABD/JiCPlkNSJaK9uvDeiSZH8hx5JoG8EK6dOlqKUWXQ2aD78ghgVA1D vezKyfHcpVb7RVlTc2BM2pcg/dfCHMHMkxARFPuf+ouuAbvOdIaPhGWCrqwTrTNescYr3LePBa6T zeaYjF4s2OlMxIIxn8ahFw2WlVQQglqFMy1ptkPuXnIPud1x4mhRJGb4eg7LcJMxPIc/7+YGZNny CmnEahhMVO5kxiOcM2Fk1kaq075JvZzdWfxNHt8lGeLGyQHdgwy/h96FHcytDZpLiHrq7bZgIYgf jcsfYM4VT4kZhLidNYkJFHdqpSJBFcKRTqNkAqGJM5wk7a37d78iT6ildhRJq/+qNkEXuZrDV2Ak 1tdEVFDvJdScpmyJbHpOZJ4JDxQCyUUmamJSV+GH+H90o9VKGhzgYJYPxG67IAox1+DhsTis8Tcb PPmnE8fLtfpjInvsAgufP2RQLs8IvjEa/XcXaPsX/sdQBz3DY8ebfsBZStHTZshzphXT8x9WLPTF uYdtFv1QdMY6gk4XS0NRNiJkRFZ5fc39ZXwgzx5TPWPKrEUGSV04wLKz3/4/ss+hm5G50ZL3crB+ mStqdrutEtq+LVXeoEI7keoD3rfCkxffaNWirggETTSzvJg/S0GAH2WEIjYh960j8P56T05PbJzw LE/oCrlUpjf4XIxfQMa37FvY65mXz5ObAROEzDoZZAAHsAfuUeV6s4gxJhLIVkNeTQcL2upNk0+U ksKZDXIoAMF/2UZJboBXrGzZSEzfNMlTcXx9/K/8QzE0zpcWw+uVn+uNbdzjC5+DaS9yXBINzfKn bvV3UY9pBAt6vGKGT3wAjWN6gHplJUxgy2xnxbnm7NfBa3dO5QTukFYiChKiS02NEdM0S3N4Ng4G XsJu8QaP2/4lQ9n0LV+bP4J359ywv1zls1CpUOYCL1mya871oOYUWaL8pCgZStfeTeyf+nrR445b 4KWeQfn87f+L+qbXRVPChANl+WyAtQeZZ1sOye522UTWA5g1kaquBmxvzcmXy642xEORk1jXC2Xl 1Cj/n1B3aQ4cJ83yUyLiWpiJ0VXqsdhqwmuR3q5vgUueTKXzIHAVZKa5GSVjweFC7kS8jpeDpL5x vzfsgaNTEy+CnGaY1w8vSclRH3vcIUMpNcghqj4ldPdZMoIJoCJOJ01Nop8kdYulCU3yPTnupanQ ZYrWrX6iNY2oJjm1knaL8KSHbGf0mz/VrbhJJBIC2Q16xoOE624GRyBKr4LVvsz7GAAlPL++IobR 00CP+5d3KEsXKhsSPan3LVhVM0hXYEtUvWNU9MtiTSfxc1pSCLmEyrPRz/YKT+lu5pRccrN6JkNy bXM5KHWrrSJJFfW8/Wogyqq9t9fpnW9ZtZ9+kePh8MQrGgT03xEVaIRSSWVQiGLxbmgT8G8Iul1K PM74o/Wxh3IYH2MqzGEmjZ6fQ5BxOKtzd+ddDRTvQLl9qVx/fObsIVanzG4BxJYb13/ZIIoOJDHY 8Dj7HxPNYsSno0IVb5n1yVgeguK5ffcYbFIPaxH9/1NutKpxxOhaFpI3XA4XLGZtC5SEMvvOanSQ /2IJlOYB/ifBSxG+ixd1ei3kBVW7BzNGooILtxIGnhYM3r94A82q458CxStPB5FsaxZ6xEePPINW l6nybVb48omMRHhLP+IuCpDH0AizmrKWO+V3qHircstgMEe7zkKXGGbnlhNZsQFwX0MppANaCXhn Fe/h4vTCiOEh/IN9Q65ktDkiaDLCGRPKnFcFN37wfoeVwcwmQQEyZ9BbPtNdjMUqizDrqgGOhNqP PJP41EPZjHa5Wc3XeOapl0VQvLDUBUAypO3IrOVy6SpQTqDXFHELv8JDuguGBNbCzn7194xnROHE K7Vi0mMofJa5/uXkbL0QMeavWxDVuNksBhVs5gx3K6aeEFVeNCntW8CHaCVZUFXAxZtkMWI2KfpG DpZQy/sJ2S6sNynoNWDw+2uNxjkTVzy3HVJyWl0D36K0YdlOk9/EMCQX9fI9sAsJ2W/MuAxb+mnv Bkw/MhLW/a20OT8Ffo8KgaIddYYLW0PFxfPLD5fjz7p50ox6+24bYgwajFQ1Z6rS/JxLQkXjP2hW qd517dZGKnT9p86gDjblKg9vvaD6ay8umo/JKzRufUEzIu50kSNlk72tTonpIhz2zedf4u+PbIHF 0mkuWLjQzmUY+2SMGEFR2RGj3KlI3WT0yL0At0YQPx+6ChRvMx5YqaT7zt+u5moJe2BbMNfWLjyQ sU0Wrttn0TubdWdX097FWHVeyq7kxOJBb38kIgv0+F1U6gMEKCdC5GtEgHUOXWFW1yYs/286Nini MT7yqHV4IIpDNdulfF5kdRv/Nhx0ajXV0Lf/BNDmaWdapb0vtIIWX44th2j2Fs16vYxuseYETi62 zxAnGoQiHKjMwO5OLA6jC5IqXboHfQ0K25F/T7TeZeu9GvUwdzB9vJOjWeudmDKNlaP8cyvfmQSK 3Tg8ruVf0Qrk3Rp+w/ikbf3nfasi7xn/yi5L3VkqZmIGEiZQcUDrfOCVs2OTOFMUzlQRR3fl9PqU CL8eiqJ06QP91PAZRdadlkHvsVFrpcyPVHNaMAhR+APvrQun6YY5NJAXgYE4/TOqUaxOurYZVPes rX3CR0lMXCuiBgyOPRP70pJfwFn9RsiH5FbASaghSj6tnwt989eoMtQPwPc14C29OhOM4JPSEoNT MnP8760OeJEdorTtSqe6Gy7YDPk1Yi+eEgfu3GC3JAUPgoB6SkaLM/Csvywg89gaycddFHgRScy0 gWbK2T93FvmN3LC+l6CK7O5QpXYjDBhPkOx1GEYuTAGNqXoWSy6YfpzMrCs8t7p5AfwY3La7Vv2v 8pd0sKf5Oh17Anb1ZDUiSLUDSTkWEG7xwO/dz66LJdMLX/H4zz8r7bQqZMPnY+PP6LREwC5LYKLE bLv06VHPRG5AaCam66v9M5ez7/ciVQpaFsnAO7nuKYf03UQcalyyP4ChjkGg/sxbdUu1EU2x/OoF iyN4Xdn3g4QYsmFt0p4t+dTs7Txq3eDw03amziC1MFZmlgVfuo4wtkJoU7CCvv00FpHOSG3gFVRq t7S7fBagjscvvfmQuMabCJN1IjxGAZWqWpGmZEUicKr1F0B9TvG72Zi0NugO5+r8RQg1CtUr3JDT EDejpqHItPkzP5kAUPhpdMnuA9T3pr+EtQ65I/WsCBW1Su+k/Mf4UfhjUN9DjyYD6ytgh2tlV5Ip kZxS9eZgx8eFSuqJs/vWGHpYg/7XbK8QNHJbuS+eRcw24Xpn3Tuur5cVMJpfddHD0EqjqULsdzDB HVXQDL0S43SzCek8gb8J5HXno5L9HRhW9URF5S19Y78fNR2HJ/NzG7R9O0s7S9A8dz1yMhcvJISN 0dR9RXhoDOSAHImWUzd8r23BPGTHj8v4rsT8hjOcqvxCgYnFF8PoqsUUvOxgKjWIhZIkJ+hVH6Og mjSQjETVlyN5dvVTieBmHbjQjA34NdyBlBS+H+XnT6H/TxKGWhcdbAFVVy+C96koqcZ70naQ+z/U KjGZ0rtHzYTpF3vwJIeHifI+CFGZI7ahmlrId1nX63JlORwHDSMmmjg5dPaS5OQV/HrbUKn/Cxzs ipms4MW+f0kVQ1zCzi3zi3/sUMC2rlJ03pyz35LEjn7bRbucf42/Atw1iIF3XLn77LnGCaVNGSG+ RBl+UE+cHHGju6p6D0nfRxeNUo1mWQRSjQIABdCvGvjb+F+UODtV8yTGFuFt2oCwsLPPuWllBEI9 EZhCMzt9slNLjk0c4MMmONLIe//qyM8EKh3wdTHKNa9W/iLnWr++oin1cP3Oq56V3PZwwFSJ1SyA /ugX/z/e1PmhU75PgfGiM6ZYMSO5Epc0qKnAC56ZERe8cIvWxjFsg4Hh+YwSri5BbIYPc5eYeUg/ Hd8+EaUUAkNhnIu1uQqTFkheuC5maaP+eFQetGCHIzxsPUSiHA9SQnPIjUVNJlApagXcLYJ0OtT4 laVyY1aGqDDRRAOp/me7VXOxUnHcL2DWf6JtfJ01eLGgQlf6WQshByvOX3/0FhKV/RVVxLBlRqf2 nGXPwBveKcIP6p56LkB0Ag0PDggegrWudksf1OO9JikNLhhKX1RfnADBwxS31xCarJCaj1xyma3O V7yFyjxMRuPWStqpkggM27QICLsnSz8B6EmVoIhNhyMk3pAgWFyCF+5do5KV+FAQfDj/45m9RL4r RbP2B5r+p1vni/LlXzRNR8stOJFFMk/ze5L44rBIdl+MWZjfDn5nNLyrFR2KrOeN0WaHyWr/kjgQ OMbW2y806Mok7HRZkbfDxL3r7SlZVvYiksAW1mEp3F7aqYfTWoYi0tR4Fwfymnyq8FbTshIZa3Pt NnQhNGR28YAIkpQHw/4o9Ql+dYwvuJMDJKiFpBL4CgBClzRNjS4AoaNbAZDnUxdb7VtnE8TTwdy0 qp3TPsjkPHX9fF2WwJKzhPfdJH7DCYGBBkfzx5wOPPBHIitvy86UgPNIctoq6zliIlzwqId11y86 i25C5xd7bydaghbyti6zNXbnLfa9+idy+mp2sloLt7kpziNEpDT9CWwbCO8o6CI14q3I04TkYbEh YjxmK1RVOl+rVh4S6DGpM98qndF4UBLT3oQWc6mlAzMy9kRL9MvSEju8ewgA2P9VAJV3XK3uo9Fa 1t2IiLY1cmbAoYIMQk0iAj3yyRAOMDaPDvd9YyeVHp0v9Swjrxd6KRDxyDXQhWiBw16WQjqDdZxd drdY3VlpF9sk7ACNnpd5DZJkNilPKaGPvY+TsX0I6x0kektvT3zKQArDYQysICoC/4h4gGNbrSii VEW2P8BSNQtzGCUGE2iSCigVgt4cJTx22My0hywPAcnsp9DM5ZiVOthI7BXc5hSXrnA9v/2rwVIl uvoILdQ6NgOjbTKXRF7Eb/qoGNBERjS8pe8trg88JmvLW3WirAQ+ENSPDBulbIoZ3lx0Es2AcaX/ BHeYO/iqCBoE1tdbpK5PMzCn4o3yVSU5yC71nuKlaV+rdMqAk6+pySe+QCO+oJ193AySq1rHJGwW TKbMUSs3LHvBLajp36VtIgOjh6gxj1XmFbZ4JmaTUluF4M0/93hRUqa09vySsfOh/L9CskTPMnld rjfubrBAy0IynhJew//L/Xn5oFwP7Rr2UfHX2yhcNS/oBTkA3OmxfMeVmcLi2gHkiDHZAWjIetRL 8dj2jer0nHOwMxGTe/w8Mt0LSNLIMqI0eDkuS0uwC2Z9Jytv67Sl8IsdzcNiudfUOlfS8C42ustU tCTREhuQFJTTBqTsNFtuFF4BWeLtz9T8TxC9837EKzwfbBdeS1gc5kmW/96gApj3JcLmD+i3psgt tYHZp8lUtSgca3gUecE+N/T7mnM/nrNRfs3H9xXloUIcCg+2NuhsSF+DxvMk4yNjdooD3Br7HOD6 0J/Dbg7J77OBxqD1X4glKJtpXrbUbYd6AB4oq/odvqUqATWa096kli2AmNXkE5BrMsXI0vSF5clp io5e6SFLDJ0285/9klvyac3IkDg0npNYTYBd3dcnCRbvHfaUGpy1FLg9iBq3RvV665gr+qXf8E3R UGdpjizkVwWcnANMePY8EdQydy9+jcea61hcKyvUGJahXwG+yhDb767g6QrxgJI6qMzOi5DMdLRg k1CGhmO3EHXB/gvYhdvvYmwHQK7oyEUuu2Bfy3foXFpyz4jKO2sMnxhHwvY3yPeY9/TXl1COIP70 uj+Mxgfss/F5wQ7nU6bNyNcfYRH4iFFu8WkPNGun4cLu7oOPGSWq/aflDob0iiX4Qn2ZTR218VCB 5ziqDdUN6nj+Z8IC+UvkLcR7rL4o4sde23RZeh0eOEjdgaTnGT738SCVbXlQQMA/pUiHFirUI8HQ +H6AIJEkXRcomeFUQjhyDcD9/1B2YMzigmi1F9CXSSWRsaJI4SCPc/dbfHvb61SC8bP/HLlU63s7 23T3FRU9h5BDdCTzJGiok9umtxR2RN+z2Z53IH9R6UYhNNCMNVwDU63EYtxz7QLPOM53QL9cum20 +ixyBIF7cBt2SxlUUox46I+mevNCxyIWE2prDBcgd2jvvPEOHB96JN2wwThQox5NCIuR34z3J7OJ RUW8HupV84FVQbGwsDYQe7XeMNV0sA+4FjFaSZFnaLHz3GpNEIq+wf4wdCRSoWRH6i6jOcfLvf8G SNvfxcj4/K/TngDcwS0dkVXjCPxzvkplIrju420s9IY3bGRXf4Fz63nOSxp+R3QGlehD6o6iYKwm iReXW5FARxu5yg9sJNKPJRo0pE/13rflBCVPkyxqKajUJdZFuBJ5KWjEm4vAAe28bJnu7kxDzHvW +tWq+Xl86YpsLoo+cO7QGatxWdtppkSv8YMaYdfaPS3Y3i4wmKGbR/e2bBk4Z3vlEfDZM4x/j11t GwQcoG5w33bBMgA8R41xbjApjv0Jgt7CvzVz9HueQcZ8L3LueDvaCgaB8GRhlOEBujjdfRQgGvlm oNpEUCnhAEzWGdw6o5RBqp5q7xuXslnHGNjRdfkZR/0P2gTibrRq+RciSSO95D78Oj+4+gGX1r4a biWW6sA0LDVhuLOtFxv99gIReeh04oTSHMRMBplfh7NWe2pw2Ai88txBmEMevFzyg6e9T0bi06u2 n3tawV9Dy9WppctQ0VQuB/m6fiESi9Gjp7S73d34pltHJwvK8EbSLpCgscHO4EgXN1Ndt56yzKwW 2PaEykp/ApSkOdhTQUf3mWaYRLn4A4cpdOvCHJGweBGrAxwOZPR4ovK3Km2EwIG3GsyCti6AunDd EhL+9AVFP9DZ4fEUj5IZoakGGC8sPO5EA1JeFPkiBatnitbXTmdNc1fqOF2aQEnY6OP/l35ghpAH RiFalSYwcgiUYxIcg7Dks0zsy+YH+wHg0z4yTTbW7/CF7nAMUBtL5JUErXP+pPJhdASqWU85Cqx/ uqhS3iSpb9CsJtJgfC99Hxix8MUKanDdK+5TLqzEjl75wODIrjD7UN7+Y1rcYSK1iwRIq2gerLTE dymgaHXR/i2IDlmQ5Cy+Ys2G0qQiUizAZT5Vi5DELtU6kahmW4W/ACPeRLU7s4d1rphJGHLe657F jjIEPqLdPU0+eGzdalFypwuvQeCMRkM8IkijlfyZfJV02IM5y7OjBoshKb42fw3kAVEddO5PEPSO 7wB2+nqANhdHUQm+PSe0d5jxXYZqQJejlmhrQeTgDnAdIoBUsjtM7EkYaN/YKQKMASCWLcXhhp1W lFeoH7jDjuhYAleWqdk4eyt1fJHJLCDDIKXdqDfBhKsvetqAfS2roYkssopf1ALWiml1QWl8yp8+ SKdLnmNfdViQuET2LEwWDz0GDYRkYorLmQPjnnjv3J3P8fdugUSfoJ7rfkQgv/BVOtE2PwiSA69w 6lj0S/2pf62hbLyjsjkE/+Nydhydk1HnpWR0g5ii4HjcZ3FDEPfKkBnXi866pfNTLFL6kFo7lDrf UmTXkMILj2+pL/f9FTbV9CrOWobMrqLFJnqq/o7qvLnBNkQhZR7VIom0/TlvhXHafnw+HxKZA7/7 ypmZMEpBytdrsHn2HvvUOL4fwiVEkOmp+XtoHb6ghoJsoNHg4Ysl6Y8ffh13m3EJ09BrGbeYB9ly IY1fot2Pl4wmAXVWicY8laaV4sqQ55tyk4Yq019vL4y2t/1gi/dPxbFHRH4ogRYIFXxk7x7VyZGU zr9lzweHKHF+wKkoG+kHfUGuWtg6F47bz5CuNhIzkxjGxi0DHXN07ZK9HXqKPUfLZahxnQaVx19z XG45A/hn+WEWJepbh5oR1vyK5lEPTPxvSrISkkXwwAwtgvzy7uYuMLvq9lO9PGljdtfKwy0qfQrx 8q8h3VXFKRF73TrJ4jjbNA46QZNG5xDZj5ElwX0J5SSBqR/J2mwloy4TWQle4y///mGxjMvaPNss viKI04rAuIo8a8HGXTjLi5w6CqY+okoZg5KiYtzhqvUbGNsfUY1rIqWdUT/SHhh5cVHMu64lxfQd iO4f9K6FmpQ5QstcNuSg0GyBacNXiHTyWoZtAAgkuoEShwMcFQS8Xs92D/PGYuEUvtEc3+0Rn2su 449bkPupA3S/j06W4quaK0IVyGLw2IVM0YqjSxJ12FBsvRe6OGyFwqgllNRMZQuSbIAflXz9uOKo 69zzXXRAeH+zRltsAGZfWZWH44PvmlchcMusV5xPht5bjon2o3GjmNHpzG16Q/3lUJP0u08GG5Xq 2UOAqPfOw2Yw6KK+9Xlms5lRimaf9d5hsoV2H2nz4fVuDkgEsbBkgrqrv1GmxvqBO+miGRa5h04l wqN/lsrW5XpbFLX/NVvlti2mFOtl24z3WRKYBstfxuwgmLdzttEyobqvjFFfJ2FCxCBRvBlOtzlX iPrmdMEkARf+W3bS0aDt45ncLBTVidVPF5oLLJW3oZERDkEKmCi9ymOmUSqM+huQdYh1Z6Y5SzzF VySiRz5GiwmMpg9ToroO+ceM4RaeGNsdkdPFbbCc9k+T5c2LmQVW4zPa9HOwSGv1sI8Gvzknv7RN 8paS+hEI5wi0ItxeJMzicoO7ecOs9lrszM0v2otKMTNu2VksaK0LiLkN9woA7ZPdhSOw/mW+pAsn hxh86Rdqm+HVzxZM8ecoFt3PvtQanzmzP+QMk2vMVXlnHw9/RLEqt0jhgEMulVI8gaALhSaqhVWd wYBJVOxQsT/wKyHIClPnA/0ihe7xRTocA3yPiPOAbuw5AVK6GueHPvUEkwHU80OEnt9vOOKLpVct kYurdzwZ22LJRbmvZB46AybfqvjsERRt4hHE9j9/K2/jdICBs22KFZoM8mfGPA2JQ49nf03W+/Vp qpKaKLa4MY62dZK/Ex81egqMYgJI+fEYHvKzTcLKis6iWev3b8/Mj51l0pGAImv6SPu6jZ0//d2r wWTq16BcZH9HqhNXmEKEU+BXkx5rzLTAiy8iTZK2qYfiqH6tL81vXVwUZtx7nvFYvORzmGo8rHCE HLukiZ+sgHDDoOuk3pu9gI78Y7VQSOQSKtYfP0JfKSRUsAn72luA+EDnOzeOA8RCt52YSy8H4iS2 Aw4UA/qYd2ASbDMri8uBsBbMdzogPT7fzgTU3284WnaB4B2FC4q4wGB0f3iNuIquq/17tVMd5xYR unY8s6+p8zm8az455CPqMDo0O7tcsV5LhfhaL+eg5cp8sioG0N/tLJxQfOQYpflqEa6vrRvieZu1 EwRLg5k+3DljxmNASLL7UWTIo/bWCegYb/4uCBW0Bjh1GuI+AcDSPzg75vlHqDv1jr96PBICSM0F dPwUGyp9wEFanoW5DEv82Pn3qszyH2rPoD+DAz/Kp98puBQuJkUeKdZ+RfrB3BulOHS8cZNXPC5F dJ48Cyk1EJRRX9IOeuo1/hMSYwXnwMATyVeRSXYDVuOa//Ox8NHD+70wETmFvNb1qt4+rfvbMgvD mD8viPPRM7TIz2CRVr9diZ73papzCRrGTFlGgB1gb6UysfDS25pJx7FYigukJT7ZGWNcioQRhJYZ U7JhHP78QNdLiP+Wb8ivVgTQAc+po616CD7vcz/CmonF0EmrfYk9BbFiO7xEitwnZRtD/DyAInGM j6oVk8fN2/Sqa5+SX5h+SWXKao+EBSTeEiMLJ/jSljd1Vt7qC7T+Cp7JMDOYRoSmYJ2dLkmxAV1a W3Ppf3AYczEhCF7eaEeHtaDE41gHUfvDQfX14j0k7VwXXygbWVFlodsIHHJhwoMs++8KDu9wQLbZ c7u0DlsaZ12oF0zAnVSVcFi841Sr9FbPVG3H1FtbC/nTprIG3H5eKjuqcScxCZVKYZG4r42QCat4 4O62SKpR+n7s1or+vzbGdXTjT+svZ/LRRFZMl8Jg7pDQbbhDfnsa3/7dIujOYNqHSWWwFHgEUO7f 2qiocUqmtTloQOJwTMUBjkS8IRQlzojX/b8lTpT9QGNUZhXdS7FDBi73ATquhermx8ZeipGceZjQ Q94v+2p4q5SN8ytduOt/u/z/YaZQMHT2B7HB1T4qyaXjQyrAsvSpKutaiXKAVIpz/XDbJbzIJ3Jw mfMQwMu2aZ6fDNAEDB9qdq1Mo5iQGqrOL079PVyp/5fwjnWg9jl6SsF0gZOUEU5C2WEA7T2SARNF +0GuO9CHZ6wrBmaB5Pq93+BadaMrINvWGViviXMvKAFjjiDXciWk6ERgJRVhsZl5I4/67V5Iz/7Z HfbvpIYcqDKFv50TYLA8VUph9n4MFTTwrx8l2se5+ookrM0nKpx2Mdvd5C0h4iLszR9jEqTD/QuO uQJET6Een4NrwYk26E5BhTolYY0AVFdvVbmOOFVEQgh4lL1LK44wgPBRmhvs055YmCdZb0UQJ3LN 2r9YNeWTC4gcThX9H2LiRSf+KHGavPvvle+xksiA/7rz5DgZNMVUqxobIDk+onjGTdpVcaRCkCrT l1QBx9jst133dFPP8NIG8+nJn2wKSx5HREQp5V1uc7/z92jns5yjIDEpioN6x8haaV+70PqrMyff xox2Xu+aS0g+4aUCZthdckahzJq+tiP6tj1oxQBj0vsKjvzY/F1LZzpdxTobePXpkS4DtS3z7T+Z MEwD9ZARixG5MI+K2iRPt1bz/Wwrm38lH+qN4FZK/Eu5gXNw8tWOU4bYOsMg+3+frWCuv6PJPNfx 1PbMNacnpJw9lkejfWGNTC7ufXcYC+nY8JnGTLgnQ07kGrixBPggUR+YBlT1Op9WmEjz5lI6oPe5 HRk5tf6vGb12mvisR1X83ENqWrpZPpYj2Z/YxVV1YMCDDKigX+LwQ6E3WbnAhS2lDrC/Kzz5wyAl 4niGqe5GArmetB+dKp2YKCYWMg3mbqpfZcbmNc7xej37iIV2Be1/dkf9A8GEHEgyZmKbxFz2PSUq 5RI6+X6CHUSq4kvxqetlUQQ/g3d+bOdB67Ss51cZcFSEkY3nqbZAJUqnZ2p26olWMp6jU0peiRI6 5KYMgsJtFH6JG1PgjRg5Of9lAmsLxmk6wM5D/0YFzB7XyexT98dsTTTXPEb+NJWiHhczwOnuJHzk 7ZudvVqLBXozjFFgPnX0G0BzZ8dOwF+asScUYLiUHMqpFNw0EcTwBpz5ocMSPMtN8x92x44TCvlO QWAeNZ04RRNmB7r7j7liABS8386n8yFzS02wA03kTo5ixRSBson4BRDDV9Onupt1KQZg/pNprb2r bd+z42MnTj6/Rsadvuy1gnlBEnULglakUMYWItK8bElFzhVEFtDRz7t7QPUfqNfbJbxJziBj2emy sPK1Xnl/ToyqjWvte2T/6RA/xpOPSgSKZzmDMcnt69AjxOU19IMQHDRf4TNX6OfZsQ2Fi1AhHvcZ YPAu8R0vGnvKcic9bvfFDJw456+W6qpGegH9tl4V3bny++Lfs9Dsp89sOwkrHT4/nSy0IX+J9Lyk B07zO82l630ugW3NlaAkeHN4rOD19AMk6GL7Gorp+s3VZBnOgXAQ0g2Xg/faPslomutNJ4t64D4g cjXSee7BES67bmtt0kmur/wBJ+rczstMd2mWNnTUthcFpTwi8u3SIpoSncAi5m2qsJ8f39zY4qfA nZm0XWBOnUMvgjFB7du1tlkN77lKWDPkpYb3LgvPjoghjdkC1ZzAP2fhwd7RXFvg7Zxm0LmyRCup kBl/ttCg3jKXPeqLUWk6ynG/UkrouAKJakDNRLBWDgUoJgmPH7TCcY1BpaAn2YmjFBnIJQfeYtln 6vY5kGJKnYFMXixY6PdQ5RuCl4rNXwtI6BkvbOpiOUPFPRfhs7DYZn5OEkSbIeWoAJZu2c6AjcxM 41xv2i9LRJKRWQb0NlIBeI+LPZdflIcIJjbwNprETqcluzeVLxviuvBarAKKCH4sQrBDVU2BruNK XW4lGQ6LrxJMU+bsm2SrJG1FiN5Xe+y/o1ILYV/Ds1H/FaEK/NrMqGa7kzn6rwuHx5iXMNZlOUt/ 6ClBvXhwzsht/PBTu66odgJqo7fDqygTsV0i6tsxDMSnTeT4nZxiJaGcwZzT1V7e+bHoUnrfpEoY YJgJDVrq/XCm2FxEuVXHQEPXE+BilyLLLP+K4JPZgeRiJJTunVYs5/i1HMdmyTYThkgAfa7YGUke BuYp+FIqxuzjiKH3Uz+NXdL2Vhydezv1M24t13aJvALVZt/gtyvXZxHCHp4WSRxbcSvVqXy246+a ONJKLllaH0rRgVpnqbRrqNPZRX82lGzJB0Iz9+WTkoAIPuTnEvn/Gh4Wcvf9eNZfY1DDRhJUf7Fc 9YaggJZrgRJgKr550JE04jyD317ZVH7eVHOyVRP5FDyiyoWMxOLfdRuYK5bkZelXlc8LgE3gTXgd EBpIhiWTA7K26nABqSAUV/ecBKZVrmDw52ZeCDUJO9ZFxsVUhE1BmFFMQHe3qBJcewfG5KLPbJcH JU1GCA5s3f8Sm3jJaf4qmWTQAFnQmGBfPWh99dUCUDsgQCRDT+fn0x0rZXsDGPODtHmv7rpbzoEH EzZLmwK4pRksPChlSREIm54YBlg6bsS2hrHT/ExkIYD0MNQ0GHCYQ+7sDVwO+AWiVvPX0I5wrFf3 DleWrD7+AFJiOUW2zUmYEU1r8MTe32dxmjuN3U3CaB11drE6v5dIxKcG3zYcC4vN2CW4te18wSzW fPkWNnhlzynM3lcSaCIhIdKFcD67W6f7yjDQ6/EHdiDRrTYBhY06rFVa2wtgVEbcR7C8r/c7LvuN OLEViLqfq46QAqJUbzA7VN8hdGtxlSjqmxOM2pjxdGpykpnPfyxAFyFnP3o4qlEU7gLog/Mk9Mff 45IrdsmPEWFcsj/sJ3IRZdX5BGZgUg0f84L+oa82D81oKwQ37xLTMSV5Og3SwY05NMVsGCTNnqZm 4cdydaUdg9Vjm0e+a6qxs0wuaklpQ4goxikV9JUDjO9Kz8k7y0KZDxJ2mzhq9oeQXkAwyYdAnbOR E4CcayJKO80pnUTPHOIAENQn7OSCDcIhVHVnHlohvxv/oo87rUjuEm/oMIdDMVoIqZ67Udyyk2W2 jfC3itgBeMuocI0zexPhqCwvFZkSgB/9tmUySnhAgHnZzL6Q8mhCrOyf8D5aYZZKyvUyZNEvYU1I dKp2hR1TFpJEbxZ7fiS4wbS2XuZGyvk3iX6ZvK3907oABc1PvaygZYpR4Wns5RYTLYeB8eGvovoB Io5FQ37eLhGgFobs3O20kyS56H+0zTd/12fL08NzTsW5u6j9f0nMqYkaG2J0r1xn3xZPOvOAFksU cLz2dMDexruNV7/SrpNgaI4sVxuWmuNxXG2Kl8p1g43vwKiwafadrqJLYRDah1HHH382QMCpmC5y qZHGTW9CeFSf6xsJOBKBUFCZvpSZUGrIvOKlohefzINyzv2I3Hcw4Yv0uBnEazGVbPztdzN7QyCA la0iuUFr9tZoFvgd2EOMJZ9WaFjWpiOkJU6H+HLYYbqehdT1jg7A+av+fMEwXKdhgLf0iBIGYGq4 CM693iC+EutbfzcCRF6NFoNm6Kw6klyP3IeyhR99m8sGEIVzNEcz8OHbffhYtWe8HQAYfREgeSBD QB1kH/Q0/V71l5hYPsBTGFIG2IgmYJvIvl3OhKuLx13ANALqVO8n+IpQhqjh4oKA+1hzCc2eCRzI coZQl3m/4h27WuhiSL5CMYBGKfjt5896WlgBWszIo7LHkmx8M0rQGtVk89vl6OEqljNNyv6XPsnm VcNABVDD/F+/B2RHhl7to2F7t7PP2H+Zj4UGV/YqphfiFyhQyXPMImZPhdp3ZUm81Nrh7dIRQVmP BV8fU24+WynVdBAy0bURTR2Txf/qHdoQQHMYhI/J6/UlU/xBIEgmpCNkWRCDERm5bG4x4QPBdOCl fe/P3yqrJPqRjb6Qd3M+rjWMTli/YhQ0iZ05+vNIoHXW5SP8b92IQDUEnTm3nKr1oDhSBBEg6Svx cA/L8twfLviKryvtDxD5jsrzX5Nau7QScK/O4OSd+Gs1CZcyK3vwBOHBZf3icfZuit68ikVl32XE Vt9b7ROWPumiqivE9CstfEBmrFx0uXnzwnVH2kvqZ4DMGqXCm6R1k3YXI03ab93sxsJXwVQniQeC Ek0iBHA2RXdb2zHB/EpRvXcq4vKh+NKAOfJP0IKUdray3rayOCWPU9iz6NIx8s4R3E2AmCByE56/ fNSrkVAdeB4AvCJfU1HYKV6dBHKeKaLEtiSe+geOHttaOp9xBR/Lj5DZU7Eb12qq1zGLmph9zMNU GWqs5VuW6EUSt9QwFYbvhxTN2Jhj0oXkLJAvZjlRM+zuHdor9yktJUbIbBPBpwp+RjEAnR2qYqBO aRNw5fsmiiH4XGOqCNyVYgSh019BbhGxL2xJPIHczEQVfglwtlBjvE10QrIo3ruBPd3jD5RUtGY3 U+f3oErVoPQkGSC7bj+OsvsywtxdWhJgNhqLX4MJTp/tsMC1ZP0oqHCbKeSdqw2e5g1Vp1vezsnI cXFrFlpDxL7Z3OrwEVnY8zS+5XW3F5U89f7GiQA2MCtkNymG3wNQNd/ljfG6W1RBER9jZDgtQahn MJ33r7iBF4+3gRU0gcM8Oej49JtO7CHprv9K0R7iH6wUfhOSboIViKV+aN5KQL31hJVrFn8pHNKl fpoaUm+YNXT7PUwRo2XF1ZfhxwaPN6ZpMBuHHyhj4J2flFaDnLizxsuYZNX/nfHYptDk4V1iWM24 85twDHI8ihCN51bp9jQd6CJe3fpfxb+5lJrmrTy4rBeMmGF9T+On/kCbN2V/bDezJCnZMQsyLnhd ogShFqzo378GTmq8kbqR2YdBgzthMVgDoknkeuSbMdkbl64AvcQGgveIEVbrD6/5vMin2czL094J llH31piszOR0vTTuYETYspgaWeg3Ve5BH5oECQ2zo9oeZrhsScCl5kwRgYgBUK1LK+/kImZZ5gNW 8OTUGYo9GEjEofInI3vWlMsuvX8ICfYNajz9F24zNEyTTXW1wFsNu2FpHAtgEy5ZeilWEr6bJPMK e976HRBRa4bXbSQZSDRORaBTF6fiUMAPuHFZNHKraYFhIbKs55lY3cCDH/7Zl4J8Zq5ZVIaq4vAj OmQoH7YbvaKbo8Zl0wcntDRSamVn/m9xH3l9IauQgPKYUMY1U1QkFpOF/xbSKPwehB4d2nZcTkBO fgSg0QkNf5vnv/0KaTWo4mLxSnSuUux3Qym0W3s7R/KK3pkWkV282zWI7P/YbsqSMiRrjhRo2onb zEJPaVhosxHclYr2402TaePoW9A5OoGCT4jKoLQm456n6/fzHasUbMY5wwYKtfQBZ72YfoOqFZeA vojFNv+D5DM2+JIbc8na+l80Ul+bgnkzovZgnI9wq136k68UiN5UXMFThBScT5YfFWlNpvNTVZSo D7sT73so9rMOwFZ+8L+GhflMnSgr87FpSu+kvtM92FjFj5J0Hf3wmUl+XomTN1p3ylix7SVStFqH yeVm64iukTmZkVL43/xtq1Ea7sYXpk7Zp5MC2DA2GSC5Y4xgzne0elfHMXRKBJ0po1qfiwhdIxBp A++7z/a1TcMDuobEmbLgjof8wrbNCXAtfWRzQPMtBfn9Gh7+q17tYD83q6fJ5ujnwAh55QfJt0h0 mT3H5xUiqy0a0kJ7kmly6oKmXZsCwH04qjxgtWVdnnp3ndpNz2noUQEBt5+uhbIJpCN+L2Y9KqBE dU7fxVw+6AZmD54L2+GIMtcATA6clA2wpFNVRg5Utp7s1pilpyheVEALr4lVtEIPAIvGubjnZ8v3 WZSzFoJeG1MOepGGWohxdwjxscIl/ICy1pQ6M6Q8E45FcJPvr1Uz1XH1LboCIUKJqQLYspQckguJ NqNKR+ET9mvSy2JlqLzBTnKGwijFzD2r+1aUB/8q8xjAJC5F8fH9Eckndnf7r0m3fNMKSnig/bEZ 5fnefNEMB4eD5rEgjpdwrRYrUiPNIEOz020aaKFwCCG+EZEm6KyCfXEnFkcWovtG3fnLn78aQCEg 3xeNz6tGSKXnFMiLt4zAcEGXR5myezruLgZY2vSN5F5ukYEWFLpOWu9KLIkhLO5CqSdiZ1n6Eh0c fkljGxKgO2+TfkuzS2evQ03ykp7KUNf7blz/3RUdCEBMkrfeCTjXaxhmq/bW4WFcpj+uc0UOP6cQ rHq86l31ivodmITG/Dm4jULU0DSTSAKwl+VwMIG6GzcJrrd/q3RQVq6pXlry7fPAPQ5pC8W+Iaxt 47eztgVD969D07yNpqbUVMyBYJAU+Nc9KxgaoEghH43i760iPfdhVmxy7yUwR7H6Rwn/TLEmIQ9b YKCqOx6gzwymFVICOCC2OKKWNoq5nsBHmxijkrnLYnuTiym6KIMqrzfO99iV6RbnpTNbEUrTfiu9 0FXACXtZikpEKXkhWTI0R05G1EkxnyR5jmyS+Qe6aDiIg/PHoJTbd+JZvU/U5efSk98rPA0K+gf9 64S3KlW0YkZVSl7NhLBV8ldYYCTdRKgACY0/WY21PR6wBZ0vdHvpMeUUTZ/AoBD/g4TH1bBvo+y8 /87RpIJxwX1memSVCT7x4NfYHR3soJSvQvc5MILnqr/VYIOHsVUarc/dezrY4Pz8LtBeoHT0P78u Q/6aZyvHOTjHGlgf1atE28f+qYyxCxCZS0zCqw8N48YuRbEsn3nN1Pk8/+zvlTwet9oFBVLf1GCf E3hg43m9fnLqqUwSN6OX1e0ycg1lOeTjl5NGMqLre6HnYK+yuVX8D9ZvyIRtc0SBocfwlbnGvquz DyxztfbiOP4N0XjrGyibSrlw8yN4HaXfSz1com+SAd9cDY0OKLQu7wDjWY4VefjFkyyEi+bWWSqe TkPRfA82zSQmm4e2BuH6f7dT/IJJteCb7XUDcB8Hv6cRoo9S/pgzhpaRyumWaeicVCKGdyDTPbVw JKz9cHwGiAVGPMBlcLc5EMnWF+SYa5hQe+8UKLB8Ir6Yakf+4LFgdpuXcnGKqZ5omyWcme6GCyfB gXbhcotdolsvaqEGJtCU1iKoaTEcgaKAC6+EjIliz14OIB0+mK2iF7Aag2BhNTL0FuURolAuCre3 FANTCG5zicw9F+9F2TguRv4eCt1z7DyQTxkhoxfVkTTKeMHvz/5VrFag4bvrlUzm1ao1eQQMVRxy ZZcyqTiTl+SY+P0jefXi9VnlMbUBbwsE810POn1YjIp97Piujxv6AduD/TelkrNEXtEoR4lkFKKq sBHjeA2Lll0Kp9PekGnYaFm2AvjTMtO8Lzn4WBPPnIx/CMSrw/Bpxi7R3nDdeiZVicDihGyxMO1Z eBslRSeRxdteovLLpLw5YQh+H1jwsm/djxinsOfBpt5RLXvkcBg4zsHAda/1HJgw78CVluxEYQMj MbLtnx6scEZTjpcKrKAo6m45SxWQ9WRjkNEG/VnQ5L1kxHcVJuvFGvs1i+xdR/S7GK+fEHc46pQz IDPx1Ep+ESXveuDn38vi9m23JU86R4aWKNFfdTu1w5EQkwPI0nmm1yJ6hWrPXEHTUpTzz/iuVFco dV0kTFkA3F3JRb1toZ13IZeb1uf4u47UZ2oA+S+fcsb4+3nBS3FE1BHDQA17Uz215WJRq0xMp4ee DcyFlXhe8I0rzmRQLKanjYdArGgxzjbW10brp09UmSkeLQKehKOFDPFFchqZNMx9LXhNK1L+cxBY 1BZ3gKQ/CW2n5oJAP0FiTlfTFKDGNLSwDiGjm2GgaNFWyx4EobEVmQRwZE54pgEKNOsMfruNKjB/ xYMJh6MlgeXkITcQdbaixHwfFRzt9zjgC0bMoup4nnoRM7ozB+C4WaIvXeVbLk5QjRzYdsIt+GUM Q8uXeP05yB/KN9g8FF+0r/BMSN4ERg8CCIHZ6flBYqxeiLyCvBCgi2VsxTIXnp1I+BjrthRW0sl2 WGjpNHj865MR3xWrvi2cSAggvpA4cxDqt9W6T8bDOuaS+1dKGQodtU7cLkd905wEW6qtb0Fsx3+y iWd2VEU1fSSGpzrCC71HNLYBICYvpMtu9RyT9Ee0y1VW/xyCYRXQMuPm0MJCVZLIPIHqs9UaIVy9 axPdAqd1A+j18Mlxl3QbLsyK1CHHkEPW/gLL9w0h23NBZxTnWlnMXrwLj6f6IqmhtJAEKM4fTN9W aX9gZXASwgAmxllto4m/fuFOCZp/L4cG/ha5h/ViBpsgmihBPEybYIvHihIOxDx5NZCr+rc1UBKC XQoAtR11pwak7FAwUMs4hOIsvP6fS7TDDpICPBS0ocHqDBiaOuI2bptdPPwXU+BOhmXMx2mwDbQ4 SzSv3Dd9uKDF+WQX4ODwf8njiJZKfW6s4b1WVTBHgIJ3Sjp570lqcRSgkpgstRWVZaulkijYsdZx KPoqiPWbfe8pHkxkWV0rCZJhm31CWRXH0+cNjlx6CrLGGqnqUPqmNdr09urt0Hadh8SQkgWZhXcF GAwxsXRNkBhz4rUlP2f6O2T0koKpbGVPXfNqvFGrHEpHTvE8VgCmsRzXScad8lcPRSw3rbUBlKQj m0EzXQPVzbKg9+8Zr43Vkb90oodSPyLNGVa6U/68chnfvGvsYruhPnB7DCD4yloZhF6pAdMi1bjO dAtLHA0cdug/TY0X8u6IuFYQFtMuTEpuY3W1gMFVKkKoF2fvvkVFBo7zl9dZhXId83VRnaz7aG4Z Y4MG/5skQG3hNWLknpQP8Sj2eiZBaGE8l/4vK+WGJJabFqhqzSUKdiGIC0xQKZ5FWe4J81hXQLGM V7TAIaz1XUfc0elc+epW5o7v5Ryb8gZnFD3Vy12jart1lEhYD8dlF2eEwq2YGqobLvo8a3X+ZdEN OYwRtmaTcZSG5AGvhqE9uTaJgfxZfHeITiabK/uoDIo34MYZ8t4AwpXahpvYyCvMhTQ/qBC4DsNk 8wPLN77/7XZOJITfO1ERg6ID779gblREovmoY1hjlQOvj++K197LztsRQum9sBmyKliEEsyiMutQ sklXYGEeDELGnmj4ATz+y5u9V3DBoJxI3cOBsq9MW4yaxF0mr/wowRdRndtxebInSfvmpoUzZmYH 4cmCW9s7zLC46SVYVN0W+087EGMPUnulX6MR7TtaZuZxOXc9d1EmiJAY5APldplknnfFllZi9pae 5BZZSTfjDk6whHSGNjATAWyqetTB6Kog37UOXqhxEzDMugW883kI19TrgcEYxxDu6Tsru7vMyRlh 5/KvUHrhkngQo7geyV/nMHo8hjtTT4OLe8skZ36L/BtTHmOcXKO1rxzuIbRi3qFkFHYqhpTFZBBE f/XfOTQnBj9hOh4IyG3N+XNAd7S0D4t7fF6cB6piaZ+zQFQmv7rF3mpI5benK7RiAOZyJlaTSE8j Vf1gJ3nG1z5dSr8lmzlLuFT5V2nsEjvCfesCUmrpcryLcxG76yZhDpfIS352nNyHxQhe9GBDf7H3 js1wkv1vgGJGrP4ETBAzwmlLMQk6zd5ugrjGMMGfSguSMRNZo1ebOt0DGIlkWpTa6WY06Md31qO0 6/1dPH1QRytZDvUkt7HEcAAFw1onY5X/yJovoMdHFVsUmknJmPkBUK6YWbZxWDevYNDhxmSzM2p+ wIoXDq0Mw+upmDkSEbav5ingjQUG8as0jKAisv+YOooNCf6yPJJIEetpyFSo0d9sLcNMPefSHmMd G4VthB/1hfCl4C9bnhTS5HThzUeW0iGlClEw+MVbJ7Jxax9TfqV83QTQ13duHSxnmL8a+nkI2Nm8 rBHVaI8n3o43m4zpebKOGHHNP2pyqk4l5yMTsQ3HFqUy3LiFhMMvBf7JGxePLfr+/DrLkdhVgi6T UUqfkDnT4L8krD0HYAfHbnat8bsgUaukKxCATptHJ6JkYfIWw0ptcaykpfjgGuGPVyoiAatSl2D0 QnuCIoG0VJ4Uvr5+CIsssIa9OZXXg0N5Dbh66uQzVBpE9E3t1SxUmtoOmucyt7Lr9UlW9TK/03jw 47WUJbIca5fYVG9pcZOYHXIybuc4YESQ/wVSiFX7L0r0YjcJ9n92O11JZ08dpDg6FRSzNMrGdPw0 MDKrJr5IIEXrkPE36ZXEsj+sQ4BkvLqC27fMU9bGyf6FP6StbQ5yhC7+HOCb0LBMHszTJ5mV8h3o 9XPJoVMKSwkeWTcp00Z7fDiJGRXtVSjGhAcqC88Hf6mjzzXU7TCGFNG8xVPjg3q3bPvXtJ2F4EUU t/EFmR3H++Fa7Y8IUeI5uUjsdZ/N4erbx5Ex6I3+VAd3GACO8pv9cB0Q4puf0y+aDjm+BTBa6Hr9 KAtn+yosUffmzeRnl0V6cCioNW7mboD14ZP8ZEqOzH3FJvdLYS8Zfv43Roeg1dqIct46JC/n9Kju wglNrVS8HKNx7wyNWbDMA4cuJcdi/tjGh+MuIaLm7mbDJhuZm0AGI9DQtGQ4RQ0F394Dc0UFRSko XpeWL+ut8jbzsf9+ArHnOBdQkHcMiGnbpWxAV+Qk4AX1BwYubEw1qQWMaI3Q72atcL/RQfDIgYtB JJtalFvukUDj2dr47FABFDDqGkzM40lvbHJ4FA43KTWKJ6/aCwBIGw9UjhzcwrBf0AnGzqZ7GgDV L1MWbXZ4H2OFZsm4/qlI0GUwaz59DRS3KjjVj/jaiRVbp6l1GfciUH4RhN9S9WOYocK7kWU6cvOz 53PSey92eLtQksJEL1mP6dZIzhUgAomLV3IYY6hhoJQiIi+9MrGQhHbOzboI+/idltmLoJ+mNGWb azNKegOVuEvi8g/qECYwTGE3zeVn1IyqBij4B5KKTAeac4lVFHQgpNCRY+SDpipc4O0kDGFrAoYI yHFioDuM0WSy5ioLNptvMFyYsou6kTtm0Nr3A6wAraZBjyJ1i341DIcnGdkR3HEljFtSOMeB8Tij AE+E2T0/5mYLnnVQUlaJv+ZzSkdcatfJqWP/cigTekQP7dpVGVrEH5+r78oSVNm2ijXlp9nwOAKD qZ0BoWC2BARX5ED6soEveiLub6YJdHCAFmlHXfnyWSfPhsLs7EvF/ZwAFlRndxHax8OD5Fp7uIIh bRNX54dIgN/CNLa6ZguquWTBPhGNRk8gfRwXxuuKQmv8h/AjbBxAmzewNubWCEzsXtMVaSWa6Pdd eTQdTEhhEn6TFDa0FXemwhFOSSsuaTclP3OvWSFlXPQ/4jmuirVNGD9sYcufcEYa1Xa73JDG1ZW+ LTkWnpnIvLimXFkpdfMbkVeDMJecxvhtCw1Qhv26m0zg9yBMsF3Gi17nDcrqK3i+a147J02bk3Fj HoBDNa7lMr+d3bp/Us8ZHPtDdAB2xMMyzzNbOO2zXf3EhTRlIQcecB1qwDiRgYAaJn3jtx7VQYq7 C5DSuo84R57ZTpSQdn1W6UKpOYqwOTFTJq/TIdKFaHY5m7nH+Rjtcdx33OirCoFHhW9vhuzGZQ0T 58mupgeWzYC5gpsP7vt362Vl6yHFuXFtJd3pfkEw+MPDuU24uzAr3dI+R/FJORjTgCRimx7faFzn /Hk5PB6Zrdy1GyQQkTaKQh3TEI/taW5uZznrdpJu8JHXP9mhezET15ILBUOJ4Y1g3uEP0MDd36ZE k6KNlkm8Jhjn6l+a1ViVeNH1fyDKrTe8SWg7/zIkzJm2CFGimZnbuSkX+n72/ZvgRcUJDex7snWp UjiqemtxHKXe22aH3sTNA+6UIgrXDWVrIrRoISHASkY939iAkoVKe2HDM5HBGRXxy4rfJVCAAujF G3j3x6LfKrOBupXrB1WHQUJ7s5aBjNGaPKxcJuoJVUkUPZEKyDVidlm66cpXwTlAzAW9VE/G2FP3 EwhNNWwub/IAoANQ4qp4SrkqM0Rc2YwrjLGNQ8UTiqFQ28HQ7NMkyQNuY8PwLfbexqoWfxo7/irt ylesaZItdD4N/SrDUBXWZuVOThC+2kg/SfiwoqJ9w5uknyb2odn+dSbIiS6KNm0UHUNck3igPzFp GTR0DUWzRJkB+Cd/XD8fqWTcw3iKVxku/8PA7wd+4zDQjjsm6o4T3oCK+sNECo4XEshiZH/hz+3l MC9plZL3O9x8FQEG5V8vuDDRKcZ2tTtkVPE9A6He7sE6d7iOzzLcoBW2OUeL9YzNfMHFzGEwt6Wx eIjjxcZO5v/K4b1Hu+cWjj9QNykTVf3wq+fx42yteBUtSmwIOl7kCC6RGK804XZ0F+UpQ/dlssth WioDeMpx+I3zuabwwVhLRhu3aN6qarT2hB6wAFCVgdXIU8z1y07QTlKB7zGyrOkmkATdjL8cfiVA 8bHDFdpM+YcBKej7IpsVs+o3t2bih0PniXn/QmdJaxd/dL4x9yYGSf0ZTnDuWNBTYwz1P2Rf8py4 0gdntFYe3SEFNz2XKaYsIgDxQjShT+9wigpnGnAd1xfVkYcq/b8s1n1Rh82+Gv1YD5Mw1k3ZOl30 LQ1w0Gi0quBMgyKBIucbVy2kZrxObmeKodWLfv3DR9xZ0yXEuxiBfCU1cb2boHIt4mRuy1VTmqlO 0ACpqL947xzIJAQLWbJTFXn/JxOgJoRKfwA2PfRdkhIAya+607NyDd7werjQFbUO0C8N4V0pK0Lf GLUSVGfGzh/2OhnrmCKY+ylmMXq01EdRMpkz4SHYti4vHdXUoqwMp6lufbdZNtlrAyRdRDoff5fg 7hFoUj7F+OTvVUZJRHZb7kaW0c0OFujC6bWuEpt1WRB69BPXjxM0XW8bbyhvz1n2SxGhLY3bARYr Nttqta63wYRjJFI6LkTVPacqYXsLfIDgTodIxmIHyqlKVnsssFy0hsyUu9scxg5p2PkAhhf4z8K5 88trtiXghX/dIRqJi1UC/zoNZuCEXK03RIezvSaxfqNusMZJ4gP3pVp6I+Mpz5ApWTkKNhRNfcKE QJ7zpTtqlOA4txvwg/W0eQtriJOBZbPYi9H1DiWaNFpT7Ez3felLXhuK4cTIJXBB1OtTt6DKWs35 VzRsQ8TGZixl1UGWeqyQ1ZVZCejTrK1I2ZQtQgfn52fAk6jxpMOGOcnwF1Lzwt7J4wmo7Af353HR GimaJg/MbLcjl9zlRAUEcQ8DAH/92lIc08EuDqKsCl4RNPGsYzxvWmxkcH+8X+Uykfjuz3K1ZrNb 6t+PdFXHRr68dAoy/zGLvUYJF9cRX7Y0I+o5dHys2L0JFKpSXcmJ/JfxDc7JojHO1bxreYQOtzGV UwM0rbly6Zyyz1rRInLclbd4G4eh8qJ3SDR9gAb5VOhDMBJmPvuszSA0em2X+RdZm6/dsttFPcuu k+fbO12i8aY4m9AyFGXY3keM6Qs7fe2hpFBFTOLgCf/92HmIKRrQS31lKRdjYXwMIOk9quMqglBy 8r/y3Le7rx4I/hzgkOHdtwZ3QtWDHBb28lj0W912tY86TAAwBbnXgb2wO2XjrOJXjmjjba3rfa2J lsfxjG3x2fvfBexPSAZWFDOdZINdT6zd47KyPySgx0x+TH4e6shy6LFKo7nHJ44aoPUcsVMRxw3J DnQ62YWOnOI0xksFh/57gbepaKl9r7Jg8f4qvfm8gxWuaD5G7JfFRJvvcmfsOqUJRbR5R9a4UF31 bDmWXbyRZd4siHeThppLkjDhLihCAUk1B9GpqMfGGN1a0+r6T9ZecptcezJEsZbown80C3MhON09 ZQXX2QWSMRlLHUA90IJPBrXGbvGVRGNKDmYVmbkeKItm9C/RBlCxYZWWehlfD6Vm0fuuXwnnbFId lDU2i/d/ZpVTCfMi+VqxpN2ZhvpWUoKsFbAm1J2SmecmRfobXgvm3N+3vixaYnkE/Co+hgBWIQp6 aKKs7fmTwTP4YhW9Ai1YXWA5Zv6d+PHu36o9k7rn4a6yuNoCsxZB6LvdJgCQjYtN5Fs2/AkcEjRl hohdexJDeyKuwUupC8DyDXHZ2ONMi/UtrXK3nTmTHVN08zhWBiXysUuKa6P0wjvXHAKnRtOvaTFq 3V+VFNlkM2Tqj9UVdUrNorEe+Yar+1cNvuZ+HAEMGuxaRJ/cnkosD2PLWM1v3oVa4CyhRvfJ8Fsn dy+GB3jy9riq8JyJSVlcX6pbJvH48wvY1obdaSEuLE5b8anufEXGjUSPxlMK2Ud+PJwXRTEzmiKG 8yFStNcPwj5qRIyiONNNKby1GSI4cAisM2oz9S17dC9WjEAvljo+9mK/pnMYepjKxQxnbhDlG04l 9qnjRA02ukLY3kIuE4TYp2F1ojqbAox2rTyczwEpY5RljN7rK1KdAA3tnaKQH5SDtYRxYQbuaOMx b5d2pbJPkFa691bPcFAvqBM5W5DDUNp0WTRmlJ/xHv7TO7ijP+sGmbLsl7CvtXXYqyEBWWuW2fOE upcSXxRpDepOUx6Ibwu6fUnHRI/7wCbKu1ivsVLC6gTzIeUEC6wb4igzzrQp/yDcJHz0SRyjcGtg 6bwiQWAfnJw6znm0f7WZgS4qbmBE8rPLAud/u/iZQV1/m2NdvOf2njw0BAFH4bX0TLACEtMIzp1u 7EJMKvOUkPDEY5vWH55aJVyt+H7h5tLsKLZ2Y1CIkU1K0LUFCVtjQfKMAjhDvEd35/4czM79tTre UHssdcs0HxgVd1uvqT0fvozZa/RM3GVAuhj5r2NhfjiAIbX5xrSNmgPZxXI0LxLOsyDjK3pD2qHj CcMWrV0PUisoLAtc3gUY+k2vfH4PaLNzu/OiWNzcNAuGSlDJeZBdTHyxIVFEfn65J/z1BeMnETWV 6h9KCe5UsqUdF4a2wyDby4loLAQ3Jdv+UGtjlmNpn6OJZ3VW8vV7f9GvJ2JBEwPWrFxMch2wI7qF DuRb2cc2W+C8IUFYxCrahTbgHnB66IwQI4PqIV465QUwjnxf01te/NwYizls9jwsBERe/u2N/E4Q ZWGFWcM+AJ+NoXNCh7o6nybn3puC449dRBAQOOeJK4cbipupKcrwfavyyqYjAGfmlNWKYs2pQqAM M/scmlhmKDmkk6p8tQqgHxBgsh5wiZCqyEHB0SA6k2u1F3IlL9F7D4vsEWxe++8oSkiyX5wIi9v8 wfVdPYuA9oaETKfLT0l4RvIpnaPphyVcBhObI7TY/UI5/5zFSqz7usRvtFeV8oQwpWACdZAcQB77 uXEGNtvA/hBVU4hKP02ZiNEWWODC+sJObNaGv9v9qvPk2SpzacHamxY7szB/93NFlii6FqdIc+52 Es7ILZGjTJD8GvLsY4Dc/esszcUW8u1/jF+BzXkD0tnCw97j8pcKZSIGuCbeiJk7V5yCQcd+Y8Xp zbmsJ0GCl0lgCy8OG8LbLIRq6Lk6z6OOB+eX59d2DMl8spRWM8f565tz1bMvL8HsACSNW81UBd17 UzYjqmbAZ7085bwaDF9IMDXGxHVLhkKjroyld0KG+gSAH8nro1cUB8mfgasFy3LhNZMDCKNjhrxT DrgNhMNoc/XlFNMyvhezPoGyWBZFTGU3h0n6T9SHqKuvZQ2aGy32aQfuNTA26MaFp4vC0vyQ5A1K biMhkSUkWmVhtvKjvnMpUXAtjP6YgqD1ejWWsdofdSd6QarNAvwOOG4HiIrT7hjoO+pGZpED56rh HxfOkwHp/0fhmg4JLKoTl1h5yIrUMYfNbDphTFUlJMj/F3NlLjnLKsLvK2lnFR8ktBKUJ90185vl nqCfTiHgsYN4HcYmCcMRmnoQbdAf5MDL4DAGtpn8CMfWr8v+lZAFJIvmLd2EZBa+uOV35Rm6wm36 19IqQsOsg7ArC+VpbZFfYTLZvssg6Ouz0GowHS8dDQC2eBr27ko6zekVWxFZdqb5+jFnuxbeRMAM 5AaMOuviRX9Hgx1xk9K+8vAMddJAdEdhe7OYmmAqbNUr0WFBcW6U/ILSn/wmTFdLbAgXNOrU/PEl fTzorN2y9IHmTzUTCEsXfmUc6y3d5NNjJqui8mSpqeTNbrgFNDiUhzawRTeaHzm+mGWu7eQMBPCY 6FZ5/ZvQgYaFwAlsmxl4u7qssp6V3mPXPVMf84bb8PORdnHhmp6YSY4YHaItwe/yQIi+NEmoOytn pQqlVNfCSL1A5fo55RJsLsZnEZiNspGmR4lw/+pRM7EqpTBw+j7w2dJvy72ux+OIpbd7UbKeTrhf +bAe1r3vyYLsvwgSdhI8UYNeFheiKTXlRMYtiZY+sOSe9y/jwXQLFwkE1RMvGBp83nRksDFslmgJ QWKTdhjeEtQuCyx3KMimy4ZsE0ln7cLi5MldZF/P6R5M2OW9ujZ88TFeGHjpRT/n/1csfMQ6EK4K 82vI/J3yFMGy7sVdezzCzkhUcBYPnFas1URrfKsDqnXfcPBckgmwv+U2EKZOoJM9sIgwEa2xNA2z BB22acAcSn30duW2gSD6gFA5sNTcK5SeM7GGLXUMJUBzj5PXb9JyQzQVThggHsbjSYXr7GVd7nfw W7LILg8R9KyeXkQ35Bp3k8VbNMM6OmBUkvaULrESiQiybHHRSzyn52DPorU+a8Qk6JWBDDAMxGg5 Zpgl0X1MzTaHiiBxhetqCbxnTkFHyBY5aHdhHFbMDwAAszArbwt8Jwp4Q4iwi3uSZasudHc/Ce3k Tfju9gLsBe+VFF+CvzMDusFKdJcn1mTACpaciu88pmcibz+6jUN7noFu78gdo53iuOdZGk5OJ9uL p2kTiZ4sTqVBNShSR8Bnz1AgzkA0OHBN5MK2M5OhnEZuMKJZe7rnt2qLtelXs67U8L5vjoiBx3F3 L0POo1kuqmHcXsZg5vtE/Gva1bAMW1+bXQ9Kh2jx1+tz8rRrMJhuF3rRpv0BG6jGhQqwQEj99nDp 01Zml3gt5W6k+MhAJggT8rmPeCmPhm/DquNttd63YeaesYjWNldKzvQpQ4c2WgxnbF+mwmDQHEDb Zr+kpBD/MWBG4lsiUQbGPeR4RdDeWlHnXwEU+NPd+jr8aVld4OLfm4xuJxSFhm0Xh7wlCTu64kdH zlQd7Ta3f0A2w2y41MZuXnRGeWeS7exzKCDyMMWr85WJcRriXqNefLcKIPWu+wZpkSg9xxFpNZ4r 5lLBzjwssCjbdKu/ahCHfcQqP3U7pOGb35QQTdx7HbCFtKDl+Fis7BFkhO8fs9CT7G4XJsWp8juM ims4KWAc1BE6BCkSf7S9q+5sytz9JgKM4Y7t2KYalSGQP/9hIRBEtHh/Gw06sVZBtn7TBrO+UEFg cRws+dJ/zHwOfUbcS+TMQ1lGlT+hED2DQmxOSgRz8dK+YT84wHo2K0F4JlXJDDFE6SuvkNEtwZIE oaP4XDvPF6lyqyfRy9eGZ/SDIrsbQMssu9xRUnN7Aft6YMTcylrnuk73kz4xa/dJbMPDOOK6hv3q miibN+Z/R5UM04KAuaHKZ1VUfEwlJA5+XjxKjZkyzNCc5H3eT43PtHMTQYoDlur3rNsC6Rvxp924 h2rE/axtuRE955j9eHCNygIqvfJqFyRD0aqLJoAuzV+CaIMRKE5IG74/Pe99KpXbLh0Gd82qMsJs N9GcqOS5F1o7pbAUGao7KIUukhN+BAIRxt4CAYRvbhMNzwFbw2IBiWgVBQbYSRx/6iY6JYD2afjF 70gUMHmj9ZBWrx76eeP34Mm/l/+qhtV4C88yvoIkoS4TlGX162+Ruc/QQwNyhGF5iokyEuIUVsIg YP9ux/FsGvRCxoV6fi6Ia22O1XzwzgjBRY5kQIgIJStu72IH5Ln0/Q9Dl5huDWofGJcxPpxedflS pICoqg+ZHJae6xLMb4YLKSiZUcc5nLQI/6/o0cXWFzorwlqWcSqrQB2nqFkLB4Yw/DApu9UFnf9E vaV2xvLzFbUHMU884JDBDk0rX5qlwp2WuAJW/fNjxYu6XTNAEjHZbWKruYCcuIh3SYhGHnhyNNbt sQrkmCp678cCntaJcn/acT9bX/hK73rAalVsjfNgeCZrciaGoAXK1vGZTc/Tm83Bq+hVepMWu+Cd Ob1q91PHfHRrR7R4KelPwvfG9jMsTkLGMlIJfDfyM1TmywPkuTbFtpM5suuzPOLFH1jSNHpz5UVV DgWa8GE+SVRKh+2cX1nb2NGXMmRWaWFSvmyw3ZOHt6dw7U7QnauoC+qLPAC9EqBfOwedu4u+SzNP CSqCVhmoNp8qA1qnKOYHofd/jcxXqIX1jXgTmaR0vVT6ByW+7oYXWXwBEWGyvqF3Pr3tBp/5fJDn yCHGAkCOfijwft6ZgV0rO5Eb5opNMbQQc1GeTFplLjNoTU/5jXCIXa8qPV/wAvhaOZ+3bJrLhlAC /aJHrGrCV4MO5PzbMdJaAlNn9z3TfHO1Hs393v0e3y/KvCACU25Bo1OKH7dH27FMsUcBevn6pJ35 NmrtU1hptER7noXwPkeSZGk+1yUkv/HOzx7drDKWLPGfPs89qGC1/04kBEV87AZ8VT/8l8AE6LNG n3XO7ZOxovJJsO+b7uAMRqMF7dFbje3J5cYJ+UuTQucGsBq/m8PBzEtyiWVPpSoHIvCptZW3L4Zt qO7VRpztSYZRyLp1of7SfSQE3TzGxXzMu0bWRB/RHZsV3+V+ShlhNQb23Zkmr9QkC6gjFdUjbhPG ZuVD+X9lGqM/UyEPxbhN/IdKQTXtGdOJc0XQ0HIwE6Ruvq7uIFjPkppQRp+H+LSSvHnyZjhGyp/i L/YBKlZUDl77QVOzkRef8s8QDRnANFgpgZULL3Kw3ePyXVpqgMW4LuokLwddrk2Ag+tYGUbGgk+P lSEU+OaCow6ZhCBbI5pUlKHYb21FTKeWaTHr+8rXfDuWogQ0rYb6E//0xl/rrM2FDN9jdxlJy5p6 4liCEelBoE+H6/dk29DSr8pB5qkU+tf9pKAr9VDcjtDbHU9ENBhon09opgC9YmxSyHRRaa3HSxMh JMWfTqQPOkoaxlM1tW2oUd83AaiDSxVE3zOFYMYTT7WxuaErSy33C1ie6bKl5U6gdVwf+fp6xPPw hSlB8PdBO2otB+026JtxikRfTa0RY9QNX9NwIgjr/hz+djGQTafdTrvz5sN6YCq+5UCOfEdXJpHM lL2mS/NeM+K2O5NIU4UajSwF1YtKUXqOL6xImE0QiyC1ec71kNrvX5uCQN5Qzm25C80+Oh36vmnP 1HhP2MxxhxYweTwQSAcgqJyoVHX5agmQUAgABc5WIS3AFqhDwF9V86KBg6xf4HK/V+0V8/smVtJs YtsFgLTrYg7l1u4j/7bA/fL0Ee608dFSGN4JCkADzBd1kQyJ4B0hawaroFK6bf2uGx6sy4ePM6St M8FuMOLQ94u/SMvfA3oh76xZ+CmSt2OgenQXcy15etxZ51RKpPqIzxq/gHxJHwGVLrr9Vt4TUtgr FQEquZX0+as9IFWT/UemMfgfDVJuG+6CLZF2d39T4N0b+j1FYijSWNS19Iu+Y2EUBUmC4GY9LwlG HjinB8cyEWg+In2ynfqVk1PLPYQNzOT7HOmbIFpOhRBvSAObDwiVpxMpM4vyLNOOn73hFkBJKf8U G1igzi00BwfvcHBPTSJPt5LDpfVBWBcv7zPFn20rh9v7SIDbGsNwOj8vAMxZHs8zYoB32fbUYryW 3ThmgUcTXaFwzKHYJ6XXVNH1paxdBSdLVxKkzgIx4uYl9Tr/Ok/Zkc/6XqLGjdcKNLmTRpaLHiCt o7K/Q0BG2k32mJFU9DxKizqjSMio+7BKPI5He+O+AGgQVIWAX6jSRSbKCZmR3BF2nsF0HOQrlwJq 92gtxic1gFi0/R6OuIXVR0KUChielL9twpTRIZICi7oPgZz6Jcz7Xx5+2cSSwTNmwEIJ7x0QpDIR kAL3x4AdhzmnfbMqy7Hi7oKemHHiZXCZ+Fkr/qymVU+O1+OCmT3TmDA31HLcA11x6XYP6VNZKKKH AAqp5KEdVf4U8t5NfDCuPiF+2IlflrUcZvWdd5z1DEEOC/OGgDB+Cndkxw1PZ8D+Q9Dl2+tI9+4c ZphWjg0sSeprNgDwHfMAt5YEEq1B6Bk0T4m8DdeMhXCExFJe7M/A/mLh4WK+hmathF3L8BcNRPx+ roPrUKnSgE+fArbBo3tSGtBhXyvwj5Ji1IquYC6CRYS4KmSSHU5D145wIJuNn34lQxR2rc/DF6OM iwoKbkpI07Yv/NX9xJTWRvv5XX78GjsjcYRVx9yhcawFPljSBh3xOHU7dm04fqSF3n9J6irpM6Fk F10KnWGzauENx3PAYrUp1m7+XDQlWp9Lj0M+s3CaLTpA2900vk5Q6xHQJZqbYJGPatHXyAkk94i/ E/IY8CaunM9zTnqNVzBvhfulLpo6SY5lCRtFUp3J543IHJcOtM+bkfFRJGz05OpvMdjPxBhONsrH 8Dfu5w4aCJF9Wt9ey4sIOmLeLwSztoJGlfQhTVn78JwHkMCn5cOcVH/zytH8kgy4WguHxZnlTDtG J2lh0uSolfxy5e23VGWQwe7yf0eGmxiGZL7uYG0mxSkskQQqF7isll3f2fjYnjqvwNGW0A5EPLcV s+YRbhanniYefOWiKeEkefo2UkdjfZsaLRIQoKp22aPiCo+FviTer+fdEiVdOlIltxqPgNTBM1ZC gkRNrnKJtkBxsUHqUREfpwFulZ2YD4t1Lt0/ekI2QUUcMNMxWDf8EwB8zPhKlrOGeinLw8DxHPu7 6z9xlEDVI/njOKAgCv0yU2U+nKrxxl37epiNXGOK3+dUh0nMr/rIfTGsGQ1LNcq92V5/+3ufI6Pz dwiBt1gU5mKCC2KzHbitmryjWwZhj2wcsug+HRL6l6Lb0yhPLk1bTGoxnsnrorJiuED4JoWK4Qul Ex4ZGAVaqNRUGWon1dM/WJwLeYTHyly+OInlPvT3C9xCSb2V1Lt1omuRGQa7FFa0vDug5NGw1xXA fgt3DwvwYjACi3HDw+I8oFyq3FwKYsCKgnPpbHI/Hw9ChkxkAskjq2RhftXhNcCD49nyAdt/Ga9B 6ArffYFTO6fakUU3h7gj374tMNK99TRJgqmjqH+F0UkQ/tekhUO0D0vgfFwtLp9UternGwQfF5tw Tz1ipkWRJTraln9/xAuA75B4hoO4/fwd5upY4/RuerfaU7rol+IAe32LwghzB6H9IAAULB5P+3A5 JYvzGsvCHCddM+j52L11Po3JgTKD91pxfSwe3UmnBk0glwFWvCJVPrrc2ru4nlg0if7QDt62NyAL 8c0zspEYQKYtxmYwOqhWmceMMOfJnIV0c8yGVTUVlXxXOKWyuv3Tu0H9j31N7e4LZG7b77xqHM2U VV2kkzCNwUHQCeTu3bEgx7AUOwDoxO2DxUDXzecn7zxL265HaFtYCQcGCVvhQnROI72ZYYTVdMlx ubOFucnjPcmJaIZgpclqcoTxLVHGlaoGmuU2vSsQXOTHbUZTatjt6gYUgF9pDNI9hSx7R8Dsei6F jJh8EopHjn9N5Sutg991bY0p5eaMPSWY4BcWVdkLVaU72x/whuxOH1Adw+CoAxIWgIBtvUnXTbFb VEVAKTYzZf16ivmot4TUcgOlmWTcFSvO0er/1UVr6hureJAByUmE/Rd0g4A42ziVgJKrOwtM3Fdb 7qlv68t5/8uJN9YREEzuPLRIGYjm/+VUg+er43ggvBOtTcTHWpwUYPLpPOUyh384JkWJF70Nl4Wz ptuZ9buzyMzdKyfxpMEj1EWFPgqQiJU6dq2NlRpM1jEnwj7SN5E9KoJsbiIVVN2LkPFhOZrJWhd2 kYSo0QDheZZpL9rjZnaE7wO9Vm1nxdwZm6SqOqgcz/5dE2ivVrkUB1MvUY860r89+5ukuxy4OEau H0nAl/axwup7o47WuK5Ygg4dwtZEkhc3QYIyEGq4FUWiC2OiUYEVqzNBqjZgA4DPW/BcsB/m9G+F noF8vYJKiyv6mqUrlXqpZYIyPg3YB/RA5V+vJNhem412YI8mIU3dMl6w2pvso5wPlzJmaLghk+77 JNmsd8xrMM+uXW4nQQWLK8FKGnlzK9J1G8moWIPX6JCcoCGE1TcWylpDH90pNwpNgN7JPPOhfVO4 UQWDpK11HUit3EOCFMQIheigEawG+aMXRAQBSC+9te5uHqH8Uv+QnBrxzETteq8EtEDk4gp3t42V 5mOQIBmf7gr5fmQJ4hnuJllQyNXJGMI2HvpLBxNoF65GNgOVXUqZfTJfYYXUA77xcDYLhcQu5qrt IBNaI/DebYXnI57Myw+K0p1JS8KHY8VG69GUkdd3x0jsK+iyKaNsoBMXobp/p19EtTrB4goq0nfg itTyVZaHX2jNPJpZHrXSdTJTGyOXuTbN2apzJ+Vp0RbpgHe2eK8m8RtDTsE4ZSTIxGq8HRyglg9O 4mh3x6doBqTSJ/4DiIFmdrizQrS2dosY9y4X6vWD6+6wEwKn0F/49pti6HH/n4cuWLMPEE+hLwxW d0DQieDTj85xYKClSGSqC5KFXV84tL+L8elYPNvRCyvv5OSHWqFNY/3Bs7P+k0kna2p4EZn4JMte 2zTDxlGGBDn3rmvExXNYZ4BP3nac3tvRfUle1G7y1FW6l2v+7/j5ZPOL8AMFd/4UcIEK6FEVWoXu h8CF0smLxTYNAC6fAQD9hJFHw7FHjAqomyBuiZ0YrRGu4Wl42Bj5GLDKBcF4DtRSPw0j7OChkYpZ vJBS5BWPebtrw7dMxl2QQyFixBy0so1LnHUcxdQe0ZIe33uF8stJIBNYyPgiU5uf+9FPLzxyRHzr KUIdxNCD/1lkmC5zMqiY5b2M/6kYTyeB/lliVcnuKVuEryjIq5gd8MWzd/qzM+5qHv0wietWOCxL xBHYOmKjx7F8yiS1ywVnKvw0/ge8sUDDt+UksyVdOkHk6FICibZLiTOJJntcnXLENlm0AKgtx2F6 swriNioCE87HaxLyEOzXekeAuAxUPFCdd7DrBBBDSbw7T7+mtJRDjZY7hlY0jZbKHjK5BI7iNXbT CZgso93PYwh5Ry7b/50E/nlgzlfVz3f9n4xE4MlSh3AkM3mwlMAwFr1U0zhvy4QS3LspDWWvlupe Y/Yh7TrL9WviHEMOGLqKKMsQbIlIHgeilNGYWTHYshQlQkAHe/AheYvn7aZfV+yzbG9DMAYJsRKZ 47SRBBFc7wwwHOJk3t5VP1xoZonS4FnNOw2EuyfzyoF1bUFbrAF8mkhjMgoueJWiFWjAz4BFBAXx 91ySffmx+/tnQuSIPDvquJj09Ev5n+spyxM4ekm05unDaFyxaRXMS5tQBmjV4ylmd898neqIjuZl UmZgFGceq1EK2qMwlQh+hGApi4Ma8ffc/7Z1T9FvgvifZ1UkaKOc3EqOYBWw92kw6EGOVxRUiRcw /EKVrG52Xs+/KTdEEPLT9+8E++v4qn7chEgeRZCwZ5emRZOxsmiAmAvqJKUYC9nrNAFcRj2rWKt8 XIcsOBH08Q8rtC1n3yMii5dDwRqIrWOBfieRAtFOtcKTjiIlMteOhtuoCdQwvnkr+TwdVziL4HhY ZqpkPReiTifhEvjphqngTgRXUPAqWy1UdEfsYr9QqQDbGHoJ+zLivKBVlvOuVnKlKBcBYXVhNziK LurYoO6rc4klWCT4n6g7muvc1m6kIeDVx7WT2zo666NvDoq/d1/Hxij3V3T6Th5bgenx+W07yTOH +jZ7KfsORrEgt7Ui0w24xJj4szwmexSfBbQZ3kEtRVnRmYVbeNRiQBbcsoTdKgBJfmhlhWkkI8Wf 6d8jEFDmHRW5PW/SebQW5YH7ZpM1apy/U3vjQHUD/+SVfyOll0RJAX9Pt3AitJY5M/lO+iREzbZG DbnppEpWmEJAmQe/3KyYVNhV6EMzfzvSlUxMVh7j/4e+3R3Ni/xuRgkdZGKzZwZCycJr8hS46MY/ UvedtP92LXt9ZG7Lx3iRWbiJx//9tH/jlkWRT8YsmD0GBy2SWq8v2XGGytVn0SRL3W3T4GZvYmqD ULHAKMVsqAyPPMrQyLPKUq7Q+Sh70FPJptklHDk6TchublX3QOefu61N+houMVnNMcoI16hSFWJ9 BqE1fXpKSHlI+IRm2/567UjqrltlaTQ2bW3wSQZx1wIUKoMUgl57v6/K8m/UKAvhwkcawMGYRiDI zoCg0y9sV8uMPhVZmKyHasVFL+IQTjdwNtoWiqWuDsM3N/Wesw9m+yhCcbUc637g+Yg4BoWOBz7u 8Np7C0GlfvbXGr7iF4G40GlxE/jdnrIBEuvqpxfjeefm92jhfbp9eYq5LWCBXx9ToYhj/YucikaS 9kN6eTI7hOt92P6m/pIJCOBinLr9z5F8CU4Q5KdOXJqCKR9ZCpO1eaknPvfooRqwFzUNKRZmJDdd VuvgKMaCD2YmAac3YKReKWQ7xLgmO+YTZ/gjaFu8etqRi01hrzfmKILedmElLU2uVxYq0F20eClv 6ngW11lAt5IjtDUoPWutUMCF9CTCt2b/vY4x/lxmibG2Mum5tiMmlx3jitY2IrHLip8KUU4LL0An Ag8WtT7J4IyGatupUvYWdPyqd4DIz8RMXB5+lZPRh4FeybaEvKGE3Skilu00Wjr5pM96Lcwd6Fd0 2EoQ+C2mq/ttnb1KaxQLl8lj/46xyrUbo3umzBWJBR9AQu896dfAxQmPS7M3qppOBsbxzDEukjM5 oSQzMw1CYtDL3JoLIt/IiUknnXVnaZnPrqqWrjLC6g9r/tIGN6Z6ghQq6brn5Xl2t7qa3ka+5p1/ oTh/p8ONyT2SB6eBbqtdx4UZ3HoFqN5AMcxKNPzhmAhFtnZLQQq0yQqhwmdzhWB05lNLbdcF5kMY N/CYrbahPXH0kMw3wOviT9v2Dkdh+l+gPF/SR+/hbUPLmK57Qh8mWeHnHUIbdAfssEpwCJI/Vvpu PaD/LLWV3zAh6zBKJKMoh8SeADp3ln6dBeM/MM+jCNWsUUiwWAXnNaVfRTav4RNYZFBtXmfB7Yny t+GzOJYz4BcY2YzDJ3p8ZD8UkuRKlxP2Pdk7udk/BANLYrP0ZGbU3HBDMMItJNw9pFBOHJ8Uriz5 7VxaEHrXAxqpYDvm2tgEq7TmUjn2Cr9cWQOiWNpm6iKCZ56BS5Kho+5pSb+ye7YAr3dBSZQdrWK6 vJn54iSf1BqOSo1LBjvV6Yx89JJftV7qyFw33teiHicMgOkEHV95Ngi2TUGpyALw533dP4prZWDL 86SovzTDhQSCUxHcFU5spQfI9Wyrt7BdgaXieRfBVMMYUFuA5jaeeNCd5la9WqBl0RNYTT7xSMik ab6touML+R5vHguDp6FG2z6rs/SdQE5INhhvMFvwfhCshI7COThKfZYqoU4pfxvRnulb4TIAV0gK plUmCuDf2vv4w5oCf2U2BOV4oFZWmAHqeT54oUCbutp/TfmU3IGu5PoIvqd0byl/9I5nzrb8Xc8k 4CCyXSkE6NLE+yqI0drnuR1Vn+nBi36+ISQbQK/bCvEyUPYvv95w/DM/BxhCGwuRb2CM9MmH43pP 2GUyVC47JuC2iFTtJx2h5e/PgXp6d/Ig7bo4S4+b5PvXnbSNdWlm+EPrbhFqdNNQoczPeD9MOzau mMpz3X/Lkutn23U2Ma2X1qV3/k2F2lPQJzNPyBHAUDuRUciZBf0px8qx/NX19H9FARzwp0oy+HJw Gp6xg9T+a18zQnD0W+8UzR3wn/bwiFkzAy5jzRhXYCMO0gC+LeB+ZojUjDov7Q9gLCyJm7p/XUFB PQ8nLweYisfeORHorELSGy9CPgxoDhsL4zG9nAzRZoR2jX+/EJhGenb2JWxckBiSdz4W3WgFEgNG xNAujSd6+pcj/jTYzD0iznbl/R4lf3av9NcXxnLhSPMbY1Ewi4DdgVSjR6JAHkcg+etnWglvTYJo BVetJXZBIimRQYuOj6gd/P94SFrb3z+oz2j+eLeVW/2XKjonISlExfJ/AJyrbKHmBzHfFAzhP787 fU5Xhtyg6Xc9IFHru5GIfi7pYAsMip6HTxWiEqDhkXtQhpmGU+bqKyq0CG5bcJ+5TAF+u8bcL9Q/ aAJyRonbAjBNPYecbklwhfeJ4NpMQyxZg3JZUfjGWwWvUWtz9+CrKttOBtthutHO1klGezrZ7ONN SWiJPb36GQW47w7ztigbPWLaKsIbQsivupRGrsPtqlA1NnwoLXMsdoxZ3v2Torp8TWAAl/fJ2FNP yHdd1ZrA5oiA9J5LF4IYrcfVi9peM9eDtFug9VE5xRM9M0vdR60iVNemEWaMZUx2xf/Fmx5yIeE/ qmsZf7M7bXxvNn3uwj7hgXg9V/UFKXmsxlo2EaATp1YPP64IqTXAFb8vdxxY38EvfwoGcpjaGNBM zyfBqih22HhBKDqSyVI1RFQBCzlTRoN1GJX9555flXsWi07pfsoCNXWDL3Xd2cLLI4opu2nAqK1H JPyTVd5EW/Kql8e8q6TtSGLd4FgnsEUwHGPYpUow+ptgwCpr3X9IghkIhTsRjlQrEXuCy4ZLw/0K RqFhqtWTmtEp8gtxvXYMSsIXBdjknHxCAzMOpJrA49aDTjQGz9V6UO09+DqXWrBdmsP1dqlHkRTc EQwFZPHFw9WCZMQ7byI29APClZeSrB47IH8nCBCY+D/PxUS3eWWrZ40ZbCcC+oZlrO7gkQ393S2u Orr3kP6rlT99yNmyJcUgNAcaLaOFD9SlpxK3XHgRwqVjQO9r2fVKiwb+2QBqIyk2u8bLGADJmLzD 7V/XrRL/WTiSEKmwTI0KNwSyzzHQralLuKo1CU2vO4dxP+feWUvBdCkCeyA2It6Tj7Dl3PuCcNnE 29+Gt9oCC9Cyq7rSn0IoVI+b8VNbHeO1VNKjhaZlezHSbs1fzW01R5maeVZHmkEqBJ3UXKsfdZ2l S7xXA4r3YfmIvdICDDskZ1fDG655LtGAqpQiI9J6F3Q+49vGNlt/Lj9RhSm2CnG9YIf7wpTjdLTh VaqShQgyISFtmBfz7l6iUhf3WWzC6jX/ND3ewwa3V0A8/5eXIj46U0IVPZ5LxEhfsI0w3G0ulLZk fvqI1gz/sP2GqLv1JEqvf9n81n0IdqOnk+DP0xOVfwI8fkKYuCrftjTadPAPSjZPfxnEmYd15pOC opgPmwgZfEAEEMqxpcwKNNM+DDhmVlCZh2OALElB9DkZwj5oDr2OmPrb8vA/gGC6K2o5uEjLyhTS JlW1ku9C6X2eZk9jUn+YtN5fbxDrVwhBZ4klgd3E/AbbPhNHZsEISRSMZ6zzuqhRCMdQRi+QAYmc ihcZ6YfPnU/KhhusB9qu9sxEA24MNIDu0xQYVOeLPcfHkwW6Yv8Di18UeCjjbi+sXSGfk4GJJNFU +hG/VhUH54+3PMqBgKCZX0swOXj5Ssb6ummftgRZlHQjjmz0xC70H6pW/VSRjU3bsof88tyQEDEO ccko1u94BpD/MgVdpkvfsTu087mHqNjpQ7BCLqh7a7ZwynNOU3cRDj+GeW7AENEc/TyZLWZ2YEa4 rio/6Lm9lysuDHeiFPGFMhwjd04ABJ4Ry0UIGCv5Wz5xNlSVc97XDRS7o0Lt118H/Gsq/0j78Exb Td7R4pXkSU/vUYus9BbZkc5+Kkos7yNYwGPb9KpmCGUgz9r2wEweFzxa/uW6UXCgaJ/asFseTlG9 EIf+nv5Jg7Qzk/sZvXRIGGRWmNa5gtne4WLOIWgbrSQ1awo31Ddo1mHOuTxvCSEP+AgVlh78hPag rQZUArW8bTeBlXzpJyvD3uoTLP2iq2HiZ9IkPlDuB8rDDsK6FSQ2jGAyzs2hgAu5X5hiLNTQQ1y5 TMSkfT+uRgwTdw5bj6XHpDn7CeAc8NOhAFKyU+EjB1izOojV7wcVjLtSJbqhp5nifLcm6YDsHhkC 1oB93NNlOo9Pk9SaHAx2kqXUCSH5nJxO5nBYzKzctjq6scbeCzY3jfSAjgIAZRM9yxdrR5ctC/dJ ucN1OBqvQ5/Lz/uklJDTm5j8yDcEwzDoRHZ76jTrhN87UJ5SGTzTN2oL0n3srhG4rjJiOpIszrt9 XPz3asDB4W8GHgEsAG3gIOakFqx2VqVDGSKWahptNdf2bkUU4JMTXsGh6TY3DY4WD6jBX2pBqImp Nq7381LE9UWwFofQF7WTMOijVMQl8zuPLo9N3mmw7ckuEMcbFUbTx7ke5yU9bTg3e2YG5lmazOHw 2AyICrxksr5s5tJ64eV5aOpwsbFugmz8LfPYN5eRzn/txa+EBM9tUjN1Kk31pDSEKP0NqBQ9mD+l XiE/DHG6bNb3cQGcuISqzNqDl9TD4XR/ZfF341GrLZeNKB6VwNoHmXz5SGuP+YFPxwjp0kNXpfnj zIVcd9l5mRJOiD4D+E5mJLX2wbOMhGTATb+zJ7ercAqcAvT4Rrhz0FKJUtC/Y8aHlrKJYn6RwgYm O/a1unIi1Us1fs9AHURIbQ3K8tx65d1/ClUEgEdlW2+j3u1kLDGVAGoVpDJz4kme040Pn7+OxEzB aahuo/Car4ESsQFn5oNYkeEZZp4sDc9dRP7MoMbnzwx/5VVN0FUJDk0CGTYKvfLfdR5h6WziVCBi KEP4SUnH9uoccPJ9+BmyL52NCcSNMPEotVcQtcocQqffWLlNmA/fKskZ1ogBhTnYaoQxiAR2e6dd K6tD64SoIkrYT1j7qM4IryAh3KZaohta0V5Ri/tSaJR2kjKxmmGFi1bKtJO4/8dRJkxacA+O8LBj L1bFGnGlN+/g1YCpBhkCuWYGqkMuPV0xJkdow7de4gQejnNw1BjbsdDVFv3ENZ8EX2k9lgtCh1ku efkyHIDD9Cu7hkPJVuHv65Vxpdb1Vzn7J280ighvE/e5mpz0fc86hYRx3IhH8sX7fgMlAIvnfqqE F1VJrocQoyRc5sBrjP8F6wbWqN/TlRZIRb7pqNQYtgNT6QXTPwlK0bZF4oSOPFvW16OpUU7Xq2NW iX5O99wD2PM8GdBDWDuUZVHqwZpvS63clb3dKVong5exR3dYWVPmGdPyYmhHuCTSw2Fp1wCSpNBu m014Lt860an1LqAN/+6b3gVG5FVUWlZ+uoK5oPeK1HUjvTY5RdtnJhIyubnFRYcp6gEqWgQbZAUT 6A216/rgwMHr4qblhMEi1s+C0vXVz5ExGgb8WBjvxA3xGXodpyUug3Zo/84eeT2P+JPlH3rqupas 3MEQs58UMo9P8oKIxKbfxBTO7DfTjyrYfpuLRFYpmgexp5BgNRZVgIcsAraX0lWq002JfXXV7v1X 39qlp+PIfxPmF4HVgBrtLcK7zWenroOOTxAWFNo25/FxdKV3M/7cafqmMexFvclQO52RNxlgzAO4 iiIp0CVJrJ3iBgsq1WyhjzRtAJqXmpzK5J0Fxp8+WzCT70T9xktvfZk3/Dz8tUxAnWqjGXyx5BI/ d+gYX2V1UT/purRAeOJ75B5ezVP/I+sJsW7TqaZj8M5SYZe/QqhfZ8Uh9qTXgRb03inf4BB4wVB9 hkRz02ARCiy0GJSP6SxlPLQDi6NugSIes3b0ZnzrvWuQAbUMlR4NXbhyeWu4zDRngKSQavuv63gE Xhx3GNAxwi+y5F854IisjYLMkZwcdevDT7soFeBwzcUUog+hUYCZ9oZus1cySNdDKC2zB/cFDe28 vjaOEVYmdmh9czE1EzvkKC7WD4lHzdGkjVtt4sT8FQuFiSJQISRaSyNmn9qJGQKltWW64gvQT/fG FCSl8VLcNxNMfOfb457DDGYVOqmzHpA99o4E9A+dmTawImXAt9TyoMf7kRtncViAoRre10KHO10x u/LJUoeN1InuMMvDA/Oc+wwcddoMYmu1PPh4R6lhx14IJABQRwrNeorvYvQ2XYPjkwnJISdQF4tW OY/ICPiJUo3GVCJJqwaBYCzvZ7GTdrqnnJF23zbHsVJ/R8eJFEVUbWMvItbUda4NLqluqeQDtIIg 2ge+mv6400eg7eZVAdW1dTpLId8hpnN3laUkmIqTg/GCWtafAnC0RpqZ60+s8h3vYv81XidfwqgC 00/+Vw4i+Gdkvz1/QnSG1+Pc8O9xe+1JuJZRaxg3piOFrziggaQzr6FkupZK19p6EKWnkyk/QD0c 3ijccNAYj4FsYCoMfYO8G3RMGaIZZ5suZMSv/0ZU09wgDNDYCFoeAJ85fvaeOJ8TBntFKme/GX4s 6KJvzTBbVERXBkSEDL2VWUFD35+OtjLWpM2FlKeR5cPNEe8VsmEIgFWxJhPMvQn5tcRskQULSNMo veVueDmqBRXb5jOHlM6NGMKpfYb7pn/LHXGwvbUJ6j8iVNauIzJcGhx3ykqgLUXIg5XrI5HS1lg7 w0X/PAiFYG7s1lovePKX16zdtCHLlusQm5t+7OV2godZZhzX4fWDoTsYV2FThFqRPUAsJcZmdsMg gEBUl/zzvJQR9G7gmY2/X1oh1hpdHi4EbpqY7JwwgnqnI/4WWMq0LiG+CdU4KEbFPnulMve7i+E0 HLSRma0/mfinNPk4jKJzkbk9I0teOSR9kj+Ypa7kc6PgN+P2ay0Ak5AhAxkJFyIWx3sJF16QeUNs fiektObsV5YbYYTV/KFcxWvEEW5gOZwD/3sa3eVRukedFGujQjzGeOyoEK1VkJgTR/cPeG7dVZbM peLRiTZPixq7HX6uVPRLT5gxt5CulDiQSih9qi20ALrvSK1kKDucdcdsMsJLtajPtM6SnXurqIL2 Z/66GZAPjJk//a3Lk6QRXaizO7lQuzCivRXH0HPmUegO3LbWC1ZrFGt1rtVAqMEbn5KGdr4KVbN5 uPH4f2dCz8apRKQ6cSGaEcnbSf2n+6YiPUUQ6kx0nNI/xT5U2sBhQ8elh2IafKsDSUjpzXyQsgO2 ISquGc4fNSZwf9w5FgdogsgOyCtEFkQF1nWf8ZcEcCt6q1lKaHnzkf0++L/ao1UXn6Mc+qiE0utw h43v14T21ROlaSvp3caVGjnF8xVxo0XloETjAAmJCA+0teB97b1ODFs18i8zEkNQLhj3nlPs2s8J 33S4UyE9hut/3q1eFXfHK3ZgABsE4KiIRtKqtKX+MS+9kGI50DydosdeWkI6FcBZXSveVqiaqxko owRsbm1/yLQLdePIuHja+UtbIlo25zHWeAV5/tagiK/utg1DaD6bfY4bOYeOQYiEHQyMuD2L546z odqF6IGIWYG6z/fsctH0Ed+CAR012ElXB+vaYp3ZIWoY/TCxBwvdOSE9jDQxl8Tm9M1iWnH/DIps TGSYZa9iJkMHAjU6+x9gNSDOf62hnlD4siwlAVeKp8oFvgkByijKu8ooDoP/jArw/lGFg2sg3+8t lmN/eQ2R5rkgMW+lRn9bibbFJcRbu5nrHWJjvBP1cBFPX6BL9N1zt1wCujzsXd67QopdhAhviaEN nBEiZjZcBQ//apxeJZbiY2aJnOnR8A/1SwplBunfEswWRibY9UjjsA6tvfB9xkUHkFEwiZmWgol8 +V9BbBxDTLLP8NJ66gJT1+P6E0nU0W2I3S+7sqZXGBUbB5PHeBBHkecS+N45voM/nq1OjYo1bHdn R+zn2EB83ogKp6ETOsaaKYZZYcmX9gtETTmS3bGDrG1eEqhPjl/bsnGbuaM/iU2gji59veA03+RD CFYpEe5/z2O4j2QR8IDXRvwsLDSaSJJTcZJ3gxRxqMTD7e4LGvWhM42MKYv4oiwyKH7ob+ollS+I pM9dXPiLysF4WvWbU1GW4PfM6ts3UqcLrnAWo8qFbRnHX6z7K+J0ycDbWPQpSlkZtkJqRivMVhVw SLZDOFweJCCLXhC7eZCJLMeMRupSH5TNRMZYXTYPK2IKj9voVMsJX3dnjk3kQycKklMipnFvuynV /qYrF0jf8eP9K7Sup/iEcpELetP/Yss5kCp22cDuiSnQwjG+xL8+kAm8mO6jUlR2+e6+O3HQ8xpW pYAYDlwHnwrRccdcENloYC+SXaoszccDYr+4058fN4IuXf/w76ayRldPCQN5pcSq/8x5Ghf3MPiX 2YfzF+x7I5jYB+dRdeMMYRjlyPPn02pyBsZuv9YqQb26IEa7Io/UVG5xVGV8mgS45iwIlhrD2ccF 8B1dxoTkURT7aTzNiVv8IbTpU/WqSkkEaNg7s+j9NVypvBeXgFK9qeYoEQ4CCP32JdeJck09uChg DwoxHkMjsWRHKW8sEle01h6WPtxjRh0CM9tyTCiZehbvJSAnpRQgHU9LEtELMYUDCvXlo2X7Xipg jA1YahgNlpK6pF4jcHBGYGbguf4XuYTRu+fMi6/7nQ1zTQ2ik5Xu8xJRD2Uu0s43zpRwiY62ngjB C7b6N0xZOx1kfcoiCQakXwaNH+vivfBMXkG+GcV1k5vYyWltjNvm0rIEObLdJu4uKgGzLEEthao/ ykkYLBqlPN2arOYVTqnB7gyotwx8ZY6hEVYzOgHHRZ8PMI1FOLuaJT3RyhnctG234xgtNqHky2LN uhSJ2+WnVzASzPdEFdoaDy3V/q4x+117iW669+HE4x7R6TAW0XDuVyKxoZtd4ds4dqhUzJnUlY0F Ot1xf9Bvo2Q6M5/eQWesaSXpaMI5XtIuPHNECpU8zBqMTCxh3tkQTI7phs8CfNMtJXZy5UKHKUJw lAwnZw5S+xZN3mxTiQQ5Ge/fitH+HzsFuPjLG+FprYUIbp1WkOiTlNFZsaYM0IrmukgH7ub5EajA VGrU9gBu63xOd1ANU4aybzInL5+8JDyxHBskgUV/sYorYq2Kk2FLHy2PZeBDXlQ32g5rrQ2qPcof RGm4U8W8LR8nUF/0sL4rFqPSOK2C2Xl48ZLzgiFYkAODlCNbfeDa2c+uUPxSn44gSxOpo1Nuzaix Mzuqf4n0s4l8D5wRpB5zzVGlnFNK5kpKZpVZxFKspTF1sVD7x5WhCn/IzakEGaBCD6/9NmWOiCVU sX6XK0TWUdeHTwxkVANwzjOFObp4lxFN+KfJYFHP+f2X7pBAzwDgCrk3OkncjOpvsASi/4eWwycI WW5yYXJOSn/Cmc+InDj8Fte1eXjlvWxF+zPFFMyYduq8ev3ZfCD2E3JYDiMMFTH0flDHviqgaesv iUHAEM7qeM5eteP8nGwteYvLeuLTpgGMKPc66DCXkRubJJeQlaTtUTse/gLSxKbuHHdX+uJtYAkl iNmqYH/6RwuptLNMmddYpdxDoiYBaRSCMG2uWuOfTXKXJCtbJFREtsavl7SaI3A9ZWG6IoSo3KIq E1VWlZJ3SAA7WExKaSpnchjyD5iQTMWSTJQ8NdC9+qlAe6QIC8ogq8oR9sUd9BNnwv8urdMAu6IU DzckLyKC2EinPHQoxK9uPxuS70wSmprzvex5qEwCnPs0DpRpX8UD1xYMEgpuYnzQ6oPqrAnyrtTG 3cO8xuKkrs7pRpViYNDxHrTKW/dhPWIlftd0+H7l2V+B9aARcTXQ5rwwMhVIppcqBm+M35M7gAGh kE9JaeWYribtsof2z92vVKNyOrhCrvT6C1l4+9k0ISQFK4A/k3cMwXtjWiDGccRGytY5ou/vi/iL 78VHnX9tN8Jergy1jtHFqKeKv87aDkg51tTWmuNfq44Bomy7ObkZXehGOviWnsmOt0WRcytfyd0z LlUuAjrHJkhpYHMWCJp04kcqncu22ZBxDp/fqY2xigT7PKMe7MUsVUvytXRVta1cbHEnhtjS0oqi 1bRy88uboTKVjMLPY9Ddj809OTrjJh+m7AMnGam4LBwH5WlbEz5GaG8PZ1wIBG9PZaNO8FOfzmzm MN/SIVyXDvqZXLv8qjNyzxnUc1Yzkjfi8RMyG0yOi6XQwsfTQBZIHM4rdkc4xgnpglU+t793+MYm JV+67ZgiLt7GFYNuZUvYglvUw/MbPFcCz/6a5j1sGeCSnRokjxhdsTq8p1JaEfw+FrXeUV4YZnA0 sC/2o37iIAIy4VYtDHO2GyYxr2xJb3+5TFWysUQtOqEt+49vI0KkQbQ7Vo4pGb8MMCgkYfzVtipd p7JenKuqmxoBZX12PeLk6YOuIYUGECr8UNiYVMhJg+s+ZcsJkkejXyCMXjr3hgUcjMQm6gRBHU2F 2QuZ+lzF3Nw+gGyO1ru/R2BgESeXTyam3g1qrxxaOmk+xlq6ibvzI+SY7+CPCmjMKwIwOcL7lSt9 1YwW8WhCjyZ4PMvWo6NfZweq+Ip9a2B7T7pmu5Pzg9/zEeENJnFv2Icy5IWsFNh2C8yzT/67YYZB g6Uox63fflP6+CRwBLmGOJhhoon2DOW5pfKqnuikkHu0aFa8xS1S8FtWq0EQyMdxpE4JAYUDqTt4 hpddL989nggFAZ6dZ/AWcN7BX+/xmwaZPzM2OnV5YYOOuUcUNYw/r6uQDIxt6tmBOaeFpgNAdRbu l0NhKpwbmURiJZ8+4Rotx/8TQCsQgCigCBeVyZI4mgTulFu5CLQyodM0yb77bcmXk6ayJG88Y9iQ p1fuchKO3qkz4okWdb+g+wxlmgtKTtqeDmuEZEi09atwjFgihXusnX7jBijvYdqdNdFL6/tByYzP JskZXA2cdTI04KkzkXj06b4si/9zhQUeHJLPjVvO9lxx9vY21HeCe+wCZQTmi+r0ajnmqVEeHBLZ /1CWSx5ouypUfj5M9DAx6AOeQrTqf+4TU03LS+qPUSAUsUkxT2OQ/nLfM45Logsi5YllvkO8xyuM aOQu4ATBcVm0EOeA6rpm2VONoO+X8WqeXZannWBGPqPAuzgJwyKMWUQBsLslHJkB+2z3oLiDsbuO nQfTuJAfpGXwQEyFc7c6iDEssR9YPDm77NNpwhlO7dP7DdW3SgAcJfMhSv3hC0jkddRNHEhodEP1 gv16rq9ANQYXYYGiR60+k1B679GZCTwqH+E1RRalBruEF/jtw34eQOp9yOKemdrPJHlgW8i2N8kh ttnkGAlcD3CMhH7LXEywfLdFRANMKmgh3Iu7On6UIHG7x6URaSCKgtYt1RKMbUD2CvM7Q2Yni9Jv cuDDLvw712ti50e9YVVx0qCruBlcv/0+KwhL7Ebmyhu0jOM2/fKH7CH1FVLsnHbzvceHn4XRle/M EgqFNYZZtUUsJhe01WrKMnUmqsTD4NCY6DjKih4y7V6EcOfg9G27eAlL3uNgi9ffgY0tsAwbGFIi kLXnUu2jRxkHCef4YgMNVPoF5GcK3PaxXxUDMs5a0pykw3rT0STumZ0AEDhujurCMQbOAOXBSH4L rivtkGRiqjPIah23O6uYAJ/J5sppdKqUIBe/tFy466eoDLLUqqz2T1XokgbBbG7zkBvesPIvXcs2 81lWwPgngR9VIC24ssjqf4eeMxd6+U8KvwgWF9/MDFzmXZ/xf+KQ7kGb82DJuxTCufSz62rcEoT8 WoYHsTTUpn19dujhVBtJ8ZUpqAXbOD0u0rxTM8ZveQPxNGEwjWy7Zqs+gX6WGZ+/KOEoi8LYH8ja zeQ3obEVg9Z6a4pXeL7ZNMw6VH94baAi6LkG/gjl3o15MHETojBFcmdU4j7h+bJg9cWMCWmGKHmZ PEnW60vGjjqMi4N9cW3zBt6Y91oH+hnGQKv8R1Nh8gO+z46DY0gUifex/KXq9hzfszo0YP71ppxo abJNAU5GfLuhQa1+ey+j5Z1Uk/vKaguvlBNobyVS71JcZmNLjE3rT3xXwbr+a9dn7kKmtAG2Vvku ztKzJ4kqqAHYDJbJny7NONHyMAJDPeoY34jjv9WNO7djMmLdaHPQ9+YatTDJ+QOvjpY1filb0SDN okeQsGKpJ+yx8uRNaPDe0ardFluWaaDSuSH/YsSH7QqWN4bN+sVWBEar7/o7U4mWi9IicMnvhALY SRIDM8o1RaIi59mB+G/ucHXa4IgMvt5vu4ya5b9DkxF4KV5a0fVA9vSgb0pjDbq9HMpyfaVWndlb nqh8RHwDHG9h3wOWbCMMBYvJW3x7nw1pcNV39b3KiqitQZs6sImVlxAIBS7QZ5vkL4J4sEUpxPYv GUgIcYW16GPq5qSZmdf8z6KM1Cf+/jutixZyFPnhR2p8KSuPcoznHfwVPlXNnW+IQ2jyvwWKBGrQ oaCD3BqcAWfifNAgC/eP0/cex3lSWIHEKeJ8LL3GxW48ghjFDINGSaGUCys2SVBBGdhMfmpFfDrI OCEpzucDxmAKGyvAdl5bFpooCpDPUqrqH9jBxR8vp1cQicEVJtFDI8YOTs3mJvnZ/NEgyKcybsaP SROHCGCCM82piNoauYG2l4oZb5bYpCjoLorTUrttL2DLydWUQCzSAiENfDLKD2TK1DqfTCH6Gby4 gU2joCQrlZVgAZliqOZSwksonfkgHqC20+9YnURvyqMnQBNJcGBQpRCGhfaXtToyIO3NlfCcRn4Q kINWFJXFf5fdzfguolmQuYxiGT2fPPm8i8pdG4UCWFjghHHc0/GLsuh0ExlIEjGlitSn6mzbvLjG WcqrJ2ev1hdr86+8MAePN3bS9v0SjzsqspDEkIAhoYHD/Aqb6L0SWXod0WIxa3oJP0/kZxGH6OO0 Gjt5sS4Qj+cFUMj+Ima1ZMqFx2ZeUktKuyJVC5BzIypoiLJcR1MhdzmlTLt/EjuaymIBprvcOuME nPVYqOcinKPzpaIp6RiIeBh7TUx9hhyRuvZaIENiWrpAqQ5nRQc8sT4obuM3+h/af9xJ5GqMzjhf 3jaUOLZ/zkLmzw+kWspq4Sw/7JuXUIt4qYB05YRkPFCpX6vgitBn7QBxXSQgdak3+Dtpw9hLjyHh T/EaWN0b/ExeDHi22RTGgmEuuJR7dwXsCo9cQp0BaqggRCfPxoeublb6YUkMJIL+d2e3tBeSsOqy iLNLUmzoBrqXDPKNTJgBzgfQiPrHgzIL1v4MrqEA7FMNC0jCTbW9EwmM6NoPh6NYi2C4qf4mzJ1m VraBVId/fXGh9S6Wr70rhRAenBEZkFS94B2+dpQJIFM9rF7bRcpLUoDeHfaP+E2x1W/YvaMFLbW6 zRW+q6pEy+YN55HncsnuTYy80Zybw4E6ON1nT/mZoZ5H5LPLiipBCqczJF5QJNYo1LOjuEAtla00 TXB7O9ELpfD2Q+DgBaRm/XXr0E96AsseB8kxC3stUNqfU1M/I6B9lolVensKuZcuWDtb0FaFmXR1 nnE46/juXFQOP/wmHDLWv4iL4EBNdbhMWbBZ5r5CkoNCO05CYyWRRTHpOLae1vduvKjhr1i98x8j vTLk1b2GGCp0Y94VCc6CdI7CBwiGmMro/R6KXIORvVOt8xKEHAHl3R3Gq0LFjCeYONqcgcz2i1FA S0/Auq1ya6ISj7+7hyBc6aGq0904kFl+KLroxBNmkUIS08cEPNuk+AFDrwneTYwQ+Iges6a7/Bd0 a7LjTJEml1HujKnhoB7bvHK5G8B3RqKpPUVLR+MR9GLq+MDbVkK3MdufLefdpeZIiTXFD/5sr3wb 3Fes1NMU2h8AnoaRS9f1y9dQy2cgKrlpiw6yFDs2SBAtrEq6YMdpkdne2FfjtUI/hlQx/1Uref2x CCZQ8GEeVy3M7jud/9FEmFdka+HB5eqY5n9KhtZnHxs3cicP2/u8A74l2UfPsXM/rwZKfKvMZJsP A16OEQX2rp0g9iusoO0F2gi1LNyNNEwWpz+jfDbGve06CnrvTdtzvng98+YxiAUXiky0L7SflWnG xKW1YDi11OeFu44SRaPfu/wsKON51QUjw4S6fdns2D7EPuTGDM8g9cPK/u2DeVdrauwA5/NiTOId A0EWzWOuzFlNHRHfoe5driSRW9HOX5U5r+iMCAJc9b3ZxVVOFoecNas4DP43VrtXb8/Fb7kukTxH hvfln10wY9qi+8KHqUOjFllpi6Zd6B9gSIVQsnAmPQDfa8qQB971HxGwUO6jVgMfY907cEr9RCCS NZM+LeJ5ARY2f3fd7ci/Mpbopk0mv+MtLN8q7fa/KKJsh1hPwtO1FzSHXBLNe26KG/UQyq92DDmX gnCYyJF+GDvszvWs4K5AMVVFZHyH4SHZx2R03Rggcz9id/3alYkSaMe6vge9SDP6c0/8WNQtDqaL RgvgMFe9IItGocu7L05rIeJsicZkxD426qMacL/XONCqSJrtVZmIdcQP2WGBA5lR6bhTGA+BmLuj BvgdR6uUothHxWbjKn5tUa0llinvCleRkzwMnwZAoElgmEhGlp5fxzlI3N6gr6sVBLC4OJBvk1by 8O3QWnFX3UifL+E3g+bbxr7DOrJRRrDP/I2AmbiGCj4VLElqgU0R0/wG8feSEAPY6sDtymlP5+wH JI9XmxHHCIH1d2d6dfWFA3gQJi1Y0aPNXwzG2hMtCgXIGfCP2BdWiKz/VHjYbBx29T1Fcn1ymnxu tOBscta+fJtPlpoP4lWFFInL0hGYdkov3NXyHZD+eJwyyuaatwt5sQGKSkkK+zQ5KlWB/Ckj9OPb KR6oHp2x91CXR2ZH65yq3MR/CgOBwDgYXlMCi3uhQkx2uZkOkbvTagJFH9wcWZnR9OlhZ0kF78EQ ctmzX+iWDSwZEBDnYwZnbeLNJoAi8K5lXCBTG5Tq+dMXgXknky0gbErMj3Z3YIjZtVhl7iYp12dK x1l9lDKB7CyeUk+WenXlUs8Le6GW6G7qkhyAd24yMzl01kR40qY29iDY7mVH26d9Df4XqqraWHza JomPYluOrPoB7h6sLBI0IyWsFBvYKpPavnqk1Jo/bcRUyBDKaSt+Q8w3yqNuJmp/i8AjGt7qHpaS aPKZHGcBHgh+qwI5GQDuu61EKFd8z1TVdksIH+iyT55idBf9dukTtVkMOx9K6fLBvd4DRN8AGKV7 j/hxecC/vuz3zr6i8T9aQGBP3l9QA8i2ManDzCymX+UGCR3iFF+8Rq/kKstPlfGTZb34hI56yx9L hsfqF7qgJoRVcpZBAcQcNZkC6275oHPr37to3S+L+7+c5+q55FSPOZmVZsx2AK5KfRGR4TTaSKQM K6isKdR0CDJxS+VoAXsCzAGHY9IxKmaJ8XzEsDRW3yGZjHCPAkrdczCh7zJoVP4bjgfw0QAkgtQh aGQBEBs0Np5m3EhaBi3ojspBar2+eKQvrIs0lbmU00IPYMt3HNhtfXwNVNLTRAdfdQZG/rm8yb9T W1HWjrEuiZymwkY1UVeKfbqPD4KUZUfsonJ9RKKu9dcZjthhC7qW5aM5VBOFJLRnGz5sareAGOLA xYkQCJ4I2tCZk87fSDv8KBcK75jgV1zjwzrYb2bQgwrPXYo1WUxVZqD5FG+9W+LoUzvA1VcOz4T4 Pd3cMfBOxVau0gri8i0Np+x14aSdCvwLnF65vIEkxhKFqTIU8BAS1IlBLN8+L8x0omdKBz/CdSDS 0nh2gcPJYn2tPNvwoNoRyXiKUwhE2fRdbwjJHbzXVhNWSlfeqx3BWGcz8Dgp5jUGlcDa5W+9cNIn AyW8KGdbh3lxswqcAFCqnvTc+c/cay6Kh9p/h/hGDGw/tNH70FBQzgNgpLYkoai1uO1tD2Iq43bP JhJcXNrx4s5OCODQjSeT4EwYULJQO1bxZhjVUwT+N5ojwv9quGUTSQ+6yOtsp0NLwOyTX+uBILA2 7e0/4/Z4yvn7YOd8FiTvTHYzawrZnYgF64vtk+2gZ3+nzELAOq5xlRYZJumzcVjx6Njbb973bWAt 19JQDTSLpUnFb/w9ixf/mVRjVATjQB+Z9/vncV8eWaG3Bcif3j7ZX94hEEPMJsdDTSZLxrIeNEJV i7ArajkWid4HJ9qK8KpZXEE1e4HSeJ/5axHhlQGwVD9WWN9LmwsGgvoKVPsf2O+GYjM/xB9S+e53 OP3PZIk8qKHjHhYWdfIW5Gkurec3HHav9GODlDs50AQQrx9zwU5gTm97uSEGvY2I6/syTghpXhyt 6J9Isuvtp2U8BKEvRRm31wkKZ/E3ZkR5XCr++BCrXMUhFMMuzc/ccYnWyTXuPwgoYdoq5voN7T6c 6FhZpPH77HGbZ95849kEwREjM/TTAK/1DDLxKQkzKInQZPSjKZwU7N80c8anwSFxFO6XdBWV36Ex L3vvaMzWuJwjzwvMTkr9pO/mJh8Kk1sto01ogncthD/S6jH/2622t4HCS8kOMfJcutn/qTizxcCN JB7cx1VEypciWfzP4/UfcHSgSY1jCfTY9JhWhWjniVBWYkXM//hdYnmtYBwptUQZQm8LKSs6iIua WL1p1RJfi0PJFltRikw/piso9SzCbSUjDc/074W2vRMf6x/QK5+C83sZdwnWVac5SRtbJ+VM/uUw 0D1aDIBYjlLWcOucl78KH3l632CotirRU6YvW9c9ttw9EVQvbpVA57LGHGypsbpi8N6/Lc7ov/T+ PO6HeW6GAYiWCYwiyHhq7TSVfZd/17mto6U7AoDol03vnjg8TY3lxy2SHQxl+LbmzQZ01+RxzJqU BdYSGRhM8ti3PZjiOvQaEJWxU4VFs4GjA8PRE3uevniEj5qgYJakXFaMgCJQbdHEY1gel3LHRYdI v3LGSs5eoEyMgIanEDtn+dT7AQlk926uhavsfmCddRoOBONPQOLpY0DKqGFykjoEoHlkYzjHXFlU IvSwhnChLH1bLXfcikHDZ2FAJkuT5TBUiJg0Vvej8Hwl9j/13jf/MdebShSch906xueTeDl+EhjW +aK577uqIyqQXmJBeNS3Q8n0yrfJ36pmVqicqPgSD325cmQ5aYrpAQtYOROhKjMoXcl1kRXih2jn eGU3Yn7f49XkbCJe/lca98T1BtQKZTUreJ0LpjyCTu3Xe0GSiIQixyxzLO6esQ0K4DT4WWW6CT5i 1o02P49kSk3DvCzgHHVJut1eQvTfgkeaugYaXV4+1MjnJsOGMf/bUCB7ALIZZ9rXRDmmdIfutKMn NfX0dMVsIw2Bvqp7vumpGRTMlL0KyMspiH8MDM8Itihcsn3mVkfqijJpq6cCggtDlWHjgPwL411G ToM+WY/GO2GeLSF6CwxxXqm6oFrelcjbYxfUQhcbnDUPTDu5a5w2SEqD9+KOaUqfNMkA7B2584gb 8VfI115Tsed+CD6/PGvt4PZorkFFxx+idcr98Y0Y+Q3/BQjZG+lanByfWVdXyLNazHqmZ+LdiRKX V6DTotQkwL3bJTNE84kSJXRt9VspftIYVxUtJYL21z8VAGsbq+vZBYdlylTCHc3gSxv5/f1cf75h tMs3pCXsJKqmlU715MBE9TbC0MqT+8/vMD8d5eakdCE9f2zjJYi/J+cinTzvSNa9maZOXfB3yrPz 5/hEZHtOECcKLUYelQ+Eo0aN4t16XhgFfDMLe5qsWOritfLf94gwFLChme9k/HL3vTVFQOwVDhV0 rUls/SI/UtBhHWxTYAPjUIKsvvyOfC/E5fMrnFjtWRWiurkrHTzpNKzEmavLEl7m1eIFdSK/SnLw 8mmZQ9tO57+DwVGnqGd7G19cK8pv4SME8AtbP4IFrM6M5aBQMeKfpnYhVy7IbRHy59OOpnVpNucD VvrF1ZkheaIhqsbKBuHJOreMxru2HLvKlKojsZkTbopgA4RkO1QPhGUiSXVjzghSuobJB3qUseZy tK36K5rwSgadpPph7bpBS+th0RAdOHRsF3bpn2wjIxQN08RKw/J+yRKAvtRBhxKz4A3KCKDf01yW z03PJ/wQCBYh4yaljBYkOshGbSCw5jy/YQM6XqPJMQG8RA/KGtdJcpDG2KLXe4S7H9xIX1nVw5Jl OES865DX9bzapHmWpuTJb8pWreJiAaEi3TMlZE6hhZzzI60e/hCxpkCipyLDnClpb7D1kMbQhhzV 77R9jj8nS5BmAU0mk1LGLv1ElBrCsedW/7+CFDZsoQV/EhtgOC7SZzGzI6ieDhZNPYikZTFpqDMN wZ5QPQYU9ZB9qwpqEYtZRthuNAfMLQEK/HCdOeAxLtM/1rkYdCZWGN0xs70uxAhjsww2Dy6MCZ1E Pqr0Z1SSL1nKyuO6lIQMDqzRNaK53SlzBVHKmJVRxV0J48MwmUZq1zr30QsXGXOuRnj0Xgq09VRx n8IUZdOLJ5GxOCLVV0/5KOC66rC6b+NiLOArwThZgMmHd9rwjqwAIYfPcrd0mQdNaZZjJffwH9L1 p1yGEgyJKo6F6/BdU+vcjcUMZRsmuJLq2lqL8ujDlVpbLo7FiIFUf1fcZUnaApL09gL1dWQUesWp pd5joB12a7LFMJ7P1oNeyMH5u+DQfPRjc82DepRIjkpMofsbX47EkkclDcjBub7RQu8jlfBzraBA 3L1O0KAIlZD9Azq4WNS8Qgr82EOHIWex+2L9WWy0Bsuva+pkEZmPKUSFFEi/Z7r+zn0anvhiB7mS r3pPD0gGCeysJrogiyCRwTScrDjSNsCwCLM7KQtiw4tUzQog7HBWRwcsUfdU4/h26qby8TkzFhaD BxaFqfcjiSQg7hxgF8ZRjlV/C+Kh3ufcqIn1w0+WKU+TEMInIq6LK2aUORsdoUqS/QqSn6fzUYWr 1393beIlwPLCWLxYz3CzaH0KfrOG1i396QzqSJe6hSgcju7GELbxdxTRC+NxV+yYehD7vj2vyjm7 yxXXR2wmVkvPOF+AeKlb9UkJb10B2JMxRd+JkXy4kPUTiYNeFQQE2A7EIOJJf2T5G4l0VhX6hkGM 8rm0Jsd5unlGvfDtrRWHp+ulKO04AEmGjqTA/f+0y2TBlgcOQM6Yn9f1kwjZmz9DYZPNj1cjAGEK JsNp+3JH7GxQa1Nc74Smt6JxkpCtKHhhUatdqLWqvjyLbuhvCSGaYTPzPsDAtVju1DLmSZehoLMA xUrR1B7rIPWDtuOeoc2j0gXfns1S6byKTdjtq/lal4CMxPTd4lMkyxEN85IdMIYsxAh5v8jifZRh 2SFaVYlzXHNzIXJ6Fvp4lQ/PgW/CaIZF5YEiBj/oloAVLRVP6D8IbM2k667OVkzHPn3YkV727Hkp RkumQnU7DFMmPrvcPo5C6yQ39QBlBEUfjMQTgB45gYq/jkk73eKA6c2MFXurzkFBIYuOaSA93um5 BzAE5FAb8YAW+NK6XRx5dzTshhHAbXXfWRNlQDSxnoMJNGXkjWKDK0HPZd05z1xyMYEkaJlBDMUG xW34kqj2FoF6BUpzduFc1uGmWNGQQmW3WiPLhNp9GyY8MKunqkdT3qoijNKyiIUqckUhhRrSF54e qvhpXiX8wn1+XiJvQz3oegQiDDxouSLswX4tfD6VmwriQD/YZTt4U9xoRfWWuYEvej+fKK1ensqg QjbvxuyfI2s9byBoolyZ+4Qug1GF5DLW98y/pivrs7MYz64EUD0MHqCIf+3beMW5o4+J/udFge0V +4rSF46TfmqEJIb2uRGdnx1+Mifappscklms+vAP+deRzBBlI4GNFMzfAG2VKJCWk2oR5qk6RmPk 0z13UBYAIayTdjSM3NES4SoWy2A4NbaL0CwK2jiOjWLstOWk06l5RzSz8fRe+KElp3L9+VhThNIm Q1tC+cEjML/9/jIGt+3o0dole03m/aCLctsdltizmjGQ4b8/t5N+M0Bg0Ufd3ZJuKHAAXP0gVCl8 q/Lo+RphMfLQJqNHI/AvMyCPOM+ZfetEZqFljVJfw6ySpUHhtz900gJxOK6jQap3zkCfeGFqcnF5 afxECXA3AQxu5uqMUcFCRFXmx3Upk/mDOQn4aRtPdxvuOoOfl9/urd+Jzz+ptkf+bGObGb1skaXb RP1HCs3ic72X/TT44BWJ+DH8wGpp2sTLqYglJES8nwSlLJ690NBqb0MPxIMB8KBokhFIT5ZvfE6j MHL6z7W3wGiOM3cFqhnt/JKkZ+XkvfjRr+v/+Nd93Ipl62sA4UHOwukRjSCAsl6vTOkbSKoxR8tS c5DtzLOeK6EVKCNdBKCaJZ2AspvTmY6LpiGyPWq1ZDOdD8jQzb7HxIjpwSriAcWkeMLwg5SAv2nL dbtRDPkJ/lTQazXIiov2FHn4+zrmPJN7fUqOCzuu+EpqfgZkxgoRrkjvxz4Er4SAk91ViNF32zrL ZsZXof+9McKnTMiPzOuLYsJllmCRPm4SPv1VYickgySMwLU8q4nShly/JyDMZzR/JYf+HZK8I6ri zh/aPQpkinkXNv6Pqfq8+F1kHnu3g2uE9QqnMVKRu0VpL5T5aIDuK+BHUBJEDzW84o3Jr6AdBzI9 9L/0J/2jvXPrEK+WqQNnoPVvvYDmINrV8oSelXOuEqALWwd6StsgeHpEE3ohscA6Ek64DinJhLIo b/weQLrBOIjC9Haq2ZurCbvBvovL04IjKeuzUIfeBBdDTW0Pnm/AaN3TkDCJ2+VfWJYHtdhWMEDo 9URWbbnlC57QZTOCSXwXIk7DU2NNdo7lY6Z9cZWSd9JPcI36TBIYCGBgMSLkDGmB08IGj/tLpkoF ciwBhf+GrQiAnk/oMgzPJiSSNHLgoXqc7qH5EhnLFEKnVLfOq2zO3bbP3cvcStSHgXIuNL19mVUO Hgi6gNEhNaQwA4FhvfSaqVU6WqRvLASI+hNR5SZHTwQwmhPei27iQNGVvl3bhlkrcGLIIrOEsZoM U2y4e4XPiPTAVFUXj3NSj1TZQdfkzSy4E7hbqoqKHd3LDYd6+7BZ6gxh2/RxJeKcqP3xlTfMz+oL 0q4SPcuAWYwDd4Reso6+aG2MZdHvLoY0Zlr1LYO4a0DFPkCJytL1mYoWzP0D6KaF2J1Ry6cylQba 1gFakMw+yNSGgca0QRr0RiwzHWhNVTpB+PavmA01PL4bbWcz7hkPRl/9OjmP245vW/5UmiJx0Uo2 HJpnLGZFqvgoNo+4FhU1IF7PWENRteNmc1xNdn9W3hkdz3Ny4LNpoh+v5aBSUyqpE2oFBajteeIb tw78SAuw90dQvwo0UAi9ttmU4sLgDDHChvaW6eCGrmtZlU8U6IFs5bzZIwwMj4E3+JFAWUsHJZDL QOv1EwFnHt2PO/XdZpRqN6cpXibhiG4b8ilQA6gDQKSzar7dwXsq2RDu8HnEctpBs6OmvyYCnlYp 383uWVyF8VL8cXFZRHPCDmwIKXSzDo0iKNf8cr5n80ylE100PiH/0ZmJB2YsZjlmkf/uDfmudlRB HBEJ9gkwlJZeF43l45EejEa0IlKi5By9XUhpbCbxGTGLC5E68iPzNl01UOy16EB1f1UqUg2tcHsk NbDG3p6QQK0mBzE8qqM+V55gKmroC9WyxfgsEqNsUKSE363G4UHiXaLlh1bc9o3fg9IOzox7M+fK +FkEjkQq5MN1D8rT3jtiW0A1C7MHE6e/3sAqZcF310QM+rGfJrRHvIbgs128YoaSmv5fjPh11/BH LfS9wYiVyV6uAPQq8OJj0Q/ORN782SpjtVHY3MwQwyzugWJkn6mCXAM6YA3fPaaM6/tS1xMRynzf iZawvIEGt5bEujlH6QLVg3ptHF2PjZcVGe6wt96W7jiYj+bls/d1Wb86spvXNcswweIVjbxz9qZ/ E3lwdXNQLKuQqZFOfwQ/pWhfIpEn9ZEJ9eBgysyuotJtM4P1KRWTRMpqFyfc7hjKva79bbpnk11D /WxEgqkXou1TYvMQocez5AAfXSr8uDBefQi2zi5P21tHti4nyroj8VUUPQd57m3SkZlUq40s+1o5 Y6FJWBnr+dyRnvtPp5+4KzxXANMUtwPmX8KvdlXC5GZnUqecvdAueS4l/WW2AUrNZw+nfukX/YVL mGUiPNVnG5/UvDiT7ulgqvrydErnmH1+hReo5M4DOz6sd5b9BukC31dojWyFdtCRDO03KI8bznfM TyxIX2IWfRwUYMPzetZre6sTs+Y8EBj++tGD6i9Z9j+uAbK2gtSy+j3FYHq5/Lw8k7j2jaCZpVi3 GTQgKpnjv8kNow/KpwHPtqx7at9Iojgm4PedfjNCmuS8TELAapKPvQbGzf7pjewzGYvsnhH5phPq zPkQFJhsXcyx3R5e/hZrLG9E2M6RQy7F1CFFUN5YsehnJgVYA2kY3ihBzuxyDUSQUWrpAdH5dwVS pOWox5zVXrHGsBbnH8EUokQxxF+iPxwHYyD/cVvFqikyIEhN1eetcx/niyYQWqyMVdaj2zZwTxRu lV3l6v6QFo9W02Acd4nihgO4qUaHM0/gHeWaQJLBJJGCaAo3DNXCqWUoHcZ9+s9d7kmdxJbGTMo2 E5VWwbRx1PD1SkxcT/kv9hXny2Bw4MXohOXAGJtuD2a0RKXjQn9wSHDAMwn0Z43YFkwLefxSKwk4 PbBEknigxDIO0DZPvhK3iEyuS8WC0PCwiAywv3S/ZMqxW3JiEtGmcCEfsdCO/cIiJi7kP2XShwOV SKm4fF3OkeKs6z7YwdmYmdWfUjDyf9A+om5u2+HjecY6tL6g9VjMWHy7HwJeAiHPwwOjn7fAL0L4 Q6PGBKejSZTkEDM5MvsdPOEVz4WclvzJTD/ioaDChDHM5dQJ4I1vl3ObhU7KCmC/joxDXCl8LSPe ubwMHK0S/Ra6fPy01+qKU3JFeD0z7RRk91xZMRe5heO53e0fPJRm3JG1PQmIjBnthpebtPcBPYs2 D9jGOiAXLvAXDIEEvKdJErfPuLZBQ4yLAR6j9KYuVUV6UHA+KUWkbDE3z+6n8bgzKjarMpw1BWn2 zUJ8Dm9FbIr70kJu5N3reo5ERuPeBlhcW6f8oLQqU1NlbgPCxv93NUZCf4mzXw26yuaDO5/KM2I9 3Tj1r7CHeVQXk5E7JBO+MQV/7HLAPCEhrD7npPIa/MYQXXB8iDt63RFG4+/Z9zB0cXm0HTbfRwxj tUfpgETo5JcqEnvM64kw1YWzaVyU+3ww6AwKGsBpd79wzoXO2QlgcYV+SMId8alBactGt0okSviY UYG7eYYoBO3j9BMkCgUpmU5A7c2rDRX61VDJ4AvParuMH3QlUsCJz66Wl+6+Kdr/dnHYCdPpIlvP MAtSGumpVtg+4RwTstv+mVnvUPBD/GBtKY8xNUDfv5/AyBXaewhQJCt6BbghezMK4PG5KBCtcVTZ 9N6esX7TItMqDVHl8fWeksGLNyxyEiiVUEHZHfkRbpw0SZptjPGMV3qjqgueWE31MkCTv06fAAMf AJ2kadlIQu9+d6znpbODk+LtQAazdbAQWFDl+pW2aKj+BiNYGeC3ciH87Z/SWGrC6PdgaiZsuIwn QR0CeDkKcFF7ZY/FG0fAD1auQ3dE1Q37PTXPDHiNt/HOrO28Y/E38emsieYvl1oZf4RHYog5pae9 QhkVdG+emJVdkIs9nEMBi9qxulU2mq0HRxCMLjltcCwgZBIdDKgzTawXY7yXTxL2Uxd3ymxV9x8O V2IJj2xvGnh3xjst52M0awdc9WicY5iDD+r5d/MCJhvuoecTbPxsIzF4EnISDT+LL+m+3qzsjSTv b4025FeXo6bfzq9ZxuzdV7g3X7NaMWKVluYIyVaONadTFsUD8UKaL6ybzN/A4aX/z1okPjTz5TKa 2B7ASDxK/bDK+y2P82mK+JmMb8BlykCR4nAETV8qYNSKViHsR9D93pmv7HleAQwKAtkEYxQIjeEg op4NNIVpIg3AefLZPtN1kcIv5rIxVz+Mkrqiv0pzRLrZ1SRbHu3QMUmGJu9jw2O+7sUnLJR7gdpR S1wRBAoexjQmuS1QDa53iesqfcWZqTJ0lr/C7RrETJa3pscb8cwWiI2nx/GcM0TgcthmSOvmfLRf /Lg8uesAVj4jAoVrhEpRfF5iEGlLtu1zQZfRXN5iTZuiXkcXxPKdPTuJ29YWUMxrUIuz7JojTB6/ pBiZCgxZgSgZEiHZFIm4x4Kx/o8RUGnLY4oXzjo72ol+9mOCFr8nzxGjflqwKCj3ykyYPIFd8cON su/Bo4jTC7UIJ9Km70VqSEoGDGV7UrFbNq+1exD5Y/s7MHUqOlo78W8DsuLPobRyshvp8ZyhxNfE 8LXyvT+XsyFRY0ImJO/yCmzaVNfd8IEmqkCovfgYTOYYhzHRPHIv31eremAAo1k6dn8V7zQO77jq HehaTWKbcfFWM0izc0AYQnA01VTqrqFJKlLdBvTAGK6+zZQT2BhckvblfkL447Bi0+NLGqMYgL9y p5HYL16XocRryplB6YZTZalXeSRNkgF5myomt66pUBUOKdmyXqfa1xZZrCjDBaD7hNane8YN8VLC +OdPqMJ4FEoAziqdhR7IIvc7p/P2feyb6dtQT5yfmFpVb+ob7vMYjauRJxk/6s5H39da8OqoAwC9 f8yU83RGBpPsJyV8p9eQrmWQq1eiyO1HuaXE/RDowQLQNJxBCieKXlJ+uXUOi5mpaQ2LxvPuF99G xcim8yRdqGujogM/xRfTM54QcbUrnTrY0hbntbHh66rJzfL8YII3Aec25bbXT/8saI/I0CUtdice xHFARexksTITh2ifzeYNcwdRoa9H5O5z/6qoLwGIuHUUzzH0wTzAJ5ptIFhv1vO2D+oVqma8ZAGP Y53/Ee0otTOUuJkIDLlnawyPzEftpfzwHQLete6MzD3ibZFvek7ATvzbtCBvKqKrVvCCaCkqZdMR cRxHjdfMIpyFug9YACdKl5xIVyXgAYQbKGdyoCB21JCXnK/Ej31gsLkGgpzWJhLzejswvWbIfKQ8 5PF6dEciHKiKzHWWQW7cVTIsnRjt2xnbzrl3mj959MbQ82R4tNKS2S5S+HQFnQx9w6c69aaQv95T BxEbEkYld2UJi729BjC+0rCHSTFsOOXdgM5Cth5YQkXxeqe/VuYj9tENTu5BBn96F0vhKhvzNFrn e74OygcPqgHzMGmAOMkOaEx8dc74px4/CQAQ4ryzlmnr30W1wnCd2GhLEDl/ewMhvOBAaU+6nQ6a 3n3Xml6UL+AFCESPKwwYdxBEQcqJX1LRiRj4JlU15QrOxMgNpYiq2EEBzu6LLF7Pwk9HO5HodxxH btseYbO+kFSNpmLyKjcNB/89xqt88Sezlu4dNLyT3pgyr4dLiUzZACuIXLyYncaLYaDKFdbrlXGo yB30jYYFmkSEjZPgWKxnUX3Q25FBJD4l9F7qUrnAm4jl7KO8RQkmMoTMhsNfauoQqRan7j4NE+t5 NVGR7tIxAmQcmorE+Go+YNHkuLMpDa0WYTYguaBIznjNzshEi7afMEy9myx5z9X3Tc39Z+IJTqS7 QKiQeNus8wmhWZOGS+twY7t5SA4HysqeXWiSd6aGl1AOgqeVH/2n4yNoh03ky6v/RSKl8lX4xh34 WV/WA6VnZOGOZnk9Dpt8h6rv7X+6o+TAu5Ho9RoxUaTeVd7QqLBHBhWdUObPXi0vvFhEFGXwlHrw xxZaSX1KEGQxSMl+qQtazMgO1+TDHrgFgY33PMxX0jq67+Fq4hjgCzUh8TjU7eCm0Tklrzc+TqAt GuiQzJDNig6iP8Gs0Ct0iakEB6B7o+iqS1HwvqWtYI4RfmBQphkzDMHgAm1vJAHBItKGXa4XxTO+ Hs2T97u5OQWO+UpoTIQGiYi9Wu55VEVCB+5gNpkFJuGa3jS0kdv+SgDrJ7L6EaYBiWe1hYo7UM4A 07TumnqLmcB4s5/4BGG1V/+fLw9C8F6FBhWNOMR0HkIHiAgRGNfYVswtMh5XR35pnQaFFxCmkrWK DOhIUadNVRdhufMhFr+Ut2Ndlv753sWeGye5PM3D9ZhUSqCfMBubvomlMJG6j97PClMyo3+7NXAF 48Lmj9//Wv0IuKhxwJcU89i6Owx2KyTAp/kC007eJXyD6KngkMHQIkOIURqxUp7fz23XnWA0P5ym jiDwjUY6JyMvko8XCANYquHLFitS3hS0ejrbsXCb+ECdwMk1BK8VsjYc4dLuQ+g+1xSgtdD+xClk U1Nv7MOLAKUPriSdGiuBt9i4JvlM4plFxWqW/METhHBufFqvbDAmQWgwwTv4gl+35JbR7GxXSkt+ e4QMoCO42A1mM0J4BO8ckEEvzPTZDExAV/fkRDXhnDAr5rcm7gP3qR71Jjwu743q2hPm5szN0+CI R0jIWcpTKTNaVx5W6i9XKuN0/9GmBMLnwU8RBpHypMmIyZUqwcUkEvDdZ9pO8/xj7tKRVN+APd8D 0h3TcYcxQS35H4cBF4H2BhaKUAGKz1mnV9sJPOZcTlUH75qjLpgZK3clxFkUgwtgAUaaKp5sZiwj K44ne7+D2X8kEV9N6q3Hpk1cD8eFboytNne0jn5xlNnXFRsklgAIwLSkx+KKftgm6nV3w7ASiYZ6 PdOxyDrrU/LH/FTdrgJy3O/5w4wl5DssksSTM0+9NJzLSZenl7uQZHVpej2kDBlN0JYivLR95zBQ bt1zcdNUKoJPANlOn2Rnbg2hprc6x0xbQK5/PC+zSg6jqp1DHpf/RvWMM06xVCPytbz6tfeM/sYo 8D3RAhp6UppyNM+eBbWLjPWHGToVdKnu3eIUTzMJkx7XGsELbEFiOoS54ssBKnDLuUMO1o/UtqMU 5HIyMn7EDnka+g183bPQH8UU2kaxijKLnlu1256YRM0peX18yZvOKLJf3w9Ol02krujeoJM+HzCU DIFWQcCJWChfE8hKye+s8pIM/MSf8HCQOcCf/mG9lnbR9t7kv5jFTcBCdpbVlrVNRKlIWp7ecW5d vamkPuXLKww+cKaLQx2WN/x/wwYAhtnT1SACXqxPvYuXCfO8Unt6DOL+pdLSYOukbWV/DcfDzKce hbwBYP1T9/ELKK8kmlzHOGKnI4CKiv2YcUvWEEOnvNcn6ZPIbTagD8UYoGjIyq+GaPOx+hHB5JnJ fZwYITc+AFhMFIUZZHi/8ckVFky7aL83pgLR0Tqsh2K6EME82NQ9Wv6DFhfjsH07C5Pe7oEjz0Bv IHRyCgnqaAs81d9AHwHB8sfEoFf7iNes3dai9MbOAiu9N9Q5Kbmzy6dOJIK64CF52VQaw3WqgS/m w+RBI+N89AN8wrWsepzIv3Cebe4YsqeD5Xo1UI5YMBU8vIh+ACzA3f+AdrocEtMOGIR+9O7hzBh6 v7RAauO67nC3Tr3+QMUHm/unZbuTSqaP6ndVg+gYhWAYYarNEVib66+GuluoMNMAEqqB77Deulvx Bt4t8AmRPwhrrgT86VaLgtwxyqPqUfLpl500RkCjcXs1i+Jp/OfcCOLEc/kV8jgEBry6F0w0eldP nVw4lIOnaGAOTeMo2Trg+5ZqVj9yV3uMv/TZYzO8LbedHXfTP3PO7ybIuQm3BZOOyFnn7gP29aOE it4n/ybenzFYCUUFFU+TxnxvfcWb6zZXlTbAwNRUIELu0+Asiu50btmqdwJaCwTOpqpiVriWdAZn 7IjFfXEcQd58BTbTHRaW5GSEdKKkMoEnEahmnums/4Cp9XkYH/0azJMtSySacXC0xGPvvV/FqFgt gPIe6whq72ZVyPBvWCG1zgiPnS6exYOezEuQRIHzMP4hVIqcbVpXlQ/308YTv2j8jSvGrA7k7we+ mWBWlbNTRn6KEMEDmc5WNAOW0PJ2Oc13LnW6nxAh6x/u6D8ZbSHHqw/TWxLYXXhN4d94m88yaQO3 Dzq7RrAqYyKq5GB1znS7LlXuNIKbvb61yhqGoFk34qDO/pMZc6v+s7l8j6Kfmd0Rfh6F3SZ0Hx+W K07zZuSDcPP0lhy1Ct5zFfuDOMfvTpKulRbY9b5B2mJErO++rNtKwLba66k6Iy1seq8WuGNAho/N SNeCurY9FlaUAoewvp6yw8Sth/y7lQdwNlOPSQHJdQksrDOgiU74LLv3LgaTcALDmQFCSnxUv/p9 lw5ui+LUq7GMfN8f5j9wnKU/izbgGEk0Uw3dfGeixlj7fDkJ5iwv7dAHGImaxAr1Y9ViCBsEV0Mg mertuFIt0pzyY41dAgFusD5Ih5uS8yTZnlBh9byCNcRuhRd7nGR9wKoi9UVOTc2eD9Kc+PwTIRqI oMW4AgVaK9Ne9e3kQ9eS9nH3Fdu8cRAB2Pi5dSzX6lHQBFpIqlChxdftml7mOd4bT7o4Ma401xr6 Wn4q+fZZul4rbTCGaqanWuB/7X2jdIvRSM6/ZEYyG1amyQmAM4l4wDYMOO9SFVca19ImbEyjmngJ jCpJUg8Qk3Yf/aD5VKWk+hOyy32nv8JfS9N5DdV+dXHqsQ2ZRpM51/CqDJk7Jn7KRFguxDKM6Z5x qsZrSYv6O0bQ6E5u0zFshdUNzp1eAvz8K7g38w8eKKGp8+2u2C+weknNIYpkFR8c3aDiRjFFr7/t zNtVzbXKcvCwxlU/7qrlmjQ/Dp62LbEPA/lhoGRJHrQbfqSTTrq/NtpAxFdo+06LT6h7iU+H01jW jufb0FyrbLLfYral8vRdWwyuecaDP2KwRUcwauI2HCRB95dvp85rjgYpxIVziylbgMbsYlArbGrm 3/EpBWEIQO3wRHjMc28cDXS+CVkbM312gWLlrbQBJ6KFKhWltNuQnb6turziDmyCE5RBwAs/kcJ1 /20cvJobDLLOIKIsw7ULzcIGYRIX6YdLOfyEuG0Cg7qorQ7mjn/tkjaYdYpHrkcrmmwZrS1gpTKP 1/4FAfp+RIQCCnSKfe+csyz94on0I8+2fmbNrsbiAIZNH6r0A90JSc0+NhbHbZbWGmugu0Ub560w GSZ6mmV3TShG/XMGyopnLNa1RvH+oxfy6pUN6guCWDTT0sYraugvSWXSD8OONiFU1TIS1BnkBNDX ilUic6SsdxGQ8ciZS/yMpoA86YA/Giu7s1RCbSf7of8hELWUZUBlq4Tcd1f/P7DbawaYuvRA0/BV fEtZ2Dnt+oAnwD7Yz0T88S0l+DeRWWK5inm9H0OhcE9vmwmscrZHOdKtVeQ+IzKrpO4HzVudycnX Bbf6CKPZKKmIhIBzvFYaPT6Nw/zDHC61/Sn+bl+zfeJzO4enLNYtNBmdqqLvisS3DqNP8rrbLQpi 9y0P+OFKD//zJwnEzBBywZ8e71v2h0xkxot1Mc4YtX1EBeHt065JF9GaEfY2BGsobZSySqEkZrKe RV+657LscBApUyviVdyU+9gn6BPAIhRYKNH/j6lsZZItBX3QjRjJjW3e9St+ans7vsEca4ZSaUE5 9KQkESbttqRyQlG2QZMTntqRmoaC+RqX4lRwqOVXhI97qycPUvkiMcIb8WPlP1XI0F6YTham4SZE HLKOXPgSkkI6xXodsG0vpLKwIzIQTGyy/f2qioUl3P5OQ0oyGeB+GH/YwQl4+HKoOc/C5vg9lda5 bMuvsDfOk0vG7lQF31RZJz30TusErvX3j9GOhiyWtUpijMKBP03yNilaSqQs4y4FdCB48IzpdfA5 NL5gV8vwnHgW/UL8zSE0Hns+q5oI+JDs/H6jLG4G2HX9C5zVPqOFInh9pQX6/l0H/vePyy2B/w9Y mWUHTFMwr75QNG27lCb3xVNUsUEe/0lONh/U8huVow6WhYloWmi3OeEA/ycJlrUkN4P7kQQOApfg UaxQnXgxuNu8eALL05xMtMEI2nr+c3LRD2b6O9Xq+Ndvr6wayYvjlbdGDWT12w5rDtBG7TuSzVEU mX+92KiJVqL0WLZ6RR67Ru+ii2kbTwWWmNzS6PEvLxCVJYEI2e1sOPSO9cZPy3qyRCBnvzWKa1Li wC59RU5DY0G8WAKazLNh+20jQl110eVvgzpk4RTrRnTUcHKXyGfo+Io79o0sZ2aowRI4Ra1LS4kU K8iVbCAtPjPzUPbDIYS11bYCVkSMM3E9c48/6YFA6vuy0h7mUTQQO+ygdYtf0gCbU0P5PhlspAJ2 VkbJAeJIvcmy0GEA9ECGt3DoWDVp/cx+dSIWJuv77ooGHrEMxqA7Kgh2c6IfVd2m8pxqjY6MFki3 bQQusKJYwQbMXTmjZ9HV3s78vk8JFBlGV6Z2d0jbLbQKrBuBfYKg66y+tHRuOZffvKGzQrSGcCvO g5BsOvtrDWeU7zqAlAvyxsTuVhB4yI4eq7LmSc0MV8yrLYLlvxmXZlJwGtvoyPdldwpvyjhrgHfK bJmy5FEw3sIOl1mdReTfnLqdDl9UMQ35jhkLRjgip7OG4HUE1c0sRHG9hBcAhP4rnj4iMA3GXC1N CZ76M22+2jp8BullA6LlsGnfae/xRi9Wm9pE4Xc5lkHu2M4KN3soHDD9lY3n5QhrgIT/x+ZSh74n UggyNd13DrL1TCIWfBpQMN2J3JC/3rcj7Sa68xjPzyFClrGl9ESti8yLEcyH9yHI8L+sF9FF9ZgJ 06NLQX/sGnES8Nf5B7VnFBksxrbvb8X+/gZbLMKFSAyzGiWRU+ZaHuPFNSt098fMy79bNLR0Q6Bm gaFaSoNF4mopzHHcev3C9HMfBSB7Ujr5ItlkpqyYetl8ZjjbthqJ7L1kYNh7xQsBUXredoKz5h9+ 7Zi5sX/cBKhHmF/rHWMZYsY5zk2FcauLDvUtJdHSd9iw6zyOuiJOJkvwNCNU8R0dC9E591hoQWqm vHqrCkkRZKenTF7w8CvMI9YgCohoNTpymO3VaJ8R95xgZ/vO5o0tKQdUtbnyJk4WvLKKzoKzWt1N FOQRPi8WDHIT9TmJtpILf1mf7cCBE0rMHXluvMl9x7Ho3pWMCAs9ihRN8LDIGIG+ahIoX0FZ44Q+ lsK+FOqwPLCTvQsc1JvnjE33mmlBJr8881Sb812LKv6CqDqrys9iym2cPXaYYYKaQP1GOqanI9gs maQqoSLV9oBmt6IfgBUIkq7uWDvnIYD98Xf2yV9BGGN28dERZ4lsTur2iy3nuEZz5zJPKMEeN8yi 5Lf8DjAAV+w1b69eSWFqv7TDuSXWTUxmn7tq3JkRKV5CFU1TJlnZho6XXK0cSNPfdues2j3rSmuD bj7EAnj/8ztlzjz+62acCDkUhaLWoTk/Xx+7SBdCG7HjIPF835P86UKY7KuilE/mTobihSzxLq7m I/ZF11iDrJnSLXd0PjlxpgZ51n7M79Qf/B9jKIhWGHtRFY3xjb5/TJr4jXD5bLSO0/tJVJPfHA1q wqU4nUeqIbZVC0UxjrIXKPpHCtCOtZ5RCIPq1rwpmFQ+YSwB2mkUduMxWvcZqiMvSdQcsJpagKYg on1LHYK+179ZwQyeimn72RoRh5SmLlvjuhpN5YhPvaky0J5wolRRUz/l7UhWDeRrmKhrMDKRqjpR RoRD93HWVN1jQ/3JBzDeQPA6yWFiUdr/4R45JYOZJxLtz4JJ8tHKDkxZNCP4nZNlQEJS+zdHlvvf z2410E/H+EO/JnlZC645Xj7WC77NHeAZbNRDcpc0Tslva+tfgeikRCPMGHxGLKC9YuQ1NYb3Dk5W O57ADiLA/qdkzTX2dDnui6J0dRfcs58Z6l4LzsLOpnFo2+Za9uMVtsAll4GUjqOqCAJLH+wEYvmU 8pPwA1hR+P1uGUr3qTeExYD+cZlYVnMmt8rtBmdv/maMTAaCP2wo7CxO7lvtl9q/X517yCdS6P0u MY5Rd+YAchsbW2IBT9IUIr+eJVVc5MHa8V84Z1PsYYK6vkxMarcT/vDTMLY0okSaSyeqvS5ImPZj dMO1Z05iocnqSd1skvdqOl/lrO1xQtTFj/91DcdA7tU4ddSgRGkDBKh2A4koPtfh+GIAY6Y21Moy /Q+Zp/W2L2Ie4tav71gNIKdWfXE1OyLfcZxTP8uMCrhOS/h+kOXJ0I8hZYXJsvnH5xAPv9tE1Ini 0Hb9blAs1UMDvPKDH9Khk92rc4E0rWcyAxg6Naa3+4tRzVXg9QBtAdVk1d+VKjNBdpaQlxsnIgAr psTQ67VUcy1X22ikRkc3+alogZQI/LgLemJO/NPyWVpHi5or6wBd3GfjIWp44qJEGbTpU1NgMgL9 A2mfPfKGm771n+48M7eeE1eZ0P9d9jbXvfXKRS6pEcHo/54fXpj3kMaEJvQUoTVQJU5WT2H9kb/S INGMIhue9RAWX8Q4GhuvU3FRSLp6hYs19tH3CXODOI1COegI7nZwZOefVsmIgRejTQJgaUN6P3jZ t5SL9MkShQWgqVLrK0PpADjipdN9sHQzbqGwLqT/1zkiogKXLD7kFAVZcGl5vMuqioUE/OcgX6dK mI4asrgl0g6+y2UxVmbbc0c8g39cWQGkseXopjfR12b+FLG5MLrCNG1FIyzbm2vJ7Ya0X96JNJ4i Su5PAx7tJg68DFGtaJI0Gl6YnAQ4AjE4kYYTUA7CHPKQdKPvQyQQXObGcUMPAA5qpT/qVYES/jDI wqix4NajzAJmFhQ5+XsMaZjTNRuD5KIZSHcS+8ZCPqmAS71g3asWF+Qc1EFqrwNLCK436NDShbbP sVHJUpkbGXcqAPbT2wR+MvoNsZE3T5p3SLSj4lRCZtCBEzq8G5HbLY2/spcv2RnomY7F88II1C+M 4lnloTz71tu28Trn1N6RDNkxt0nmypJfGdpeNpO59kKTJkr+cTJanROfkV/eBuDE/EksuT02KQbv zFu+UOz8o/6Oo+y2G9rA7ammzOjBikekShYHLj0wMbRN3tlxPsWn3yxQUCOPCYLws1d1tvHw5t3h RTgDgpCQUmlJ16JNDNWkoJOTBtkYJ9/KKoRa4mIXXuLQbjEP/AGkRjb93kgUVka3QSSAYtShJfy1 VsORuwuHHdcIClTDSIK7/Inv3AF8bDiBa/pQ1LUHqmljghDrwzKD2h7nfqTYWyd6bWcVOR/Ztc7Z 9YUMlwKQ9X2/NJqB49uSkI/fu9KNDcyHRr5G0hLePLp0rVzl3acCO3sr18P10xABuIEEOikgdQ7Z td+HZfFLw1ymsf7sbh3KeRS5FZmHJrI9rjPPjYaONd/3QnAU1yAZLUS7lkdGBYVlJzEtLWVYsJUe arMzHkzhTNkDKJ2qToJefGUou2GK+uENiZ/qg4xp7oI1j/lE1FUC4SNDcIqLDnJYRwWtpZax/EWF x/xwYwc/bl5AxQHE06SfExIt2W9K6EzOZAiKX/VvWefdS4fmVDMT22BgGlH+BhtN2UHeeWzx1Xqq U1ZeRT/PRmMfCB3vXaHz+Hkf+Mp9ndREB1eqQGOZumEdIZq580PZ/BarYn9PXDDOr2958hwSGQh9 MaGV2w6Gc/BwXDN5TEunyV7ftNcA+dSrwMzqCUCl84s5XaJUbncp3ITfUFulE3VrYb2nBib3jUzY YJNDOmhLoNff+3CbqkukXrWwTB5DHbKGLg8Hov/h9V+C2UUOFd7Xi07GpG8tLmH3s8andk/4NgIJ YRZkHmLYaopYtN9LAeo/NqVKFfAb7VW5RwlNohtrosHg03xyTILWYRcDGgfE6SDY4l/wymenJogn 0ZDguLCjzIIyS1y3C7siMh3ecTJgpBaKZG65qiC1GgLuQmp+0IV7w60KFnZzIMYliyiPr7j+r1yV O2X6TAV2pH5PgxjTkpceO127PBZqeufHVZkrd+KE8p71p/Cnb5/1Tyy6PhNxJclCUDPbEBllvcTv pNx5nAgSbeaaql5/HZJ4ZytHB7FqkFqU6LU5QlADOJrGoY+CsojwB0Z5H88G9wVY2C/2DAtEf8nP 6dREME+tk/nQ8pVuPGVvB6goWqZ/2aj7o94cWlqsCPljfeBmlzG1iwpHrVWE9Vg9nqyYgGqK2esL jf7uEAm+Rz6rcR/VjRXYhdQed+SIZw+85+UwajoaKJ1CODTchoLi7dcYS89VPQRALAwNy2UYUv1H jhZ2adIlZ3wT3uAY3StwRtLrj3DBBpAH91sSLbRMIIxHEp+iXy3a2iid48eOdu7mEZl4Fc+/5G+H O9eGmh7eeatGp9z/9lWzXqAflezhKVBgzbyj/PoZd32dTRN2BuoIP5UeHkVKvDhIdeTd/tqMX09s 8aKO3dkPBPoTd5KhiTm6Gnh+Wnfr+hCaUm+zJxDSfvXVMPPGhI0aJMfKKp1U9XDlJnGNZ0KtIszk QUwc3M99p1/PskZbZbaAQedwsW2B9cDS9fPn6Ko7BL1qCWV7koZxFd2vMuBOBDw7LNMG9u7nsFQr OMS+X3Hfp6+jzERFx9JyHd6ksQio9QuLxDjK3ONfiRWHePTrmX+q/lXZPBmU1BcoZiOTc+VJuvl6 9oNwHNsintmTZVrs/s93Pm/0feO5JbKMbDX+zTRucl96I+1nTiR49qcPCQ2zpgAGF4Ui+yO5Ev7X jDHbpU7N3LRJWzsu7dfW1jvbBMjKq/dPvh+5xElzPT4k2YQVgpK4OHYPs4j5ioRb1SwW5WxtHqqA I1G5fygbuqhCY9i4r1RLyVcZ9UQTfBrrET9BQMU6c9OVCa3d38P79Nc4a0jxu+327B36e1CD63WB Na7R/Wfaa2m9/gJCmPdYv0lX8W/lGIUh4vclpcfWybwrA/bnVwl3fRTwyTsqd0GXKw1NZZF+sjk0 OcIPDS/N6qTDYq/sfyq6Oh44zafuhm7OPKSm3Gmneo4p2HYWzX7TPU9H3W8/fdPChrGX67JW6E82 vCgL4KX/zRA7w/4aK9c3WWa+05CiVhRSNLXdmvIRkm7yReHXu4jZeiXppi33OUHStUgatjlMBt+n Q+A4CvAqRDcvYKMXAV08uwM3yBx4aBzoMutQ/3CBZ9Tg5OEkHQ5XhKZbw5PA1BfkTc4Azzdj8OSr yO0foS1sLM15YumtgYF2VqeJXY8RFIrnKge0DCwQbOeigPyIYfXBLKsT/xBSetlBKLEte+fLQGUg JLOP5IZ/gqqEJKn9C8k9ryWAEZMLtiF+wGXQ/BJhliOF5jsuZM0DfrC3+ryH58th+VCbxSZguVAh Zhdnm1KJGdHWTNOQveARPhk7EYyv0bpQdCUUDEbjxgC3H5UQAVdlt3ksj2YRGmKaNlGaVnBRVk0K pkWaWhnNrqcpoFsR1vkzUUwYVs0zVSmvxQStML+Y6wEjvPh4pz0CCJP8VdJM9aUMU4GhfMU72xjP bVOHrMYc2zp3bCutkMs/U/yySTHsYJqZQ4AYqbEqgRbBaQnH+E8N9VEJoqAUz0GVSCAvy3mDMVzZ SORcv1hkx6Zbi0Cbk8BGRvQGYzgBvuK4joGjj5suZYXhLL97b+83ten/jlaLie2qpooSc/GCnNTg D/VwX8WQ7li6RXa2J7gZ1tExonpHlwLx3JtXoZ34tRCUoetUORxPg4Z36OI7HyTF44Velzu4V38A ahhJqBHxFk1gOWU+Z7HTu+z5OUn5kc+Ppe5N2J2hvHyMax4bZV/xG+G+3frGhp9TA7DsKx7tRzrm Z3Sp75fUd4qGnS5zfvb25UhGVRHjyed5IYryRuGjES/1mV8mq5zl9tRIscPEdUMqBMrXK00+Wqce HS5p4TtRSigLclwRW+zD7LoDkhCAA1sdZOrNxAfnvTka0G5iHetiX1hX2WjZC7JIggBEaexGtmHi qhOhx2q9QCRUQjqg+WzzeGjBFklj/dA8ZF3qJtyjIWekptSJf2ceRwGF5zaxe0H3r+u1owZvItmP MEj8DIan2V7HLZMk3sCLR4lkVnhJmemcjLIt0T/nqAvKZui5U6idUIuakuDYxOwPz+gDWDxlZt01 eSq79WMquE7DHxXgTvvkZhf+NRF6CW6D98wTLnqeTtwp7G4CTyuDm40ovnXJJtMmZgJE7t49ainT /QnMC9TjTW1/BVHFL7PZZUXap1/HYgtB2PbKRlk7ZbFhhSjD8uW8zXtpHyOff/jNy37Ejd7arZxq Hr5LRH3Ad8k3Oz/jJ0cRIgHSu7YMlRkbjsfRfg0r532oSWs3cJsL7Go6uf1oSuqOoN/9/cS8CHDB E1tGOh89+Fcz3qNtyGSGaTbsLAmFauJLwDpHgwgqGH05CjQ0boJ96ZyMFwtHhgh4A+poP1q35EH4 2AbbY7c927moMRcgpjBYETfYHlUOpy+HEBHJBTqnIdVr2WFJ9O7+DLHbUqx1uN4hKAF0Wgj8ycIV 048WHCmnj1K6ih7O8k7zlR8gtouEoOl+4coRA/Fhemjsrc0yxfy9oe6dfwx7gng0FJ24jWi+6tF+ 3kXfj4MOwLtXRVKTch6dbKRSqNXKI0Ac6d4x/aNHiymvjTHXNbtWPYqAEV3R2pEgJYBnSTcAYAV0 vvn9GjjzgeK0F2W2xpnUglmlO19G/ex3Z5HaXZcFLKLN/dfgGymYroDFkCu9seOEpausw4jVEwWC Fs1BjWgWshZ+miTVtmmo/DMFHgd91CbB8kKJn9Rtarwzyop83aSfIZV/fHQt8Rd6uhcXQA4BcjW2 O1pNaep2XAVIJ0dFmURg+jZtSNPy/GFSvA8bkLXL4MAGtlbpBh2qpAJHEUVaADEWozV3UbhxNMNF JMR047BfOwSvbARzvkd+VOKzERiC99b6fBDKlzgNPkDYRQ++xIx3DklGngKsJufBh1KI9hqlCmgf 7N4cXQRga8KOL8TJumxqtd7+sRyTdrQuGd2jYWLzyhw+0/8+CH+pwF0wBx/pPaL3YTzJJdPS+yhu l/+UYnos2mES/BWWhmth4NcODCrqM6kw7R8fDbDsqBOXptFS35St4ZEgrKZVOxlTxZdRrx4gUuTZ xIbp4v4HmILD5X3XuRRI7+aQMIpun8kPZH5xG6BPPdt4lJm2f6yGStTljnQCsh1dYmHP1O1QkSLk Bh7jgnSGQEez1Y/z/DFHgeJShexsRKCNzjTgmunay9aWLpwbXPRHKcdkPxu+mDhzsqHTGd5+2PX7 HqXagz6EWWYVqfM4vXp2cNeW/64C93rztNXmg+n12DFVAKgejSMwyMMXcQolMYi08pL2N3e3RLCa BTyQjm00djrXDx9RFgeSKI1U199JnMgslwZ5ZIVnn60mQw2mcLldAD7VOjj7tuL4s75M/ObF40/e T/jHAuYPaL2LJrjolB4x1WHAggLoYcX3++0JVMLzWceACVzf5gWH3b4cdZyXwfF25CmrPRmfchAa gj9JhY1EMQMq+o10IjpiE/GhvNL7XA3hzFTfxYGRtqdW9kqdCfM50/rKg9/w5XBSK7E78knBNlt0 kBmAuLaXZxBUD2pBhtdp+YSNkEfdNq2QNiOmLr/4vQn7Xt/mgbYMqze7/Ne/DQzOdWuqScT2+XAk fv9zjSrpYw6XlFhy2sjENkaKEpZRtumNAJSBg61OhRpgkUaiLj4BRONXDqwYbC/p2HD0iNvAoqzD ZwodmbgMimkZJveizv/J6P25qOQi4OGh3sObwMZglx/4EP8KkHVquYpR2Q4JkEZ6R2UG7Fhy1lg9 l/+EVt7/39lokP2rxSa/d2YGJbGtkg+6tb0ERXb2r3xtWQe7one2lepQaqve6HSS86Yu/xRFLNGD 1t4NYj4SkKFRwkjxTPC5GcwSiWlbnsmmG/a2j9+6Gpgoal3Jin/KRDlnUxDLZiDglOJlmgLmPLiE hctSBFXvxEQpecG4A3oMLF3Ko5LwDX5MIdLuhByUx2xV8rrzUtr2+vQR/4csn9pitDdIcdDhlnQF ohK7ZaAQJ0wLBomiqaNL4TWkHDxixeaft4jujVMH2XhWjWe/S0iCWd1lb7xCydGWJeLdtvWqJla7 5a0UAZZOIN/HCjXV+sZ95AO/tOc39Rm7hxrKumK6ha9Sn2yZ9R+ppKUqzLO+o95ABEZmk7+k84zk GXUsuF+bkqSb9U1q92xOiyAMDaCc4J6OiergafmAr6CmoWLvZKTmnnwRKyidEmgN0YV5NmIyUrmL /ELhbMcz2F7KvmE/0AJ6Qsev8/hwvL+JmbUIV1XKZDckb+5IJdSpm6rWWmhyxnrNJgwQdY1eJa0j MAHo4+9mKPjsNTT/cR4QdGbycwAU+rSSOL0hKz30js0kGd9/Umom6Seho6Sv3aJnIt0rZjsvZm9z XJvQWz14tS6U4TVpFyy2cL/R6JFWbhnO4FwL532yZ19It0xs5ctkEtvDwOERoTQDZhfiwGB0JPMd aNN+X+lacANJ9AmArFmCC304UNyMrzGdq+gjtMbIqDrIqV/Z8WWERUtS06tnPKoLU0JOBloPJ8uN J7yVXOabOKNOrybRDhXlrHhO2CX2L+xTA5y60lkTIAAHieqpiSwmTEP/SC9fwy45fCsiDkg3m37p NNwxvfRgpb7CxVqagjhZWWWvG8FNHCxWQ52aXoVjJN6X3U9khajEC20ctWgJ1nV8CrIowd53t28M Yr+KsBYZaMn1ZyiNujkOuzi5ASnJiW0ThpiZVgdeVWdsOtV/z3+rukhGHpoApPSdIh4BaYORFLea 2yT+dcTVmgptpptiBJHw/mOvu9kEbd4oGOj5tPKSk8jARgkhcpcZtLmhZP2ZTy9OLSZQgk1/2bAZ h51EAq+ss548ltiG19b3wvYMGsD+Mb0yafI6Vzvcqpgva56KDmLLJOP/SrcB73TdSxiV5LRpAyX0 zhOpSDNzEGCecboPTm87hiVitMIvAZUEeRy43M1uZTb6HmNUxcEEAf3wNoaFlBmf3yfmYBCP9UIG BQCjQ8+5r9yaZMeKn141WCjdJYqwvFOLuVCsLqf5/W8+FIBgZm8u1c58V4p9dfPGm1OEh/b3Azzi y5ebhh0cp975UMjraWjLD3rtv0dokwMBSwtOwfOEg6Sr2ELH0pVFgc67HxTEOq7iaRfWML3s6vja JeCSCtUfcdwg4yEPM+3bbZmSuMZPcO179wcjIriKXTCD/VdBvMlCuZudRGAir7rTMwJ4iFesTeB+ wQ/5fOAENxmdEmKKvp+xFHvBBC6WNCtKJ7YJaJCQU7aKGeUqU5dV+4SIFse/9JaeH8HOW0tcNF9J rMhG3/n8yLRI+nLqVGZyE0SLjBYF6/GmKikq5QOAk1NWEqeE7Lu1DwEg6kfW/qr/RjgCYv6F2ZGt rqAspLAzj1xOm0zN6Zll2yc1dPwJt+FyYDv72hTTMAKBHgVineevzFXx1oZ42GxemmiuPSqR8VG7 ae70uSMb29nIWGszAtKpXh4MQXjPjS9sl07lTTPXfDpPH8HrHF+i41hiWfZWoqyFdcfrJc0G3HUE DUzl7QzFceg9y/yLn5aOUQPJeB7NMe1lcFsOV6T16xFDVPtzLiCj3K7atunPAt1DYcOTztEbi7xb XigqrzClto9/XnMoVKA5n+rfjlNrPl0kii2RJdHLSC+0nNNWHFSNW0kKXyc6zEtE5RQ4QCBKEhhf RrRqQYvpYaWq6lPoZp0gvj85BaetetWIMCxEi/sIHO6lF8ByXX9t9ZE2q3Qqq1zudpUUo/tqaHts f6AwyrFvGf8wt1k25ZcRVfafDs7pRRR9kShxBgWbMq+wopS8FGR8+iST/pt9cjFq12EDBW+hfUfs SnoynYG85ikK9XZNvtWbktgIUu9fh2j/G6RmtmwpLqGqcpr8jP9lcaxj/DnVGQJJG4U1lNE5clFT lFmvioL4f48fM+Epc+78shjYM0dqi1H7sPhMTAo6OipZJVN2NIs+l/eJAZk0UaiaQWPso1CBaLKy sOHuFbYOlHw/QpDfC2MAXarcS2b4nmkwNyuN6qEDsoUBEzVDpa1VQd1L5bcTEO1Fnwzst//pthpY n3UYnBVlwCHbm1IMBYnghmjgnIJVE8KoPQgrMw8Xh6vMZRQSfdwOsuQQ6wmaCIG1NJHzBVxIQsc/ xrAVgOw2+GtmmlVCVqU3T56Ha0FFb1CGouTXdMwn1LoIcrVoJX0eaumyYdcqlMAi7QShyseylAJB qMRy0/yTkxEozD3YtIvbiLbJAGk647EyIXJhinmUjaNLJZvx5/e7kHRDfq0pqBcEZEZjowN/xYvl 4rIv2ygwlEG52deYdd2/USUU4HxZbFOsU+debweaKYeWBjJXGyme+FTQJUkT9p+TgvcVGt3FDHFW 4d16Pj3uV06/iw4tojIakCJIKp/Pw/NdxkpLwJdM18tbDYE1MMI2BHkpRsfYTiLBLF1nHKLI+iLY 8IqqmQsNiw6BOcJxVrHsZkKH9BmsT5WyAQQzJoUiupFSic9e8CaiTF+tTjuhNd7Nj4vtCOIO6bLv pSZzc5jsuRHX7szzJdGsGmOxeOHmEbI6G9BdcZvls987Jt4QcB3iiU8pQL5O3iOPlIrZnSfnAsOX WlJvorEFQ5i9+20+/TXkAITLqWPAa4vWlYybAYEOf9nG8y1GHItXRTDyYd5ENofGCwNP7yruJEJV l4sPeuZRy5j1/qX75mmsg/ICFt7XDi1H5I+B+lOmveDL1FmyIrDAwbSpgfIw8ebxDOll63oCOTYY fnm5oIM37cfe4+1ilmgFs82izimBKD3GYNC4mgaAYQGdDRSYmYi69XftH8b2AqXWofy9wkmTUFTn WqoZhQe7BXgOS6OEknHDbFKnG1aSyNrjC2Nac8k1E8OyMO8YjVAFjzQ6wzkFXkIzxZEahnOlB7Os ov+6xEMkKp8LjZAvrG1cox1gneB0mxYRSUOtXFHYTz9hjIPpxG4gAKoB5Jy7+gIAS2eC6NofVREX rPHt2Aq+oq8aTBHKUC6t6wx/JXJzfQ7vdZ7susdFLyocTRBbkYaIytavA06gr26mW3HCbqrpuFbi lnSuqL98/STA2/Lgioapx7MEemaOZ6kWVb1XcMtJDYNrS37ty3+aghmZpxgqQjb97QV7b92u5nIx 3wspNjtpL/b8mU6b+LiWDiI/rKbe3AR0geqVoWvP4RwZ6BicQBfsyRgi5ehWHT1eRMuw63w5wt7D beY971vPU1hTpmvz3zo9i41aLA0LaQXaP7N6Nfuu2E+n4+uaacnDhSEzcZlyeBMCM6tNMQB7Ge6v Ua0TWpVyg6kWas08ARsZHbiaT2DAQUOC4CO13+2Dj1bZZe5GTEMyVhcJ/I8o7tKQYzLsNIMqICCw /PuuQMO1DA1w4RkiVG2p2v5O/VOaDQmvlq86ZfJfCzFg076ChVIyUHJVKvaAhw0SxxPbjoPjLWS4 DWwWLsxdW7bp+bPuD6PwfEp2gZm5oHB/RXYqvI7vUhEOmkWUbyyAmxg+ldMlxboJfzIYjJzT/9g6 BIR5zikkgQ/2XFt6cgfXD4/SNkdV5ud0gJ1xirTwNtrZSWzrw89Bhz7oQ5K7bq2x44D3CqOqdapl OCSpcpKzCyrxjvU7/9ITDPbbDcWuj74w6TMF5tLgyMcEXut5vkF7RFxZtQA041s4vz2AxjYHbwjP d1je03UfgurUO4HhGZQ7I2BRIUxOiCRE6c4KA3IFdwVccdVcZxUty9QXTVQXNXq9DZ86zHEuL4ik LpPKG+4I8XFxtLDYxh3Qo/FLV3qpIIqtTqaqNhZk0CyijyGHUf0ufMuaEX1hO9vDUc/ZBMCgLdf6 9iiXviSumSyDX5mV8gQj9q7Vm3dIiNtY+I4jUNviEqaXqClcIH8o/MtpzJ0TJeDvUF4UN/glW0Az e6tqP2FJiuuLcfd7Wf5BNXq4zZlqVPYRAtQAmkUaLbusSlpjIXgIL5QtymlyDL+E006WHmDrFg0e dh+Nz9H0lLpiOSWuZdcjxRKH700JENVXgmWPW7sH3vwmRsBrJ+HTXqKfXEoUFFgKKnPgOqnNuaHY iGF2SyIXNfbGUFW/XJ4vifAFeJTK+OlrCET+CQ3gz1H+ETXBpM/iInFweX4D2gK3en2Hu+lqdCWI ZDiIUwPnAOBqLJLBX+eJoIopbokkmLtpAxHG/cHK69WqsELortKZf44oWug1J3ozz4wb6mYMOrOy UhFTijKmrJp9GJ0Y1YMvah7RyI5KeP4Bj1DIe9aknzVLENOISb38FNsNvZkVb3rCYi9DVuTQjp/H 3D3gdoW+V1YAZgdMfJE3YnXJ8mLTtmbeNK4LmfqgH09YZveVsW0PF9S8kptgWaFGReEFz+poP9uL xnEMmc/PXN8MLboOC1rE48LNnquun2Hl9RCzXdUfPbCPYluldBcVmxcyGlAQNDnRUACq4kc9eyyv h1P9E01A99AcQkOvbC2SktK2uL7wlobb7nsxqfCOG/RECvG6VEKM3daa3ZmmdPZrAEo93DAN7uWJ 19BwtPDGA9fLr7475tDmJrVmFT1XWnjlfbyUv4uMNiOSI1DrQ5c1Wmx+UT5qabaTEFQgbBHTw+Dv d4PpdL2ezNrCgfN38joNwLXTWrTwOkxvts31prLKbRAmfzcink2h22MuI4pEB8UHYghcIZ60MpPE yeysB9LpsbpPPieKmwELSjcqgwxNrabI88Z77G+khjgNxskqcG7k1aftqS5b7wagfF4t1TGsU3YO ccMUKToBYR9tTsvo2aRZNlst1bQFT/3fE1PvREdZOA/KpzjoLLzFjo/g21l/Hv+qpqK/OdHDd1FV VnCsbm3guyxI8gAh17pw7zWAN1cAd8U9ZXneb++4QneKdDoKN8KlAnZ3KgqnwIyvtjbrWXTIb5bX O8wgrwTTjA5hcCGlUXkIOJwmujQK44TbtadKem7RCb3RpcUDdNQ72H8Edb7Cz+COHbZaltIRLLgK ol61nM6bD6BuYFon6vMuLbNWm7hSHwYvd+6c55zYZWHcBk7hVzl+jJjYl8LGVP71kUjX/DZtOceM 5S4QWs0QueR3RKJGxDf2zlc9sNrD2e7ILJc8htiikgxIs1daC+8oXqQcxb8Aa2QfOjOeWwdca+wb P04ldo7nYQoZMEm6HuwjEKAudi3yavFZ9q0ur0DJPLBzUD8JMVbcJ7PwL//APL2fFzC+OEXRIazD Aej0dWnjlBZJyArmWwptgZEpjImuLOEHfaRBVydL6EJco9gPJDN7/6egVDy5yeGbRLoUt+MVr6+x 7ipF29LBvSLHeJVFPaL7pS7pGZKEbmUkAe660lltd5djC+59CJY2yyMOMtt9ItLXwOTwDWsTQQcS bR23x3CvRUw+0mtHxXWtAwKvLHub0M4u+x2COh2HtEp9I5SpS4oV95EwuEB0rfyiZYaNHyLZKOci t90kLLqvQGkDR4OpNqpANS+dI8Gux531XPe6m+w7UEm8kLTws091DgP4PYLuMV+oiAqTFuMckF74 UBv3boacMztqJZ+hTbrz6BZd+dLrQEEeAHDeIGGYHDi+r/JQwkjaP1LDqbBd7T3nulMXAc0/kUmF OHkdvl9oi2yhpQyaZunbi13p5LD3ovA0riuBb2N+TyjLwkVSnRT5u5M6TeFCE9YkrDzJi/557/lI 681R2kWfRf/m5NmZdDq4OEi8sFu1RvXBpqW5aHGXDVx3gOIv7NL+r3dQAPbfvX53umpmgYSi/TL2 kaI4wutfb6UJf7sl54M2ByoeX8neUusglEjv0k+SOvjVSblHe055p7o25Guqf2dEMJ1s08bPmGCC mg5Tn3n3oydXNu2qSpzz11F1TyhAjnbh+ssogjT6IUoYgcA7T0GPtPXbqus8NT+IiabaKF4bbhJy Mc/dZUGPlzy0hof+dOZcTUCfzzL7wAB4agSfLX1AP0N1WrecOYZ8tThhoEsq8A6+Ekkatof5CTSQ Q8d5Sx0jtGkHUtvOBLnuPiAMSYdY3xdpOLnFLU4KpR75MKu9OlVmmeN9BptPyAeYLYHf6reeeudt kr3lx/4J02Sguf/tdA5flfFlSvLzMguNd188NAQqTRyPo2n0eBGtHuNcyCjmeJx7pssxyL1dFydq frTeesbsNwbw3IrdztfAwWM3WZ6PbmeGARKxtL6jy+SKnMycZagSi9uZUlN6QyQ9mLe0W6CDir3t g7FSSpR5HzALONQ679iYTcffU/Fh5RTNhnsXydJFRf2FxTjE+PWPT01NnZroe5eALJad6fB74Cp/ /akh+gW6Zz+IM4aB3WRLiygssf/MootqC7sE6MnPbHub11hrGauraOpT8BWHBEU8kNQz4dfqCNz7 c4wK+twiFb748RRyuLbUnU+v8lA6qjV1jNhlznUwiRUvaT9z1H2dh4WI8CgJtjIkD867/a2poP6k 2oXhM5rDFUkcUQsJ1/6WsCGTNyhw52m25IKapBWOfk38+0mnMzKwEBhiEE4jx6KUw5x2NMH3M0yN 5f4p8wiW0/5Frmk6b/qsIRMtDndp4OL0PLFpQ5dpJPAT6c88r3B8Hk1auX2Yfg7vKJr46t536sx1 w37ANbQ92GaE+FZbwplx68xPz/tiza0/Hu9DvV7FJLCjRjQckaWuhOJ3mYfu3IJbMfitzCP/5X8Q vohWE+3iJysD72L76Q3Iwbb05UfzsipIIz0rnglRUgQlRlmTuiqxq2MSBFUEyIVLY1xRzo1kj8J+ /3yJ8ajJbh+eA8DJW2S3QJE0oN9aosSz06hnTJmNLWX6uNp2UF2ZcFpnD675Krx9pXMEsMWMdsVB Ar0B1aHQmckMMMXwCzsEX6zjQv0GotMvNd+S9qzBS6/xp1i4kxihF61y6NAP+3c7/xxU7PJ0yhwI Uah9bpZINT++k5mg9VZlfnGAKx5AqnnfgTV0HsXDexnWeEtFfZQJ63VLR4zR4TMPEBsVzcBR+maa T7gOSULZX+wCFPPFaYJlVsrH/dSxSJzYSKipUIA1xeCCU5jG0vCqndhokWp6NIFKoBftCxwMcAL5 EcudFotX2c95LVXdjn/tULdr1CupfECfFglLKejf9a0vhvm+cfnza3wmxaWbPhEmddjUVRO3iWcN /ILII94N9ViBc+/ompkfw1RuWx5xMHYaXqGUUbWn7Jml+ligMh/2j345zTFz6Jo3yvIeH7qistAV ibr9gQMUtNtw17g8S8rjpDOVHHF8oATzH9g+JblptAv1R6SDrBqXx9xyeX3AWOpl1ibOXYvLzd2E ShNzJZf744Pg0VQaSm7p5BRzxC8tFvApX26aqFumMs4goghqc1Rm/PrzSm+5YHKeyO2r/ukPwcds lSlqWL1tExsY37LT0M0LxK0WhF6+Jdi8rVt9f0YL+h+I1GuIxe149g2OrGd0QNoJo6/qP5zh08b3 /49vW53bCZR6+88M69bp5EqM/BT0hBphcf885Y2y8NhBi1J7dt2HVZhX03HyctCdgAu994HOLZmc Lv8j4EiQTnAJHwzZNrGloVxF1XB6iTSpf+PusnwO6r1UrWNOa0IJ5vH0M00ifVSZb1QqrRv+kgRT 7xgb+kkED+S02YEkK7F2uyq0IdTvHiOLmldVn5LQ6qlbHsJYK5NWuHXUeY/j2XLJjRb6LmHCOWOp 5vyjr7pd8RJPbbN3ucUlfpROeQTEmTJTlcxYFWeZ05URHmBianeIdgXbOueRO0Kpb1t21hQk5uA1 21iuQ+uyE2A7GplPKVjKFyKHN13pawee6P3Ako4UsIDSNUu+Hk/AAZmsDYvoUpMro7pX+5uzr7YK IhSeIoEmsoP7rrW0HLwuVHKjAUEyEWHD+iQdAuC7cDspZiZnAkVYBqA+KW1d3yWfhGmBg0g1r9jV Qs6H5aHaNHtRbuvxsQ0eWvz58HE7N9h8eVqx31J8DJNML5IVmy/EbjTgm5BqjqBLE6FR+9TAtfty BhbFTwo9Y0OTLWyLYBOaC4AjTaR00hbF1dlzNPY4KzmNYrjJFvJxlyQ1MNUXQG9xtOKeBR/gwIOq iestAlTLlsfoxyjHy96JfTaXD6L+uSdzhebQAAbSZ3Iho4lAKbibmimIEqT0R5ZgnDUdwL7W3WjI Ndr7M3J6AVRG2LWzL999ThjpQMle62N++crDsZq700cuvtU4070S2Pucx1iGQYqCQ5PBkgQCx+Jb yaCKWXTpqaaXe1lP9rPzApl49h1SUN4FoMkbSdAaoODO20OzkMmjQLO7HXD/cE7BGWlwAzWNZuO5 NIfRKMr8k9Os1noFQXXah3LQb1OeDTT644BGwejRbDVXS8UGZAlJta13SHQ+ehSBmPHXNaQto9u9 pj+9vi7vNOb2Wvi2ivQNT+f8vz4Z96AO9mGaISKj3sX/yVJgWJsTxzvThppTFXGJCFgs3oWBv+Ng 35Mjkn9tp2JEd1aal0TRXH1iRnnsjmt3tnYweEFUMzhcYj7588ACgSTZFo+ONI2986SqSMO+io0T 7EQg934iks7wM/f8QpOUi8DgfEeStOZDftzxueqvj6Oyo5DqAJOBXT0AmYawLAnJyk0kHJIIKMxH fQ1qwyI0AqiUlNAqer2+jf8h8F54sgB7P39SSdPaCIOlXIN2nZ1PyXfvp/XnamTMqAMFiq75cynw wSwmHecBTbORQfD1VYhRxKE9pDYNjODHSI1BBHHpVqlPzqGD7KuFdga/w7dLdM3aqJSrea5EjLMb /zeMI1ToTcPPCEsgyvy3OAWL5OMpuvRf1nDnK47voNqNg+TuUo7PY/xaSu3DsMWQDw94b/cU/hdo jx4EW+V41uR0OpoQUD0Y+L4a5szrXycdGE1NFmcx8tI0zieW01zoWTFDN0cug6Rx/SwDvvioqEni wmzBJTtI48umet9qg14BwaW83RZQdJa70bPxLsYiU/0nkxGQoxQJoL7mm6FILfQehvJ0C2zT1AkX JirN4oi1YgfzuOPDYAA3huhQ8cj0htKrpeq/Fpuze1T3QyNXG4oreePVM4FLGfqt89P2KPhxyRIx iaMTjFPCUEAihrE4vporddZSDfn1qmkoqudZpPXdjkYrdRGx10WWhmSlqKShGySRO5XHMxR1bMeD Ffbc0KMK46QHQWa4zrAPXooxXCrISnDs6k5Yt6C1lP7Rm+bEXtq54nYDDd2x3TXeF7ID9ESqP4EV 18jaNVXBASmFNwFqvfxmbE9T2B5S0QFA8OwlHPDRuEEkwLbr/PySDAOhPCZ1xetMsgUHn/E1RaKX VuQ92eo+KW0phP7P1WF5WIZJYNJcr1IApBdbayI91cw7y/vr8r75cdn/4dEM8zAN/5sB+coUT+Dn Ah7h9dh+B3vOOzjIv8cTPwiWWHUjXCSgYcnGyq5krCfdt+hCdmAtd4wwsf3ccPr0pFkEklq0p+0h NeMeppQxFov/mMdu/5oOYZI83CZu68o1RH6jeGRKF9n405jwo1e2VXrzUHkxHX1g9+wkWdSjsvT/ F3hUw0M/SffIPGI00Uj5OV8QEa6H0cpK4luF+B6zhiKtXZ9bUdtfa4z/HvdbmOOIYU5wdp/1dDL1 a6vTE2OfCz+eNT9sJuSFuXUjFzOWTz86XzKrYlUxrBtzvNVQ7SDaZv9auax+yoq96bZvWLpwKiLA ZSYlOrt6pcvxrRL2EZh+V/ATIYXZONf9QV0H9e/P8lBzbFwHSGIiQ77DBLo49mfYwsrmIoZhNKeb q4AE5yNdMmmJN4ELfNbunBcWfz/L0nOKR+4Eqja+3FVmvbBpL+N+bq8qstNlSYflGLfHY+CC8b5V pNEWAu3I+rfawRBHJH1OxHeCq2v9niyEBNqZ4iXmE0RRS5ZY96LW394UJTT8anAdGzQC/yE2OHvA ZO4IKGUCtmCT4fCyJ0J7dizV2ZVZYuqkKEn7Qv82H5YzMNcMnpmdQPo8ozIBJ+5hccKkq43gESmD jf985WoOsxjakGfMVOxmRWkzdIanW0V6MulwJrUtpRRrCAQO3uGK7H6AzgyACkK+I/5zqW3fBAz6 pnkFfEIcXMoZKSLVNt6AYBOOD0Ne0SEPjvOi4PrIAEOBMTfA+kD3cQQACAtyIB9FJX117ii1kSNi aDBjjIEMJLHbsptlK9n7HqN/QjWtjdzvVXYQufYMeU+WNPnxJm4nHxnjhmRkgqS8ZBw3I1kwYU+w SAeLwI0Lop1catTMXbkflM5wYoQbURnk9EosPGzSwc7w3E7RTWhvTC+VzySUh+/Jwi2AN0eDntGD o1a22QxsLl0pugFKQZ0M6CtUtDZ9JrxBTsrWT+Oxwk6yAUOKQx2aOOK1YfDpr8xGbH6PQ4DKOJtN DRud2O8HpF28YNLI0i1MSH+9HpQLRbBqSGtNa6/L2yJva5Mc14RWd1WCNI19m+wTeJ/Qu0ymLci6 tKUOH5Cg3CZB9mz5U3ic8ZjX/a7wff+HdOZUFXPFuCYweosAbi3dsjfgLzEtfJqTxqwgY0JOxMLa zrxSjS8AC+RzCtYVdhWj5wc6vHzSMm2ko4zs8fFtbyb0U1za8t572+Tx6oCLSWtyeNpG/yA2/nDm uvXCTQwgsxMYlgT4DyVteTZRvq5g/n5zJGyUVp1n++Ov9KJolWLAK9lKDKM5BGnxKBFRbUszOWLS nSZI0235xzff8IRZJ8y3nJvK4yJ2kHm/gL249k2bOHWy/n7BREfxe7Hw8euJSGvm97clTTumIoNi tJ85xe1Cj91ellmuEKeB5HoUWl5/TXLN7aAnvHB1pftdYMPMvvHvmDBr3L1Cw/B0p1T84c2O+KQw Q/O19e0718Q+Q46CbgT7eOYRmXwmiyM10UKBKAReOeNF2oipFacm3PwBQFUBlWTvghZe1biggreV x1mvqHbohsG7JhjDTaWD+/0oHSK4Tv0FoxIT/Qp9RcGzgn3TWodrd9mgvdmAX7iX2tMw6bV5SbLb XQpNhN4j6vupRTjj/YlqrhXv9aulA2pcKyPNKHxjwNPb57/QZn9JOZ7EskmZNEEUbjmK5ah2NA9H gPUmgb1t5oBsSG3DgEWMjMrZFjaN/PcU7Kgt7YNGFqUslHW27nADjJn8rY8l3pffOvsE7DadrqLU J6dKggOShFD64hhyb2Uoib85ou6HfpZZRuQRJnAfGRnpPl5gPhmDPyc8sSQU/9JA43FzvX4jIoQ4 S2bdxLKEBnNlD7sDAN06PxkPQcXuO2nUVuJo4Y16HnjVlbuCCHV3xySHy4igIfCSGjYVikHMjCYN 3QHFAqkt1bWi6SJyA5SfGaHVI11ohYX6wy+s7aGN7Ge7NP5/ef5IO/2f3KeCdZ+vFmg4/I+La95A XZ1neGFVK6j7TjtVnb4yOLlwO65VlqyQ2D5db+sEtK+RX0ZKRGSDAiDiHADGsx8enWaeDB2hOTWm DbkXDVI01D3Vs8j+GV3x9myAoHLl0jAwN7ssz6Zy1B5VloA9Fw2qOv3QMnO750tWJqvEbfIJKI4v xDtqb7FS4Lg7Wakh1SWf+KIAD9CX/JoSBzTMulvMPkQuwI+/W+uZcDHDtjSu4D5ZyxlvatWNYAi5 BOZGKgfSMmr8J0u+sYSmx+Fe4JBs+DCmZJXaZDryOSFEBwmLd5tcyEVeIOhRIR8xRBRuhUL1yYFP xLmtz8iFIAqP7kWD0Ro5RY0yLtYQclw+SSTz3oEaDjOTR16//H9iklGxndoW70qSMcsccOQ8T99b 97qDn8KEJoVVx/7aR6QkUEHz4Ct7f0O5hltYpkAOQMTsU/VlqZeEn8pLzbo4wqe65xYyQ0r/H/H1 w9E99hFTkP4VX8pEMQRUvYeo3G3Xask1Ip2ig3Q/KDufNY5F7Z4ufr4IndTPXqOnVN1NcjQ+5Jk9 qZILmnP+TBUwhezBqMyhEsJ3PQauR34IJO/SFB4Bg9Z4gwJu4ZKDZAgz6ZFuQk8bXOB/zQL5ieEB zOqEEkQy/bHq7NXG0tuiPPAg6ANhwVxw2lgbrTxYZl8yIBdsFhhN4z50VD7rujCqMM1PSDh6lZlo tCw72knMfjjgy9BUVpb/by5colvpCGMO6v4wKjG/CVB9xQybtbuB8zloOJdGPR2qyMJHMAwDZHfx 0GPLy4J334ep9kN2BNA8kUj/Gd15dgLf3Un2+kSGFfYNr8AqegphCV6Uw04QV430azzbIOy7YOQ7 TCS5iA1i2gKzXufvlyDi95vnzHZSiHw+Y2ED4unb2Hx5YeIRwcvKqecv5t8u4girupuTXGXOSa5P kA7AdQZjVJYBYxaOs6bd2nuBd+8J4X2zLCOQst+KL1UfxGxHsM9ePo0esoX4ttR+w5Np6h58RjK9 QYhe8EXrUVVf6fKF3onHnb5rf4JAdtuVCG43aCMqgPL9i2Gl55GHjMS3zZR90FVnBCSHuAKOHMCg 4a0L/FokGLuvCCopilI2MD6SELRxpaD7QND/qOOg6NMht1sU8st/lHy/0q/AGPZYXoQh8ssYUlbt JxJRjhUq/Pns7B4yh70UkFsaEYdse8CTHFi9L53dHWxQfaXeAGU6xQOGc3rrwKCrgOFlGWSwJPzT jR0GCl0z81sCDJmAQ9KmAJqa4za2BfQB5NHoWjhYNGzILHo3BnRv40PY+PI4cky2ZlPm2mSannvL 5NSM2f2UZIeNl/oWuMofkwb/6Zcg2uMZL2r+AduYzfpOEFvypEHYGkpSsymacKimPOKe4UyQQk1A p+aFOfu26wqUsUxFRAmv+7iF0BbuGZzV8KQDPlxlR7jSYdzbs5Y3a3kuv1WMrxLIp1mWWKZHNrpD 9e6acMXFr5t5gj5ylt7G3hGosfsf2TjakdnNl3NUrxe0CTF1uCoF2ZX8zEggqIJGLtR6H0m3dyBo 53d3AWWcdRe39NvAcvcHRA3Dpp/dvlq+/PiggUKBso72rFX87yqDjnafi4hpt5XWfxYMgbAI+lqU mLcEC2VrlerDRDisFzo0oNQ37LL6XqSnxE80IGF6tUs/LycwlJuj/py7Go++Iummbj2UFvzMCzyT bAXkSyo4HQ8Knvb5sX5iDXEIhlLrVozIPiXHeq1y+ii9qdaBrlDRRToIf2rNech4p+pEjSfhVmA9 yS8u+HGoH1Pn9a/966ZUKOEcl5hScHLjlPsqiAahWOeN3UxaWKA27enp0DNBnuR812asKCYkdvsy Xw8+VwomJTIyEDhHxr6yHgZtCm8SFcG0ZWrzwirt1MgGNurvHMsnJ7u2Xcno4muPGqyI+xNpQhpT 3x67cLEoZqq1Mki8ix14W4WPf668ahXBj/XPSma4x2PvemShMErpqVYun5iaZLGZPcGrldIXmZoC jzZw5VEPx0BFRxrBtYxDluwO8cYMqpfhYBOSpCGV5zNn9b5bEq97r2EdQB+euujiZSmkh5ZTACq6 ba9X/K6rSw2bxcIRSzbiFZPGQ48zTw9AO6O1OEK5oLLMpWANayXSfem/QsXsJD1NnAFKJ5sZul0a eSGxFSdtSFZCFwuVOckDsaLfL5mw/sCODwF4Z0dyaF1CZYbyNhNPN4jYcZmFghFPnmvtOmmmvV3o RP5tjvtcb5QaA9C9RlMYvRF8TqlQcXu7RHnaC9WUAbf3h5hmm5naNkzBW96s3GU0sQZVOYbFXPmc gbt59TWwoysQoJRU5QVlPNTbq5+B3/AqYHPTXANkrt9GsybzqRc+FclNsYnX/44g3uGQkXDed0MU MRV4nsWA8agCqYaOUVgGF1XG38MWnV2EumnzF+8j+nr9BnJ9xrRywt1Q0n5D3Zv10WI2FLdZ6Jsx UZPeKdxQMe/gcuhccg16VVEQlyQY1TdfwEus5r8TyZAqFu3KU3Eqf7A1c+SJibJbrAfU/GYVRE/F 5oJ6W0udLorhCj3GgNKTV2/GZjvjmaySZeAHyGsktmEx5wmxDmzY9ip16NtoOusOV1vNKoXa67PJ wsaQyknx+YgQQ8jwJOcUueNs0OdTjTZTPg2yn+iZ/3b/e2qNEjadZ1fJGZKMnD3v7F0IEDwTl3cD AAE8eDLTcOFHTV6NLMeus1Uj5illbt5h02R6K4cR+m9kd4StWV6TbWkPY9fU/L33rNG3Ycd8J7Sn 0iiQGET2jsQRuWrCqnP3GgjAmBGvNd2kWbjI1CWw/4PG0Vf15Mm8mvA1+oJb5p4ltcnZINuIxDdG kv21+/HeBMZAUIyLrUBcb0wd3RVWGjz2kjvjBb+BVTGZYYSiutnRShlZQh/GJhKl+PaDUcj5JAiU 5KzmZ4DvuMlQyAyeyfO0erKomYUY2CynHBELuOhMcMyNpFLd7Hu/XCRen8XHx7U3OwGF4TOtr7PZ GeilrtRaCYilwuskjApRsnvTPXk0Lhm2LUZpC/tK4HxNFwtq++qmzRAV9vboc1EUBkKGQjmUUOOO 9Q/5zCzuEPDSbhb3nkeRluGEtfnoskgrknoHge0uPtI7EtW0E7ppuYj9vjyTmrONlc2nb03sMcZV 2DslAtWwK+2kj1IXdb8CQ1wDdHvfrZ0e5cifgesPU6q6/C2BpjIbA/ddzTbRfbk7TahvVyN8YWc6 +N05KX+jye2rbEa0Fw2PpXDXEWc5q0SwQ7fFnr+pgYCUV9sPf2D6SLmQYyBQqpKf4l6u3kx9SVNI dNA/0klozdT0of8LOB79jLq/2N/hJ926v+Zy7rPdnbzO/BAy5I15VhnY8+dGKNksEjCdUdU86avG Y7zUNYgE3ioLll0TQk96/2/J1UcQja1pxGSjeLfQDvabX24rcMSjCJsufAIMr8785+2wClq7x6z6 mjgB4PD6DvDLYfaV1tXUOMcT5NVCKXwr1sulR5zVS608FjPKGgUiH82h37DdJzDATJVa4KFPEhnx MC4DqgUykRR26CD3i9B04tk2rXANVLzLuM3iUzpJM0xQ3h0G5DCsYdtzLKlAgfgwgJjl6ATX8jGs t06Une6Vf5fpWuLo9n5A/epHPABifO9rA4inHuSJ58QPt6vaQQxnOywCSaHEFhuJ+NLxpTkzQ84D ODUC0BI6dpS1yw/JX3FRoNXp3csb4KSKzasaY7s5ng2rD6HeKz5fom1aU91WI7a+xykuyZa5Sddm YEgVJ/hMReyB57vAj5BaPj68o+Rh0C63z6uZRxaam8r7mkrkG7y36yCbwqipbUoJGfhik995wvy4 Ug0jycEswAywL8rGhiefCc2gHWPmjbOou5f8CUXorWf/BBx3VTrf0pDlI4znBUr9oUfUTlyX3oL7 2YxL5ZOt5OIIb61fDdW7UwIfHWJzyapeFnSAcDBem/YvsALgXLmYk45ZPBTGeL2+JHw9uytFi/qL KO8svrBVXXhp11pkVKNCwpFUkbfYRPP4zPNPCIKLMSoM8pUHsYpIe7aep0E3N8Sd0bmJabWjJ6pb GP17cRspgdukZX3GowoxBnsSaHPmv+YMsHjnGANB0mQaPGgOafjjGXCMuVBil25J1scb1b0oyxJy 7hi5G9t52JnU7ooXjNGMVenOCNfk8WdljdDu8kNIPnSaX9ipmRIq9Cu+iAWZmIB+w6KMLaiU5yCf iuQ8J9VTC0BKy+VRpf5HfIf7OEREdsfBpgqGipUv/AUKtEEekWBcoyfNfy1Ftcl4r43W2NrdQklR vaEeTYWuk4FaEdlqfdv3xgbYCj8I8YoN2rBHqKpHVPhxoy2GfRNQgn9dKO4WI2cmpiZhZFDgwrOC Mc1Yf0eERqSbDurPHRXR54iD8rRyUKhT1BXl2aZkSs2Pf1wd8NNKujsCdXg8RDdA+pslyd1ZlvCZ TmEDh5yhc5gyU3OZayjBPzcHhUwKGTP+Odyn4fV5+oZSdCPJzGqV31KdN457gqo+HxJNGnaf28PT RXnRtCrf2k0q50EVInu39StbZrZurMF2xA7PjigaoXfc/jq6GoH+ci7VzKJNUYE+CnfkaIzL1Oxr BDnW8d31trCVK5NuBxYve7CTMbg+ayeSty+FqUxGPKC6z+lsAHT2NywZiusB8ph/Ne/SXENNd75H ozGA2j7wnZ5bRWSFPXFr5eob2sf/z6xsjMZYEkVq1cOK9mxAbZ4jVSl18p1Ztm6b0xCmhmbbw40S 2AbDRGxxETqBpMgVDEJgv7zTfxdWx2QQyNIWmKFQAlRpIE9Jx7rx5uQlSJen0rNuRxVI3dV4MsA7 20PbpnP6tzAE2EHrO1rYIYm8rA8ZekGgyUII6TAKxWp++1ThiAYLP87J6a1kRaNraHzcn94QyN/5 APM6lppSuMGn02QbJAa/Zq8QtqezCAUjBF6RTPHCHJa2DDKxBIS4WViOy7LB0PvgC2WDiZJfs7Hw y8sOz/b6uMalPta4aN6WUFdSqcSK4wW9ZQDlL8DbbzsmuXuWCnyQdkwM4B+Ivg0uieSm1RIfmPcz he+TB0xAmjaV6aPg27msC1Ns/BLGsISznlkzOAn+NGkrxYmKj2wjab13OySW0ucQyVHCaYFj3RQD qMqeZTBHIeBfChPbF+0zza6C+wKmbFdHfYjhtromsm++e/0DDT0uDd+ZNUNDPzU9NqrQ7qsBhGmX NlP4rQYD/40/PTaLdG/Y5ZlfON6Qm2jGO5VYSHqcrk+Yo7JjjIK2CriEwsYCEiSp3m0AM8fEjwNT VgVKlWJ9X+1DJfv6L8EuDM46o2TjimHExj7LrA7BrXxfQJOJ1tXwAhu0Ncv2VCAo8cYRBHT2yaKz zfAtgVshKwix1fh0oO5fu7GYJ2Reo1FD8/8DTlZ4OsqaoiUcokuXabG3wmMdaj1stusRfNv3to1W o689t2NTHv7HlogA5hbuxfqPHQUAJeh0t9voOgduT5Aqmo0P+cJwgfespWNOE1MueyRT90kEQCgX zj+VdXLqp8r1n6/oaSh6ooOehFWr7n9MXM4zPcnSslT3iGhtjJdwwNUsg1AlHRGud5/bARsEbYUT CPI2wohEzCfe5k519Xjna4TmbV3rbeu1aMoleTKAqrHv83xXTd6U4EIWNOWhRld5H+avBHNahGYx bmv7RP+eeP7v1z2Z0pfeI7pgvKAnAOXpWxaUcJw+DmuI96CYSbnd/vOSy9O6y32NiRKYrecl96yw G7VOEsDktNOw9WrrvIddlOvh1upriJxqvLfTALBs8ygN4XubAO7+Awj6i7xd3fBgz/Uz8OQIDkHY w1pei0cM4sOT3lD5EvQLLLq4ttbdJvF5cr8u6UB5eigkFvyZfsqENvySgAb5TacfBSjcTQJf/T4G JeY1pzetX7BhUalDU4ADFURViZJABOZrtVydVV0FbOGkBI21JCOuSk1Cg95hW57OZo07to+Oz2cn 4Oz51I1MuKIvA6QkNCI0VEKynnJYLchrNWgGYwye9LqJjVYAz0KZRHas73numV06NIqD++Sob+MG /GR4K/UVRk72m+ESL5HkPXTS2KL2kgc9Z9xBtcxHHO2cSqrcMrI/bCyH70+mIsWAHK4R+InG0Avw RFZngIQMwiZbwL7Xo4HDFub8qvV7roH0pssaiasmcSvCRDIxY5VFo0oxBn7LLfsFPTlVPhoxo/sH w7TxVpPSdzLhn+L3Cp4E76xFZLqsW4kI4SmtdYohfNYivj343wJ0KJVehoLiNeoniTp4088f99mE yj7h9/O36a01+hGGXsVtI+rTlh7nwS+w+fuDTQ7Y6U8dpoZyWPJlwCY1pD6Y3mwpChEIuj90M9Eq 0Wy516CfRNdahqg6tY1ub1L+3kyy5M95QxWEcteoeKCAoB4emVnDQeUmx720YITHYjkkUf9BvqQw NfAaOmyK9znsaXu7iJYGeh1yUeukmqhXbKzoPKXzSzilaiyjQidSCDL+mmg2dEBoraMcW0KHmZ6p 3btAXiSvcCiwYBlGq+FqVwSrmBXUR9XkVm/UuN8zSc3E8/QSErBFZmvHm3MuN/c6nOWkHiDF0i0D XLdLl0qG2k/4HKI8xPgEb5YmASzU6f5YNVQ15aPM8o4RaQHGlJApSI+uPQ7HTX7ZDZrAdHqzr0a3 ofBrB6SacPilVUFiAFYIjCDNKQ/ixcBPEIBFV3g0ZtCiJk4kcDrCxHBRHRLC8Q7wdSLCqNsERS9o of05JgmFEj6SHAFy9WhsXWKKD36DsAlyVS9r1C6DSZuWjZmmhv/eBEAmYC4Di/nWfXnJjsn/SqhV CyUO2mVyej1OCEqiH3kyzONhHFLW4q42RD8v4wC3kuf2S3D0Xy3yFtLnmkZA1aKibJVwKZ0RmKQD lfdl7U99y8uIQ/TB94SaD80rrlyywyQbPaAdwRbU+71OwF1uNLYAgbkV6SPiqxGUBJY1Uew4lxqi D/FCRnoYYbdvsP0wdLD+7c9ohkkT1wXF/04j2S6IWIG1ACHN8YY7iTAFd8ssrwU1/5cDUsZ0ejtO uABUj2bvnhudezjecvBsYBrmIjixODUhehu3lfuoOgpL8MU3HD+chBpzvQMjkJQDIiAKm7RJhimv pAZx8+iCePc/nNlkOMrjFB5fo5uHtO/CKXSWwfi4MTewNFuPCyH9TFk1tTiFxCPLKxaMv1bEB+kH CzuWi3g3Ad3q3dmYSqQKgJ/dH3ieAoYNW5CXaSex+DlH7LVsCS1+qz6yOt/qjlQjgAUrgq1bDn5M VNcvwVph7dWTwOfzpe2v+yIQ30cvBasoUOZxpW9R4YVB85KnwQTGkjGqcso3pdzbNb6eChkFqh/x wJpbX64t6T30IxcXSUak3QXDUI4tb22666zwZQMLudNlnHN60SzlqdTHcbVGgeHYJ0286wo3Fn+T 7p3PXGgpOhiW/HD9qv75Y26Izw1hpUWB/UnjrBKMA7W2t9Quk1Xq+d781drr4GTUxrvJ5ChPPlfE IU2kVxwTHi7Xj+noLdY75d4fu1OptUwLmTEjcJtYwjcu3+5uz3CTQVRKdTB3ix2YIRTG6Si/kETh VOA/fGjFUIOoF44RXhGZZsCrxYBhqPn/xkFkZjrpnAnrPj2oPv4ea3NcvO1dCMek8KV6Cj7mzYk5 pYG9Y5FwexaFcwtK5nSUEUaANKXelRQuram1OO39L2oaYpvHhmwlRuFBq27OxGCuQMWRm1PMkkY2 WbLkrzDEPueZJco3ITJIm3UiIDF+Cs/7RvQxKgiUpzng9dex+OOE7bdQV3YUY1BrC+VvoYjxUjHJ +JnJX7CLAjUR3nlwN5XejSPVArvqMGUSHGxWIoi/azVpfKXwxDGaEjkj0+MebM/z1HNEQo7mIDa2 UiXWoodkFWeUiKLFolp4r3iHOsPnD96mNrOwpnY2rLCptwN1GHDfmiv9ImSAil9hK29I1j7QWAT8 7PLyrL/WaaHAvEdRrc9zGwvuNWafTFFRXx0LswXUXnpCPzuZq8THRaTTcJFqnEmFc0Knk72gZh+T nrxpdEKh51I9WX+4IWFtpVcxGq/RdLa/FKrMXe9aJ7VKK5NEBemtHFOUZErGaxvcT++P4W15J1sl folUv5VZ3x2E5Di1uvyJ+u8zXEppHrVfB4p4sO4KiKzGFWgHOHDVo7r0uN66G/KiXBA8mvLC2t6k YGAQgmI0a9dNFbXqTDFHQz14zU6mVqo7Te6e7STXCKSyz03Uriz4fhYXpHuAWwBoKEmJf1J1WkzO 5u6mT++UyX7H0w2oedvmD6VGUx2h+13aFCpW2FnBTES3I0hF20r9wg7FEHxlyBarytFK5qRWxLBB xnaXnzscfLk4eoT6HXHvGOY8hYpPlPJrEUG2TCzla4cvwhWTR/Pu2QxG4kggaR/9FBY5py4xGGfX s+Kjn6dYPEMOOjypB0UAMzp5NO4hJH/mTJ74LJHlalpFheCaCkoyzJsacl7Kx1DRZI9OjGOv/r47 KDzgzdBUhPb9jiUWQMJqSQGS4gmgAeFso5vSiC/sTe5RGyTEmc0pMppHpfgD+h6+d8Qw5IwxUofm n18omKon6pzMo3oFZqRWCcjYLlmQ/D/vh7LCKw012rpQq7b9/LMCDcJRK4iFUhKCH1bxMEGKiSK5 5kDsiRnwGsgL7E6wwZZpQ01AU7TjeIjzHIn97YGCuaqGQFo0kcFqnx7Ek+80swoueWzrCIyjUkVR iv/3ftKckL8uB0RHcu7d9TV2kC0fuhIJ0QCyMihH/IGxHy27Y3lQklMzPiw4IRNynwL52Hs6AgP9 I5xta3M1syq++FGAFRErCDoXitRsvOtIqqvV+ZzKjqFofIJ/hN1s06315DJCmpIC8AixMM7HByxN h+CEkFGHy7ICgvQedAAyoNFzNDLQHvdo8b3dOKbRcRxrHd22EdGNkJIkfA9ke/Vj7NvlOpDSOgzJ rvHNHPMKTxFpEectZ4x8aWL1FYWShH7ukKzZtBwYfQCfeBrg3HoiKjn0knbigfg+XdeBlzSu/66U sqxOARvuDe/nm7nNN7Aq+CjWG70KmnHcFJ3zz6XCXNhSgdlixwhxG3ph2zSWC+fxykSKPxrqdI5X pbeA/wkH4GCymeLdGbJUmyn0wOMfIKtahi1Xjb33jbExc5ojiDCz1VgrZPry6lLqpN2RmjuoN8FM kZV+UP0LJayLZUIandfqOTyTgHuLfFVnJCmHWbtH8TKCG1o97bPy4ZJB/lrpWIsxKLFJqeBQ2ts6 NmT9ynhIF7UBoDtJOZMdrxAXIMGjBNXlm7BL+sIaJCFMs3VHEyaaxZtwTwQqP3PrZ/0Ep6Yae6v/ pFRdoPchcuvx4u/YQLsMcBMhmQx9fjElYtpGPTueXUqY8OKyXywxrlfoM+Zv5wwFVFBiK1Ab6Xca 9jdMVKY4sgXj6ybVJhcu+SVMuPybgg11gKuzBKl8CFVcslD3yTZo4SDPRt9MLB1ZeXtrHVZiatEq ThtOJul9lFejVRDoRHDR750aidKTsks7DSYUOgba3hn9/2UCgU5P6NyahfURDJqut9a1IcFpgZbG KBjQUYNcfzYB9pLXJPNP2tGwhrhyiCqMig3I/Y4e4szhIUviYV8DFmvonyxLBlmCpkZTX+oA1iLD sBeFPydZSL/J72j6JrXuNSYOQwe64Inxu4wwbNSBGKBZ1bnmJf0Ri37GQAz1JTpD9f4XUw/voPtk SQ2Fp5g3Xv/e+Gu4iGC7Eiry2GLGTbC5BULpBIar5n7hmDkQ7THpNRyGmy+zrlZyY8dzF2jMyX4E i/HjgBaZqxiAG2srTRyc55bXEUU94/Id1GErPhNoWMrl+Ef0MzEGsw8T6sp17OgbHZHKuaZNzZTm hByFeybgQMEzIlBiSgnvUS/2qFuBz9N95EYY7Z3tlGLUNnw4UusBID0VN81hA1YsC616XumA3PD4 MslnGhXWyAw9YYgofqw4wLRI2Co5k1VomhrUJ38FExMuyb01Tgly9Qcs132jNe/JeGIZn5tOPSiw ytXu3XIgun894iAZwDNVMrCDxE0aZOHuK+7l4X1KwQy6449BJB07VA4a3qldwyWslihWNP2J1ttb L0FpX+pgw91HWJQA/bVxOrf/KX2PmDORelKTmOepsTCxI+g2TTgX+pKUFZNAzdHTdHH4YsCmuNRo ihl1u9Q0TuvWvtG7OxYH5EU4NRwUNlOaYn86I3kRnr9E0BbjYeeBu/3P1R2cdrLr8TccWtQQnfmP P0BH9DntwyMt5CHWcbSHcbUTgDw+0cyEH6gJVjsdAUhkFyiE0+Un2IeyAbkmX9fiCSwLr8e4AHU4 ND9jtPN8A1IBTfoJ2oOdaBtrV4RDk2k7+qjvY0f/RqldrvLnMkbgmQavQtSjFqq1bslTBHwvJhgz ZMQbFquMXK9P0Kx7tIEVL8f21pCxJfxIa77CbrM5GIp+WdUuOy/EwTsAcdFWd80K/I+Gm3t/N4fT 5ufOAMi2v7++m9f/fh1xS5FMj4rups5eV216zYklyi9vi59NPGnqSAzbmbY7gvSMUxNBDlIH85Ob S1E0EsrGAhvG8MkV3BTUXhv9nESC9ZgMVjKcoUz/XPrZn8B/zZXOb/6g898mor/8A0WpDM/dVpAC tM4tpaUB6oOeCbYdSvlByBeD/1fghKQzNTvga+Xt5SMLc7PUFN716HfKTOii22UgwWEW8vjSfsvV oCp1LmBXGFjJBvKBUs+5psvQO0jYQiduM4dJu4kJ5bbil64ITvekufx7Fr25BT2lecwELl8LMZmJ QxzRNrRJ29Pdye0tpvRKd/E8oy+hxXKhATZKbD85Dy116xadpQKhNHwK6mTcJEBCy2zz5/fpTDnt 2+aFBSOLkYpJvYwVGtEf03nPQwFK7DuWU4Yf8gUaG0RVZE/TdxdU3h0wZcQC13kddUDvDJ0KEi8x U8Z+0DKCV3QKzozJY9g5atLogZT7auJTCXpngHpeh2ywRaRpF2ZVgUOwncidBOuI5YpsQpJsxXjL 5p0+Oj9B2LJizAmiyY6i/QhRGh7y+tlAE8/bfG9BiPnj4cTtpX88nrt5PH1BSQjBddoOXYGS8jYU jH1okkH8GpCFr/VsAmYV5Y8Y6PrgLGyjt/3tfr+4gDpDRrb4KAf9tCdJqgMQWFoAcoAIx+jVMKbQ sCckihs02zzKX0bS8hKrzqT4Sl0Rh1efs5eeFTtIPSaLUnzp1RVFJXplBhsmNp7Dt++pqxH+wjrt lpnVl0uLAN1l8bbNQZxzUst9iwMpNOhIfRHWOxPuMHGQV/Lk6SuKbh2l2Pg1RxJcqZIPBQrHdK6Z W7q1pyD70cB6fIrFYH8+nmkEG3Gv5P/CZcC6KSGD/iIyp7CN2lf9CCUowFOZojAqmvyH4hkUZI0J BW3Nd7J4AzsLebpN4zWfuvzsb3xEnEl00r4C9QJwHfjymkzcn6zb/90tX8x7D5BFJKX3oX3kV7Sb 18TUKzf2crjnnCcPxTKnv9c4F/lfzA0N3YoiVQ2+rrYs/UOX+w06oRQJ/PI3TqsvDo8lR+C/8FLX r2Dy4u5RleZuRuGxWHkYklg+sFmxMo2Tn59vdC0eU03EvSu7A6bGHzv4pSsvyTb7sC37xoqFQQXN OTEpYgOjR7fC+lIHcZijEi2sFe6JTAavJAmv5F74tBbUZbzGHH60W/0odl9zX4jc9MhWEF/56BwA sA9F1a96JkcbTDzdTo7FdKCdX7w7KslL/6s0R29ubbNjWNXsav5cSMWRrBlZr4Ldvimnys+7ELIa TfgZxjnsugCvBEtOCiatvub2/p1tMmcwAZWHjBOdh70tt1s5WNvS2VjnoRNXT9lkaQz4AIrYlRK1 ob13J6emh4K4Z2S6643ZgjW8mdR6e6Fxgi6SrDEKNWuE7g3Y6dlXcYc8mzXMvHOrLC2GCFmg8dFT E9qlQuYEp7kPf2OoO4B9ocmGZshf4eHuWDSbrm2iqBsnK+CJ+KBC+cpBPParsxT9IJgwhGJh8RWX fVX2DOOPen/YLH3uBPfQM6pljYpdN+GG1XpuNf9L+TxVYmHc3F5AxDyqF2qsg1cdK9x7LX0a0/MC 6F2YqvYKOxyNbmd9BvX/nr0+aodex5Ct6AHzM51Kgxqnf+p0AVTXm4u+Q8rjbu6QRRi5sX0CV3NL 2hloAVmPlVWf4QAIh0pA2QZaUYxF6bJyvQz3Ai5mIYb9+G4o+lLwPP+a2e9VwnjrFoFWs63J4igR lSk+tM4cy4gMceO2sfaTcYvtuA3M64FlZnoYDJdsnyID+PI+mFCTVVmPRHSs801Hl/siFX8oicKh t1TeLQMuGea5DJUHGWHAHtBjyc+57xST33xyvca/1Fi5TKBSISxlMgF6gA0a/D0n2Cpc7BLbCfKO 7tEdAuNPGlRBlJGs3XJblUA9h8Y+w2BFGjDlCJQssfn42pckVqmTV70Jakeanl44UwFbgvGsE4JP 9RG4lIsfgOUSAEJlHLEBwME3ds62sH61ommsbosB+I48Bao+yguyQGHqFjrUsddoCOCxvv053TZm CgiD5Xu2+f4xU4NH0ywjxOeitqoS+BaWiDDK32otdamaqKSsawl+sjeHsH72OEqr7m2BhHAOrkl/ JrBxWALEaTVS7Bw2186WHIHLBT/Io0ISoTqYrNOZKus1ue9cvNrgvCfITnz+2HmadKYFw1n2ldWU EPLxGZPvpd8cycphyVUhoaMU9gWSJRMfmAKQEOLrF7iOTqxO4xKNpms7UwQeLwrBlVD3Z0l6qk6o mryLaPIuvR/Q4gZBOmh9h04IpqycnehEGuYJHBqJxrusn6rooXXev2+lTMR4T80tme+b7MJZ+cii CKL99eoXuGr3f6HzTNp1/7LQnvaeX3VGq34cfpKtg1Bm19dRU/J5BvNXpW47M2EKz27umoOeXYeU pTKut1z15NxCubm3wsa9rSXbwHocDfWpCz/+b+lgXTqOf+nx+I1H4r6hD/j6KR1rvfAHPuGYl69p 0SrTtLGCBiYJQiAuXjrxEYq3K/BRI+8HMTb9+3FfvaTJInP+h2bXl7+kug/lQnZRQGaQj3IFQiR5 ytRQ1fyA73V/1aGmd7kmGro9WpBT6OTwL7Sobgmas0DxWpeCwpBwkxZIJF/wu5PVFIQYKSNBN5tn yfCOwW7iSGf+jXW2YqB34FZNNRSf7Hucv/PVSVMTE36evp4DSdQltRGv/CQXe57DBCRQVX0Met8u OqG0K4vpgb7qd9HTcPJwqB7MWmTLjFrZ2EpSDDCRHycTJ9Hqq+d2Xs2ZaOtnE+3E8iBQZIPY1rnz MrB3XYwYz2MPKHMGlnQSO41g76arDYyf8+eh0KQvqqbiL2R0/3dPoTNxc7wRaFMRHQV/XBI9sJ9K Nb+RkPSjANxs8wxHu6RkZ562clHVuopxy8bosNZlWiJbTc50aQtk/zmNNjZH4BxoY+WSTKZ4eL1q Bi7Os8UEjie5UmpwJb3AIs9d4rEegZGCxqJkbKP1azqcUHq2JMirn/AQDWzjkC/6M+4Ec95PnR3X d653BsX+CU2d1G+XP1UIjFR/MkQAwmYgtvXunc0kdqj7aGAx7hyyWuM12K+1Tq0GZpvCd9F1NVrk vNnQy8ed5U3K6MVRqQAAzBQ1twjqWMuGm8CahvNAwT+tUwjfjiTMIdJX/eUkvYm1OzocvsF2ydHu wjds0HVeJO0vEYmPNJL3soJuxufBpvJX/U4PtA4hvHZHPXvT8yhI+IXpwetRLbHw9i7oygr+PoAv lfDHX12N71hsMf5Dq804VBYquH0MRpZa4R2m/50DQqHbP9KUD5UYznUZkIPnfMyMMO/rd7PfJXM1 A2Q6kACT49JDFkAPOQhBWxuN0pw7qJ9LGKkFazeudrgW/rwHucyCLl7z5absNhO1428TZFsqLCFw EwEoT0nfSz7FlQ+MQ1ioCKjDLslH4tY/cQb8ZxfaY2Mh0UiZRMz69BhlOtLx9Qy+eVdTx7a9QkgZ ryny/dtapIRh3IRm0mkaotij63fm/xTuGklepTe3ACHzmCr9/F2ZcJndg2bymJM8g6L3fbdn49Xs wOjmiw76V2RO5rZNqNd0SBRS9deA2Ma72hHRbRLcysOlZ3YDeOoUFLwo5MbZp8FMDA+wOhnDE64X FPInUyrTJkFf60M2qPrAOEeArZNvq4XLx2Qvuf5LxACKyfvaT3/G17Tvo7x6BLsLxp0etJv3pQGJ lRyw7jslviIQzHPRzf73HC1joix3ZRX0K3KW9zw1TnOrCZ0kygKXbzN2XR0rvfY++Gd0L7l0t4R0 SdG1aTdndnjUMsFmBKxN+YLQeXVOXSbRFzx28SYM4IOFb75qdaLHZdmfVzuGk4sGeB/eaevgoBON 3FUUprudJCshF6lx96NlOQHDuuCs9+p4xpHgOQB+jJ7fyzahFuD9TjhVCKXIA1orzFZJEB44S7Q8 O9ViklYvou+IZr6OF7JokX/VqoeXOQF6/HhwNSvW9nzor26yQcBdL4IMkvqQLyNQ6gQ8cjB1pZcD pps7LkZDTQ1LRZFxXYOelYQJs5pw2i+9GL9o3zJpnClBIDXbGbMNkVuZV8XZWLVaQJIqy71FuCP6 1lR/7itgRdlzGkqACqqOOFPqlnkKDN/qMP0XaZ8olvKDysyrPW1qSdi10wEqbR3NcW+jEhjXmHmX D7sBZhqojiO/nEgWhe0HfZdcAbYOQMIGpj4gShCFH+UZLRvRV8mh5ptW8NOqrmbLV0a18kVAH+rP 4Ob6+x3lQgYT+LJUL9VaWvy+UqO3GRn3JFwFNem2Pc8typCFhU0zaaYt6wEcOpWwkHyQUNS4GVFJ 5jIxSjfApujK3ikuHnPor2DRCcTLvHId0yr2fkZWLW6XnknwEko0LHCkcL4qdLc2RA4UgXjT851U FGwSQtvtWhR0qDV4TVTnTcYKcKNzWiTNoQSoLvYcmnPdXO0BAqi/OCfvXqTG6jd5dt4/8YWCMf2G YeEiysQVwseceRJDMomWtIinulerfkk6uc3uRNoW9sqeNHlnlOLDKx6bA2o+8ybto1sED4oeB6fe YrEpjxt/jrI0UKOBW0QWIO/w+KmgL3ul6/7Wn21rGpvxkLJACUDbceueUU7aAtp9sw51cUSngWw6 IpR8zGAyv8/nJnwxozWlN5dbF5FR5InlE0b8fk1dYFTzpgidmuFpPvCY09M+lE/1F0bzDZ3iXQnK wEqm1EPsvAPBhEKEOgZN8X9oIOv1afnGio0KIggI71r84u28YO+/7zBZjT4V8yqgqvxtF3+ZLaWC xbgRds9fF4h6FuTCoxtvn+3SOfVnjy9EbhpFqvVZ32kAM958uCTx1UYZneEFM/QMAFeLR8jTUedX fULvLQuiuOmy1ILZO4kc0UCrMoAmJWUEsjLeVQSS0Z07XqY9ivwGw9QKalpjfPwsoJkHdATBmKlR 92mK8mL6YTyp88P1UgMtvqkelPQZSW3kwQYot2gkearGnmRlrOLOP+V/1zK4ks1WSF2xTF33CtAs uG9dQN1HYqWWoYklVOs+2bvDc0U6XsHblAf03rM3T96anaytiDLa+iR7TkP5jAHUz2yCQMC2HRI/ +0pfFB3TOmppSuFImXILmg2mx6Bp9gPvpMsF4Gvr1sxdFDULYGrRdpWEqdRXuTHW6rkrfzwO0K8F +fFLy7VcLVvn9NeoE/7DdOQVfptLdW5O+UxYW3ZnCkjWmcsbGEQRjBwWZ9ud7dA+NpZzog0SOL8s vOun472DoAnFBURCn1XtUcQZBn7oHYpfR18KMMOVeWBWbRw6xgA3udEdkRY/333WrfR5gz2MHklB IPFG2qjxrTtMSdRPUgVSia5ui/U3dxRNcJLnYw2a+qeta0oDXeglUn5SoFGYsmKQEuXjvRT29sGq IzQB7PcnSVdggDg77e55cm5YYWOK9bW5gQ47JjtX2LvpLT0Z60hfs5Nd+kinHWnH2QkOd7Ff8QMc 0xRwOUO5K5/oCfN8rdgimME7i8xuG9xr7/c3+Rai3jO0hzvJ5P3dQvXzaih9W1BdbTJEQ2IMoDKi zQoASQCHZ5pP/RcbLi3KH7acQu27uhQf9MsmuSwM5j7LWB7kDBb0jb+QgZPfL6Sv+D5ShNmH2eNQ 457r0hIH8iIAPJB3h0yhu+Tr2S+j+364px0Djzt225zKaPhDRU/22MVAjLg79qycfa0CipXBm8f1 d3PQeruDT6XGf20vR8UAJtgrvaTdBfWZhi4bwMZ86qu45l1deiZKZ8GiOQxl8mzE6gXFfLbqi0Bi 8pHs3/R55hRheYQDoVP4aC0LGSxuSzokKluJ0ess81dPxizUUl9NLiJTWiSKteD5ghuki9Jp8aeK AjOrc8/j2xnvPQEds/1646JBtyB4xqNtKPE7yvejhCKORGujDtBBD2sPsmgtnbWC/pVGX71IsSUT t1GSuL573O4zjVhrHdw1mb1skj4PI1K3XM8NyG2L0r7CMNtbCIiGWqTEO1yyBxnoUPfVOFsFemm1 nEBpQBZ7GLZQJIImy+kJ1obyhVbtcgKTBD5O70bKoJXP0zYrBbM1O336vGZXflDVLsDpZhLfT/lw nCSdZenthP5k4NwgIUxEHjk6sd1Gn/RLkCVUzCDx9PaEysf70jpS/ZqerLU+nmsVse8/34mkVf9y 055yA0OMHcsDeVrkf0eRwPXhrTdAjRsp9VLqTiS2q0jw0quHtLt7jEr4sMJ3+COYsIkQKvJfo8r5 bLPLdLy1bDi0mdDG+Yf27O6mIqgd5lhVsL5/G7woEPGre7xwwnMRCmze1r8v1tOcKmgIUpoP8CSU +NeRm8x42+kaMNqmjPcBZxdoCIQcIUS/j/NGpBtig9m9RCKZv246DhaeCjXVH4S/mNdY5mjJ6MSV RC6mMcLQcjvY2ygrQ1ikNEe1t6Oe1dloWrnBX7Ww9V6aGTu7pWy7Y0T29Vck66z5ZdDo7JDn+kX0 neB1q3tzsow3bDPGi97FRcpRoPI2SLbP1qUZBPVipL+EIeQQcWyojySkWAmJTVHtiuxGJhVCjXjn m9L7r5zvveFGoQy8KZMWRb4lRtAR6Z096piwTcIzFVc0i1bJ1h3nT6F/8zzu1NC04ZPAxe9dqjVu Ee39WWiUHyxqfdTx8fHDhPYEf6z/DTstsAAQHZuXyIqWnGhjuvlMsTcBcgrllhDRLbB4hPFgxomB rW4IV/HFNFXv2FJWu0g42Xej0/ngaSpVy+0696bs2nfnTEqOqNwUmySx2wg5M8vCEBD8gsQOPcNx hZnXE9oaferUtRk1i7yMImxAQVEmgSSEh8deQwfALKc9IqGyrlvJScPwtWfTqe+CVqWc0BcaKYlf MQKBlTl7H6qzKZIfusLNYLmaC+W4w1llJTJrGnnW9ogOwG+xlBzMrF6224Fr6yN/Ifz8ESTxy6od +8linxSTyWJ/x3o+drJzzNCiyYJ1CDwKzDwfoSt+MAAh1UqqM+1bTd3O+DiJpYd/4inI4/2f9LuA HTNQVjUw0ZmwOaoXSZvD/+EylICzZnIv8Fn56gOk+fW3faufS1ckKbhpiCyQuptxu0Xm9QaviGic IV9fGJ+nEVBIh5hKYDXRkqZfMab0UVBrM2cUltWUJOmLj5JMUKURZ/8zTuYAzyORSOkVyVu1RIxA fh3kzUK+BtA0DKlvsVzCvDPr0NAPpm/tvS9FxeNxEET59KcBv5gfSVgMjblTchgYjMajl3gtl5+O RJ5+4J3KzUQhokS6WKvTttvXFFvr801zZDNiiE4CGxH5V5AKmEhWScofQjcGb8AsJilF4Op2Uw8q spkY+cvch+qyd6l+mGf2VjEIBxg1crm1bFkEWFw5XXKPs7snBijoQV1bHWHdmR8FjYw2bNCbeHsD DbUBWyBRTnWsz9KqOyqHsOGJnqwXgksxnT04tglijpVoG6U6xw2B67qtSS87nKla13eM6su7tXKh Vm+SuRVQw2tFFDC2+M6YZhJaB+tMXGM2abo3F0vbmOTyVY/N5gOiZOBPGouloPERMzMoV/VnM5Ar qRnm40+SDpVvP9FHiHl0eLboiHUsABDOreYQh++aB587l6O2PxCukrCl0u4CNpnZAkfU814GHhsM thRZgJKEuXPlmN8Mmefvhl1jmriGz+K/HyT23vkiDHL4NIZvljMfKQLSLRmq2rE748hokGiPgw+2 lUwAOiJOaMWpCOeX32dUCLEYcGJGPAbzAmLEV+Rv48Oi9Bg7A10fz5kakiIxUTv/yC/SALe+u2Np 1Ac6OpeX1SlNal9eWkW/NnWUk+kkMHVMzTCc1+YoQv4hdLT+qE2aPpJ54IES70roQS9KBPybHBOh EK9fRw6xiHxDXrGAWNzN84Dm2LzWPyEvy/8yQv2qFD1fWS+PqlGZ2tlmkGNAjYsgATSG/oZr3zdD 5I3FJRMJdnes1AeZkO/svbRrZzJwokN6MNVn4HwrtbWdE3TdKj1ezdxwODJQOZlqQog/1zEhydIP pKJOlBs0cFH8PbClhk8JiKv57ys9CQP4mRwV2N9smrFaG9mITFVaiMkpPGs+/ANEuJAgKx+7U2Uz rqCSNt0kd495DwSTzTOh1c+fbeO/BHSnyv3rMF94rP8WZ4Vy7sS3wrcK+jqzoVldkWp1bv4ASWqX kTRfL/U4JyV2YwxKNhKk2LINnE2yuHA9f7CVwTPeFLdfSMwhvzi3nuxsx74c8HNx4U8JaLE+W5nh qLGEFSoyYKotV+Fxb4tzBJmI+r7EuHz3eANzHNZoSUX6cXTKqlkx+/sToEBb14WzyqcoFx0kWHyz iDNCL96fUOzX8AYeAEWWBhiXtrPCorETVfMyi5TnQ4EY5yLtDRNlnOKp7dll1qiQl6RPSxpfzEj/ aX5/0DVFF7WYgg2UBLBf135MpzBqJY9OFLkcQiEhIqZNcJ0YyMhnXzWMnn4RaU90/EndmmalTkrq 6Y1Mik7M2ERWAXAJR5Ca0+uA/TuA8ML7GUCb7VLsMfklJ75dHUyqm3xZf9/kcM69nuWEnZ+dyvAX 7taSPzj3n3g1/Jsu3TVnGtA1v7o/QK/ojhI1DeK1h1e3fugLoQpul0QBYCVU+O6zDQrtR1BxY0Rn ImGOmP1/LbwDP4pr0hBuTN3bnnCjSthR4lCAfAP/QEbpBTpDzQ2puVwJaJHhFlb9PF+sDAB1bChM XKN31oHinv4F8R3gnJfuUdotkHMF7WzVTp4QXLrbUv8MF7yjBFpNDK+PhPyyOEfo82JXzOjNYrlR Ek+WItjJ9tf0uiXB665SFNu4PBRv+JMEdQH1ALKnmth9tEzLin6NZhkOi6PsAfCqPfjd2TOHmqF+ ixDp+QuEQlhJ16+pduRiJS5Am4mXtqvHBWf/NiZWjXYW13zaYp8JKyubWJJxnHfwApROu5mH/n/Q 4/agJS7s20kl3A5RYPdq5v/TfnbPPd0Yed48SUezPoZVV5i75xLgD0zlQyQJE817WaujrYESxFsk jpHvqsXomfR6JLAR/qOf6Dx2zS/NYmH4fr6aHS33xgN4q4aCWuJkA/HdPfwwP43al3QWqcOVNK6B j43d50Vp011+DtTpOK+lVKh6OfER9RmfmYhd2W9Mfwleyx62H7s64NmohI42YzEqKymbUGjC3yJM xVfdQ1nj+CsyFz2k5fqXDCyvEBkKJrea5EpHM8jJ/rm2+NsxoMbS3b2pWe3m7UU/nbQAz4H+0EUw OKL5aEsmoXl5kS95CYiQlrHKdzdivGFg2xmaikQc6/VJg55L0hGfD1Y4oMCp0f5TWaIEgqHMQwO+ ld9YIMOmaZIpDpFlfIcga/JC8wYTkhoe9hR9uced4xydC0hsiV5eC9aSGGLvqKafXGVwFDR56wGy cfknpGWDH3uElRL8zcnwTk7kivOSQT0EiJzaXD4heG+FbykI5fjzxVzN+fB5b7IPxNPbaaw5SU+7 I969J6LsbR6E5Q37FzSqFHac+4ec+sTymbzMhAlrBKLZoePnpFJksSyn88t87/A5Ep+Ian0iJ63W AtRabw69ohjSXP1Qktd9J4B4xmrvfBvj9+YLTfIvlOQZGcCiAdlHfSkmoAEhlaQXGX9+b/IaoL/g K7hLZj6eVUL3cSqSQLZ5sfEBkQ9kJm7U0f6se2kS8pHJH3O+GntisJXOPWiLzXd5UosakcOlAcrN 2NFTWJYfX2OXrqrb//FfT9BO4KbyYaV5wu4UqgcSVt9T9zVquLafuEkp8c8ozOx4AvQA3dJiUpbc W9NI6pn+I2QeP2hnKJQptOsq2F/ofAcwH9pewQUNPBufyZdRg/9KrUD49GX6L3+1o2+QwY8etlQi L8rdADegVyU/WHmo1ejGYYssB5xdz5Meh+alcLTwNadApxNhN7KmfyFyWF56YOSncsvQfGmukohG XjDmlA0YnF3kotZc+DAR8Dj4CFYEvscgp6xx5R899Vdy1crNf6KUztu4TuGkTa+Zj9xKW67RrnYM UwhKGhqkNf0YFA0dEhO5uUveFDE7rNgpx/MhYs5vvVMnlYhxxCqaXAiLXSfyrVYWMyErcQ8/0HZS pPjtdcHNAdSk3p+heH+ZdSWFxN9eznV7muNKpYRdmn5d+6CeAzaKRF+6Lll5rfJsAsezybus1mtR u+iR3PHvMkNtv+dqnPEPhy/Aoo33Qd5v4tg2NrRHC0EWLteKObWMXLFDvYuMMXmT57V3iDgQAZgi rtUukhM7nx8STazVhyueW8dzBUw05nj2AWmQSqZCk5aHgkQOJ4Evrseq7QlG7t1wQBdYyq6+5mm/ nmCF7JKsqnWD5xoj9GMn3SlZQqe184XSLH2f1iBl+m6GSE/p5XS+80DGYgmvKrkzuwthnV7eCdaD 2iGPx2+QFzfEEwQ8B8ssuFWVYupC/VLh636aUnfDGKgpFrbo2E6HGbF2V7p9YWk/mAsL9AaDWe1B sypRAlGMGJwz0qSB5vNWbDFEK3yYbI4NH0U/nhFJvMr1ICySDTKkhGRseW1ux7A6kJPxHBRi3A/F SeXQSw7aIoSwZ/po4r36NnXP4aMKQYj+WsbloVCVSkmNV6a3Q0SQg5MNCGuSwVKykh3N8nMUlLkB otANLWly9sbGnZqEFes/lrTs8X9Xgs93YrQITvBY82M40f6VtSmD4lNtZyIquOzUR5JYr8r0Wfsj 5Tta5JVtZRUPt345A9nORLu9tPAkSgy6Krd48qF7T+n/RFoO7SKRQHW/V7+b1hvw5qqSem9bAo8+ K088ok4X1XChqlcW47DGS/sZj1w0/t6Wgp08KvX7fWtaxOYiwi/uLYg1TN3kyyp1jVGR87sLJ8t8 8rdx54iww68Bmwhau6TOShdGRFk5BYO7JgIFANeNZb5Tct95XbAfDBol2nfFC/JNqxfoCbwp3ZyD LKcSZtpGWUxbV58ovH6CJYLsESAps4biNmve/LM4wolX4Pjqb38OuiwOR9NmDVz3Ez/30VfVbUYf LmcRxzmca5FPhJJQNoMjyi40zobASLNU46jwLYrhTq5UWewrBByqYHtkDqfr8aIcsJg7TkL7+QL1 Skf7nV0dDWBhA6V57GJb+ly/CSE5OQZc3HojDGE4uT952Y5SlGOR5qaSavjud6XreIJpBUSWZ8Ma fkmZl7EVvlTZjJ5q9ph4eAs9lrm+qWa1EXH286ygIxL+Ny/Ht8wPrGHMEio70d7mAudaxY2FV8nd R3afMMugi2tqm0S+QvrHZAZyXrDX0MHo9QglIk/GbEZVll+gwhvoX3vlU0pLky6v39dkt2LvUh7b yI4uMPaDQBqKpneWbu1nDw+mO0xEokJ4kYMImLvOSjNplArO4/TVkL8VUc/TPioGRoodRMFMMtkY URxpawJlXl5S7MLSVVpWDRnOIawsv565ENsosmI3o/d9C1hznjDtD+Ar9+fE7w/3O2JTxNYgnsAe ZXSd8AasvhVdYa0c1nLNzbDfjzqFVWAhVEB1wD4+wmM3IdGTTGT2PDBnoBpDcLH0gNuMcNRdXBXD 1Uyb1B+A3xvRmrlzW17dpKkVcpaFc2YSeXrEWxTaLiDL+2dQroR45CYr1iKOTwAN9SsQsKW8xNk4 2yxR+AgHz6HcL/rHqWK7fx40t/BgH/V3SgRW0z0jpnMdkJy52dNHET3TvVniH+UFSqTPWmrgVkv3 cf5a6aHu919B2gP0p9QMELQsII5PNoBhibarTiD2whWwvz5fvnA5UZ+kDS5G9WrUCRSrvgxGaCqJ zBzAMPXq1fKxfEhXMLa/5nwiBrYL1giTvkxmz7h4C0LQkj5Fk2/DApqPxyE81J/kh/Q4KnzWLAPo DdHH8Z0/gc5l5HhsBruSNyShC5r6rT+L5k21jIM/xLJK1SHcfI0AWUY/ar3FYM+MT2k+ZVRH3d// BMXeY2Mj8GvBwo9MPWXPdxp3ehJYfOoSUCFB14DHwsrASaODb7xBMtVWBqmWIAqRCV9Zbzwb8Emz RLDTMfpel5dbSRDNVtxe302DDxK0rDuL9r8hYE9rpzey1jU/SJSN8ivAo0KtA14yTI1Zmb6TyBVe FaEL/fyQF+w9a6AnvZtMJllTibzDJ6vqfHZA3+/+mt+B9xf5TkIO8VvO6tj+A1quzOh2rBeJ2+av vvVASxqjw2hFgwNfoITY6tBvFXMaSVS8zqaqO3WQsyVr16F69bkJ0jFmQ3m+PXFuy8TJ68aysBGv 6c4CG8CFHGWDnR+SJL4cPufOK84q2uWfiY9R7FBjMqa2/zVU9v9PDXzxKEOVQENjIYitU3GKUh1o yiAzBloq6hSeOylWIzdDapQmBpvZ5/yJH1kn9tsfLMg0Whiaw988Pb+LLjB4JmQMTmvb8EmB3Vkj 02IvOUwV7V4nj2AG9JR3yrVtAbq/wHdIE1PQgHXTuPmc77LEcY3+IHASlRIn/KIv1BKldXwKP/Td ocr9J9ZCGwCL7RiUAfWpVCHa6Wbymn74zQ74+vE6K66q0xBQbENSnIEX4FNEQbL8Ui79ZAzMydrO H8+LXxlCRfn9BD2NU3NxQQNxlXyF7h26jVJwvpC0iT9GuL7qVMwdhtgp8VYPW/i4rl105LId74YX ShjCB0mFSRuadHi/6VZ0BGvWqpJXq10m15NcrHOtusXI1f757VPb/YXJErbijIBVYVDoqaOTrqzm 5soznjT/MQ5BJQEu0+gA4y6qOSzLn2MQSUgkaE+0SVJZY9ultx1IV4nkJ6FcJxfOSGblKXA3auH7 CKVPA+i+ChypIzqYRVOb0sZV71tCumxIEoEp20qNGXWolXU56FImEovM6qgJVZUM8E/DsQBISO22 yK6AszmzlfNZS74Gm2pX7RfcdOHM8HN9ZtSYNJzJ0zg1+YlMCKD4j9uxvM7NcUVkkwFI7PfHSY+a qUaPUjULKE8QlMR/ZnZ2wBA+5uu48Ilk075cjpU9Lj1OQoGcwhi1Gd1WEC/XpC5NcCKGX3iWSj9P nMuG70PVaN0hg7PUKJYg10gZXYlvGn36i1+ULRIpKqXNztUyFqGL9kU01u4l549P+68xi0MlutfW Uj0n/0bi9Pt2bqLVzgGixZ02xB2W4ANDPdsSZGKzLbnY0ObI0hFKW5gh8yTMgEF3BtXuR+ZNy8Qq LAB05TNg1XDvnh3WP6zgE6bgxhE4bRhUwqQsiEARVLeIPJ10yXzDtA/sO9zGac1DyPFE2L0UlteY jYArTf7QNv4wXyAMz77LihYb7AeUahMCx08fW/V7sUDEtVuhgV61nD7YJnyzSKOSGjb82EqDva7N oaahHrhj5V8C8Y3XQYKX9x0ef4EfcbmoaNVqdDxRQy2n+wMs0aOlvuZti1HJArpkEskndjudnht2 ePyFh3L6YCwrBiqnehvpCn4W9xPr2OnsZ7bOmAMGTj+aA00D/hATmngBqHtM41S7XWKIMCPiutcE kEwghQPBEnsNlza8BH1Kgsw2sE7ZpERcFhSIKq5/mw1uz/T1oIwvaDlprJitiWLLKzdrkBxj0agQ UQFdul1tKmGO+dsEPOwonnroUG+VE8cJdTm/tCTMuydH1bzI8mJudy8IvUOALBHQJIT80K/Gfvri MaTQbIsbnD5ru8byz6utIeORmQuajv9VZ1XC4Zq6RJ7VLnxFw+0SwZxV2IuoA/uXI/yPg24XKjK4 aDFAfkLjcvFlIHAsyc2HUOm3uBC6uH5fOdicG4J18NtOv4bNOZWUj4JQXNGVsKsUjX39TtpYKdr5 PcP7x41BaHoOvEnzN9naEewtlap5W3OAdORZwKKUFU0yk62T/PMdFN4lkLUE0zu1nPJRHtwIwEcx tAlFCcWruefjApxeclEK+PJWINsWBgKSw1ZAa0SueMM8aJd3owXv5H7N4UKZsf1j8qU2prcyjtCp skJI2hZMrdaLqSLgJh51wGy24LxtyDUpPwF4geeVcsSkl73Cw55/f/rFVoVNa7rea9L66yi429zc du2TUjXT5KHMcAtkk66iP9JCVnwUal4XvkA1hBkJ7OFfdP64YPjAYVYOYZuBni9ZY65KyIP6qYgN tkM5SdWGqbNJ0HMrLuanqA4bj5y8xYwDCyShwUTReLQ1DHR0wH8Snt2HC/FzGI4/nKrnexurVjvq G/8Pws5tQJBaYDUdRx/pFFBsevt9t2xGOUt3UGmTMsqHPGPm8XP35aSW4ZkcJ/OfrVWVA9oDCpBi ev6rdH4FD0yBT1NAyMSydO5lAI7DI2BAuuELOAnduRDlSbLQQFv/9i/nPR4fzkeaKgX2TDVSnyGm 3o2njtDdZbbV98UQhMbmwBFnZ2Gt8gxQKUdDSZvsTZHoee/VjzHe/foiJhGwvipoXA7fDLaBau53 ZRAWEguEGOrtkV3nj4SzMSzPUun2TCUn41olMZgXUAvjtUeQKkD95DSICVVpZdfRESRDBDay/VQt OG1pDcKXnxqd8ffB9Kti7ArY57EfanlVylWafqp9yz+e82oVo7SdVuyB9/eTyTt+DUcwVneoq9xq /ShtPVSCYZLu00NssvnzgXNgaLOQTC1ZZprkzUu0SFi4P+6rh17YJDAI8vyfwmKTSoqene2yix1G wD/V+3JuE0pI55iNLxXh8xVtfOWWjP5DC4AI/eoHcPbyxgwbvkIxDDbAGAb5s8QvbX8+prYWBgyf roj6Owz/DV5Yru9l3B4R9CO7mko4cuXfh7ezU3OXl5zzWRLmDiQf4ryvI5SCyqlEQt5po1gnvOQl 6LOvh5pwDuS0rCFklolbNcwrGtud8dmrC1RigZkZlxL75//mdiTo7XWM97+Rq0QGWUIAxBbzXpt4 TrFj8c6nX7JKi81+wHVkD5WMf40/2dDqTXXUdQ9rKBoxh3j6WheO2vZRb38h9nne9w31Uy8hePYF KH76TThY3DpLOmb30DF2zYdWAEajJD9/vlLR4Mte1JpbK0NgqLauGg8+udldBBWjavYzB3WFL11u T50Xsd+mekHdozV5HcRF/Wo9TMYjUQA6O/a/MtPBkomMmOUCuaT6P6cErexptoJ0qvPyb4FCFQ15 j/mzpmei7J/T+8GkVCVS4LJY10bO4/i3vvX+TQ+CBo7kGfSYUoDYfdoPIgLIE6nbOQWQvMcYGDgO F/+86aVQcZUxTB3xqa2Mgy9+scpHLQSJu+1cpERJ7qSM/QS9wfYDry94+8tOx7QrOi5KHtQMtBJv 1WQDQZcPLNFWtKHRCjo6fWiOKY0sOkmp15qKi7Pq9tPbt9TRRU9NBS8lVg1PvlusRk3OWWGCZdK3 V7uLSlikXky5JzfemLZlYTyJasSqkMFHHMfIajiKnIFi+fblagD9mkbzmYG3IRn1h74Hys753pG3 54qNiPxhOPLmEeE9cZbtLxq03R3IKpS/g/HSJRSpFZ+UKqVHzb1gHViqi/iVBinXYAeDc1Av8OxD 996krOgU8oG5twL9AqAvQ9sChQ1C07FNz7v2GCC0ZSoA/2g2wRAgPcnZKVzEzyvOA4N0ImL3DgCw TZN56vhkyuSR16lYt43idrqYNdlegIGdYpjT61IuSlZlTG4w92pBweIsbDdD67+44HkVRThUgPKm BXPQfn9ZRfHE153X45CGPytKGDxWlzJYQJnUxuWSxkC/lnl4UpUHUi9tVktagBV7bzCkor966dk3 jnOv9ATeRk4hTWEh3ryToQU1fpJRkJqg84xbsSwN6ZLRcZ5Mp/17OYa7TFzbBXFvP47ZgZ9asLCo g38gOJs7rwkyJNNYw8Tl2qYzRCP5QAMdwobL+bVCBrt2dqKwWqjdkw0q35Dk4GnVSWZWovweAbrL qbWszr0c/adewrbWV70hdlABBnKPgPyxrcTIqlERhcjNKs1cu39m2fQMAxRhHc5S3oRpupmI6yJ5 CtunwNHqmRiNVXLMz0/FltRFw8iw7aMMEY9qYMD9rByWrhBz5Al2T6OM/tXwG3S29cX1mgphk0j4 OdKzZI/xOjHMVhhxveldvQztrDfC2DQvtGVv5TpgLLGe07o1AmxDMmaG2yRNUKcBOM4LK5Ofipbh U3nJjzO8bO2dfXMSGFsxRucPv35tDYkkz2VS+eRDgoVxpOtAK/fBt+U3qEPuBHlUVdbo+0nF8xvQ a9tH6LMqxZl4U5kK93qRWt1f8+Z+y7MVBH6rTyeQJfQexYfIldRhF0Fe/EpIVmE9FsFryR/gqgBu bJdX+gc188usD1k3640/3vEPg8nD817n3Q5t3v70983CXbeNpY3a18RRhF/AdwRXzqXWP4GG2tMi 2redpQO1jakEWKEN8G60fZDg28ZZ7yY06QEtXSlSSuu1r2/qurKWYPZipQ6TNTii0hGUM7YG39S/ RGd4OK315F9JCpqcQyNQT/O6h0wBOQMvAHs7GQ2Uqd7cFZ6fHSsT5Orgg2htBl5W729xddiY0tzd mp0G7V/zIKiDgUbfqJRuDQ1SntLPtxcS+rjWZ6QQwF+kLzY/Jr70bSupeXoEO68bJUZxFdPk8ldM SstGUeBm+3p+YIijTvM5p6qpaeKajxubKJ8F4l7KWf4bpFRj4RTbpaA5UFIQGtrvpfrE9ggDsukg T/EOTcEQSJVfBF3gQzNZ977KJvpnYHLdkKalPPIyCLv6+T1IAKT1ga2CZW/JlbvlWt+auhJxD5bB BurN5yF2u+HM48LJuHdohCzuNyinVAVDctFs1NWFSvJGpnDRdO9kmNrIqAchjHQlEI3AJA40on0f 0NQ31zo+jC50naepxZMLd7lG86QUZekMUk7I412ZEgqlSAX1TxFo1EwRljPjlAKOBBIysWq/MGrE fBLD5vl+cenNvS4R/apaZpUPSfmEBwYGv8LubMrC1KPrX5VXNufSJRXRsqqg6rU1KN6IM/LtL4ea ziQ0Qm2dNUTHUGyjWFj5TfcbJuV++sSfai3ZFlXYuN82qFb2Hh8h1zhFf/UzpgtTmdu02nAlw1Sx WD0d+KRII0lQhFN87bkEDLmRcDC4Q4Jp2gMsoq8fMJcBJry8jXmgEJvQwoBSo7ECFaivF5P11psS iL7uBkDDtG8sraeaH9eWxzmRq4vkSeaoqMhafdD5nFmXnfuy12Fo/qgsJZgVn9pYL/GT4/+l8aEI xMsIAxpLi33b2ABVoU5O12PrwTiAmxCPVl6pqHfj65O0zZRkG66pm7Y67Ghm1KW2WwPIri5kfghl GtzobXd+nQdTCkKHAkSFxsFyUdU9iYSD6H6wM878LahQdJ/nVYSQXUeAbT6yx7McDdQkxj4tMxM3 4xmAOvS3v/tlD4Td083R6I49rqHAetLWjxhKCrznxKbotfsUt2uXzRqyHA33iKeYoZsOgPZhi9jw 21VW6yz8L7fnf+ZCV9vDhhQG1c6CqU5MFLJTJi1ECV+Bu+m2XFJ4IWKRQCwTzlbRX3/FBVxowStF /Wu1gJYoYP7nc4v6jww6gQzrQm8gNsrZkvAxCxvIi8rXDKaxe8U8a7mInB3Vci8J8GenY8g6RMIJ NYUBguZvc8Bpj0gzv6WltUrGDK9GHZ31mAUvAmdh+CLQVkLzms5evftgZ4UeWn3fe6/RflJdlX2y LsUv9iuVRSszQNfKvDyKD+q3YWHqnkoYG8hl/O3ZHuzbmm+8AWuBCOaRtYqqEQpKJP4rwaK28jyG vmydT8XeVfDclbVlEFyvujL2PLFCnIyY6C4OyX2KfUsXKeh2SMvi/KkZcteu/QNN0dUMORHQrghO z0dXLiTkJ+ITQFVKpVMbtLsPOhIaEYkhzWjRbkkx3p0tqIlnZhmnaLYhUlnPgI5Y4RAoBSe2gEIj ZD5zSRCu7iVgyST/YixWCbbGLaue0anEgMnA1y+BabnHMM2bPG+QErc9OTNvqe8dpm0WdA1q9lBn bMS72spi4Lv/mYRJxWyVS8RPuWdamSPAPHI9ccpr17zU+QCpYHPvwFEA4BiibhFbaK0LWho5Oplw EDdWiYFRwt+f7nkeScUurf28U9SkWpdUGKZr+Zua+XO3miTEriXzUV1sBeDFukdsDj7Eftv8RHO3 HaT07qVYyZu8KGoD16HxE+WvoOBOR4jCMvPdh53KWTUkVxI3MwCIoTmmTgxEqDnR7MvxH71+cy7l Vt9ndxPR+TRxba92vVkav4AyXrty0Ra2yBbZjH/9xEphWR9VUm3tYbgIjnhtPEN2TOhDpDj6jO/W JvM7K+cDP3l3xpjYM1aEY+oIjLPxuyY1AYKs2S1eugd6nJ9NYPKfTfJorbwHuy5fHOzrjLMxskJY G3RZbdVyDX/thbXEYIUJMYC6ktc2kZ/Md+Jh0CYHgPEHx+3YnDmbEy6elXD3lDv8C8jkLBqN470u nLLfblBj2SlGDcF97RXkqV3D43u4pRVIsl312dkKQJE7BPFMgkCGXQW9dieFw6MjcCypc/eN8Ifr n8yNUb9y0DuGhts310xVFinWMrorazIzoKUurTo5u01UFZ2l8ZDM05DL/DxcfN7ojrIVkweJvms9 nolzRxGBjZj6z1BCQpWu2rAw5kjLXD39EhUSDdwwVNIe1ztagABK+cOrdAyDXsmNOH2kc4FmfeLJ KnOFjSFfOWmRy1n6JUcD52bqCk1JHdGyRfYIKHsFMo17muJZJC053opefdaiOGiepUZDKTV4Z2hj mkYw5ggH8zG98L6t3QB14aaZ7c5pGCUNN7uCiuLBwfpW+fgck4K9CU9QMVEPiSGPKkC2qs582fP3 JvHE30N3LDKEl9dHgwmqxsfpwMR0hhObE5PedHrqYTq//T/SRIcDtCHFVhFHiRT99uY7RBemyq25 puE2XH9M+5kiqGDdCAlegBWDMe7Gs8L8rEMtoUR0m+zsAEMLckbC1j1aAdkbyoRGeA1HRrVMJnKE ANfTHQcm14rp+BvJJti6RzCBesESI0cC7jbOKKrklRE755hfaySuKAMUO9NPpxbiphk3pJvj+Ey3 jEh830qfv99AZgvI6tGiH8pMns8LEnhGsGvpF5sOJLQqfonxAeTix3JaYn5ztDh5JMcEI34Fg59S M4cisLQc2np77+ztJx+m5bpZL55/EnvmhyoRa/OdZ7mK6tuwRx6dsKIzCVz3SPcT6Mt3x+SPryTO lrsU721KSJkfJn4PjgDJ3MxL61vDS4JnpLnNHr/ALe5o29chZh3mFUfovjLNg+ehUM+443iR5yl2 8mUOJAts0FNaEKAXLUVoLex4XNlXOeBUHoZfTkdqxFMi5HMY8quyiURde3RGDmkWQhfWjiAKLHDH RPk7hlODJSKmwbj3BFYPKmm6joYbmIhergczosVhFeDvY+s4s1cROm30uVweSmO8sQD2/LtTccwh LNPf8bJw1b4af+ZuBizUw/F7g23dvpU4VQkU04yJr4NIEp6bSDMUhTA+Ww9140GA7IN3IpPwtW49 4Od4wc4UVxNG3PBPrwGGggpg9HKSZz+kD1sDRmVO6VM2y9PGjX9+0i9pbt1C8ioOi4WUwR+i8EVS G2xUzMkOE72DlepM9kt2Acih8f4p03aTNlEacvDpJkZie//DRcFaG/rkYHE+DF4Pp9zhbC8Lh4KZ JD7DZyGha/ptQtHw92ZnoCmKd5pyny+IqlilAHoIWqooJGaHJjyJ7mwm0vMbKZ6Lzm2/I1pMCDHa dPS+dttuncI3JkHp41T/5x+5/ujU7F7E803loDTVeRLNIFgFS0w4H1UTsqSovqJkfWRWbPBrp4Mk CFTIweFvzcqwF0fveZ3qUff0u8a3iQBUtJL9UFxTezrIjUzWa9HGVYcudw66RnNiSaTFyI3WJyaW Ic4zSoPxIeTC0QLGspXCrGaHupxSEnPX+NK1vUKSJ+/B2QXGrWrHEb4gBEU4oZChyzO6HV8ieyWJ MTv+ZxeUNjD9jutRh9prOueVpTBRM0/GaK1+m3sHNW2vgHk2iaOh1l7qT9mIe6ksbK7t7vNM3HYm pR9zYZB9qtuG9RziEGBA8UOBhacWwAs69+CQhmHTr/+M2EY8lcxE1E0TNGFRyQfyYsSNZ8WDkUBy 42PLd8a8YyLLKd6JofcSaYaK0KZ4upZJ2BbwycgEcb+RAtrzm51iidwcDbtaIi6+4u8TIPPeIbbS QDTrf+wQMAD1BaCi3xZrAebiHvhwFGC5hpjCOETvs9/Dh2Z4CnEa59hDiApWf0NuempIGc+HYW5Y q/2EmQBr+qCiuNqWWwdJBMYzFN++eSv6V/lnDWPF0hdXYSb5hFJPM2OJo9JvAMvr8GFpahVzQxSh Q8IyrC1gBrSqkqAKtCKK8Nd/1OvUlXijv/0TJHYqn9K/OuJAP4ZHfwl1tFrjnZ5gAtOYtgu9SK06 cpfqqJMn2pLzlr9pdQFFdq6fqbfaAhLdvt+jP3H/NI+/b09r0OXAN6zdNId+SMZUx98eMVIp06Xz iWcN1GVrhxZNMLQyhYSQirism0mfi7Zh7vF3sDYZGD+I7LQu9oRDD94zZtZJABD1ena/ImBkydRK Z2zhxaKYak9FX3xBombRo2MTq/fX2isitK8oT3cv/iCFKsrENgYIoahBuB+rt6heISn6oXKHBqPh v40fG/wlTyVApryhGX0wdujrGsP1n78ktrewRSy09pjH5/B9WTLrsqo/pBog7WkCWDUeC3RqDLNf 9tOfDJks01NtxPovzJ/8CgWoXH/TpABJPYNarhHx/vyj1aJn12pT/mrupr0PKBAC4jbhsv+MmXKH QgKLQET/C3NyjbtYKna0e9hg+fQecIAyrLtAvT8A7mOj0pDJ3YHqHLIiWkkFPx1vB3FafozM4AZI IJ4Y9Bm7ygyURAsX/ARkdjo67OFke+l5Dog8OZFCRfhTz8jxbgcnMfEdBjizIwqkP+/VYpFAC0AH DMHkLTq3f4/rw2laoxF2u8qYNgzx0aIf53JXCGFj9dUuuHVZc++Uoh4WpexYrensBoOz89SbI8m+ zUsLs4bnPD6QJSqz5TsAjApnfpjWNTwHiKOrT0OR/VowRAtrcm4ojIOt4OGRGnYcCa4tMjuJtlsS CXcltkyYNfPZlEarrbM6MWmyDICqgLifkcX0yojzjwiVBc5ggSf7A2AbqDaHdJAnHnKvXlbA2hO0 pAXf17G+0twL7A7tTFcvRhy8ex+4Ob9FLG2HlplXP2Cbm7ZstuPhD9wmPlTHteDOwxEXOz6SsyRT QGexchdW/YmM77fBttyBAdRZE0nv2WWX5VxOa0BiM38THDePX8SClj6aa9pRDidZcoov21gIPdM1 lS5RsGey3OfAW+coaSPxgiy71UQQD6uDbJSlQaqX5csmyO59+KxfUglAZkpT0ELK0gySQgb7aoAI CdcdLTP4162nSVRRYGoIDTtMfwAGXheLS4OEBg+n3n7H1vTbGcTwwCkh4A67bssm0ra4Ok3f9XLE e/NpmAxrUb6D5J7XVi7tgX0ZIit07g+mQuIJ/r0MRTbFAkEV0f2Potb82oCt2ySc9ayvfvVJpUwo O5mjjxcw3uYZ20xmGNxc0w4ba54FMSaxFpZD2nqEtY4rjyaXEU/d331F/uzQ7qv7EOLoaD1f3pTz OT+0q6EdGomJiJn2W+QmFhS+IEXwg27BJ2JA7rZS6skfzijF9IqdBgbZqxXid5QGUt0Rl4UcRZ4z 3Ui+xUcS1WUqTeckFuoR0UwjttVfaiFNpg87I/hNCBomaqnXrhiEAk+JlK8dQFbydjN1hLUJVX83 f/s8gsO/XiCFbuBX0zMGuaVaApYjIqsAP34DE1VlyMZRYqpj1fS73pvsHbM+M+Hgk6VQL/4ptlxO Rl4VtpbABYB4qpRNhgwIoKOH6JJDQv5cnuuMcs1ld4KMXPXegTuRyptWplkngzls/vZ4mwnvTkgz ZKC4HY9ynM26qP+AkIlIGyDqO+wEWRPI3NHsXTsANYRCd1tvzD0J0E//cKIoazo9A5LIaiiqV/4i XzxKFqL6lv6mZJYQO09KmrTwGbfp0YEQhuspWH/TMi3tW6WiaNYLXlR9kwNfwqUwthwAjGtziFur Xm9mVtR6Pd9hQmRIPyz8IGJe1o1VyRgT4z/ScvkfG9Yol0IgLbHdYCbk1SF/2KQRq5wZOKO9xZ5m zG2DHuiChmlTXm+Swzt6SBgjRL5QgPJAuMaJ/A13+YcdB/8YNJDVU0Ja6sPFq1qyKYp2T09P3+nv enpNcdC4NhyCFpXOVANhg25I1ksxqs468ZSn2qiM4miBc6X09OcY3fkmBGBnOMjno/RAxCdvmfc7 5Ry23GfJFXojR7iE0oEeqYc38lY0WYLiKJEtVSRruDL3wL60/RsOt/ZkX58wdCaDVPQDkxE1w1pE EuLEfgiwP0gGj4fWhHheGrOUdMUxXkByysR/VpHzXtT2yOW6xi6fudOsT/nW1Cd0CopdXfNz2SVP LjgRhESTp+E3ue3Vt/AOHb6CBZwGKG1nD4xPuIg0+/E832V/yXH1KftJzenK6tIj/ADrmrjb7dBO QY7WJ/PT5bNdk1rYVuLPbf//Rm+s0Qda3gcTt58hPY21WGMcosbrxD5aQcieLUPxXO0jnFoc4QJ1 mtGO8sQfX/Ne50Rlyj6uK+IwpT7vn1sBMaoEfVMuq7hAyq/9Icg3y4zjJmd8sSswHM5nLuYOvDCo x4eXTfBTCyCXZksnKMg9eHO7O3JyLgRIxZmsTVMqTX+YPnXWLUysQ9Nl7XOk2/SGwtM32jaHbagJ Y05JMeAxx9QJR08JLpriN5ui2qXmBmhyF2aHDCQFscStkkv4sZemsIeqCWji8l7TKP8KMzN6oLeA GhCSBwsQgSFX2xf/yPeZ9rS5ETnHIsFJzyP94ZQqK2DfmQUSUpIiOGyFPR/jbEf8gdMfvZZCEgpl er5x/5W2dVEVR2tuPW4w9EYiDaNd63rTLpwk+yKfFjDSMK7WPCT2yUrUBlS5+++0vsnLfBLFn3Hl YS7M+aC0YMjReIF0TMqrfN08En7ApQZaZdBvhgsOVdLMaGnovoNXsbXhffkOKllFpn/LIxu5oLpA zexGHrQ5jvqkA7twEcxcGy+g0705mtAhwm7TOW7tIYow3lXJUtYx2bAE89RPLSKysK1Fyh+Spo4G a/TcSZxL27XMoyIGPvrdDkNknPg76zdLcJYKZuCjY9+jLEFnRB8A+GqM0ZIwuueyDJFFVjSD7lal BHyjxT/w/9YF2fniIL9E2J91QPRzaN7yHODSxI5zC4XRRAQkJFz/hHORPB+sszRIyLPY6uENEmW3 OsRgi+O5Rpky8FEUhGXDyImAFY6j/lxZhugn27nD/mQICw+h4wIDFCvxDyGO/Lc4XfYCDjb9ab8z ez/9ppA0d5NCpBnriM302WEaW5XKB5whaE2IK8kX3O08Dv+Xmt9AilkwDzSHXYXk+WU/JqstISSa cGwBLHU/gGpCv/wJNxR17OiHR25Qr4GwC++/FqDg6OapK1WWB4Mq3nBAzxVhOeZtMvgEAMuL+lJQ Yc62AzHvvZLA8iyq5+xNVZeg5YSo9y93mn44HzxZkQEGOFFeX+VX10M+0AZYKngaWYmtbCjaZOah VCalaXPp+uy9JZkSqVjhwPOlz3eeoQgzraZ9zhvWAzGfhDrE/Myg6zSBqCk9sp8/2cZiZef3kejt wmLsFO34V0TthBes4+F3AY68kaSZkQt6+6NQHs27ZnXnZPpqBP0l/ut02ROYDRYraUZVDYM72Zba IYGh3qQHdkKKqyNCdB9HaBTLpWCFHSeHUkjlTotskiy16qF9tPDmtBl9NM3dgfpgv1QKFHqoMvYq 6BybuCR/FxTfm4Xb7oAoGW/iqJz5r8Ftf7cpBaZiXgkSsoFLIHGB2g7uq0nxVqEFPVvDVYhroE8l AtwJ5QW8cS5zZpHkSSsq4ToDNUhiaAf5S+8cwysYj7WpOtUoW/WbcEga9GuraZKetHyQzi+dsa/s /FGwn9dOTdlVOUiJRZOu7MO/sA6sgQfAgDGJm5omJW5ZyoqczBQJGqiu95h4+8AogPVygN0aJ9Xv hbsnpR2dCF9zOf2lK+JpmbVwHuKAMLr9pskWPkmwuMAVAs4cS3pOV2xZDz62MdJY1nHw7FG8TR5t FIHV40VkzcrVj4wdAkZFh8DreCy5l4+CEsrZ5MciaD6yCB/kJJdpJM7TcFItTRxPdCTxM6lNSZVO Gq+7/Iwd65wYow81k91vYBbkhPi7r/wNRtJZ9GKtNF8Y/UmaX7WpL3mZRyGoi97cbodbz/F3WV3g pRTQpcVhqc9XvfFKyiPN390SkQTj37Hd1Y+cmrcjDeXOHI0g3JrnyvLy3erEkXUf/ABhCOl5wMLI XXcRjZ9KBuFifXcoPJ4rXhty/ET2YMaAbT870pG55nsnMcQnkt61xdnrikjCL0RnDE021tslFoyc ZBol2vmiXT52E7+e6wlk24tNlvX+AQz6UCLNutHY3TN0LBzhvYTYE/nCLMzzHjVljpQCA3sX7c8Y 8pifQvTEXq6oCMPyLDc7jqTTh2cH80eeyq6dOiFZwvCG1lZlw+GGuai+F0T/hPqdUITUTfJO90fa T4dsQj79AhAOBAjdcXF9QhlGOlvxcY6n3FLFN5eyNTIfKLWLdzeeyy0NFix9Z2wZbzquXHbFcumu CEFGGiMtdfMWsbop+bicV5Of48ycDM2mfhPksjKeA7KXjffDuvoC9wgmnkbpuHdoYDxpNW2Ajfax XJ5xhygR7AJKf/GWwLaOlB9AOPHQXZ607qpD9813jNVsdbvw1UYw6PC6fWsWxO4kWPlG1YCi2k6z 8za6epavY+BoqWm8zp9jKCG0+WU0AR6xPtfTwyN9L9vnc9maXdW5yAA9e+XX/Jnrq/PpcKipRgH5 dlsT4UlKWJ8V/+unWNJudkENPeif8cwRuw6xfSaMurkJxqcr0Ax9/YdzGb00qjdAjQK063fyAH1f wsirgo2Iqj7pu1qsMPzIX/L3BT40hGYA5KqbUw+mBDmUQ+z5GqvSpID1R0MA5xJFzMbXE+92z5Ar +IyoWFAH/AVBWXxMrRa5WiT/NEJKzNZ3fqvbG7YxtdTYG5O4RXWY7fkd98Q8K4l4d+/ESo0URrGk ptvcRl6rNOPeSBP5prukKqhOGzZlO/y4nx2ucpdvbQo7NNLTXD75YrhCm38lX50V6L/sXUvq8Q7W PW+wVQM+26ltcEEw1vEczQFyZluc+eWNoD/mkn3XpEvF+DCgT/WEbFIHcBs0xarclMi4A0NqOtwz 4nqjVEq7tWeFWOucJRokszcCcv1Xn4hQ9B2fuOuKssWe4Gom5e/5BmgilPCgGL+QOwlrQawxgPUi 7G79E5eYN+seNLn7SQ/iL30KvL4KmUVxt+teRumvT2SqB0bVyrzs9IvzCLEAUhO1iOZ4h9+WbegD SgsoL2p8Jl6kTfBETlQ3DTrEz2CEY6gTHFijyEqX7AVnWLCDIPU6ZJVNETXzHucbrnw0HAyhYQps 9UC2Vzz9PCQWQZsForX51aMa/luFIl/2eKQbMMivsMV7/4hTCU0JRC/D8AxxFWqlngTvQY6c7xQO nzSUWidiZiReDvfdRgRBJKxbnSsF+r2t17m0tjb2w1iOn9bIxBwlOZ7UtcCv0NKNtiVqFmMqRcHn u0wqw5D0sCkZXzxgBQLFmMoJwXGfGU4+yukRteKvORRZxHpHw1BeIQ+7a4rS52rfO7cxrzc9GgzV HJzumqnBsQHBOWGL4cqn99tCvVL0Gc25232cD2Igg1W+8feYZZHDFFtayUfsn7Igh8tnHuKSLBDv mVwWhUerSTi2+wB2r6y/V8t0RvG4qtQxMY7VtxlhngZubml4/jJp5CHdd/33c3sN+vRKREIYUz/X ZOHS6FtnFLXg/v4sOcBquWCbKMHdFrDrN9eMDDS16rgKSpIp+PaTfi589UCIDx1umheO7rkxnSU0 k0k+AXjrabxnRykDOvc7yTr559cM1lnXInsHWGnx6+OknnrTPRrueccrCMzgf3F4KA6vB342cQR/ IMO6ub2AcGOxOZqvy53H+JW3Boq3XOQpBDwwLWgz4Tz/Uw1vr89ql3SJDOhOSu6xS9YZUTDQsgu0 GxvNLoIBhOqT8cgWU+8ODE/xhFRmsd+ESfD0vADIUQHQVNAM+8IzWSmkQiwMzmXIPq1L1iztkwLk kHxHrBD1Xv6yBcb0VxC4EU5xn9FYrO7hV7UsIBvcV6o1ct41z7JS768HPQsHEE020BgH4XYGsrvu +FyuQ9LJzpkoPCpyNaOhcmbac0qEwyXS11j1Imd+2tjz7kBkGAfeV8LfzG1/SJ9pzX/GvMV22BJh 1sA68b338tZBVb+90PwnaK5qmrEsDJ3uF8ZhBFEh9BVmGPabFnijdxM1XhFOEdn0fV3gR4t8Jok2 xJsGMduWvoXFgYxr22sLpXkZ/h7/+I4h5fFaJp6TeH0jB9AeGlOVTq2NU4aWqU7jb4UiPsKM4R5R 7qDrK3MyT09co6itNbVIbFoHX5zozTgV3KNjC4YhdKuKyDY/K0C2BWE44DKFXTv5rDEqyKNehcJY C4sZC2cmr8Pk7kpHtUO9K816BN69K7TJRqGFutVBp0bfS3qsTFAhwO4XvHrCmebzqrTe9UxJThqT W6LOekghrPl1tTEjgDUHtgUXsXslcI0IplVHqdFPc4p283yMB7BQ3MlKoT7uqWGjnuH+Qx2XcgIB YsRsu9jjBoWFTwx1ZMFFVFiNNtgLzlUvDHFNnCl1vsEMoqqVaEAZTzhLz0x7UxWVUfbrmAxtpJuI BH0oqEyTSNd8Xs11KfWNSPHOmw4b6NmqzY4cpvDPOeRHX27VAXC16CyHEMMSkFPKWNP2bn4wux5r blQfkczX3PV+GftyPY7RGseGxi9MN9W1mA7yxS3qUTFM607vJhYyH8t1mACf25Wzixxur1E8OyhW KCs1gb0y586sxkdZbiG4ia+EjGf56WZfwTFU5KF96JAxDKQ4T35Aqp08QMdDdIG+Gby9Vdyf/Mat 7Y+KXSEmkDdA/HjWPeRhcphuY1jvq1y2Ng4dQaclmLvbqwByqJkbsAQCCoqFEjWKJ0hYzGagSnRL DPx3AP1scppdbYDuRoWstfnvfYWvHgQIsUfqZiWrgoDYiE/obsFUmETkgclfLaLoPlmYjV6aQYPR oqrPHnGE+agpZgm0mobnr7VJb6r/pTVdm8vZpHSjHO+WvrdqC/6t6+e2UDeGqk8ykFuUiYbIvgsg CHqi4OGaBbmLvBnqrnra41jFgLW+YNM7jnXuz85CKso5QJ/a/MWEtsPlSj4815Y/xRGKFGEmUcp/ 8llchgQPMh9IGxTiUOjLl4uMz90z/RfXKwmvsdKmIQzwHTlotcKzkgM+00FtTGKwhES2ZIdEL9qJ pqlJv2/xXoFOGBFtIwoVlc46WNFi37l8l/+bndnfEVWOEecbbf08RjtqiQUydYU96pw51NvgcG+Q o5nGnKB5m29ab8EKGAt5FeGiZ0Ma4bfeCE1xFdC9Uw+/6BrifXLrtp6M5C1ITapYoEWK0UR3Qx4b BJsM5fKzeG2QZiP+f1IeHWzYZIG1oPm3iipFQqf6r3PsvMJxzlecPhS0z67glN16HMiA3l2DtCm1 l76FpcEzIXBquNHN9dIPgg4B+nZ/RYn49ORADX/mrlXo4F8rW1kQYqITjuaTXaPDzCZXy4ULpK7x qCTPn5WgXlyqogRBb7Mb/VVU3B7/9nLuMqjWxNyYJ6Qeldl2xZF5TsJiR88Z94r1Hr8OhW18P4Mj vuvIrKc6pi19ByUGNA7/NgLR23Z6K3NGo32Jq6i3htoOqGaVjXjA4VJ9E3hfWHfbIUdzY4Xs30qs IFIAwjqoDf1QPH8/grTOgQ3IlgWREo8nb8eV3+xUv4BbymdfK2MRaOuRt4joo/Gad2W1JwJTnM0w CO66Cz+SRObRNjzlLzb/Vjnz2DyXXU7tsKH/CHWtikBtiIfgUoec84bfRFJE1VZOs78JOlIzj1U0 +9fmi/UjdeOOLT9T4nWpAlH4w9ECUe1PnEFTMLOA2zvVUMitz/CfoNNqCKxM7+n5AJlmO35Z+RU1 gF4qi1JnuXvpt2XaXi5cr59iKWLNOSuy4aTucPwXKzmJrVT3uMY52phg81pmMUq3U9kSkQ0KSDI3 av7TLFucLOchnIC/cTz41YNl+uqg2kJN8yJ/AvUW9lqdPtJfHaXcuwjSH+3uXFX+YPtKxTmOYiI7 H9e5XqXhW+rRksxNpkeIXOcBw29P8P9pCgomfrl5ZFbWnUXU7+9vmMjk+pKNCySRGwVr6CtxbdXR zTttcJ2v+e/BBarY3dzI6DGpgsGrJd1pgfyG6X7fk3CV0lC6GvTUeFOpB9voqfyijVX8HkZXNzlC e2ZdOJ7Tsu+LwM/to9nPBQ75Fu8azSqckfpzaLkoBAwVRxu9x1BVu4VMkUPkTnJoBxoF6FtCEIyp 0Od20o+SiWTtKHUfb0ZbNP6PbeiqM0sjbki5zDT8KvB9BEr2FnLx6DDB6E744EJTl61Fx8TIyZA2 28fOKCwcpPV2OTYFur5cYuGldW3DIivYLOnW+1YUkxvsjopQ7vSvY4SUMy/N9TxUNog/yggV2WKq cNnWusAoJC/IY58jFLaLd5bCi7wpLo6MzMZYQmzHuDamRYNJ8xiectotB4Sb+m5bcqitru9IJc/Y 07Y2v8vPuXt6WrMXRnCADQvSes2Aoa1qPWZbQB5pD+QMR14jIT5pXVGpQS8OkU9Lt0WdNDYa+Trc OwPsqp5EWQhh0JYvMaYdrv7kthk58TKmsJ7up40DeTnvOpDMEoGVkGul71/ItfBkue046tJZN3EL wwcpWiENoDlQbyzqIpd+9nq3S7XntkV0rb/3un2nbu214RSYhU67mwH5FjC+43uuzpA8UntHxbyg sZN9q9xf6w3ydxBdtWoKQ137mgr30gSmcpVyuf+GUjZILRviqWuotrX6TV1yMM9QgyN8L/D/YFLV ryEqVBiXKya1slAjPBKfu4tTlawhb5mygq/DtDLuXrlWpjB6OmkMPQXqzfrmHSSJ32T8gkhCR72P rqUPAE2TyNvpLVLnIuDv/29GqHY1qqxXy1eJP5HmskfjznYQZJzv0p5sh7SsRCTgyFIt+15yyrgX pmtw0xRAHQQ09UZPFNo5hiEPvPayEkl6SHlDSkEEEmh5OOwXtbVFAYt5okoaZ3+eTEETPMinO+iL g3P9eNW1LeoAvH82biO0ooabo00ZsnBZtmoaa52Ghz4VVZDOz6wLyPkZPjsR9oVx5NJP8BNypLeu W6LchaYqIrT+IC+3VHRZBg9UEtYNp4Xt1pLsWvpou/7C+zs0oxJ2FlyqS1HpQtDJtNTAg5DUWoXP r9b7/pGBFkuFQwn9Nr3589oLuIlOJ0TR2KmvJp80Z1iXWjXxivxdGsbsAnNyGWn9U/9duBp+NPHG DAl9EEu7Onvi+pPzVlSxWGuYUTg6E5OHjFc3hLmxIIbwB722K39EsELs8INcmTMBuAnWNbj788x8 +4K9POLEc4zU8pzHAR8d2BIbbEjuKNyj/IoNd9gAjSLXYpYvPJQbc0wIVLslmocuVJp2BlhBvaD+ eM8t0LY6ZC0Er4UKGXQ4e3uhLbWdE6qrny0ho21FhPxEEVHmkvBfq4EuiZxFu5QxY8mAMIAci6HG b6IE9Saf82uuYdDlDhyeXBtf6Qx5GLzcU/6ImuIV2WmlJbY+pHGX6JGwokZlboj83RUIjsMf9YvH HvJ44Ou6oj/KfGRCjbeLQur7BLVoano5X0WvX6uJv+KiJ1XfIe6wiRDrFV3lPE9kHb2PznXLPNZ8 Wesn/jRc9VTAw/lsShECP76swhGTPpw/e2Zx4XdESGZnhXVwvvuRugskqbKVBILjxsFRypYLN1HB psv8NmS8K6yX43da7HSGnM7LD2EiCQ4eySkqhJm4mMVjeHNa/LjVcQps6pyl0P2QamsQarp3tfom ztCn7BQXx/o0qpwCBcT9Z+A5b2S8DZMf4w00JadMH9ZyCvibZAp1XnBVVMG4S0fFyY4heiOEbb5V o1NOkxJJP/H5QY8pE5jE9xYyST/9IPCMIrCO7QL3YlF5SizjAmJqc4YMM4SgIWOrdsmLxzLVFXl0 EWbzwKGhLqcAzvZvhFoXyCS3YEgLbJ5uhkb351NPD01r9Ax40ycPf+tSOkZOxu31PlKRbGMJzPlR YfBXvi8SQA9xEiNyq6Qo7kCLaC/oteoH1jJlFJmrEUW+pU5hSBDJudJoVTVEft3OnHUfKPJGsC6E 1u6NQCzRez4AnQBFtfsgHFd4/YzR44Q9GrFuLDc1z6oUpy4krZ0o5xB1k7a7WwObqCOmdP1KKJ4s HYgY6jwcnuFYUTM/Mxg0HSW3P3rpkkxPsSsVVgORjySTh6zGcLFaFuM1TbbEpjlOY1JrmzR8wsTB GSag3x4DBgrKuOmHe5snMuXWdoqx0ZtMR5bg5SUA/DX47su9lXV2ZVltDrt8hgleGTh2CQj3KSvA Lv6E9qyXVl93kdzQ/MzIDj07jW5A6w+iV7wvBESGnNrbjhFFAdb7PzTIFxkQ7IDD+TZcDP79wlJq iu6wd6CuVKI53RqWlQo/ZW/s1ZjTd1wvCIpwt5qFqGgI1yygudQjG5Pf8YzB5ZTIgeNIa+v/sR1w 3I5SGuc+sSnsOpXjDYhqCJ2TGfC5J3IbCCKPmjTiPQG4ZPRoVYz7ZMSVbIuv8gGcdBa5DE2fWsRl DJpLmIwIvi7eqLUxVZMKT9mrKSwSr2nTJBJG3EJvhDfO6GEyW1Dfek5yvKV6vATTGLJxFbnURJ0J AB+bGMDlWN5xoDcvXZ1ybAVkCtDMq1FpQcHhcU53YKLAkakgmWASTfilZ+LuXiX2YRdvaKhwuQXV ckxoUv9FKnM0nZ7s55onzAU7NyzkkYCShWNWByQTiuGpyPWzKC7Kn6xfzP3nyPziK6MXyshKVQLv Xk1n+LftKopUwRnIa9v3IfNsnWkZV4ZaSvKvXi1TtAzZJIPscVf3laV174EVAYeyw6vQuUcWxZXy WdXuAVAB6js+ET5TJWdJZr+Sd2fAMsACzgJkmsv0s0Alnr7arqTvNhNQsbASOPSR45Y1lJSLlyNU 0H9lvThI4imL5V0OTRxQsv3qJB4YBME/M7aMo6xIebhHMyEdG7eCxmjNGRdhlLztx2X60rzhhF+H ct77Z6owZO5fDoYcD7xGlQq4tWzex45ifDqKI9l+AMVvZZPYk8stxNDD/sO+WJkjkLyIy6liyOzZ A0gY0CDHTgDnlH3MYtkkhga0Z9GEFVlwmUplw5TsKhYqXfSUn8c4Df+7HoDyonwrO5GQL6i+1Aso eAjEUy7ihxfcvY1AGFC613syodyAYHfD09/UJ9NAJ3oY0lfhNACqIxnV7TKc1SbbPWVs412kCwDM gdkjBicK98sJ8sSrc9psOTf81ZqqTUcl6D0yi8wvG2Vyk4fanQG6bdSW4MQPEvbtELswAW5bKIQp RLOBKJ/XPdQW57uGnK9JGXME/3LciDZJ27IUVo6hRqHcTc4RghJTX4ylB+htrzZu+OCei+669Buo 1T7hX3Ojp+EvexlK39hOZVXQfhJXnVhG7VluzA9GrtLxYWqmqw5gAgFBKPgBTYpJSIcw6ABmmxFE HJAd9zHDyPuVX1h4KZVkC+3pWuVOZDDRJ+V0dLDRecSXgMJQfkleXKHZzXD0/yZPerlxqY6pEWXX y9JLdiNXjdusqnUGwjrNH2mqbEhMQ9SxjCm9vE3wt+CWRVdI8hazj6mCMNxbCV9qlzKUksvPGzdL oVht36Mpq8ICib46dsYftcdi2UgevV+SnP72rK2elfSUdu1Z/JgQQVidE1NhHLE2jsgYZ37sMJOM doc7H6luEFCebYQWRilLE5cdolyAQa1fYAVUZTIkMKivQiN5FGvHs1nMAgdamglhiRYN+A6+D9Uh 9HUaQHIJb8S1EgHubiMLEKbXrjl698l4DtMBhxF+TVHruVGlUItDHdktPRQs9e1EiEpRYzfFtko1 x0/uBQtvj81w0edc3rreUO0kr24AGllyk8miCL3gGH8mtJxWjK58txQCieLBhGXu5U7D8jO9H5cJ V7QaogScTlGGdzbNoX30hN3elz8Ofkji9iIU9F+d/6axYG+Y4U2ujYVY8TLRi+veygk4gwx4/ixz vXZmXfQ9T7FJVtiMHNVCBDuRwSK3o8NtEAlFCgEDTHXywNgYPVu5mwS17jQfEYqY/5oU9U5/lQHa iY6d71+4DQ5ZoZUafq/EAuV005TAuF1MV4foA0lkXhBaUjooUlc1irr/TOavpCE/q+DYoDzSYb+Z tAJKHJqgJSY/V3Rgq7qQnJdxKDOgNBeipVZpPM0SI8wRWzBYL4EPSY9d8HRx46B2vvIBo/v6reoc 6IrHNUHvQiji5P/g3HTeFMIcxjvF2P3EfPJ+KDxIQUxYp6Pu7MTBTNAlJnLwoZafFXS0RRLWzlrh 9eHR6v0wxg2TLKuVmQp/uduWR0+5QooW6EVfcIyI9Fi7yWZZSG85K/G9ToK14Z/16MOaS0ZW4zQh bE7US2KJy6VV6v5S2rm4V4NS/Vccrr5vzodGdBPXLf1jD7BWrN56cPGJ+UVzKHF2ie200jgTYpue ze0o/JFvKEFQ8a+2sN82VerkNd1FnD2HUxDmzMJ8t9jlsqXthatIjT/F31jyIfmMo63Y3wl1Mk9W Iz6ozs0wPjqrXRXC1+uElA3lq0H6Ot7VMrsz3XlsewDHVj8xv0TfttZI7Zv2VK2OvUvlUce4AtgP 0T3eoXCXDj+DVvN0r4e7uUGsJHSA5U+jrHOK/xxCq/WKXoZjlZiemIpzX0WqN027R0pAnvZeu+YC rfE8Sn5i+mDzM5whTR9SarC2zlUKSP4z3qIEtDLkRfX1YXL/o45ewMoZ4r/Wn72Fi2n6na2V9Hom iqOcaUJgeaMwFWeorXq1vHLNslJexdDAyvdasYOc2QmiZXR9yM7nQI2NdQHO4Mkis6fK7GttB2Ij twgmv8sU8E7YwR/JvkVqsqzo5KXeByNHFtuZ2INB8XqjpBjqASkCnqzEEFB4hGP6OPlfNpUAKWnh hGHrIwTM2hsQdS4Nz7+artuUfauujvMZsHGk+jMQZko0mmvrbmSI9EHUJPhenPCSkhzSUNStL938 GKa9dROyRj4chjyUkqoS4YaHHkkwbiY5Aqnb369mZhGtlVQdXNLEZONNuy6151BfRD2dp2QpJzIb jvWKKRYvWbSukHbW9fzlEULeYWrjkB6T781v4eXajl54ENxpoO6D9VNTSYR49Iw/XKxb/4NWkUI1 Mkf/YSACwZ9xVxTNWJ4qUavK6TllOguHxdcbbxRpMsOhxuMOgN4JWvUd1rElcc5sb7U2UgMddNZz +ilHwmB3XPq1o3wruwCo+FUZZl3dpm3BLBzyHnw13/X+qRlC1FEegJZcp/K/G13T1UY5XqcYD3ny IhAlvj8LPNmmm0eNpQR19AvfXBhXfX8D/Vsg3SYmGq682blyveYgGXAu+sEJ60/0o/F7/P/brj6H eyNHdvk+EozSvSeLTrSIDUq8ExICthbMdAictZUxrL8lvbX0o665h/VqBkHc/9v2SWYbJYQmxcJh 2h00F3ynuoBS1iiBpljfNBjcPVpHNJCA955NDFoFFMN2/EFL2q8xL6SDh19zKHopg9TgkSnhpnJX Dida+hJrvBG98bmZTCdiUHbx02yG0bT+d/jjqTZJvdVLBRkuN6Jr4iY2BfcvCL5etuyW4OYN4ag3 eb3C4umFyJOJPJE+vUqbp5WrWNSU4KPN48X6fPJBrc4WfKXQu9+PTOze5v4RVfzpSJWGoa8+n04z lVBHMPHNAuEnzOvBMrkK2Wk0NE0kX+94qEXaKV3TzMuk5QS4IpAUK66+GF8KEhm5UQnl6dJp0L37 gYgKJeHWvGDKsmY3QQ/nEEybO/Inv/JeX4Zd26/vWlrK858U9zpnemeLB7+6NfTehMm+aLEksQEA wWlC3a3jk1iatuuCetR4UX1JFFa8YM8GVfSqhQc1zo8xjGoiZ9Hidhzx2cwhwC4Q09128RFdby1Q l5+HUST5G6nwx8idv6uClMfMSF/qGeMjSH5tjeoNhR/QUsf0J/18K8Ax6gkUQ5W6rOqQgt8wsrYK 34qi/qqffDbPeumAgy2xuNM4oM1eE1bqAL29rA4higxDrgeaF8BP95ZsOFyKp1cZmOdGRgVxFoA4 KBVTnoIuLHuB1+Xnu+VXYWI1QaYRfak35WAatk7uLmCwy0PQNyUo7k1gzmLHHKPXGSWkjSkfVVmC YVPr+m5DCg9mOusX3Gh+ztizr/6rJAQn8nTFLkY5GngnLeSl0nk76XF3QlLSRDpAurL4irHWd7cp Y2bqWwMCPupIQUTNaCGiWmm2GDnI4CK4fjNK04lh3qgmlujRmgIt4FOXSkVSIUnX5OhAuZpDqAum JPx39Gu1DmxrgX0UlOE9Z9669x+tyDEuSdK70QiTZEBeRUIj3LKnGI7gBCV79ea2U6kuFaMSLEPH ok/Fym2Hipm3UoTwGVSNbtAxD819YPOD98p7+FCBk+yNbskk4cnfRqcEmLjnox8s+E0Q9PFBNb4n 8XJfsBh58Y4WsDzOsV0UCYjBqifOjncgjdd5AKxdaFJCBvw+AEBJQ7febgzmK8z9I6xpaJG7yg+k DXzQiNWciNHM9WBy+g//ua1KayDNkPefw07qKItwuBqN2gglNiJ4pgCNuquA5vgYB5p5QRCUBb29 eeaucMj6ittYudJtFlGSDZs8J3hucYW92ChET8wa1miydMZqtbz6GQ8mZUR4eVmYjNb0PFh8AocR DAbTD1wO1ch5XazxWTQtfPXVKgtbLkzDXT1QAUxeHYOWvVnug3FAxyBTu6BpbbZB2181uj7rFNUm RwuscCzjhuYyd+EJ06Tp0TfbuO8UR1wga6P2UyzkuFgtTfOlD5ACXF4/I2a3LEha8lNGSxVOcEvI OpelMoSM+TqOoNL8IpHJrRGft+pv4AgMDLQBHEucYxZm1VsnVGLSRCCxPnk/eDjSCPiNlAJcgbfa Y/PWoP/lME6j8QrVsHAzATigXDOWxfj1clqYVPFROnSKG5LxLX3Wj/A1aJ9pO55qx5hh9gwzJBQK 9HgpxShIuqro8YIzNMg/Rx51DOERGKQHm3Pjs4MjbKoY/cdxc84tzEM0yCFl/oLmoOwcK8zm/TVf 2nVSczlOOltWS/THJVmlZRqFnuKIVLAV50AaPrQZ1O9ZcCFL37ghhxjbu1u4Nahr65QiHzvR15be TiG/hxpHdgVH+uZQNf0ueyGpcAQo4cyoGyfzjb6srzKYDe8zo9NZDCxERqLCze32l8+/zACA/iWQ Fvdh418Gle5Ob9/iJL0PH3dJtxlZOI8ex8Daz8InHldEH7UG3cu0IDVAobLhRKraRElwWiQuw6PP xjCMeNd7xLP7Y+QMwl+/1CPv5gY9RjyCztfqVJzgXpLGFAxkdvKmu8S+BxhMcMHSJJHNSsuTGOHi AEvRNdL1T4zWp8yztPi/PVWkOF6xTdNAemDY+K6MttqLhMYcIOW3V08iLhSnQg6n93g5R5SXyFMR yJtDwBTw57Nrd3OpPE4c57Y/gM0z6AUm1pCChaadys4Lw9wsglT9qqh8HBr+AXsjvbOIHXXyDEiR +tOsj0jSteyRfJu+ayfMecloK3wl14MEgw5zBrjM3E+QsHrm4FvovNpfrEhTF9VNN95GS+VfF+Ap NL+oynlfws8DpGFNPJR+t4GhofmA1XMe+w9/CNHrddDN/6zDl1sTi4T0WcoClnznNKbSs/1uWv86 d762crmM8EPCMsjBC5Q2WfCGjEb4nCc3QFge7CBcRB2wrgsE7lIzG/pet6MYJFSS2z8Y5UcaTUlL eLDB/sgxLyYu+KIdbWjWnhILpn/yB/eydhFnpoVxxAQsXlZuwdYnwG5RjfC6k8c8IVq4pKYoacbM pyHFgdRnmPuZlZfKSJ3Tb0WEvtir5slP+V95yZz6ezunCDyd7DYRHOYjhdIp5T4bOOZgWRGC/SmW CUyeYZ9XXFzzbkLTrckaWPNB1p4mNNqlR3QEFILyDKn0huCXlA43NjperMozAO58YdKGVYpqmZJJ YdC+NKkuVgJU5t1ZwGkPRxqD7+TBfPObaD6FVbrMCJmzcZHaccTE+zdmmC1ru6ugJf98D45IhXrN 20/iPq0yMfnqWRt2c8uyi9YwymqYy6nGO6ZtTEnR0YRWiV3c0M+V3oVhJnh3fjDDdEHrWbIsgNBb nSAsY11NStoV+wO6pevL4yZK5Bgr4ubLJE7TdvUOPDJ3MLKrzRybdMKfAVlWkwqGGD3qACzNRCb/ juRjrTvqXHpEpC9uqUAIkCysHDCSjFGi9LDU8O2yzfHAlNnCIIV7Ox1dJ/L6HBi2ZzvaJKn2U1og zlqrof1BVmI6++QG4eByPe2TQ4+euiQIkPldsOZ5622KxDp5Xv/9mrRnmpgH1Jp0ArbHLUYmCUPl 3V1UNxmoC8U+6GOfDwzDo36GpC8buaD1G7QUT/Tk907raosOPANdRYOe2T3bMJU2GfkbQMyfSYe0 /pa1BmcpHYSDLDdJIUmre6Kbr3D/50DNYbujMQL98kNNmgGrivn4T2Aefl0lcBzgKCQhuUOVm1Qy +vHaXc9StrjmwAW5qMWvX6h+ECKN9As+pWiAf/WImt2tLCYAt3hiEeJxsli2nnHd7ma0sPXJdm09 frvaIVdkjyvuiycGNsVOD89QB4LJSTMDSyko5FoM7OjYWL9CyKSPwUL/YPawa9s7Vc0a83sk4+sf mQJc11n+f/KO3ezNsPNDotFxqIIVkRPGBXA8FnYQUIizz5llHHIaaQDGZilujZWZ/lgxUO8E7fk/ 5EY+4TuNCNFgLGHHond/hOQLGQht3USvSCrikFLMkBifS61UtrJ8796CxQ6bLYMKypt6nXTn+Eqy XQvcgoUkOCWiyXveMjgengzd/ql6pMqIcwqh7BGCnfMy0skscY4s7GsmWmAsyHMo+teOVOyD0oPx NQ0Wj0kTpbMO59N0fBWKFCcO2Z3tZIXfKke9L4MOaDiFnrfyTtfVxrNSPMD7cZO8Uslwp4qggXA9 SH8g/jJfLgjqfXjaGLrpKl5LAWtF5/h354q15H0DIw3LAmXsoaRKFO2/mfA0qK+Kp7xZLFPnoQTO UOmKQCg2v5EH1j3VNCnnL4hYjpqr+yxXdUkkiPTQbnTPimGmKP0W4EUIYesjXRYv8obdYCD0RcnV f7B15Tm/rV9faPBbX1UHaBRCtZXzES058Ab6gGxAOQBTDArJWIWC+Qo8n3qZWO7mW7fr6MjoTC1N gDSN3YwqkZtdUK7wohdSEEcLuGrnIhII1RHUqyA/4LbkOiqa8QP6EK/up/MwZ9KTwDg7NZUxs8m3 tO85WF4i+7XXq5fXFCt9JZw+RlIVK1dtBOoEOrYD2sP+o4LLZ4OHwHHqYu3snuA4or6O3L5BkgVx R5oDz8lpgSlHYmeumcKwYheV9l0R1GnAsb8QxsTXSa2oWN2E0hJnoJvULln+Sge+3xdybYUqKtne 32LsEKvPnZsi3hwM1jlODcyJwhtdt9G/sR6dEwRGxmyeAA2CQwjteg1rSuRWNi9mrjIhK5MjFcK8 Z0ecS6Ut/tVFGGMoD2p7wQA4n0lGN396bMUsz5NuiPMrjPDBcaVjhbIOnu3FHBBFAVdn47lV/LEU JTT8y6PURdoYQyK7I7sYZahD5bPoCDUdZQ0HLbj/gQbi4Wk/lwzxSkhO6//2xtHe83BpVHnDtoL8 6nFsmVfE3mXPc4XJXy2o8o1fDjP5++SG41UF8qGYkLTCDYxGvtfj5ox6f9qcr8+QNQ//zbSsln3k uQ7TKh94IUaN7Uz+HIu6LE5baBfMkVY9k1sDVmSgxfqLt2gkvHwYvFxjQHYCpasjLGVQMs0HIhQs b7cgEyLM4sOSy+G6n852ytAwRZ6ybXuZDrNUSKekB9Yq71nYXe2ODX708cdq2j4/2O84nKLrvj3K SNPsiZOYZkMdWuUuK5/sycIpRppcStUNlmdlZPfMyZgXIUQRqrZbsQZiop4vOHb9G+PlmU92PTEZ PY6NY/3NQCUHx8u+QRqnLEoj4TDPghOw85+fBUrAyOBqztuxlNbBe2wa/FSA9MYZQ1/FNmxcPRH3 F2euRMIx0ySjJbAH3xcc+gzjpFjZB3+LMMkW19l/zfj0asa9b9F6t9jUxfUNQO9TjkoQ9IS8rnCb PxBtXJRyn6lL30LySOtXkSFxUzRc8TdiAQg0sRl5WKoV4GUywj5dQ4VQhsxaXtS9Tk9QtxX9/GLc jhNT5sAXEWLzRgTfDbC05VZBGO72gGjTDdLI1kanVqJ6RtjRO6poo3cOrQ54SZ2fDMFbZ0U6WYEV wOF7bq0gCoh4zNcVBqW8IXZ3P1EkylaH2+O9dNMFgEjAN6Jg70Hsnvq8bptCVOBud18zCG/QA67a 8MqCl9Y5IVPBeHycw9gg7rMG3zG8tDA4P1VBZFxQ1+YgzrCZxOE7K4vC4jMZ2R3M1O/JvrRxmnBc xAlA/f9eRg+ZbXE4xyaMVossuZuCJmVN/kVcvLMAEh8IPiqnLFvdJm18aUmpQuCOmZ6SrRf55M2S iF0HZkE1iiWzjAWJGPcXqOe7CVtOwiFJnB0Kd41rBNyMsRUqvbIPc6JjTNLsLCQJltIndt47I0Po gdZeq9BMsLw/qZ/KHozImy+EgS4abiQP/qq0sxiwzxuCAkeKS0wxOSEh1jGm1LrqmTOOy2g4bcuQ 0sFwCbzi6t0XPM1dhosscSDKFIoTAHR5C2jxOkE2rEojz60lRFx9P55cIzHqHHJWPuwTi2RTJW5D QRQXuPXGiX1S0ai8Dt6XhxMtxXonPN+76B89cCcOoY+yYkYuZ9+IJs7pcFWFfJ4CsOSOHec66a+g Q+DW1dBQXjNlJRfoZ3l2zVl52XKHOT5kx86V5PwggkUx3fmYW9zMTKU4UsQSy+lzb0k+4OBBC8hl O9cKky+W+M4HodQG83pqGgky6Lq2/myLsK5QzgFWIKxLBT4MkY2J/AA/wiitzuURojLwHs4Sy9FJ AuCj4LRbCHfHJz+SB1F0oRzxP1bWDWhIZkDjfLekBWPeawe9crni6fARL1CPWkKVqxLzpHnHus/W uneTVBfVJ4YLd75d/SV6ip79R4aNW4LTEL5gfB4yOMlAwLL7C9qMlgzfm0iT14o9PWk7tYiiGacN SqBQ9NGZneAMypWCdq1WrOIOZ2c4LKbIeRu/JY4+IBspKfu5xK0yb042jLNDPaP2OLWR72y8i2SV 2/fDZ/OYFueHYRBc+VOC0MtsH5oEG3kiRd6e6mNr2cG+YKcoROC5R/NO1jA5/pxu8yua04DtCfdA cx3NeoNxadybNBCrdNh/UXVe8kNKVbMtbLL/cfzTwLWakgS2XXoAimaCiV9bkv2n+vqIZ59jkJjp HeB2zPNGNvKtAoenAfz/s3d2IwXqvxADzOVxfZJQvc+8L61XlyI0OfURq+8t+dFoL3KYTZ1bVgy4 r/HiY9kX/UVnAb9idCX5K3xK6i6W31oDYG8ayOsRIRkweFAcRbTERIB3ncMd11Jp+pUbNGteB8Hj VCMVydEBN9aKx71X2jDR4aGYtPfORsM9o3tOlaPw/tMQbBQtmen9dOFFI/pQLT94IfF8tQ4Et5PJ KNvTsUUFHZViWRgudS2OFqTKR7pfEu1UZFK/AF3A2zYucBxcfNFR+sU2/QcCm233sfhUmoiNz1lZ n2z7a0Y3DFIRHniELxKtcU7ZmeejL6oc9WDS/OUQbOMAdRWAROmbQtr3sp6oJuYf7u1CyV4ob/80 /90RgXciKz0z5HtvOcbEdBSJLauo+fPgnJxvTsgsFoPhahpx5wmqdH2qBoYVR7quhWZA0gyqn9au jfcwxmRzvNfB/M7/ygSwS/F3QTspZmmRTS7OE0RK/nVtgd7DUHK+En+qPuXedVba9d6PvqzyepDI arsSREfLrIwEd3bdkEc4j9cn+CSy0zDHxIOOuiyGAGYMI2NluQUoyWZL6neKUiYNVTpcXkayHpYQ wL/xfiEJBfY2Gk7S8hhGkcE9YCX4Y+/dgMbFB0eIaWc8+UrTpuCdnEysiNpjpNzMxAoMUuuo+baT g/RW2jhtq8Ecz0i0d46/1bAYPvlj39LUynOceham5esyDmJ92HhpJMKHCpm4UkQVVXPoG2NliaUT Lnbl7z64PsD88MBd4PQSAZ00YxJ7K6WB0SHDOdLSgjuYzTtYigCcjKCM2PDFm1SoJJoCfJOmIZ+9 zcd02gfhwu5k+tkLKKZ8EynypFGq91yv4WkrU5HTx8lMVY1gciLNpCYrLIclAg5hyTyfk3EWETEN EMBAi1jU4subkBqjzKWHd3S4pIwl44ciC8xmtElorkcOGfN3f2CIjNVn+RKVZJOrb95MyTwH45g3 nl7wSXlkoxB5J5xxGy0I6kyyaOhurU1Yg1RTlz8G/DG96aR5Zd0eoYk/544EuJoT/gXRyI4mujI9 wLreXF0p0/4tGNIFc8nDBrnyDUVTSh5a+TqjSP/vgPmbOC2Pq53wPJfOzQXtnLeB8TDNe+IsIzBi IaXOFGzvdwYcaokelvdCtuVw31tDupgdNXC7rjhp1C4kR7hVyP63RAKuSIEMj3LecoSQO3xDeoFc P/nhNb5NocEwgZo3NMgqYNJCAHUioKFpUhWpThCbAgsmpXcddxBjIw2dpDEvS7bWn80a8ZaOph31 MeoILqXMxD1Z1qnTdqlgnEiT+SKGKCarSctjKHrNBXmtPRYo7abzZftqScX7vhoZFnIvGFScllmx y7lZGf6rPUmL3xPco71E0Pk1v0m2F7adPjxPMIIqDZs5m3PvD1i0NN3R9ILxYZUPFaBXYujYaYvY 3RAN3gQUCeZoLW2/EYYrjU2NA925hkQ0OIrvBXuMC3GHjR3qm6ls8n+ANf3CNnghdtG3eWRpT3JM PA3yzyunSAB9hCVnpSSTJPMhM/V2LLx0a7LlB4Q8zMP3kOit0Nmh85IOhWkk2iOFZ2mbKCblLyRY s6bB7Nln3lnZz7j+lPXSmz3HSpEpHSuE6phfez6vMSq+X/qTvzlIObM71AYHijXOz/xCU8pPWj9g Zn00VLrm7c/t1kJ0mKAUQ3lI/NTyma08siLDbeeuCkyoY0m+NzfJ4HahCHWMwMmI/reH3kldZnUN PZywarQ18iKCEtaHNvIY0f1XD6HcBYVlcunqiUZ74CM2NCRa1h2YdjXxH5XhGTYm3wgiiI80ao4Z 48c6qNL+RwSOousZE+FeX9eUhTUxBR12AQRu5tiro720ZnwqvoeD1nmXyrVN48AfyA0IyRaNd17n hKLgo5/WLAcnllfrKNBTMfABi7udTPkMMIzUMgsWjM0klukmKA/eD/QQPUwfCe0Mn4JR7FUbXxne KeYpWIzoWHIEZC/i2GdJbrF0gH+bN9dx6cp+7EPPV9OWBqWFYPrSDzknAq4NXu8+qd5Rv2xLWfDv hrFsbLI5vODiLSdqfJ3hdggYys0DBBOj9jTtj2a7lWo+DrKdffCgMXW2SSsVDmsZripc/blS/QB8 3GogvGGulvFxdT+lDAVCUrtoQq6dBGIGXNxAJuwCt8OJcfx/RNkbGtVk16bM8cltLAJQ7UfrPcG9 daMVRAmkoFWz6pT0Yo6FoH0GPDkDbrLQcrbsvzhnrzFphzQUMV1vb2JEtK5IPf3PbdSfLebEFnQF vScqK3szvxQU6y+OdLFK4eLeA9Hx1XiqZGa6MvnlklXmX/8DkKWh84EDLjUg/CDIpQvGYYqQuG14 6bBf5RFyCKNdfMVl4dLR/ifneBCkGE9Mop+5MGYcFInEUJOKhssJTdpshQg1W0iHNH88hcL+/Ip2 0wbLzegmFwk75PZ9gN7Ui9Xw23luCDWOvdhOxQZhglm21OueKOQwIukdql5LgX5o1XW5gQsIR6DT q1dRkVfkb3Bs2l/fUf9+43hP9XY9Y2gPPOHMCRcA6dukySQ+obTSBhv2Akw7KdaaByyjg+Db+5O7 spmqkBtql5WcQPtzgw7N1lR7nFC6IvMOA75sX642FyIfrQb0HOMGTX3SFSrUARLLJSdam9vDNOBH lEbg/Z2vZZWquqbpT5BeGNEX9DZE2FfwaWfOkCCOd2uNKWmAycYdNdU/L/oFCiEay7ZkQVAcgY9K gZe9kaCHDzK3Fv80MaXyyaxzdWqMvVyYjV1rZWyN1wJtUscStKBRXqqhxrKkNJkvuPfpt81KCW7y CdbxASs7avtgUXx7P/vqw2r4nTuai/7nTPvHEkmHauDmkXUFW4rvZqP0ZepKZ+KiJd1wDKNe03d5 f2WdX79Sq2h7KajRo1MmDW749m86MrwbBDXUbg/l8AzsXc503eQlUcpqju7nORTupz7mGMUxctTw pwjb6ZPt2Aed3RKAOP76zD8ieWxiRwnzD2soGyjV0eBnwemiDlEb65ERsWNZG9YMueqS7XxvPV1O AFHyjAlglWBUyhWRKJfqLiDP1qm6ZTD4q7OGc490IvcGPrlBPYmFxEXshumIkcSQ7OFmmBN5sp6I +tlJk1LQ7Dgr8dpq6GvXWkr6tH1Pdrg4hklXa4qt0jf1PGVsKipX2heEZUKKGvZ3FD9L5AMjOq5r a+ZQqzYRg4iHBZgziLihCc0IN5vtmetnEw0NXqPCQA/IVR2C8d/Blx0qPyDK+qWQBjOyWVN1fzxt n1XOiTtZ0QJHHWIiOAqyM3aIxsefh6FggOSb7m4B4ObnISt7n1h8sUPNnBwtuPgQQL4FMMakfJGB 45TmQM7vW+wH40hfeK0cEDgtCmjeC0YT8flv73b7luFklUelkDOuafdKdV5O73b9JQHUhAhZXcup PYpqvp5ymIBlqZ/3d3PyjkNXI1g1OmgwWNrPC/XsAsbMNCsCAZn0t859qoXyjSK9HK2PiIQw5hn6 fvARVZfc1EuesBRoxO0m8ZeuluCwNY5DgKUmNlLrXSEh29QhCaAURMPXjkof2zj1OqL1EVOo0QNp 78M8kaGFtlMBCBXXgRj+ZpCdDrReUwng8aKE848jAvclNeISVBmMD2GtO8d85RfHvB3GGKMRYXm6 Q7ZM3X0FSrWQ9uKSLqWGnxwPYe0W28pBO7eBPQLiSkouUsyeH48rNbfOTx5bXGWztQ7WgKFD7EYo jEBPFloc1ijdsyqz5TJsvGuE4dQwX5+6yZhBVmTtVZUN+953MZ9+wd0GZvfG6bmMYrsJ3RkCPf8c 3eaM1A1673HYmiewxaSZ39zPCP2YmheNePEo1sWzuO/406+B7CeQqvHp9cxMZ68QLfOX74LOTVQi hMTZ+WZdpg6qDX1QvcG9UbAT1JAGeVt2HEyF8fnJC+02DN22x3/f/FIUJ4UcOmQw53x2SEBsUn4R FQZoLQge+CgUqiw4SANEaPWHRnNL9uA3oL7W/74OSkunS+5dvUXMM0SKM264wqSPYKjvAhMqIw6k e47pRnYrGjBOTfiOlbGwVNqReVv1LjJbj8EuHKlwz/lyfQOFm3WzVr/2K/emxc/pImeTYvfvc7Mw kMCdTxJfpImEuOaSwxbt4zM25QjIbUl0VCZriMPuBaJBquZeih601csJwRdDdr/Mx7r3DyfAsZ6/ NGrd3bNxWhiax7yKxU38j4aSIGvzACSCEL+mKl6v5kAw6m689JxFmgL0Jsew+xkhOeefNoIZJ8ZS BhNW8xzz6b3W1KMjJk/cuysk7NuRSoFUGe2ME1JQzGZII5kF1bMEmT/ucxBCqx1oXGH5IHb87d5o TWjK0MugrJ8Khro1nY/SKu9NBL3P1MXasU8tvFkgkESWhmmo/IsUJq9bk6OLcTFMB5nhEdWtKdUI bAqQWA3JKaoF7isrPRa1YzRUBz+/uUyrXk6pVyse37LFISyR3dwMPyqv+WrQCpdTlGTy5Pw0NXP8 jeCsVmRRLjSgF5M6nR6iDJ67xfytxjxfDwf5zJgSLX6OS9KTWmDld2oRabsjpwYOHmOuS1zCmE5G 3QJpsoxpPJj0/OH82zWgUNoR+yTFkOb0Fpaq4LbIIr7h95a6IgI7hYeTkkKVQbzWEBQiKwJpfn1b feXyP6BEf/xs+CSdQGtDkTBJfk5adrn7m1Y0ySVgistlI3Mu11eHOMtqOuxoWXQy0+2/BMA5z5iC 3WNnoz5rSpJLdomXXayKiwFn1itvcd3fmuKQvoApk+qldfRQIITnLX8KGhhwHHfZiEXrfjdD98uZ 8JOuESOfXSxAG5Y56pK2YkW5GyQrD0SHhTUG3yECMgRpwGc7SENTPGKnQpcnJq2+KrgC73PhOiB2 /iUxTuUSobvPidOL7U2ISuSvILylj4IJafjuiOVWL8kcHUYml/ChvvsXJ+qZgQkQs0tPJwkZ8WvL bh48iBX58VvN+633zVQEF/haHmfTic0hl7B4e331SDeieY1GZqWJFvfQMG913UqSD8ZNHTX8ZeNj bDNqxbBKVOiiR5hzzP/duxWjNlx6askGJ+fdwjf1TMwHMm5PZKowJN9muZ0JXw5VqYuDsCaIZkhY 6XVKKaPrzryophwgBeTK//r6Ed6ReSRZYOBAbaK09Z7EhHRK5cOr8Z+9NNkdlnKKdxbXg/+zEgtt /vmr2cJQucwM2n37hImaOggHMIFXN3a/lrauv8NVrxQwkznbE0sUKNqtKX8Oy4oawEkNzlCXfvwC NKsyWS983idB3mu/CMO2TbVztpZwieEJFRFvhscKXclUhpGM5JjNar/CcqaT+mIxL2jpYA6VVmRW uuzSVvPl1NLki7NDHQc7HzEoJRQ8SpfBAFkIHuaqyfnjZ+GppJ9bGR7dBQMgb+cBBQLmrPV0CIxZ vKtAlgdrtHWbvG98ExWoTIJfSUsQ26+wh1Fc+lh4kGt1Ceth9VZ1Unpg40uyP4xL/1c5vLAXCceJ PVL8lfyrv9OjyEOFVm2+DVlkgd0/PA4BdlWxNzsWMi0W7ix67L2bjx1Rs4f3DOR76JsHzybJr6Y/ cn31MHUve8SkBHTnbZwturlaAMb+p845HR6HERUSBu+Ny61W3Ql0qdulfnyWJTuxFODhFDkASqxR DmIYaumNxtzViQdLtT7LSYdmQycKeCCknRIA2wjhesZcKFAjsMQtJCXv2R1iAIKI3iELq6ki/3i/ dlJ5tiWEXPaco+OXvTxziYq02fL4i40UfN3018L1O1oKxCpiJyQRLF1uRkzTltO2GM0j094kRpkz eindg8Fu059inEMRuokDv324yH0tkl2GDVluGNsd+Jf+vCJYBYjgwIGdo1j5abprPVLsvfoaw4Uh BacCgYa3z/nT1yY5RgAFEnHCWQIaNCyEsuxJowMrLCfZJ70E92ZvNUA8GM1CD/ArQ0Plk9WJ4QOa VZrt9fbTYgxeVOi8sI9Ck517w3yKUyPM1IvKsDll5ymPWAUKhcdi5vC3DZL74numd7EBcGY1btk8 f5aHZKUs9u/BWY2lMb8TQQcaYspYJMRincBYR2tTMuqwf4jxmYoNid5wQ5Y0aFy0OdhexZUWES6A 2/hDN7Qul1SXiQsH7wRHmDUdQNa9YxaC1H2G0neqW82CUx7cEO+fh9mCuK8RJRtEoO9xD4Jm94mM XfIqIHibwq23WKf+2YsubGJQs2tu5lGnILnTWlnABFY4MjXwMAOtov1FZyLthQ5zAj1073MIbrlQ JqkFfpnTA8FJeV8nF9nlUmL13F87v/t0v/FDSlnhount31G7Y7L2i3Sx8IpQRZtEuIiAdWcUUMG3 WJ+74zYQoUA0yQxZlRASXLSeFVBICdt4HvZx8FTJsHjmfR+iFRBkY63u4wnDeBUtFQxbjj9L/nH4 QKR2eXXbSWvHnNxnvhEPTO7WTeDN/K/RbIcnY16C7BWKLuKnk5jB6QgohALYbVdK+pVoXi3DAEO7 nQP+4FMoaMmxgJSC5V/NGxmSwTnyX2iB3KWw0lHt1tdTHzIfTH+qmtCt20w5NymruM6IOiNlFVUx K7GcxQOhjHlCQzUE9ThxMbEmdqLM6o5z2T4u/KZ4WhSnUgwpJBc2djA+knySRD98xpZU6RuLwL0d 9U/iItfQhKFfuxOM0RMaLI/ntiLZfZdGQWTAS+BTs+25Ytj2+jUXxTtwImyot8mGPbzN4pg0GbeE 986YB9JCwwgUbkTu43Ht8AwlOrguX3hsTjwQiKKXPA4Uj4aDPclm/jwA1++GC1jVecBtJ32/NEG5 BGN52jnkXEzp9MHy6Y9u6wqVcszw1swJISSzJaHJ49kfoMvjOP3b6GjzGt0MQtxqxXdifa971vvS 87h2YESWQ5klbd9amx6AXUmY2DHXhSoAFDFCWvcm0k1Drn9ZySDgWT08Y0CmIKVfb1bNvw03Dq/C HltRbf+G2jHvbvztbpB55elRI+NI36rNsjHk/Le+SkebtHxsxSuz7icc/epkLJC6WNXKiNoLijbZ ugFRBmJ8g9+r6vTlimhsBpk76imjzk/6jdLBR66auegKC5I8vx6dhRcW+wR+qYCigdgR52NOvHcs YaVBV0d+pGfU1u01Z7INOSBP7y9taMnXz3s6lGkBM/yZIp2naMFeEbwCfclh3yFG6nOIM/L5pgJA iIJ3Nn1UOm4lD2zGFV39v3v7V7WeQomyYiNbvgq0jn2NVM2XRImxLjjw2u1Xg09EC1SoLcX2wo6t EIzDHmdxPquSjeK9RD8uEcICZCas8Lbisbkee38SuOou13i/o2SyONSxcG0rkBrt7VgvCsWMNYm2 HR5Hg0X3P5n+oHzNabskH7U8QSTzcmEj0my0V2v9LUg55yoMR7OTtrM5em0E4NMttepNq2xtnFFW IWP7QDZJV1n4xWOTGxFZQITH5U76oWEu0vtXDQ1ADJFzy2JGNtd+xSaSGmI/63sFe51vvCvAWMQP aeacj99lThWNdY6ljrchYUgOnCXTrz3Zzp4MDc4wu/QUxypNrtjiDBBRmAqLyMCeBjIKoRqrynFN 729ENRq2ZSFvk+DyEzvZKRJLYL6Mw4aBoP8GBW481yV1yPz3f5orzqFv00FJXM57yc9yZDBwqv5L GJAHsjRZE5lMIY3rK+9yeUc1omRH8jsX5aXSlUCGUU0L5kc7Xha0W0OcoAGI/wSTNgGGKKtiHZCD LNNcbb4Kim6KAQJUTq+Ih1QhDQxEQ+LEK3/bti3LjRtvgtPw2VmM8xvTBCYq1cnKBKw1jOmpjAMG UU8FIWNZKXF56zzrx8NFWRxsTu15FXF0u00/Ts0j8GVE3Zs519goN//wHgO37eDo9EhCMptOEtAU Pme53KG2TGEhT2UT5/xO89lv7jUyGWB7N9N8CFrIwR7Zh5XzURnz1xfVQ36G/v7gKaawIrRMvvhx JQII89W8DRA2eZe1bLRpLDrbgOjycatPDzYj8RwAurK70qYhBHucUu1qfRW99RY2kujQSDsptAKE fS9avhQKDKFZqYBlfze0I7nXY+2IOslxqizyQHZBozSYKikbX+LAvoXJGcxr/+9uOeuqg7fGc+99 U02LdUd10uAYIgGEoayn5r9+a4RPcd45j0NGnh5oCC7/Os2nksHCFOMoQ9jkGVM+eLDLf7d+/ivO wUaA8vCVyYKYXlwfU1t22+aEtPPc6dq0FsYeek9t2OZxrOw0kNy+bnPnfdLgi1qewH5+L6gt4f7P wzsBhh9L0gaCXFcO31yBipH77KcXRqeJpO0DjWd5xLF+p7PkU+wqjKI1Czte7Ehyb03q7V+qzjaJ vB64rseVeABdV2kq97feAzBe+7duRw26NKqIDaqQa1O1l4X7d9qMnrxxOBpVq7HWca8zSx+AmrpZ KaW2b/V6EBhPcnZV9x4zhhsQDF0oIQB1wjOQcuFdvDfcnzDGbx+epydiiFU0oMhp9oZxkf2lHVJ3 mL157otpiZPzbREP2gBwkDszMTLzhtMqiO/5XfzH6FiRHW3S+cAH0pmNiipEFGEqhPgRKHel+QBs 2uYdXkwqxNr8vj1c/zjLfWHUjh3ENoFMCIGq/EF/FmyV7NYg5BcCYv0gk1ZKpbVff6I09qDbfSth dH7KjjLbPgIusBq0dpMuD12D3rWVDR028YL+j45+2dB0ZYUZvhW1m8tFNtksD1odkcreE06pdAhQ NpeOn/fg3mcMJ89S/Gld2uyOVumOv59K2OQQXD4u2WRE1b2najRi6QN9O3XqTc0irYP7QJRtn3NM V1PWg2HJr276uBAZqn7DL5YZ8loipFze4GYmWgY/Uv14hTWvVlMaenmdKH9IgNDBjakQsbLr5P8U GxoAPoqekrbQv429kjSw1CDicXdTOY0JVCEE56WJAxNN5QTFrryvGFza0rwdsFDbVwr7bAkhEb3J ZKd611DTTDG/XVEqAn5DaLb/a130vFHwIbhATOSDXnqVM2ZC07HAYk5E7GGs4LmP3XYhFhhXrB16 stW2y1UguS6r2yvnmvYTKQYWooH2Bkjfsknkm98byyP94PkxZiWArUWj7Rv1dKAQCN3tYWKcY8np w8mKRb+tcXhhnv5q6M653NqFP3YKwPAZaZLUwTPIo97uucSDaj6FwPXEKC2n4BJNHsT+m2ih84XL hF8zz/5QTyorRDodJ0ASw9l+Kl9QpiEDc9PC/0UQ3SYnnAMhRMpzjTQBXMLfA8dSfRdl3weuDXiT YE5nTZXbyFKzs1YKr6aPIRU8RpYMx5+g3DEuo3cvOjOLsXbs81JBHHqEL4iqGV6Mpl1Hwgx2yftz LjLybjFXDAnpO6ctCrNCn8kIB8OxBZiBP8ew5fAbT5Ntld7VzFGj6b0qGeDpUcjuT4a/pxnNwA7p K9DfykcAXLjsiKIzJcB3GPy/BFac4hxN/OnaHMQtHUt1dqJyIBgTW6ixpbQxSwA9iWp4frxKqxkz eLx1ogerbvkv8Izvp967YuSGxmeJG/1ri/8cUtvEDGpQXwQVR2sHG3b5X3f/cCCoxCKFKTzwi9/g YPpsl/4UtWfosUMdUZCcrcrZq7eCvn6ZaHARNSW9nyzmqAJmwKklHv4y/1PGi0orvzUD2WcO0GX8 t/7DDzdC/ffjoRGaE0RGXPwvNxgvoo6nMMdRVq8Tk6zrf3PqUOO+GwE8Yfx5QWJR7n7/oAAdDF0p Hn54LXlOPZe4hSnQu5ttgVJKGheY8ioeBLLwo4roDVcjsvwl1G2/Iq+4943bKYENNTTHL1PKv3WV BbPa6vxGN3s6yl4/0K85h2hGPd3/VSCFzyv5DRbzws80/Ne7TpgvdzDU1vcs6RPN2rl2SJK5LPKb BimbUHFWri1867AGiyjT6sEO3tnFLuL+yhqcZUoilbVLnD/2l65hRx3ZvjYLC1vhOJ3JOuN+9n7m 9lBW5QhUOzcUeVlMNTKcU5ZY9oQKv2XzdYZsyJJvFWj0miB0dbcM6O2Ebq2HQUe2VQFxrPi69OtP wheOr/mN2pBlamzjL5eCOvir4LJFwtyThcukWi9/j4E6OpcxnuIrgeVpysI9K1az0nbKFI6OzLow xohqst+Jev5Qw4ogurG2h8w8JIEA2dv0l6FSkLxgzWvdhWjTr8v/sObxOymfUuz0ovyXikXN8qie iaDVT17Indg6k8JPsJsLHFLiqVpWw8EV6RnRk/M7vC9vyLIr/GNIcaOQaKK6v+emxNEhZFZpPbV/ v8E6AhAbGop6LIG0ENpaEpEw/foGhnSOiW+iO4yL+RziyAyB1cJykd7JREODUbDV3TOsXW6RBX3r jRCE8+UQjI3kB3g6GvShk1xn1N6gYH44k9TPhO2mKPh0ChbM89Xt8x4UClq7Fo1g9tDQCfz6u7jg gRxzYZZBcCcVI1PYhUPIfkrd74W3s949LCKwtzho5hkP4cGh3JPMCCiQTzTsGxWJ1El6yMS/hQgK co9CE0i0V7K0cVOFhKJmrpfxHDfU+FWLRboYM2FMkqVTSsDXVYt/0rOxwYyFAvRLEPHsEpdZiiQQ b5cLF7M6PesBuNkh1T3YElEj8yB70ELBzuzA8dA5Dnz1WtGu9S30Q8k8liu6FpRO3z07bzE9RXzK LkYY30zsIKWSsg52DG1Sjb8lQ17SaLilvvKEUaqfv3tTjSEUFE5zqYf5p39VOET6fYQ4cyTYtZCa nhxgrkJvZ906ynqPEtW4uy9e86dpb21KlOObbVTBXXOqgZwPM/rbtyyJluNW4+pu6uoRLc61mWFo f2ue2m3rOgwOOHGS4ygPc57yNO3XLyeqRBc4Gr03E9T9/CB4gRNtw2UDOe7yVnpTe1rk4WT4WYO7 XpBH2g/z5itpW6Jx0xpdS9TT+DnvvFHWuqNWQfwbClsYp/6BHQcQYWE1I0GP4AGGTolzmsqpvSqg CN050I9/MbTsfk9Q1PnQEhr+BQgYDiqcALJJSmsvHXIV0fLpM44xkNfRhM9h+VcuWA2i2nU0UDTG g6VWEjnxgUtoOglDkRa13dFr11kjmmvu0c6J2lbcsgiS/cDZ82a24mvQ33qLdZD3k5n0jDvGF4h5 3VO2hxuSLeoEJ38RKjJp1iW8nBQPe1/oX14VWUshAIbA355a4+3c9kEgxBpdq/nJyjeBLQumvtVr jGN8mFE/lB1pWXVpCQoBV//9M5hTOA037KeyKJPUXfc3Y+nt1gZvy5DReRwKc3FhRbdYeWTQSNWz 8deVf/ffD39qRbxZu5Meu3qY4rLBNjQmodZLfReLbXzHSXuNKJbAo1IKHeHGXKqaF3dqCHFSRIU7 grfVnvMoSMLI6U+lN9mb4NKR3/rAnOcBbYzrdmI17lNpf6Upv1PgYNpwG3hUOuHgO3C9WQmXkfQK qAQET59rwiV+xMtPZFeyJGtcjKzxshkoEkq+THaz0FsL9zhL7hKdTpm4KqXx2uNBhcg4FRTxkhN9 zDZwfnqdNlnQZE7IKHeSOUx9D4mqwgkN4kHj5P4tTj1eg0+m5ZllF0olPsndeg3lhAM5OQ/q5Iv8 d2snWYZvHXCPKeXrU6zyXvxo7CmzVRt0ren05mlo3P30ZyZ5g2X99dLrWU63d1ujimV5DxUavOGU T3sejezdsPOeSiux8jKi6jaDetgElXCSElfQxFtFC0jvGhPm1WQlwTU+F1pvrSG+eWcbYv1qyxua rr53l1of1kg2nTkL2b0SdJK+iZaavWUWNwXyOM1YJklWswuJD8UL0wJEVPmpKWmms6TIQCxkOesa PG5WekQ0tYpG/JPP3JaY3i48a8RfWPjg5NEdd5JXL7BYzAevNun0XX5jygLciG9finE5YMtVTeot wXn82wFu9viuur4U5EroHtFE0rGsjG5wFRQ0Ma7sPfHJNDgnL1Uykd6krr5r2qTGKF3ZXZ7zMlsV JaR1MmftUm6ERzOoMNaEdz9q0WAtYFYeTwhGkClhAUeQLXU/kNEdZjzknBMNNqYO4U9+mYvaF5z9 fpZ7wJef/5JOyAGEzLNcRWiJC3ErdugDKGNnk3ioxAhrc89ELDCaRR4x7cN+mI2kjwGKN89syeIV RwCJFwK2NVM6taGXMa6PeEF65jKRjtVmkbu5MUEI5a7LgBRLBRXP6hDvapg/WHGFE9hdVvG5czh9 KX/t4RZlL/bsr9uv0g5CMWGpmMiQ9D/ZnJZRtJ0nc/cCEtWtqFfw7rBfllraNKOU9OTRGeMxpqeN JG4esIFdW9jwRmq923Gc4BrHXTjamkKUWhBGsYWhDgTn0/oIuDkXtm+0DKFBcn8jkIqiPHj6SzAs DfDs8dHe/2fihbeELuWYJOFptT0ruKYJS9nBGVmOg090pf/88/BJxRX2OtqHDEgLWrCiA5x1UOmh VJ3dw05xN42HsBkZYu0aKSclQSHBmIGV33pdMhGHMI68kOQ/h+nTGodwBz+yy66qqPnTRbWxEaHp mysVjaf9iR+Ck6vp9z0FQ0EADUipccv9Viht5wEEAu7jx7ogj6IVf4gW6kCB/OFIztI/VEwLloHQ tx+Rqx4CLIBDM8L0yrhIq8KeadAtFI9eTnVNjMGs1i3tBquXQzkRq1mWrinSzuODd8O/z23AHEMA +EpRg95lEsaX7Z7gf58BE4sH7dN5JsZVX3bjbGomg/uUWJaNRiejQ89AqKo3luYpBfT+IK4dAeVZ wVtsvmoAGi/AFYpzVlzlBeu6jEppGRJ6++CKTcMYoA0jEhPTg8n2Kpob2j4rMiCcv9QlxWN71GMq rxp9AaKzkqoGKGywkLpH/qZOTkLHgp+Mvsj2LO146Np/VKCPR4NCC9cSuKN3NtokBFB5EzTXGCUD 0fhghrC28LP39y5dlTk3FE+2LbCfkM1KkIL+fF5ZQj9sQnUFf5QNSG5ZOiszbFpWyI+nSbv01ZFh HYqpXXmVKClg2B8RAmeM5fw2EB0xT9HA8SKyIALtI/DJh6FErZ3KqOzSWuCMNXN5R0/C8D30VR42 VNCvxheqpAQylESFnauralbRl2cK0vIKtAe/UEB46ltZmFSAip+ftwuYQtrLUZedsdQF6a1aJkEJ rAT51dNLJkpg23V0OAnW7aRdo3Rzmqd4mJrO4Y3ZMHjOd3H0HTqNq7wRiuceDVpWRt7WLpC9/5rZ 61d89VCt15H6r4AOmBtm8YS4X2/90epKpco+cB4AkJGR9EON/5VIM2l8EOQaHqvbyDoeDMbwPcNH wBG3eJvC7k23Oh2b1JP2m5XQCdW08WG/1/vZUaz657ZLJAnoDmq0bbnYyJ3LM8+iArRoc+r9KnrY hDVbC/bhy+xciBx8nHyanp8kcZMadM31Wk4M+F2XKRGr0C3MD8NRTyuZDrhx1jLSxbzATVUqGOoO fGOS0m9UKyOqQIaFL4WcHfY7Y2HUm4KuS3e+ZpNz26/IHRWqDLpNSqCHtb1PTjSjfDae01mHl5D1 2MN6u+iym7COrV6tXjHIVo4ljavWGIbBn/EaUdKb6B2qiHkvJGNzzCyFjT4leALZ2oO4h9W9pUyF Gx0lHN0hUwoPURelLn0KJRYIsFW3N0K8dHfj2+BF7TJfrs74/IxtUNvGYgpC36IX23p4W7LF6e0S FI+3SngzK5Z0Wu7bZDP8DyN51jGyD9LMpGKdW04GSYimFJnpAHvZnf78PEB5zBq+/Dp5b5uuGQRQ HEvPKBxoHAcz3daId0cIRAZFq38mLkAkQtwp+3aChKdugUuLBmH3+nK3cKl4Cnao7C9tkO9nfYW/ iRN4aDlgi6gmaqXNgHnMIaUj9Q4wt080ANgShgrwyMk+cCjv64C3ygvuZ1LbCHy68KDyhrkVeNxJ LBqn+LCA4FpNwDEAteWC1SCgnpL52v1dpAJPqA9FlgG1dI8ujhQ2T0SC7AVwYyim1wwTOzzqKD5e UY5McL9ortrjgySuxopyNdgHSgtsXpSP8VbRqUEx4tf4gMddyveIu3b39WYzepYMaooJ8jYEpkS2 5/NlWvdlVb+ZSex0qFeNbCrFy7Ymgjx6+fc7QctsWe26wOK1bDJ4yCuggUyJwg4Ky2WoAWHn0Yx6 sm93KGgcgelGCgHDbLZiwb9IlPPBbzvF0HiwR0JN/WqPQCDfAfmZUQe17xGWCRRAMtmxhp5nbUG7 FbZNzNjQx2szDXn/SSI2V0FvoHjsAxc3Z6a80Gzn8+Tjl9qIAsfypV7UrQZKj9AzqPk24EJ1+n+g nhgGzHV6MoP9EVMkJJkdS3BvYiwDoVC9Q0PbK6aqtYAPdMjDuaHpm/8Tfl7JUYd2Gxn8J9Qw4Njn +71H2HmAO+aO0l2NWsah4DnyhjmyTj6wob0ZrEC2iLVCAfeNnqEcqnD6NRyhWvcj+InerXEHNFbm XgHsTZM2ctvnlpj3C6UtDwg6bnYK+tn5/gDtzZEyzWT6pSzdRe6qTtj0gJsQhMCURX2lXVrZiHr0 wqTGyLV8xLJX098EP3xCpvlCnSBxdsOBtQTh53T747NuZ2YIllzG8ravyVi3ALkdJZ/DHRRC6aec /Y5KCHzbx9XiCz9egzyl4XvkH4Vz3YXWa9SOsDU4RTDsdc7KRwbNmadLAhVxUUeKhx83u9qRdL8L PFbS+OmbZaOaBDYfahJAeRNQQbOw9W8wDpmNYlyFnQnafiTiG9ZBbnnBCoJ1aVkSs2dT97ly+qYo 0ubEP3DDmyr5Yin1+q510Ohn3ojN9gl2PEbhJBG/JtjH7Irt47l4tVRCsHzc+Hw2CFxhW3rH/od7 /PlpRW/DNmMMgDxpmpofTn1Hz2+VSpvaMog/0qziNOUuvgI9+vGW00RZHHeZ0+S6SOE/o7x0JDJs EKy9K5WOvRqec72AG6bCIvQjXEu8znGtJmBlCW8Fzj8gaISkZJjdE8uKEqkIabs8SVU808rzPdqF FfDgHdeQLHnKwl+VcbFPVGw6C0GYEtpXMel8hxf3WnRX5owrNHHxvP9ekbNkvytZrJXa8nTE69pw yQX7xtf31tkK4Gz8U+iXrgzmf9mVjtpNWDtnRPUXtMAD2Tg0c1RnmjckhnP7ECjaR4C2QmUEybbW 94KOs0B4QwbJQTroNM2Qnzuz7me483Va29mOaohP9Vlfti9oH2PhRAafkm2T1gK45uug+Iy7eX+2 27JhyMn5bg1tJyt+TW+gh4WSjJiwMSQxOgc4Plxy6p88DcFtKGav4qyCwpCG8rZJRkwTwt/ToRc6 blnhfwiv1hdY1duTI8Zizd85Kly28FErLpD1EaqQ5Vg5ZLE0r1+gP5aFfCtftuiBeduh4dcCrsQw QfhFl8pCwN1jPpVhl1qrVDiYgslTRQPBLVhtBn96dZVH8qEK2nrXRTQy3Ya6LH7vk1CmSF9VPOlW q5/MlMd80AsqNI8EM8cGT8Ax8HKVNj4JQKSljmxB04tz9yI47t9HIdIcFuOjwmuWlH7riVH5EUPK URgRJM8367VJR310eMQgUZPVPSLbcZbmEd1Fdaz4yEv7rNAGKO1NO0GQiQKi3tHGbkBMzwn3ajmX L5x3jcoADs/DQ6I46Pxo4ad9Q18JOpqtQGPQby+c59/ds0yANaMvTR9ppVkhvDp1nvF4lRmKdC/s d1+aTE5nr4NU+MaHnnhM3UMrt4LxN3NJT33TGUVRZoQPlLdW4w4PcVXYgsoIE6BKoVLOVIphIP5H yxUrcnlT+BR4l9H4oK5weH10JsPbfnxX+mUnVSF5UnTFVsgadDpuZsXGpYEHNNwFvZs4M1KiP1/A N1+Ip9i7pDDWFTlm/TKTfaTGiagNQ34SxKLcbCSM5OefbVOK1Hi0oSNqwFyVrmkWvsObmqwfnHIG hp2sdyboczeq14vuvyhGxOiYD6HnPyzvIHOkX3RYwX1BIOgJ9iDzXPC3N9/nWanFLQQGvG7G+swU CILcNv2/Jj6s1FAiy7MzFRsp9zft8ruzMok3YYEc1TKUVYGw0gXgN560NuxQzWUM5sZaeKwMmOPT yL73U6vxzK0GuKHmcrGchSl0DBqEg4ndYNn+eXuL9Jb6JNaZ/AkHM1lZ1ajzrongDPF/1SPDSVGk hlPp/LSC4zxMrTtr+rOgBy/zqFdPGcNx/WALNY9L3TxHaNghnLAWOg/Nf+KuHw0NDMr7we3KVav6 Pw9Utc28g/o1ldFv1lybRo6FD5cQ+CPWHZaBlhPqJNvorXyTKvBm6Svc70UWY//G8MXXhwBvmElz V+XQRajzQDY60uhpbgFnfmDOYVclow1AJUzu5mi12/n7cOz3XVFeJSu0hpbZfIcupm3R2SDCKxzB HLMaDodXcVlLqHnFV7Xoyu/L5CDBQII2rqGRWq3qGHWZFhuRE0VOPEq56SsJWp6dO8gmjr0pHh3X zcajTQGzvWEw41VzSimpJ+UnjzRn6kWFYK0AAjq7tdZzOLcv1eBCfpO9SbF+PMOiaNIaBxa9P3Vu I+bLwNKz8X3Gc7wVgn7C2WJ5d5iS3lXqny9PY6/YNeTmIbdokH/I95L9Z0ZYIyUmhCzaFqoNL1ZT M6fc/VtU6zSlSFw7w41v9czbTvPmwdSgAkn1BIJZ/xg1p1UncQjzSmk+h8SLfUNrzHi9A3n3+QkS fctikOVg/RiNv4Fvi4rdVW3drDrC/YlCQpELoYYUyaq1as15V+077HwhqVOSjuNG9a7hplutHwBb jLSALMuzVWeCdzuNYNGLZULJ7XCMVnPaNgc0L3oDmzoYlHHGqUbIRtpfSidUnKDLXeCQ0b1iGsDI TrwKjx03lfQm1WMAoyUVjEfhsExzkJlED0q7nmzwL38C+Dcc5fiLhBXlUCpL9nty/MA0RrVGuX8i xEoJjm1BcwFL0NZU5K26avnSCyiUGKDvkeeQmbcBq+0oliDzCpE0aj4uOcGe7KxWX1IJpCiL4HoF G23SxPjNsR6WK0I+ArSMG71nu2MESE3oM93PRp4OdG+toPeowXRK0PjFsoFU8YgqTDMEPk9SzE+9 riAwel22YbnT1xNyXN+1rC++14sjdnwfbWFTj9+8Tcb/beRddJVICXmwZq0jXXNZUPmpB4l54TMG /cFyA5r6gUSbYxdBvv/PIWbNRUZISlaF5O985zt/v58ePCIO5P6SmDTdxjydLq7+H7dJbNnkttES +N5DZmzFxAI8Cs5H14Rr5D9eKetqblJedfZMEkJ6PLO6q9YM55sMHpJ6A9KKlEfFkmT3/CsbcU/A 3EE26KfSWd4VACesairSG56c6QZBPDrB7kLbJk2sYhsfTYPbGe0kC0ySlEdZqoAXFBj5H64WicwA 1if/a59aKoFhVyhX5YiiAW+aKHGObmpr4HAsg1bj+LQdkE7fojVPCLd7k3HgYW8WjyDybSrDg5yP dld45gHIHdZEXICHuuj5Zh+l2HqXTkAJto9gMS0b6DsCCo4d7SivOt/FtAasv6v791MnxGVjjJLX PH317o31mvVJu1BSmGAOi/lJs5sg9wbnFBxQKw6CsWy5o35vQOfer/9XX3mUSzx+k7iBkFsLy7Ke W25hicjS08U94pFns3nnU11BzO/xWCXaovHrpwP+bMHTltDX92P9m0fEBJWc3pZlDh/+i/0BkFgc 8imDCL/YIpTA5Llb2ZOBPRkhnSHkcfnpTHNMvflRhevrKCgFckAwXqg1WvfidM/jU5CzenTM2MfN 70x53COWiTACOGILqNfMtnxRrNdvQppu2u4iBWV7PJSbNTcp3nm1EYsdMrIB7pyWOF4hBH8vkqZH /mgO0NcGxR4MtlTeRlpGkKPLMreZ0sFL+25UqHCoOdMttqvZme0ASMyK56OeDh3V9XbxVaFyLDJe sifrsfvXT0Hh8ugshDzrFRqWmhe5lFWOz/SyeuTnmVogf75BrUKv9vrHZ1WjQZWq8KwrQ+5Hxc7O ZO8fAdm/T4A1QTWFLfgFJ7CNvaFOzrwK88vJTbEt+L7pWKd40Bba9l2/aSEOgBKD/9N5+WIWvFw2 lMrqzcbPA/620AIbyI+3WALlM+xMG/pxPmroZh9+LLkkgfGXwqIu0B30Z2pbqPPVN7W/ztuAkYkp SxXsjZ+mQOuK9Yn96vz2tSiVSxBIsf9i1xgAMWxu37kD61fg/8p0YWEUQbx6uE75+F2WdSBPnMIM lPe1LU0gX/lyBnHBELMVrMjv7JmqZ98W28i+KVIKJfBvO/WHcWMa0JqwdD7a6Cnyp+m1h/QXrOEZ JxoxjlbPKr6210sEOHuG0c2WCL8218FSZ6+xZyUhMOA2Wc/Qybn9X/e42sTP0b3NW9ZwvSQ1dt8X K1bUA0LGHycc/mc9DnNj2ie8HPSXJEBAjwNlX7UndFmCh4DgfdDiPBEybnmMhXn8vUagzgh5ETZm rdlQzts8Qfj4POboxh8koZC0AipNBah4IBS0Sgf9zUt/qVifl6pNoMcCB89QUuLv9UN09dCLBYII RxZ2dfEvoxx0CcRDKFT85znDFVwcDFPk4nuzyf151rqMbQaq+GTKeWydvYeeFaZY4ZI8SzKtyIX2 afEKKxxvXNkEduBN1fY2/JnvVmOexvUvoe6f1oRCH3brazXUfkSFVUyi4iu8FAMfKs/sd83bRWMS qSgyNgdVlbdG0A3FVL6fMcPU86xVdmmruoDuHbGdGtztnVv638vHG7srL3vedD9hdI04ve85ZndB S6ymM8/8pkABWqQ+SvBZVPCYk7xm7UpdDQWIWeYJGmsgyHwMfWj7tIRmUvtDKPUpof2kxPX72Wvq HSo3rbw7MtfYJPiH1beERi7qogrBZJs2BICl40KbbkUEUY30xPwMx5In3rbU2yj0CwCtfEGLjZh+ jH61Bomj1FMxsXJKQziz/7oPHdAo0rUXUBHazsvFoChTZ7CR0hmSKfv7XoXB6e9Vsg1UytFWoG9X P1LoENZJO0OcwI/3WWzkhnCL3faYWF7tMugZEkr0pLZDuy10EpyomvTxu0n+EdmeC8IGLhPg2bje DcQbuQYN3Sq4QvzZ2tEtXLBX0tnFUdhY0ogSewcFrXrk/qAxPhnsppxuccDPSoRHNPgQwVpR0I8P Dyz5YyjrsaqHVmtJYqjhIM2vhc6DyYqja/IRGslfUY4s2JuWB+akW4B9DTlkEMao/B+V+Fx5Q1RR /uFSPc2i5UUgld5SHh0mfl7bBUnSr33a6fszlxb+pJTAvm+6sS7Vlm0EM66sysCW248c961tudlD 8gDaKBLfAn0sGRjkPrvda0YO/LFtIBWJuaDt1ec49iQNUL9S1UYhxNQz11aA/FZizUOs9vugRN68 96XqeISML2ZJWffGZbqV9l0NM7kxx/zO40aIdbJJCLSl63BOrDbpjwaYQOXspNju4w/LzPAMHe8X LRy3zPnqjcgQGwdu2/cJnL8XIjrwWh2xnjoWjVfu90jHul+NvyXbvt2MDeaqIa5qLR2lfN0zX9WM DHHMpcOVTgnnd+ucO1qT/jIQAbVutxdMsh5A8FEtYrn7wrI2OlTFKYU87t1XwBVuKisN4SCn3990 e1KoXm1EPlB+gUBMYvx+iMgtSYP3ujxtYIUTbjl+W6YBcOF/8ynsX/1h5Xva//6d3RsfsDM74mRk NDHonjD/xrkiZQZ6gDelpfIZzAOePADnKZHh025hGoNcpW7MpwIeaE1xmXjeEhNMlzHLDXmpcaVO j2GH9kMKYDqLXlYnEkfto7QLXRg0uHyoH+WB3weprsGmpQWnDH9mGOntwdsCGYMCtn/lyog4SIoG Syk9PCY4B1f+ZalYysnaI/X6Gj9AJkiEHoH4SbfmB3siREP3b0RPTs9ZlGbjP3YUr2XMzJlZ4+mX wmUZvuwOVBePgLzu3qCp/vgRYXQyJFiVQYJ5DdDSGepC6e4hwmTi7TMUbIFVVJC0JSYyFuUzh2sg mjlKmN1GoCQfpx9aNCvnxWYph6CtVreyH4CLzrUz+aUupFc/Je3VUpV8XTUyR1ShJwDzlr6bkOND ws9gN87RRVsXbN7D4S+QK4qsZZ31iOqrcPsTtrBHW8wTkIhpIHbUECsbQ0u1g3S2eQdHDLeY+BLW /cbrXKb+BGrhFRbERXSmhzIdffd9Ue3kDC1v+FZDTpPNwZqj1qknKvFIiZyAIfIL/MhW4FPzwe/L 6H0UqIyFJmolGybO66rAM9XMBwD78YMA/nJMOwFSHwea23KVyzvH1XJB7h7YtS915+iVmeWaosgf VpyTqdP57AeIEeH6CsmuOoPQuRYdhUURzTt7Bi0XR7jztWGkhuiWeqrxwXW6ZZth9HFZXZblF1zp kncjQfmEzduG8wkGvLxhwIn47H3NEKu1uWOGaYzC/KYNIs7TvoCRjxkyXAR7yPTYD0mxbEy3PNw2 zLjwmUeFUGAP+wmR/hK2w7MxDIiRnhJnMKJ69AJByBJG3AL40dc2hVPGffXRv+CIK5AGEzspTmQP DNqQxboC0jIfMLLB00z47p494bQgYuuSg3UMM9hzXK3xG7hNBNr3obtQUeO40ZqbybVxehO1EdID mVVzTnGmPBq8X7J6imyUamkNIl6RVG1pU4p5gMSgR188VRAc/cAcqgePSXpnviJ0/5N1rL7rbqxT XVK8uDe2oAOILhN0lhhc4t3211teJRjxS0DMAEvuDE+gAtUe2YaWjKEa5637BOEii673Q3QSvptS oDXnu9Pqa2n1Pg55OzZqOBu/j6HMyHv+qX/gUyXoSLixcHRaiZwe2ywFa7NV47lxno5qfGwtvbtW 1ulgkI8T0Vx8UHe9OSKa4sEYtRtyjADyI7Fb9oHOp6C4iXrNSVSdNCsT/xMwBYMPUHoJsLvudJMt 7fLuGMo4opoldthIQ17QmH3xF0sO7RvSqzkV4nqoenUJ2nsCZcxUbDL4d0i208B5xbBB5YHW3gvE l/hRNtrYNZN4EUXqnmF0ayV4d8eiPCLTKFj0L9dqHvTJU6oBrrmhK/Q3WvdNjDEgWBstCPOtWqJi x7uzKOp/Z7WfYvcQ5d/CJQCr5zw5BurjBF13/J6XamFnxv+i9dd1w3FQuYxcdgfFedV0MPc/UXWL 2TIQTEwvAgNS5ylLzEtexrXNjbvsQ68iPBlwxHtGTdgdMY2Q177Ae+sPXxEe8OyYX24rOOFeBOY2 cGatP3tYGetcW7tj6jpLZ5FoXTT/NEA3SKr+XKL1oE2oaiv0mxo1sqxhavRLW1EYug4CrtBxp39e 3gAeejRBWSpwHQGIkQP3OuQLmhACUiCW8Q3YoPUUMazLcnl+jb9gBg6F+MBy3D7SObwmfGtcR+af I21+5iFRLXMbxrMxh/B8MFlfMeADjsvO3J7Ty2Dj35KkFTO/S5r/keEQlyYYU99sP9BQQ/pyXMD4 5S4/dKvCjjeWinGLp80QmbiFb6MTFDnw4AofnYMZcjoknScmRNoY4Tl5IFVZruVXkxuwpKS6Y8MV 3dmf8FhOk8h1TJlzxeQT4cnVF5VL/rwUooWLvvQBpeVM5eklAJdh7MazLtMHqPxGT7gM8m+Xif3J y73RolyvJZ6ngTOyoDWqpIIVGXG1xxfm2w0okMG0TX+4guRy7Psk9BjBS3fXdJbkXbEsb0sVrJgl CIWrF9vQRqxBUPqbnq4eD0gYpB+9m4JxmOoMFmLtagfmDjGh8P13/yK0wAsicyT6lFEbPijo1zg7 FwfxqfBLf78nrqPts4OFU4KWDVaL3zW4gAIfSes7f1gvveQpQCQG5CvWvT4nYTOi7FfSemom2kO2 qpwcgIxoyk/MfNMqCBx6A0xo61g51ov26g6qGrtY1BwfREomnhKe8OcxEsRcw/H6Q6jkqI5Zbjc+ hjPQc6hgU7QnU00Wb4TEjFQLPtFCxr2GR9x19+5YKng41ha79AwuuD85SzW2J91nig4fcGNyn1gX fC1mQXKqegnNEzgCUQHZLpNowth+co+lDK/b2P9lcxgPpiLEMz1UYpOPkma0gu215ZOb4kUy5eXM Bp/FDqJr3Q+cjOrK+LZTWJU0eZwWO/P3qAjbfvSxV+pPAear7rplVXw1PBu8lHh3Uu3DtgazZViI 8aKdnugslpX1//u2+CSdXckDX+1fZLmFikLEtONkQDFZR+6IVvtTesVhGnq53z2PylKe2V4UWuaw puhsZyHEeDMm3sDtZfWFiBQ1YnNVd989jMp83oh/WRu4FYzhKk8PxCVW8srL4+RxuoFUhKwLxBJa x1BMypYaTikRqzpqJ7wbGptTw7ZMEjwRCHbID7fORZja0wdXPC2stjQRHFZdESuXlC+QpQcMQyt5 AuWvqOGhDxcbio//UoFuM/riGVZ1SPqAet7m5ZtBJ2lLfx7pSZeKBzwm0NKhk99ejBfjSUtfizuq 7Bm7xAzGr45aLUAC5kK4cZa2QtanINT0nf+aZFuXSNcu+josQmVx3DtBNLN6BLDlhqwkvknvO736 lycj0q2vwRh07yeh47mlYxJ2TzhhOvP+rizhHzpLzxfGeqQlAPQmNsGTEz6wn8hl4QXjXjMWQXuv rJbsodYFxwAu7U9+IkiVydgBJrCx/fO0GxEBbFG5z6wA+saGbd3MBwUMNVfDjyY+//ArUA5aq3PK 8WCgQ0E931qeJ1XWLIbov94IMAqdy9Xz4LsnTL/+3shlgqC9IEHPqY4OAGWRWRWDLdHC5vB/errn atUtSUf+XhYfSo9F0O/95mnGH435C5miNKsUq0TX62CH/gvC8NvTQ9TwKvtYkf5djLXXh7fBzAK8 CPJPRyZsDUuim3x3uwYrtbW9lDI7Og1iaerFqy07+P3q4QLOfwCAV5fOysE47zN9pGxf7ozRMjnd CF9sUntaTU3rdlh4s0FwHgmfzelrujOGF5MDTDI9vHTg4mCQQz1w0iGQcepbGBzGoCtwVx2Pgv0T f7uA05md7DbveY3d9taFqNeYfHARjIeeo0fK1H5F81Yik6wA6DQMcZFQh7bCCnhpf8buE2cT5iyI /o5vsCtplJwovz0bAczRBqukZRWwIg3vYqIoreE9vRwg6L+LNQXnXvBxIerM0Q2M1EvK4Bdl/9ok ++QIf3wtT8izirCXbc8g/7vTgyjqtC3MQmn2Pie+ORZhMA6hT+TfQluzpN9UvR3DOyaFIq/Y7q8b XTGSMfwnK+VDJ+DvVeGVv6a24CK3DYE47ekF9eCbSqGw0G9pXHjkxvNeolQdBV50qYnG8PQuzCe+ 4WZ8uZvrQLgoQsHFBWW79pf9rJTDZ4c/UspSBqQpjm3vXBxIKvIWRpxOJbvqAf3RAlQiL6pG1q1d sA2ex+UaheVLzTFrnieGe87r8I8wKrb6mqpmFMd1FLzEzlGYmd6LeMnGXTd6+UFkI/xvhsT0GzwF xQnapLSlT6BNNWOlaNc4HEBj7ZNSJ3HWM1efwt014vu7duDJ9+yq6sk4uKd9DmYnhrogufxSIztU esE/47WL/gK78auJGaV6ygVVievgp9iaBmbkf+udBX5SKsT+rAjzQ0UMzjzjplmikJ7DSEkCTtn+ jsosGHlw7abjfJklcLCmrmNMCDrBef+rykQW6Ra6efjByxwaQzbZ7Z89O/j6Yh9SGwdKVIud2ma4 9HpJKmk1hHTeQvux33rd6itbH3+R8Rl+TzTb5dO83uQyp78jAW7LA4sS5qXtoYC6LN3M6J7s6DVr oijwq94OmBbfeyeNydKijtJGYcnpv7c4SQrVzNCsDW8wZ504V3UFaz3ou7qope4xn9aMkJBSA94c JMMJcosVTwG7KozPUYjbRexT+yZb4eO5698UErtfqo1a0xj/PP6YjRhUG4dnQ4Fkkb5u2FVCjqwN ylG5x/t4fOyjcqo+Sg/qZUL31031eglcudFbNgcZ+0IhlaI4g0br5ZRZjKJc1neBPx7B7Y0FGsNe bhQ9iN/QkySYtAgdKBm0XoAN+3MTeCG+ZhMYRpNO7YgEbewXS/3TQEMBDhx4eFHZ32xN2SMf9Qqz ZVuX2FzHD3tDk8pwUzhTRh8mRmbW5SUnQuUbO7YbfnfPptfgpoYFsnpr6XWbwtkNKfcS/3vfkjhX 8GiKF/wIY8UtJbWVhoxJL8pED4vIwfs7kojZeX6Y1KmGf8JkI3p0wc1rd/Cig9LS86n+XZ03zbI8 SCqP7IYZkZQzFN1butOfHjB2WpeSvyM5surBf0PFS+ovoaaThA78BpuaeyvcuQZY5OEjdsUocKgA UgPvZPio9PnrClC7zrMKgN4HbPmrtEFWxufWUqV5a6BIx05FfwmvI2MlaJ4+mGdaOmvdLHkb/Xua va4qchApLe3bEiTtZZHplKdJZRw9002BVAIEVd5OrWB0iHf4DArGZcs6PTM0THjJr8EeX+nwb/UX iYn9hDUXK9JUBs/MB8fbkAn1IXKEwput94Y8R5HTtqXGqTiZoRyf231WnB9M8bmfPIr03mSGsqR+ AFD2H0J1U5yz+ni/zOJn11trodRXDw00P6kHCNWpD+0JZA+G1YEgIOLFc7n5ncn280y/QnpmI8GD ZxdJm3Zk8PG4BRYtFhVhLV1RuTnegM1L5rlALsgkRtysGZzFvydVs8w+VLNGGWH0TVLNfIEmBZQ9 Hox3sc9X6pLCzAdMj8BDrytqG73Acx3V77xtG8EFQwZpr1IMkS2uevsA/mgAayBueYmernKCtiJ9 kPfPKwbaoRr1L3KqcjDkQ3z62Hxg5QoPFNOzE11UiFUc7XGP4REAiUzh04A0NAUupNqdzU3rHuwW G1gJe2nIplIDDw93QuoR4//1mvohLEo72EN+deI5fygj4m+RyFuPmMtE9g9IyOILIYrs0USd25CT izMxrzRdxVQ6qD7peoYFuf78TIher1GpqTnnQ9hhw/7FArZDDpvux1mICYH4G6u4A0LEW+oJhFmK FIS5uuebuCba0Lw4f+IxHCfxZMaDXz9mZohqsBvSnTsxPZQ7W+A4GKcOllK5Pl3rOtBb+dQOTyTt Z6Df4x1O+rYpfPmyTOkUc8/Q/tzhnFSSvSlkRGbz+wiYbF7KTUjNJ0HmRcbj8Kouebt+DCXxPpO3 W1jzJ+2sLhhXFMGrm+KvrooHJuLxz2M/6Fm+Ca9KpA0FyHI2RJUlIwABSPeJVGAECMjo5Ew1zXpL c25giKnKaFLjzmYptZyu6dHo0LitCkK0X/71siACNxE0CjTQU9xm8govAOvAHyzWDu+apA9m/8QQ OshhTbSy3MY6MlLNoBANwVrrVF2YwUUT0CaTlFMEDL8InMCHOs0F6ZbbvidhO7UwBeCuaUJVFCqA EBU7vudOqHxC3QSOquPk4bLipj0pPRBQ/kC7VSb+iZE8Zbl7CyL2eXZ6gh6h+mJ/rn89jpMfXkOp 3r/XdqqzyhnTImDjEvDaXZi3NhyJ3hOoWBBEdJwlrmC86nuMh/xOjt3hA9KYtygCYQzuwAK3LHbT bPC1wiyqAjBmdXyKiafaHa3/w6dNCEsJ8+M1ApSFZkhG+BP8Kg4otZU+x9OJ/y8RMPFop/uhCEAn q+EY0egTpVR3nqgadYnaV+EPxhZq8cZ0e1dwozBsqUfVujT0KA7oGxvkkNysXB0miZ2LZi5+Bvqr 4j9Ft2Uk9Nqj1eT0qo8lyfFrUH+Z+FSen7J06TXtjr1wfvfRIY7Dkwf+H+mlC1IbmW9Yo1miUj0Y WW2iAeaC0f+358I/wSQTbig7fjVdwFjaDuMRISNgYn6YI0gAkDzECZlXXtm4uqw3cMdQqxcU/6re sSw94iofSV5xkaS2gbMPKav0luek/k/jLQlI3xsw1oxTTHXioihTV1UP4N+bi+V9Pb3duv3YljdA AHcOiCp3R1pqm1fwxrw6acVfDSeIRjAMtsC2TnwUbQ/DKCnXPsmXWpRm2ZH3Mn+cG/l7ntz6zBGr lWU3sJ4gd3v/CJ4VeZYPvVIj5EjrNL48J5ZAVNI5WtDZZL4lAxFQjd73SpZp88iLOU/owTSFsnsA tt4CTtqhWrPDmbAPeakLt/jbygzYoB297FUswelTqg9nbKJ/kQOB6YYJQpswiZxTLuhStdkKRZqj RYFLrcrCYww8BlKfFTCq4KG6nRS4X0kVlV9BXU/PHfpdd8tIvzvQM0D+WDoufG8SxSDvNTciwnYj 9BRADiiS6xBWaMstTt7W2/Ye8K2z/LVaOPgZw4EQANq0AuQNDu7kByKe4VFrdVO+d0AH05dQP0cm mrQH8o6HydzxH9DMTCSo8T2MrFl2P5tduYAoMJB5oa0EhoDoUlM20avNmVWtK1/eNoKf2mWdUlRZ QeX1mmOvUVTX4f8b9lSncty2U2qvJ0A7UvdJy3pfnk4pMQ884FQPTs8tNaG9jRVuEtcV6XuvWEAV BBnlkRHlEhtyEVKYu22h79rWYV1FbfrgGXI1jVtN6sw8hfLHySDRgNXqEW5sa6nOFRDJQJqw6fcQ JHrHrCxpFXS7r1abV3zT1RlEwPEno7STJ62wkTcbXTTpvWIPt/qfUgvjeZq0kEJBxpkPbBMV9/3T fHlQPTRHaTwFeUiN7lCwLM893VYdW298JSbBB6t11aTIwPQ2OeMA6CYYeGqVpE3NlH83Btx80IkL zyOYgQq1+RNs8oiU4TdLFs1RC+KX2spS9ju83FC0fd56QU7JDvc93sNnb5t1MO6pqoJerMuUed9l 27mtHqAQxAUPmoN5fA9pL1rb2KyvpUcOssQLLChOzdc5GL+4n5RSBNbhA03Aht8Xuq2u1zxpXQbI /J1+L+TvnS0zt4J7pgtBXUH/+kQkDlfBZy1WLRy4tb1hfBzL8hfKW7HCYY3occd73y3oCmQeYwi8 GYcm+k6cEidDNPXMZrF8uC5dZKhjFJMfC23RnvzSUdKDrekty1H0QKJLUM57Ehdk+9BYDYJ/NoiE H4ZE8nTxEezruB7R33fae+VRZBjaUFqp5vFUDHuxd3ZUa9wTR/gatKWUl7arTgGGaL5Xj/k4B0q9 gRKwKvcjnejmwiHr65mMXPcIW5ZBN/2Dl11+o/Zb4LUYqua37cx4imd0cU2ydMjHUyTdeRah+z/h 33kIKljfnU/gMiQsI/uW51kOLO5HRyy2fbynNJxS30dg15qUcljicasMqhty+XSSgGk9JWPTk7RB kLcZo/lmhlTsbjMz25eQ3IAtFd2a7MaklkVRkvvoAjBcvVrojX2fmpaRMS2D9N64ncX+hqKZpfdp NZa7w8vZw4Si91YwzZkZ9l5b8AZx7Cx/K3fhkXckb3Ca3OMyqVxWjlKlSD7RstxIHtOmjIBVe77F Hbmu2DUr5OJ2nx//OJtUp6AeADPsfbW66SOmloVg6gn77PItX1K0Lpi5+Md6N5wW0AsnRL9EmdJn h0UtgusJ4jqX67VrSY5s6Qm0WwpeeBaoPioh/OR/FhdXN4Vxdm11MbXMWf7S8m51lLSpBCSKQaHt jCLTXqbSGmN6FE6G6o0fKCPhL4iffjfv4QKovXa28CZV0oaKsxFJlcFY1n8BpYaSFF+sYnAV+1Mz SQIWbWFx0gZBPmRwVhSuMbk+IzF7LExv2k3SB9TfgrvBqrEGgBmX6Rmh3GcgWXVwjXWMDfwHUph2 dXhroKJjiJ87YuRIwRBJGKQkb9QG/UTIuJlrgWmoC4NrDfqBby58DHVrF0b6n/xgeeRHa3a55qFS uF+mfnNvgzNdhyLckPCDAXq5uXbbFIPzST095JlKc4l9c0KxaaJz4BQIvEzXxtn5T0wDmtcYt2hn dqsJYP7ligrlFH9pqUcU1dfhN9WQChLS4yvT6y2HwxDp9pB6G8zsl0jpZAZkg6zSkVh6/XRAM86l 41HewP/IGjxJkM5fy08TvgHfhPeHEpcYiIeQUGYDmfowTwECQ8OVFWV8BrNYBusMebOAPedhdQds idCMm4IRDjgSzLXqUIiUILiHcdqVRpwiuK5oczHsqUsaiqKr4AeqWTV1ldbjt4V6guivqAgvF5kk Ba5IwdyD82sDH4OqONVC/Er3QDsbPSqQ8cFWSZuVysg/fGFmMFm05X0EFzEUwe8Us3VOHPt+E+Ii mCm5NoTa7yYUoi6RYVHWAuy3tqr0H2gtPFZXpTDmfdp+WKG+k+4q+EKUnUMSXfKf7JEgL3k6xGM+ ZQRRAMntFymzAt06q599funytz4c0/MAEsIJ5jjpRk3d08c9AWqI41CrFPRE/1yw0TDwdQL8tAua 2FpUwfW7Ih2ndxJuIaDL49Wgp671q3xVLQbFzjfWwh2E1zRwbYFUAHLrTCrHonMuDXmo4OoUte4p pSii+Em6Sp7OTvlRJwUFj9hYFoEZ/3+86pwgM0/RYzWMRcWaQbG4ON8Gyo7SGTSATMz7ZisA3ANi T0NasT7vpFYeHdffmzTojFPXDS9nITS1QccMV5YjunC+MCwn9lQgv/4pX7Le6itmtlz69uKcSeCf Ek13JSHZI+frKgl6kBNuQraDUB/dCR1VtQ51t1QnqW+UzwDWAY3q0DlpPewe97CEzHDCMlP/YRMp sSBKptfTrkm2cdOZ2g6HwNps4EpiudrNt3HRuFCV65txWZbHdMgcaV5FyfC9TIcWocho5RuQsI3C g5b2S2l/gZJ66HPIvnU3hrmr0/tftX9lLK3elr/sWzbUVKxlqH3W1B7VF1aGkVf/rviFjm4/+eGm JCvYWXjOyTp4XXhA0CyFxMndfwe0Y8od1oNtk1AxAtFELHzO4CpZEMjOj//h4/eA8ZSsgZGRnjNn 9bM5dYyE5oaodHVFnuSg8n/r+vSqmE2vS5Cx0kiMySeNwF2RObFH4NCtutlJ2g/7p6P1TE08QWhE keV/DPYXO3AProoKEkvPAI8VlHzhOM16/tNMI8T2WNo4v7e6Q8CA7Xmf8FE4ml0xcfloPr9srzKJ uu6R2BsoDw27yegetZuMFs8lonRYKjXrdHKVLaceUqRgvPgfIOX8w7lvMTdugDm7/xrb2wCkys5R w3xVeyloBTk3FrLHDABJHqfp3R+KtvJWb5m2+FWoGHrJGYVl/he5uOjb66TFMMDZYttJhaAoM6vh yvM8M7M40giOFyg3XvpFqgkWxi/L5iSvIk6U0v+F+HfZ2dYLEBT9mUQ1uAtrmz2gNFHBPKXmMUQ1 JmbqrtGme4Uwg5ktJNRkFCzZntTmYfqJQ08tWIQ3KkcUExT96zNMVUNznixyljEhvqrv/sUkD8HW VjrJ4eRVWnM9OvZEnkEnK6tUkfKGRrfczYH1VG4LWqeM+VfBBdlu1eYLVZs++zzeBtswY6GMYaOm 6tNzIXTOuKqItYVY4ySfy6q+cPgGozB2olsNO1JGalRXoQdRbr7DwJUssm2zR/3OcvwQgDDOTD8A mPOzTk5cq2FubNSH+qBgMPFop5TaR3O0xCR6Q9bdA/MNzpcecRLr2QozpjDSwc5tJH4CWPj8f5o3 uN29YYRiIK1R2kiB0nBirc0c99k3zTS5wfJSxtxJqr7qieesKUEsxXnJWTn7mLV4C1urpz7hYRTa Rb2Tt60F4+tjZT70T3Pkn44Pqalx/zyTlWr0kZGuCz4BNJ5FKQn8PLJMevWYTkAU/pybAZ9kEBOQ mH7VGlCVeKPHXdUQ3sgCs+l8jvlFX2/5DcFvlY7kNh+LH/kiAEXigtJ8uOvvnao7ldysWAlvqTnh H21WSH3qw7iQbTxQW6tBY77AaQH5nPmS4MuyFNV2CBmTK8pLeHU//EGgtvS0nw7oom+o3xtrU0XG mv73AAmtZRr9PiCLOxggbLeRM3oGop2Zivn3fr00/p17Z78snKLJIj4oJPyh8oy3KnMfNpD9JNLi wi/WMe6T6hQWujoGJD5erKcxtHesrbwTGMIxPSjKVpUAcXDN5J7633cVKxmZJHRXlkQ1LVz+UuKL 4fWbyXQ+OknqhtEQKD+0q1V2V2Zz9y4/fqFVnOAUBcUN+ZyzBsDtXdK4jnowgzgYKmHkXuxDBoKG VMyRmMQ/SkPQvu5Yf54NVI0149TE0ytuqs5u+a3AK5pv66Xu2+FFUgWC03p7NNKTFHGjYpOZ8BJR C5MvK+iL0TlPHRYVuBfLxOSdspcX9D5kPxzoTbin6RD2AhJbHy14QYklW3rZFgz6QET4d3Fwi124 1DOUGdAnZ84uuAWZP5/dM9Ksso2asZrbSck+KFT31KQM+u/GTuTkSAXVJwvxjFSQ0y7RUxp5A11B JdFgQFX03Q7jCjiyuSna+4/SwwoFJpYFlst+LwDsaaIw0Ipd70EPNFE49+jE2kuem96diB3dMYGT ov9qJnW4Hv5zrFp61e7Gdq2k5zGP1CooStWYFrwn8I35ModQk3Z+0g9HwsMNlucph6TlSBgv1Mbv VzDEQnld+lbEGlo6vdM5anU4m8FO/qypJf5afV10ixWhO9xp7BRenY2wknFtvgW46rT2NRHbGlKY MxOhmyBFCBt25BLKVX86eRB5Oqr4xyH8Ue1cUTbDj4BGlfW+W6+rPTE5k/bSWcKrPiNKWKt56ufk s9XulrVmyi5E72aR1ypYhEQc2aV879yqNlkHAtCI/XxCqhRdD+vtrZVf39njE9FjodvMWTanqXJi i/fzZeUMHLzpRr2r5ghs7VYwUzmmzWpBvKRkJ9XqNZ7BCpXx8P/GIYweUCABjAXgOW29wC0X6++D s2RaJl+Ouo6WFPA+0oiKdmQgx/0dzGat047nAGhyRG/ICMsIDOBbH4JLM1O0Wn2RH6I5t08aFJdw lEPTl+XPqi06dSLw57V3lDdJIOTvZeURy8jiwNjXyc83ZEDX4fhSJzshWAj5PUkaWNqism3tMnft clQ2zUThUn0jIWLLeZCpKLyZwW3ACLZpRHeTFuazrJ52h+7a4K3xIs2BSFttCtU6cWiLeABnIpyR cDEGnCariLPhR+iXsqtV2CWKL1qd+qC13z1KJl8a1RdQP81PLgtc8RODynGG6eobo7UOYC8AgrZ6 WHRQVZ262fRSALjGpKmbFjGI6XMi90dB7ahUC7D2mqnP7JIHdKkgMNq+42M1I+Svoh5tdFXfi/h3 45YOnEOhhOShJ5K/tTDuNHsmRe4MvXmIqc31LjuRx8YeFV29tIl2nX/IjX+4+BZ2FQQs6nzc81JV Jw5IBr4D6gu49eBR1NMPkfO8xKhcfUTYFl1wiGMdBz6eqgw+u9unzmtUr1xnTJdP4Uu7fu3O5jWp 846evLbSX/24WXl6dYKgFujBLfWPBmijc6q7AtPhIv8LyMa/Z7RT+akLoPMnTI8XyJ4qzA0RlJMp pmRj/ggD6Mj7ckNepS1TDIEyrh7bHpZmogCL3dILnfzLpiUuaSOl4HY+k6dUdGvcqR6TIUmJjGt1 6136vov13HKbHApb3OIwCZo4D3ylS/ULRoyyzdyWxxRxtmJo8Gau+4Okn0LppIVgmRZajOPgjPBf s9+cFpOoXnd1dzC33azG9x4Qiqqn5TJHZ0JqZ7VDSqzTRW+VrjVQNubMzmB8aurmTFg2Nnb3wxyU Sbmyq2WszChkXWxKYH8P6h8ESPS1c2A1z2DMP3w29KSG3UrV081OU9ID8O0bdngGl3P3vI3BTV3A XO265eUmuuDV9LRhJCB22y6cQ47sVcweXqc4XJPLrmmR1AZyORCH2sMq3Ada9ITXjOiFP/Qf/VOt ZhBX6NBurSyhw+9+xD+mpz5fQOyWMlr9OUa0+Hgl+h5y4LDYB6S++FSvxS1/5Ok3zGagw0gHaEFi z1aQXMP3rhBYEDKlSyKHTqseLLdKBRsntmrVhPGmMOu5Wzj00Y8U8pOpCehqbKn3gMoJV5vYlYcJ 5+A3loNaWfRynB2UsY6Kolav3LONn1wUlIuK7ydPhGy84jwb5qONGGuJtqFjzNrqAkMGPcxO8UdW nX/1O6qmkFcM2iVoqFZV7/bdUsU9mHHG0FQibC8DFmdecYI9Atw++TnRJlQffTZx+q7DzP3Pm7CL RbAFiaQUmHxMrkoQIibvMNj0fafqvRRgEgXjP6RW2177+Tgre2fDkyAuC46BFR0ECvlsO84ewuKz iMX68NZnWBAfRboVbuf4Aj59NrsB02kT7TCKkCrJxjMhusuzoauXj+HIl0FfaaWcjhePxJ3phnHA 73IQMNaNdjxF36ei4bYoSQt9i+DHhnqVFN26ymUpepw9Qfo28tjDX28r+eu6dVvzMmJgG/Z6PjTs g+N47MEU6u/8PgLnseHwGcIMZsq7nsfUdS87vJu6AS15XyjSqgNgOhbIPnU2KEuC3aTNBJZrRJB/ VAodBGF8mefMGp+9D9wdAbeAHWVBoUrGSEZxwpv6Ab1/Ptk/d3EHWIfu0xgMTFQ+85QzsKY/aHjP ThYxgiX/j6Lbad+pSum3swgOndytFesuepUNdZGXsKTt/j5Bqw7vbxWkBEzG7BeGVaftsRUkhRhr KlIb/MO3kq87YA/0+hNZwXD2tKwysyioZ9I166328CqBWAWkkhvCE4BRfnoxPXWWwcHmtw3FJZbt Jd1frtGuwlaFlJPciekmIcKZ3RT3qjUXkZF2OnokoMVZdAFpSiPZSjpRacHwbhXvarEULbHIuo6S +hLmplCISl7rJj44ZuFUI3YRf9W9Dz9MC20Iwsl2PURdcOaIDmgtS12dJNy8iiKt6rlm15oCmCV6 jYVFWALarUZb6BkuYAs6NPQawpWtCmNH7TNCVHg+8EYgOLC5/jHWGUUuBZCqMYgI8sLLsPLm3JzP MwL6gEWAm9SwF9l6PVtmV2u/XVPcVe+S3gIbr4hKWsTr5yILn7WUn5CK8OWks83n4BKqo6MB61Pw KXlbrjP2E4N3VkCkJG1KVJRDa8ogqT3ATI1BT5aQi8PUame2DSSIzxv0sn03iRM3wcCE9y3pRfem 3Hm3UxLIYm5O/Cs1rkR9wcFC8ZxbT5SDvcTynh3/ICAoGTPt7uU7uaL875G9frZuRRCIaI+f+O9Q 6ERhiNK+KS2L503qKve0Ei/LFeASRqHIpfWHug5Ly3x63b/bX+/4N1DoQ34huZCalnWUeo7q1EZs 9gG2CPGofekF2hOoqsb/DD0RPzQiY6rlXcAeQexOzcXS6YnxVPl/aTMm8oOrPaalIshzcLGRxclI gU2G1M+ZEUnP6QjSwY2xHz79C48mdGbMkO52Dqm5Cwgrmh4CuNY7Py2tNIMoxkieJAWF8Ewz/pjy LrRpZIecFHb86qjZJgrIOCaeGmPVkfb34XzmG8lc2nxgbT/1P/yIv3APdja0zq3PNhUUr4FUnUvG +XoyPod8HmdzE0ugD7A5LatbDJxkJErsnprNR2cD97Wfn4B18okx5ukMI4RcuXOklTJipt3cRKap 9HK+MRX2e7t34VY2irUFEIM29aOWcms//YGErboJ33fO0J/iCQmjRjjbe2O4zkCdUDJUqKDa1eOe Qm5hlRFnvA2lnG1seQDxEvJ5+PvOOGJZdFwSUQLLwA6B0ujR6/4TvqPaHDHxjwQTZPJnhH3HvEtj iOPnAfelHLSMrof2YaF9YufJpDZelsATEkLkmCwy5IEZuKFCjMzhTQB/NRSJ1IlRLyeQG1g/hm/S lgwOpVnYQ0KfT+ItxF64PgiIpCbu9QJ1lhZwlADbCmvb0XxF8lP1QPUJTCAQJpbHzUFc4pYJnAU6 vCxoJ6VHB+gpCYc2t4No1QR2GLKB54kc4vzSZcpZ8mCnF9olktUl8XLe713t+0lF+GAL2E0cKAHc MqBbRmj4XBdE/fwhoQSA4LSKnf9ac23A4DEUwsQi3gMapGnJyzfzYu5XR13bFk+im+A7asZCx8ga uVrqnultRi+N+pU+HE0mz5uWXPEgmUqvrqZMXB6kPpZRf9P+kiMn5nLLDTQBMvni3bKORtFpmwvt AQZd8VT68aaSpfj/47X78IFOhB1yMt5n7dXVZ90J9hdjK1CV0oeVYXc/W4RZvQSw0GV+kv1Aofyv 3na/RlQT+9/GcmL17YXg16MfmapgKX3txeEV1yaVtEp5p5dJdTavf12nJkeh9GDXKvmkXfgpTNL4 81PuoIuY3cVkzMJHob6qHAQH+GfXT2F/mXJMsaB7KfLahMJiJP4dYAuwnL0zCZA8FjH1QjI575ne 5hSCbWG45MaiWL9GQgBQaK35xoRW7Gizi6wO0psHxHKc4Td2GDU9or3qjMo0f2pCdGtyrVwaNgA+ Mlfn8PMaQZgO22xLTtEU4zDaGn3kkoWwEXZYJynMqFR7ywYpYTpD9i8pIbXRlseL+b1CkBCpL3xD 0XXsqEzRJg6vbuVIi6PYsizpKWBlvL+IopTJTeH6Jf/wvYZFe9XDSe2Ch9onHrXwZdrB8tOws6ws tYNnJ0WEOvFyf87G8m/ZAKPwWrST2X+0UIs5WK7tzQq3TZLtw+XJhsTyHQh1HoxwXx6C8O2BCoCS BeEmIT4X0OERuG4iMLDTN8vmDkqs3BgfmHEu9j+UIFoUoWBaEFmpe76PqIU3NDAtPxGshshKHT3X tlC6FcvGVWRgxiX8PDAFn9cfx1QG6WSrREXqbz1YdI/mQpPBCszOHGfoVKNqg7VIk0ClESnlLk+j CVHWeArTdKlfpvxsN804wodwnJO4KVc8WiT8Q4KZpo1XTZCCJn+0Fh9NTWRJuu0+syV6189oeeXB dLNLUcMb81paFRF9YLS2McSsd18UUUHiLo/olx5XMiiOP6yHIUHrUDiK06w0vW874mv4EHowqVUz +qXiSOHa7ZPJPrHfsKjDe/8/FbFFIpmYM5JggBaeeGM2OOwzvqGbmkUO8cDliaZVCuxAiTc+0zEP 4LUhpDAB+/OquyXxBpEASrSNZzl1WKeWz81J6j4g0pEOkUvkV5fRYeb++m+wk7Q55BfsvWMnF2fa TLCH+pD/rzRNOFdflnLcn1b383gbXAkB96AG0nZoNeSMGO/yIxG74uTo1WD9JKIlBpVFFLsGkARu evd+ya1XYWx7VDEBEecA7Eujpmi19d9ZGVRhbyGDUfzRIDkqGe6F9w4BmqD1bUpvUYMchb26tHEN YyI+OdlMzkeqrIM8VifdLtfUBqHdSoRWVVzemuG+pXFU26KA/mrQ2SMiy04pY54hjdWbS5Oibqdg kd9QRp23783smS2CxuJNfN78w8eIoQRGGucCMbaQGu2cWIy71bWt/d/ldSTJPhLD8eiPHev8LXq9 ZA8pjwAh0lxeqs85zLHeNq79Qg4X6roWwTJI3itKEa2PkRJFpG3wdRWyukcQ4eN3W5LZ2+A2AgFM x3L9e3wRCDypZuriIay86pIwPU04/lAjNjz1b4UNAjHhkRQlmBbt2bDpufxjv5dpobURqxdZxSxZ Xyxxa/W5NLn7b7tnuHmues2JQCdNB1qTXFBwSmYZqqTRKqraHbOGmu5HcfuYK6FeTlOZTazkuq3T 9cFF3Ricu9rF8ONGHMgK5o5sTU9jCw41ZBaHfq/4CmyqwNHYz73uCbEIXBgTVXBEuNolqVMSk8/Q PUDnbZ+xcBeo3aFrPf3qKD9qy3Nu+b4r6bBN4EIErFMpvPLrmXhjiaegfPkI5fmHZlnLyj5lwcNg +XoRSK/0PK3JdPL+bhxFEwtHq3skzX9sWBfbHbeq31RHhuPfDawgjtrkYfIbrpOe4zTZrNh4y07c QllBBsJsAJxUUH7Glts7/MyKL1k8YfIIcFdxQSrbGrF9GK5N+cHy9Tx9zSyvzBRlkeRFAcksrpc2 Lb93H1ZiNaOwUNx6vgXsKlgQjLiNubPjEc6Hu71vtWCHUNh6ngkLkEjcWVEu85W1TWiIKAw9y4cg DlwnUbcpZSAZzXw788fVVU0iItwuMJNH+riERkt+rBbLRlFGwdxctkEqpT1jXbzfdUIkLV9KfX5v GwAc+CE5a7+tt6FzxDCb7W+wCwUBSX8gQo30rYEcXCFM/KTMftQyAUnks01Dz5qR0wE7T+VMbhfo Dtp5gqmuRPHeaZqlR96HzD1fQA/TfFHXGy2xvjeQxMtJRUXnwp7WtNUP0ugelWyIuI4AdERwvccN NXmcI+gn6BwDBReVg4NfdjV0Oh21ARlmTNFNt8+gFVM8sMdndl7dXCnP0Y1Bx5zXDkiAj1IhtnT4 Cbbjx6p4aUsylzQuBxsIOzTifwSfPJVfqIqYfA4B7wSXC3+Vwo6HQKMaF2coKwTOhaH3S3eU5Cpn Fm0IuAiCPP2E7SzK0X174gJxp2ou/uH0YiZTZLE6AM+rV9suGBTywKSpQSFDMSp5d2w8t2/PT7vt U8BdxeJES8CSY2g6E1bDggSkCnHeRVihbM34W6t7vcvQtjDoj96MrI1Yh7Zk8X0n8X5oiOQ65xmM TeDdiNi47PnK/S4KzHmVKM/aMh0RVjTZmVKagyYRwq6z+52jyPb/9AJoEMJFw9nd+DPFvt75UBPK yt23DCRArlMZoReBPTKwGo1TiVz1AUQt6Ob8uKQX0u9bqxEV2Q/MT0YaZpA2RJr/I5tuw9IlKSdI 9q/QLA60igKCnCK2dvIuE/uFPVzdyc/ncdxn58IwP78p3BXb+IG8zV87zIuBSAYCfTHCmzn4Uov3 Q9aChOVByNrZKlyGEe2ssLfyZU2SZUpHTOrC6HiUBzCaCZTSTi6/CyujeDPhQHX7QnRTi2hNQQvs SlUqIFTbaJa3tSFPNdd3E2FgHx19Wvnqj6JRiCPdYHSSCl1EAqVrJl/WFRJ+3dxBqovYcapfxMq6 I9rn+Y5xXgLWwuqnmb/eZZKyvtJSBOYjoU/pA1Gfryt1+eBjKybTUDvmGmsW7moz7BrIrwwDhvYD /vwANbYY50mXqJQ5P6Fe95w4VWHo9tX0nQKhCfuZtNEuXSv5plthi0Do9kXTmn2dh5DUEG1x49po 9hoZ0XglZoltkW03WeqvuOX9z/yvGUkhCl8W/bl29PilZa0hGEfWMDyRvD9kEb81gBQAHmZjGSrO v5qlao9p8e7w7lUcYZUVJPSSDn+6JQzYHzWZLizp2h7Iv7Si3LxGYLl2nQfWTKgJYJ/QYcHhUelP T7knf8pyBCeKkMlHBTsmwrEONdAEWMpK1kuPPaCnSk1YegSEA8c45kRa4dpOhO1Tb554rV8QvQi4 fqdC39rYDW9NjYO9HY6nyRdh8r6/2x78FckOXv8uzmy+TGpfh547StB4KG3gw94lo47f/73sTIUg FqroabHtY4WM+VJ9pOeBcDBNqAURAMuOiCWt6mOkmgZzP6e/97mB7rkmnJffbvuLAxfqoK5nUhrc UmFwzGC9GRzMtVKaIjGnKGZ75UAhhdNP0otCOK3tItxdTbX3oCGQypdaaZTcrfdCTfuoT4XSqxoc MWVXmDtDnNVjTI6ttXYx4eMUIQy8Emoc7TwzInJzLO/rQB1jYg6K4zJ3I9g/ZEh2KpPFBYfr0nxe Z09q4JBIfHzus45Iv+V420e6OXNnkpQvu7I9ozEQfXHJm254saQP2/1MbbAg3FtvZrC8dGte6Qn6 SLP/BEhqYy5oEUqEx254x+z8sPo85K3oLfJR3nrBFkt8xRxJwP+tHrOKdQkPkHBp1zfs1UbL8V+5 Yvmh7hUTeXAc0J5vJuYWF9gwIb1IJ3YO42x07dvl3MCwc4y20dPFmhRx2LboAKbfnOdldbZqy208 kJNdmHBz+YPAsZ/IV/NTq77ApxhA7PTQl938GkfnvoBJXpKOAN1op3/pJPJG9Tib6HXiOmr9qizc f5mKTgOBVxQ2PEg4j413VlUmJyxHG7U47Qjbs5cqfz6B2erqUlObag3FU8NzIKV5rywDOm+qSHGv Q7AHiONrHpBbNANyWijEnGl/eTge0HycdzeoWAZSAAvzhpjZM6/aWMy/+ZWM7F4WS3aUZrPfj9sQ IgPxfsTvjCq/SF0pikhnH0wIiDY3AQqiwxIiFlR0B883imJm1TYyTL4Q+sJJD+tlj4sgmuUsKLLs 0d6oKs6nD9LW1mV6o+x4BpIMi+L5b94GKpguSzWkmF4v1dImzIhmyVj0YW/cx9TfmhDqY0BFS/S0 vGA2PZbCBzFuZhnusyKkmRn4KSwblxfmNDuTyHwBtnbMRlIa7AsopSe6QZxvqYA9sWATtJqB4dI3 qGdtbLNlI8UIUvKefa96meLiKBITZ4NeeDk/nX0X0iemV+t7/0n7mJXX7Lu6q+FheN4lba3/+tVp 5Aqp5bkRnW+9BRluYR+TPGWV9WSOrlb4Otq9uNMIq/zLjBAGxteOMPJmC/FnhvPe58RzCM8Ly5so 4KQLjWMaichkdAazdkEaNBkTUOrwvlbzRelVVixnqpLrffZ80iUErC/xs+y2WZieUIuDZZnvwWp6 irUaSQW/w6PXnEMcIV2jhoO0jnlLec+XQ7aK6XMKJ7rIXKSmlLgPjB9h4GR/I13iZh/h7kDBMqXg sZFQLfJpkjXsuJM2DDBADI+9xZuh7rt5Atpi+iTVIoVKAgZ9yhkRU3gGswHcBo7v5Olqn8QgdZou ww9oMFNHp1NVQnf2K2nBeTCmJGSwMLppUcx0M/57bkmqQf8wic10JxcqLRHIyCGkgCqQ5Dv0gN2H o1CfYPGuyiB7Co0JNGmyLl2MAZMAOvgYbGkhGUs8WebHia6RF9LNfL8if4Lsy2jye3CL3li70QOO vgoPfkg1vnu9h0ML4PlzmrwBD2rtrP4MB4DXnF8NUILojHZ0f3DiZMSOtggFP1vdRLOPHLf5qv5b 1uMBlvxpgprT3XAnitz8AVXpcYcKv2Srl7ShrH4CdA+6jZcIsFiRbyt3RqueVc6Mpt57T6bRn9yS YXCd6K0oScokzAlam0nwDxjE8TmYVtxYr01OTWt+U8R1Je+buMO9SD47Sx8bYVjONGRh7/VWg2J7 HEE8FIQ6ElMwabaFLtbM9UOgwIXUOSNh1lLz+8pvMDlqX6LIo0mukq8ufC3jd04D/+wmF3RMhhX7 QhWUygbFafC76KXQT6ehlF4xnk3nI0hi1kSxWXrzQd9lxwLMyw3rLwXazXrFMGAneDjVNzDKBwh/ 96zYeTk07VFT+YQN8vHY5PLTsgc5vOyxUMyf9kBVHUcogLx70ynaZdgiFl+FAQBJ0r9X0MOepo2+ jE0RCpm5tjEeCgoupAThGx65hSeZFX+rz2xPZFAosCtx4kEUmXn/RaOdmKQM07fEmtK2CjRqeb3v e/qK0uZAWDrPG37oD3xGEZuifxz4Q+WrOFBDe4P5S1lnvVOsHp2dJBQX1s1AnYUQqOfYy7uHJsyG v2FPH+JbhcUaa+1LcbbGal0mi++tbB+yAftgJyL7ICpmJQpCpLkk7M9ZE9ze0CxlYh9x19czaVjy tooUcgmvd7xo7KsF+V3DqBs7hg5YZDEguk+O55pSBjxjyNCwj9vDzLKU3hosNjfmLVh1Aj/RP0v1 q3KhfzTojGicTEZzetKfPGKjzz/6mzWRf3Z2T9ajTpmOlJ264JpvPvvNAWDGKizJdiGNzsW01i9L qhI4CquWvi2MPpJNnwSwnOF/iwQ/Q6SG5IQ/MGZe7SCWtPuilvfjhtqFQn1RKRt1Vztcb7DSGWhV 5Mwmy/r2rDsEatO+jK3SZBsof+FVF9o3dmvh70t5q3Am2nhwV4dM/v4QSfBYR6ubIfj4hwlekwLD YCpT5YyxErz0FLwSJvt43Ykm55KDH8dEj+R4oXBMpYZKW7JgI9B9todQ7mRTUGjeXE0u06JeSOc5 6/Ib8Obof8XsVtKv7KaySiSxp74wcPbZNRH3h+EhIpkpbaJS01hHdGgvE2OPEajtdPOBYoWqy2Yn qJlqlZf0ozZSURXDhDwFvjfUnyPF94OZQwTIbEnrwnvR5FRTDrLaCbSCgjVIW08/sOjc759wvLb+ JZAkh0bLLi6nry/cfTAd2Daey9SlevIoOL89fr8EIjfhfIBo0m659zwfW0tn0Pw4ZyuUsa0cSN/0 90Giz4Qe9ctGwdHOqqF3nihwnTYZ38fAlpDs4dyzKXcA9iJ+ISXMaKicA7J59ZQni/+KeQYAcUTU LuB+GIiTVEKjFB7BNWfjrO4EGfzmSi08TfNYo10c3kgpkb/Cq5pKEv0aISa3Q2UA1pyJI0nxa0j9 Mlbs2xhoTJU+nwNzsoXLdcvEDrfYu+v2tcPApg3q1UxepDXLK77eVEjFmFRAISo5XFGsnmym3epm F2IvFhDuPghBqg4+R3FK8jrdjs58B43qZF6n6SnwSCi2AZ5VTka1Z1FepPFOVDiBUrGB7jeQRTdx VUXD7nFIA4kdOjoli573HpxGSWAn7n3xpLibzlR8Uq49mqZFOGhSG1obVyGIjEfBCLPrpqt+gpI1 4lb1YGlbA4M2ewL5RI5T7DizJkWP99pGAiuXp11Ip5+meqSte/3r1rD3/4P4Ij+NCNDAYPuievqi raUxJcJ3xdN8ICDxZm5JHjxYJMSKftapRoExGbZsXHKcSuNYAZnkfnYkvKoEdfH6gK7miRhOhxn+ EqRtI/XRkBbP+lLoSe5pSL+xlMJfta1PHMmKMSWliJ9YjozVakN+0e+jPckeDl+9VRS/qwUNOrba aGQSj/gxtg8Yj0+GGzN4+WeA9938WZUVywwU0aYRcptF3cIdXQpHDYFcekW+5fV8ntUYAXOxjGZ8 2+WLQciXezMWdC9g/5KYowLbg3o3JgQAz8t9r8bWUBhekGecEI5uhGmdjD+wb5/aor5HFG54m1gt Nl9m9YUITgQA/eXGjtbHGPv4ShtllOXQn6mDVMJzTCPtiEcl/0Z5FpwjxspgbaGSZEwCuem5AQ+p pN7QCQsylBlGvL5d+qLv2RH6aWiDw+1IEvj2mF+SfEyEgNlHlAxXOMJqr+9vFTQ9cNPGHUwqp8fv zUuJ3uQ0KbrjvMS9lOdu3pCn39kMgEQjGJDOwyj8Z4znC5QNqhDB+F8tN2GAtYh72p+WwMRsWDE3 32bMqP8clw0KgfjRM+PkMFkvBPEjXmvl2IP1jdgXzu25jQO8VdcAP/JfB8DZVmzbmp8FsaWqAo9m JOi0Td/baCjX4QKnd2CJoFJQnsUfB2hf+QloXmtbmZ+5uAZV06vWLCL0XuNa9zRjUF7YvGiEtGRK g/sfDa9YqethPWOqXSQ15GEuI5Sh8EUVQiI5tSyXV+VnAdh8uBJDoxM4pQrzN44eawvbc2ssj5iY M1Ty4Ie+xes2YsEczPQLH4rTf2Bu0ZdI3sfOvprrY+UhjS0iH7zoea3DIJ0Hu57ti6HRHqZQLDOr MD3JxK/jyUQHE5TSSOgMDrN2SErTnfuMeAn8NBMbljGzCiiI99tU3zdkhtEF9MP3lfNpZXWohJHx jkVcFPK4J9HZv0bHfGPWfDdHZ0oIpN5RQolgkmiswmqIbzvaSUiuXOKxp6nqRq6ocnLRBlX4josE STFR7erH2ZeDN6houENUY8YkT7DF1mkLG/vq1Y0+bm9JOsZ2ceRtXMDWz004reKDGBjNqJA0VONo gLrSKLmSReYh5CB+3Dav5thP2ApJ39ccX6kXlOWg/idiIjxvDgj7QE+NFMwd2+hqFnBRjAq5c7W4 oaUvRA8N7hBSJoKwdi0EgMedf+PWUbtiiiOM6gE76ndTWzsk7eMP0xmR1032Jy/I0/yUf4fkhxKx XjtQG8n8w5WyuFb4gN4aGyByVadYrGn2ESXglo6zlxTnk92ALq6H95mOqtJoZlr0ghtCWHoMkMLh dTwXiqjdQKZeKRRx8+NpeOahi0ei/n+j46YpPngkiQH4DIiWuygF05YzBXpOYtIQtJqtHwnCL1nV Ml9jmNHRWFucUOJAVYxOv6J1KTuksf4tiB8REyw0zqjK0WGHdJW2Jijjahg0C0dRhAHmOK63z9gw +nKgvdFM5RcwnaGV6AXv14er6vhsbPjA6ZXoh0tkjaQwexkI3FqHEKjlE27/2c3dQWDA6S7AcrHG R/OCy99UeT0stSo6AQzZoIOqbTSDaDqJxo38tNaKxr+5NT8UaSdPg2YPLDPiSScRrNkar9+sioCq igug7mqFDVmK6Ro3puVWxkJpm82fxP7+d6pOvwbAF9QvqUFW6DAZz2os4BgFfX4UeqhA++KXv7ur obKpj6dcbHLeowA6xQHSBLmQE+bMN3ARl/JOSirwwTpTa2bvKky1CNIkYY7xHauFzqtfb9HoBDHm 5fFL0JNV7ud9GcssHbzUTRChbCPxSCqQtUjZ2f5iwBZTEkONTDD/pJ8LyEyz7AwjQiZp63+D0Gj6 9FSHTLhdUCCkyKNARUjjQ/1tAQE6XbkVLRmgGRM2hlv6YAYbX96kruMRPv0W98URGHbqCHASOPGB c5hnquDToyRTcWamM6TvUXtXxNBreoqGNdwPfSqRcO35kal+HFoK9jZf43vCpdHoOLT73ADHi7Vp p5QNuIIRHMoWoVy4EyGp4AMIx2bhPjHh15b8CbbthVJVoWZc37dxd7Ld0ChTECo1bjps0f5nIsTK mXfL0gO407wjRcl7Q75dgtyWNf7xLSeDPACuXfn0Sd7rvdgF4S/brWUioRjauqvy/DKBKIBzO+fn 9aBC8DE1dDIb4gQa5YSiIIoKUwF9FApDSMONy7dQP4Z0/6NTsBpxNswiLZPr0IfJlf8VP2D/QFYB cd3fINIsI8W6ER/Guz9VSc9Dom0+4H3xsk9ie0sWZXLaGaHrUNRJPFOHdftpptJG4wbYD7P+gt2N l2dBSBIS2+vMa1YWhsPSC1StoyZ1zYp/PM6tmfTg0V2I54ho9BHcJRAcfMWXoo3J582yeYWm31Ko aSOZo90T20Gw0Eaj9Fr36IfhMwHGdPx8aEU2k4a5PPf+KzHcSsQexE0N8JgsNU/uXvbyYOpkavCU 3huvjALpyIwfFwBv22wPJKUO+1zPW4LaE/ZPpOEcSjlrgOCyDttJB4o5FPDy8AKyZ82MPVf7C+M5 J4WEFA3uuU98o1Cok2hiHeEJ81uBlgwhytthF3gzjNhCB9xF2s4PPJdXu8q5vE5fqPK+AnK3L50J ZP0p+NWPgUd/Ga1r8DMj3aDLjrrNe+epY5XqH2mlw/btfDTguLjVmlU9IK5obPfdbp73NemIdlcL JfvoZMeF8rxmW0MxHc79400qZpzVYIDrf1ravz3rwf6J8Wiixa5g7ajcXrje92tH4EwzcyGreYCe hIud5XumOCBhvpt3pYij1Yvx/EsDHMIbNhcUwTyHPOPNNNWQJyj0QgkL8+s8NTNf2LU0xSFbOvtg eit+UajyMPU7jp/zmLE8p28T4CjFtEa00Dyl8W0XhBzjbLHyyZct+HvpqKiUXHIGteRddJuQpdqD Gwf7jdcKYbpjUJgJn1jj39bcclT1ygUcP4LsQexHq4HrjTNwNA/n0dcQzXga33mFqDdBkKg4A3UB 5M/AVMKD3LGXA7HbM3GNuIQk9G74JLHYxzlcQrnQU8FrsVbztzk3J2B4AoCw2mOe2WDP24Y79zGc CqruasOdfhEXcVnu6SFP5RQIeaChFY52gqo5GqtiMXCHi+8MBurQXGEAQ+kbbloMC4fg/fQ/xg4y 9dHWi5zV8vQDE6hB5JVTgaMTZ9vLtH6w7RVaCya5brp1PDKxYSebVqzxK/yw9WLTxp8OSdQRPMEw nEegoBFyW2qm9fn0PeXBzO01e1BWjn6eLCGsGQIElWlIA75kRR3OiLRZoWAlUVCf5WJeCqoimgR4 r+8aZaxdI5oxFi4T/KglO7+gZhkhwzTE0gM67zZL9mgUZits8xhzOSLQxGOMCqRzdXgkFYfgU8kT X64fucbnnnJQ1ebro9wj86xnQNJ+IsyA/cNIq2kF1+3XxIzzGlr5LlnNJ1w0pYwwO/UgaR3JdhkN 3kAS2LH88+6krtQYFLuG7sH8MAp8Vd+HwCIizJ0dtgJ1i5ryNOD5hky8tO3LWoMuQPQOCyGd/TfZ rIjUbSwELPChaYTpKbhN04tQPLOJ9+w7xY+H4z1sNRexj9X4//fAoYRAsBPuHkcFaFtjfDmrLADI E0zWLw1R5pTxOVPOGPNBTrqENOEuPGEYAwfhYESfZpFldc+CYULEF4vsh++5UXfjzf5pWzpyU8TB Lx0/jhiW79pwn1omCKcLjjL5UuzUapkvy71azTKLk+cJ7V/fT5SK+hID6xAMuCUnJHdKmmUrs1TE 1cLyxd8JIvvfTXUC8uRJgvzBPu2pX30gREwLRZKQ7owj+JuzenBhBtnPDQ7zPqG8LmTjPsZCxySb 7fV+t2Mmz8j10u15ItHqvmqkuZU99f6QYRNUojzNRjLcGUzbSdJbfrDk/JPFSOxLv3cJovgJEZZe KXtYPajZ3Sw45aWMzw+zpGsLMyyudAYWRbiyHJG/GqVtoLV7bzqd6ZdxxSjLyoG3umK3Oja4edlE s/djsRkHiFyeWkPubI9hRvYbOosbJsamWjFsbKSRw7RdnImSPT6EIwYKmA1pguegdxz/Nv4OQU0X 6l0sw4wCv8r4A/6zH9KNZFvBrSTqELj6AaBZL87uCHspA09BGeQBpct0ZK1N3VFykHnO+xP+RIYd 1vU3vH0zgMAy/AmyKpz+Ighxxm6iEw0x5gAEwx4YA88V18eSaUUIDzozy43jjglQY394NcssyU2i RRIs5NxUQttJwjei2EQ3n80R0lr4HNULn2SrOOCuXgviPos7u1KbbO3BZgUM8Qrcl5qXQlgG4DQd iOKxUw3MI7TmD9IO/mVELF4aCdv5iGssRR6DDvNGvStTS/VETlyXAGXWAstY2nJmh6vcXL1myLVY gB7J+34vyMVJNjR2/XVf1EwpbgqJPgFF6AyDvOoMWvO3OPitaVug8XHlwGVk/n/MGMEoOp10QF0q GFzOVPwBofd27eiWPFMkb8xWlekR9IeyweKyY+fvJMzcAD7Wc5DGXzFVykCQVIrVciLbUaxWUYzR aPxmMk4RnhGUgHAVVVjFKKIalC4+CwZscYRvGKkVp0kbBUg/6L9qiiimrpj8XydFcm06gKcSeCf9 H+aFZZuIdY3xWs5nsNzb7Y4PEIaoJ+TSHHTfWSmaxvsrlF3DUs16t84rV45TQuVHxx2GF+VRFN35 m55tY7Go2XXL7/wf32tTaQb44iCFurm8UYxoRwtlZIQleoeZyTfK7Og0GqNLxnHAwnjTTzpIhzo/ OUL/U8MYMpTK1553X2vzFTzGUqkYp6bTM+ntK+pgj6Et6DNZ+/9NbS/76yrPekE4PQBocHiG+Vv+ x6nyQkG3rdtPU0ZiRuT6SRIM6rec1MkGVDWOL9PHrjly6jHk2cuYF8nHi7+XPzX7KJLz32DvRrrI +m660bBnMC1uJNFR99VPOvtBSShFbX5dTnthfE2hsRuR+eqahtotuVWqvur8gsx8o1hWalO1/3cU GqdE/YFbozh7l8vRYkXtwt6Q3zC5XC8kvQAYZWQhR0epCe8OpAMVwpWoBDIcYP4/5A46sRhdUwGR Le0JvLRbspJ51ktDiGt9fekcxeKPwuH+xc4us68YFWvH42QLh4UY9+4zt7fWG4cxHG2tyPgQtNfF 59gVBGcwp5xFGJhOuYVoNabGjm98zAjsnfSyDZX3+77zbswfoEUMGAsXyIHtZKCBhaNy46EZAb1A /CIxMJXMJjRHi1kpQy+nrxpdQW9L8O3TjE6qKxIBuS/B864Shsq4Vlo/vXl9LKFx4sbuorzKwKAw k/RcJ+CWnLk67Wv1WtBAfbkmk0DDrgo3Kmo4/weS3CW7QImjNYt2vUDLHc0uhG4vBB1xdTbrS23z bDCryRPfNS2rnq4wx5HhkkzU6dnRC8XobVSTD7PkDrqiHG3AjZf0ZAS8Qa1oiCxCqMkeSuKN45RU 1yPGdKu5jeH1PE/F5FRBOd1LxTRweRd6+bNRJYaZsTZ7V9qJ5PtBN3waGjVYWjuqR9b2fB37jIDY GEopWFoRXJf+IgzJj8o2IeJQmmjA8KSO7tnnvXoeYzPI3aedkVEOw6RhSVHQdMsDLiPCaczwrp3x Bl5spSuWK/MGMGyGir5G7jh+rZtTXcxSBF3rdw6ZoH3ZE0erno6f2ZkdIpyGlJ+SgOlIKqtmx3DI gQLEnvvtZRPchz5/sTPTYP9XDqZmXhcuVfLG85/a/trKekTMMeMFeN0J/iJ4nJcPT/uUd7GBKfgh ZC8o5u1Yq9utl+cFcHEj9AnMuFIIUQPzmaCPIZEspQ7PCBzSxWKXxI0bRaLXADrJV7aHCcI4UFek C+nW7PKxBFE1d4WJCd8SqKTCLNAQniueXqnhLMIJFalH7AB164oul0Yo4ZmamPNFTgCB0Okhh++L E+gOXyehXbJe+WFgUf8p5bpUTJXl8f+TrgZtY+bcqOwdyQPf8zK7gaYpymsvdHVKS1Bu8FUmi3LN tdSJ5HuXcrHaawv4ZLuuP71TkBefB5OT+LBYnsk9YTNZ8We6IYNFOBZqi4oTyfZSWPoizwzyFhtn PZFmUz27klS1o8kHrBHqzSuTK/1EvJdgZNO+vRSkNuRKY31yBy/oaOaBJX3DAItvkSeqikEtRgn1 HeQ3euOclsI6q1pjdiVm2D5N72J+W/Fvjlz/Hpla/dtPNZuc3opbFQJo4Yr0Pl1RswzdFvOrSBnc uXmNTQJDfdUKkdEsjzPvCFLbRbcocVALGIu73gV2Ww78Z/HrZ0N8XigUsuEH/F4qcRnj0FQw7CQo zjLyNRHDrDEYV4JkJIE9wJsKa+49mNSUw9CoL26LkI0t2Tzj3GLr/0Y12ysVcy/gyQowwNlz7Qum OHg6c7IZGtDi/nRyX4tU2FTaqARL36pA8FpuiN6hBL+jv4ivUnWvQP9636Mpt+oTaZUjHpJRqptK i4qP3ziyfgEzBVaoAmWN603Zfp47NXoGZNjAYYTRtNdxuZlT7ikFDABGS5Ot9moLunGgL0u6NEsN xOTGIwE17FuoyB3fG/DnrFSgKrFIZxG6Zo4nDYIbV1SWO1B4jDwP5Oar4fK2dR0PHEAFcL+tg7Dj 6KDG8gMwuycaYHs7bbcBuvJBKIhFdjUUNBZoDRDMD77+dnBkX3oAEXZibghSm5t9xMbMRENJXL58 ESssKe6k5dUEMQdWgPqDPLPSfxJva247ecQmwW+tWHMbsbEhrRa9NH/omJiWYGPznTYiaxGzJA6Q qce9yIBNW8TP7KtbQjILaTAKjdWxZuJRstq1yvSygEyBAxaOMidHF1JZ41VJ2skUTqm0QnZvzjLr xwOgspiP9gmK2dyuSUVUE4DYKKtm3z4tOZW9tO6S5CqAUaS4fS8bCg8ynlJfcrOU1ieF1KG+Csir aOf/EBmYwDHdFLvuKl1HsE5yyQcuhuMDHF1QA9OcupN/nQGqs211YDgMLLJMDDOEGniPCSrlHXkn YvCWuV2nsjynF3pUGHlsgJUKWAuwR317mZaF/luEmjByU64vNE2wRGeG03f+HptML3ElUgOPYu2q GLzvUk1PT19i9OwwgT8gtqRnI2BhdsigCgToUOC9GcVtfcbZwLqnoxmAHQSKY1QrrzbVA5hHQI+I k158Y7YjeAKC6ZREI6pkRlSiRzXzT6jg2zYcBowwYAgS0WkF8mZPcC8yRK6smF7FK4d6GK0ZpvLj YtoHU1tH0i06J7/xfpNyAqnp1CjbZhJN3QuIjQ3uT1Tn4w/m66dNF4WRuuOaRmZ+4bek0km/yTt6 tcB62OMZ6y2EJpLy6mmAX8JtEBklU0GSmOaE1y2n3GIlUfkBYq9fKkexVdy6mf73GsIG0QyzN2fP 7yU5EoCFZKgp1AsyEV9L3qjUymfAl4USvYBH0EFVe3Aw+izdIiYvNMUpWMcMxitFXdqRlhYIvEo2 7YeD/zB8seKn9MR6JBfHC+4S6dCJzhkzDCUYICy9Zbw8LiIqZtv20NrQqoVL6lqvl+9mYpDproD3 UMvkd9fIQMTz88g7FTkiXulnre3xamt8dzoTFK8CmineL6hbYWpkvwIKaLth5XYBV1EpkuA3Tucl fQQ9zrtkoCDkNKYTGkK3bkOQQjwzvDz1jVcL9mLbBtOuq1/17CnAm89x+wSaBGYxWIAYSnjzf10F NBPQQlTqDVFH2O4fd4iGBi8TyYXMoTb94NNgvRtn1J+J/TVhr4YZui6D5DN2xsqk3TMY86DB6dk9 vbhPegKDQxaXtSkvnGfOxMAP5wlQAZtiAw44ro67I0/diYJ434mZqdfq8emQ4AkMOttH2SnrlUXQ 1Gl/7G5PAIRNLnLvTZCIK7MWBYUr4lvEWnNwy8FJjyEuFMNNqWjm88GAvVu5jCsNPiA4aHIPpGv3 1gxlgRhpaRqD6a5ZBtHndqaSRbHtKyXMWYFbASiamqNXSNm2VqidVWOXEFaa8hXmN6zzDqKcX0xy eTsG9wuyG28YALVoV7+ljeQ6y0IFx4uyUyv4XaA6xg8eXNyNay1LfToEwl2IvsrX+qWZfzwkebgZ L3LihOKHVguTuHxmS1nelgr1rAjTLzWUsicUIq5T9H3YZnpoH7IzZTXT8j0cpeXWFHibGRPlNZJ2 5Xh92VPGvkOCJKNeTDgwk3y7dXYW4F15Zji1wMFqq1MFw5TzZ5PzmeiVceXKvZD3Cj8PU83n7j1y HOsVisJ0ERXXeApNrmvhAmS3N9ZrkwFccDwLXqE89KqkiGLsajiF8thBtJptrgBoSPS7x9OPtZJy KJUmaOyoicA4adKhC9ICQ3lYgu6ikSUExhjPFb9XrqBsTXXPrE0vyEXNwXrae0jxNdH2E83Yopp4 WSY6+k4MkKvDxMPpzgyMXVPFnA4Sh9T0+rLOXaeEyTDrUjRSYfFidtJt0zPvpKH194uq5GX5Mz7B pqYmggoda/O9Xj6A0+Fgeg/LFZ/gpT6BeieHznmtgFbhAvcgUpLxSwJieJX03g7Y5vDPbEv5Yr1/ Atk8lcoPlw9Gl7hcOJMs9MH9sD2tmx5kxlZ860/Xo2O9j0s84OYE6slgvAeWJhGl09fgMIYFclOd zt5P9gjtwdhp8u7a9bXz328LtLH29hOJm+4DV7jzVkCNjXM8qA68cbh6Zf6XLmNYqcczNvZU6wy7 taDYINR/vIQ1+asFovIq6nuKvCxI6I0wBIqU1DYr8a0j9LBjlkGGlmi6vPx1zxSxmOF4qSQsI/dl vMx7bDtsvYu3FvyyLGZK1dVbLRTKLiJ2KLDEvgGy88dArOnWBpr7zZ7qpgVcuLpHnsUGYRoWRxrW G63y9v87k++Bhg/0xPfReHZVl/idMbZui9JX/M/zMfXF6RMSLEdVMsHD2I8ZQzHVa/wJ2hikOZkS jbp0lzEuDLHNi9BA1OoWDbKgzs/Ak/ZbcWgB9toTTrSvCpwaEPsuqRXVd1+a+fF71wRt8tpRFKcW keel0DHCOOFvvkzXUOofb03ZGNrnn7m8rmfYWl9u9YyM/Hu8x9wImViUgNb1/aJMMPA/+wE5BSpv NVG5rN9DAsJaDkA/KCrSW1Ju/RDIQa/LYSVGxtptBBawGr0dGkCHpfxcINhMmMJdD7nN2I6eG8lq 188xJeJaizkdzZBK/vI3iaY6F7+4OMaLNyL7GyWUUbWYo7JmR6E+2JwEnu3xfsdcd3i/PemqYI7Q RNGRPawEN2C+rtNqtVywTgZX0yVGZGjg8Wc+n66tVATvWF6nGc+LeQAf/VHscvGD875Rff/3CRBA wsVoqIoCZUIRLyFtP/C+SwdiefRh/FDNx4b9wNN/wu2ZcnAoWSmSPBCvMPFFwZpWkXjqEzcAf/X4 xzw+DFC88sDGPbY7mwWH6LW/f1Pgnh7pr7oGEsszoH5hHTIhxsbhitcDBag3h2Ks3jM4wu3tRx+4 HMFoewCC7WPmgeoeg1X7uFyZyQpvUUbX4qeRehDHCVtX6jo6cS7UruignIWeq3INN7dhqCNu4Lqi xm/XGcXiNsfH1fBfcqPWYPs5gCOzoYmRk1pdtwSL2XXrC4GYe/O02LCumr3sYY6pHsu0I+W6yC2t RBiO+3+/OneoDz3pxpVFn33t6ELWyEFFQvJCyugefYe4EjEogat19gw3RCIL8fI7Klk7hK34P7CP CXs8K7JGgk5zAfxqk8Xpp/n3DQoDaE41xUJC/4YakoUu3MAfGRt8SRehz5g3yQBCum2nXNbCawDy YWhAHfvAMTCI33qYLKdLSff6ctDlUG/U0zJxwTa5vtv6HA3c709ZD+DFdLQ5uBjD0mXISsZKy/YE 5Z06hfMZM/fFjk0Sx7QaXXE5lfM8UbJiEpzgBDy8HLDsnT0911l4bSTk+jU7x7UwUpsrfJ3sGEyE 2XxDUlcxGkOZkUBCbLotR2P0LelqCYui3A3QOtsmUVk74Jj2P7s8wLhAHfWah8YvY9lTuaemSa4H r4JoY0NoQXHH201vVFCyiVZz57EmSbHKZwh6GBzR70a3G7QR7LEIdTfM2DCpEZa1/V5vtpNwDcpL ludaHiU69zk3ykiSW1wFR1BTP0TKvHVFbgnwoFwwym+h9KXUyqqrOrh/5EKbPdV2KRKEY1uxOv2M Q24nrOQoKe/Yqo5GlodbGR6zLAp2LJ7O6yvpQb52FERnBZUuv5Ip8rWzAJyc/Q7Q/OmnDPafwMw4 hi/keTx3tLzRf/yhYezNHy2aLOVPvGx1QaWn3u7M+yw11dIlnGW/XY8TRaidFvkro9aTGJCHwn8I ZofJ0CmOe5NM965qAWJhftb1KwqNd4eaU6uKxl/BbSW1nE+Y6PbYaeqiiU1BGrMpCKzh3nKTnLHV jBY7zNtWtKksWlGZUqFnGTAIVqe8LZV4L0J/OL2ORRjD+h1o8BLXzz807x03ifRSGgVOQJ1o/Eiu MRjt2HEWxNvPj1IJCEHH1sES9z7wvYHVaz7uPBOfPXqKcWkhtkbPx4rqWHfVUKM5HRXtN6YBKeUd 2YYnadJivsM9eHLX3ZxwSD5igx9KvqPegr1EwXe5RFf0FvRzUUo5j0gETavROvN6tWl42T5Wgjv2 vDiJ+jU0hqEwmAOzc+k3ylbATQOaTBX4EW8qArz3kIFuboyEJWefErqsUQpEnAUVJoGLiXT952iG 4Ee0KxBhYJ2j+N74iYAQ79KctCnhSKYokrtnzNAHXDk8aK6fOxyvdN1TbgBZ0vEmh0YqTphSw8cJ AD5GVYjAylVcnIeY0rk1h495UUQrYaCcyyE1QxRaMO2vSvQym3GFr00wERLb3jd3wazuGv3R+wSq Md8zO5zOpHVfJJ/w3F39JMXRtfnekTvQT09GpNPwUReO2l51ZdnBUMlo2iPkR96/SUefiicsRWHZ BAG1EntSnJ8wHTT1e6vTb9coUHkKxEvga9wEUVRgFyfc+cAWGO0QTvNFNFfwVsBQIvzRSG4SxqxD Vi/N0YIp/2vozNzBD9P/W4T1WDn1qqk47ITpdNhtoJ0zK4SWC/Kk+JyEQFrk5Xw6/GPZyjIHOaW6 RMAhhxsunaqhkPSYrvCP44buMUq0QeVBVLIoEiKaTou5Tv7LdmhGVhqO6sMwkzvKXSW1cmwx2nGH e+SsgWiQP9u29Pum1OKbCDZyNfgpuFkj8yKb8TAuA18SfVuIDki0zZ/kqpxnoLPzP0Ef1IxEcUm7 2CKFbjsRFurr9q7mNLbBhCL+SGX+ik2dAQVmP6BGvC57VQ3K/sav1hv2Ed9D3HJrLT6KVOq3yP1o EznNQl8L8GMjRirZr50VKVwDfZi7mXjpnKKCdPk0BELmFLgYRuS2WMtHmv1w+ahhy1F+q8fOUS9I dcKJLdl+VX6a9yUQAVZfduyikCvkjzo+tIUzsdGUzE/0iVmT74EEKuLj5OCA4bckB43Vy5ZQA3va K8CvU+QFzE/6mcgUf0Bb3lJoPjWBhcYEUWLNppx4EZmShZGQQ7vSXDUlAQ9gfcnALY/aLhMae6Mo ScHkD9P9Td7M2MeG+7k2Y0Lbo7dHVenrEc1NS6eBiiaKTclVaqBDlJxOBk841vJy04C0ZitP9WF0 9/ZscqtpvaUNyJXhmUWZ+FYP6+QAC0VRf1dltVCty7UqEMRmUgtX4U8PWI3RpPhp/q+SrBITfre9 vJp2OG8y5ikxVEm9vxbjzq9zScemgOQa2LX9M5OfEVarMGrGC916yT8C9JiNHvX/W9AwglqsXyKJ 6YvLkGpi0TBc1HipmkyTdt8tPFceqoEHDUPp2vXJOg+ymK6NSbfsjq04im9OzREekj1QMnOC/w0P nxkh1dBI7nm3zCFsHT4XEh0LBGaIouWoRbpWawwTCSYTQDZn31hlqXzsBRghspkaEfM3EmZqb2m/ 1Gxyq5jPufvcRYa3tr8NJU8dRc5K23N26qZSueHn6s01bzkHq2ayGP9nBx8e8CWsacYjxxLt8bUV 8EJnAr7XkwiYlYVYIaCGXLS5flge89nAf2j3/d3TM4b46JZpRIZ/oxL6aFhNr0TKHAAHuS48Rjkb dQtfc0v3mbtP9vqe5iGeBM0j1e5a1cSrCJUyIK/y6nLPX8TuMSrMM+oDGOxS2U3nKb/cGSRzKz9G 5R/NydHvhmPMpncQoMVyL662R5cx+Z8IfzNxxuRuv9QcDBvcMxr4BdctUxz5kRI74+et8Pf8WQiH I3HkVGkgHuu5hkhAm6yU2nyr0h9MMtaQXdC3CftICD6vZ91RpldMXYcxBfZlC39qGgdguKCJ4Rk2 LQ+t4sm+2qdUv0PSKcHQJBqvLM7tUDEqQOUsTeVSEaK1PrGijgdQJ2a6CwnE3uJllzkAFLug3AU/ qPT2jSGxkwKwuhwcZuY0wxrhFgO/zmhl2ZJjMNYW/3du6Apnu8FqD9q9tZKiBfi650eZAH4EJiq1 uvokrzjrsH/PY3CIuRyNCJ7K3yAI3gKvCvSLuUpL76Vfxexy+mRQPnC858/3Qaj2/WHa4/XwxFSD V26yrHfY2m1zVCp+OJUyR02ARuiaKR2tF5Te/kkCGvdoIwPE+EeZm8zrXrG8IBbfVynX8fqFjPRV fFnKRn93wp2sPgh2Bavy8oF0ldvRYvh0GQ6YUwlexkA23wuJFmDYplRxN7McyfWyQfPBJja33eSg Hy2dswdU+DYd4Lsvj9g9QPlkUdaI4PisgcCMnuowfGvfqVr0CQIC0pCiAdJY2l8Okg576sJxw2EB KIxs5t3YVjs16p1YdSUbIuQtW67qTPxRJT00PZ4fcpMN9OgIaybi1Yge1PC+lgAkQRWI2DITKWJ3 HH3ecI1odIRzYyHOM3Z0gHfr4S8uzewF3lFB9SO+unKjA4nQmOgGeTc/gSi/UfIhO0jPYWLPmqYi Y7+z+4bDdp2t4FKR9QkH38FLm7N+zEAW10PtDze3oVTyzkAiLnNQK0aHPSg/QREqCCr7+tkE0qNY yDWZ93j0rhIlkXBpKGTQhvLYvY4MGG886CWInjKRsEuv7XvnEm6JPWVUmxnUL1DGGaAAYZdgg3F/ mEY+9u7YPP/3qZwbzpsVWWFgBfyirY4P4QBEcf4oUy3PhEk1naYv2KrAD5tO5OueYkIea+xVlF9j l5vI5rYK6N4GD0qjmYf/pOpKG1cYzuE+Kyfta8gtp+FhXjhtR6mQRX0SJO73Jb/AD8sh6+2X10qW 55bEx4YAnk2GU2guZmjO3ETcd21ALzFZRW4EDzbufIABaaNXY9oB0cEkrNyAOOnDFGnEqaVT3Yi6 Y44KYiXqCCtcxy8HCiDC+LGHBTGq0ufDjydz/oybEDG92cVd15tRf0OtXCiVNsJRIqNwX8+N0KmJ Eb9AgyXvdngdRh+sq6dkQb1VXy0T7qDbTw0yEVhzhvZ3uYdxqRY89ira/Mn6aXQwNz5gjAmaJt0H QCmYqRL9P5E7MoUqqPlTuBVvVsUsJ6SJCEK+Qg3UXin0KUCnpVTb+IalLuSJmk6bQe1E0SzowHoD zu2x42+N3m+uQnlrd9iaKF1OcdwXTVaUU1hUFiiyc28+9MRA/hrSpMj97Tm4jHSeLjbgsPEKWy+G +J882OAuA0CpQ/zw4WwSOiD//9DhM4UtCcVVBKfuEifAHNSy2wQeBdhtQ8ZPsad/3LIiiFq8Mn0P ZhbKGJ7Z38DsRK+CvhZpy/C/FEQOtcXrlvndoUBwiXx26v8d9ibRG2s0rv45M8WURc/+UfPSuouY j63ZlqIV+plwtsS8uBGkXROM1a/t1r0u/zF8A8I1KoDJnqx4+7Gq40FWtZ3klbpwgahhs32Z+XpE QLymbBJqG1Q6cpcF6C0f1TuqOP0QFX+77Jb9MjKXsJE6gN+lXih1HWzwjc6f31jSOzEoP2YN48GV PTCG5TjH1BUD1XBYB0CWF+l3iofHW0z8vIY17G1OmGLqIjZG7hD/iVIzYWAM4dUdv8PNYwvgNd3W 2O9GygwA97irkFVE+eeM0IZHH1NrfLxnaWkTY3b5C6OnZ8w5lNUSTgZDa7JnJwpwGHi26PWort7B 4f52KuscMV+sU702AsYsIxfG6gG/ZnAavgnL0awRkZXUhIkCO1hoc0eFMUbEhi51iYASMhljQF3y OdnaASXeRRetCoytnNvW7lZ/E7zpnqOZUGL5LDrDp/jq/4v9V4ynRcX+Hp31pUZUO3sDbu2Rt/V2 eV97x5RU4c/mMZqM4FsqAHRlCjkpJ3/9J5oTN5i9nHiQZaYW4aBQdjlF/kY4I5DuOrkfXYX5W4g2 UM3INCfKlt25fpYgG3ZLJ/KPNlAwCcE/o9pOk1nRE2Y7nZCuJq+9q6eAGk67ro71T+NSjdKyCDlD FXZqukgOcogfhqoUEY5q8iL/BLHUlEqu074VOEJUyZzhSFhuYURMbLsq+EDwD2UPxXlJ5g/YIMaa 6MdjZZ7Lqn/nulV4xtKkTd4i7T8uuU1YVUrOVek2dom0egiWMZDjnopP7llr+jPq6gZnYkdceORB /qKT3XMxedvj+8Cct0LnaVlFIQryFlO9kSJjSBxgik3b8vaBb0HIKrMF0pm07/vfijBYq00gxcZA OPnlT7flrBksbh7MLUL2uK9Uk10m8eFxavx2Mo7NnhuqcVB3NMW2RTJPQ86nm8nRyTdPvQg+m/OR cfIRYhYoED8JWuMLeeZit304/5DDCkQfR4lUZjEYDP1SLqjZP+3BYaym2/Ma5F8AicPuKhVTRR5I x8F8iHd84IXDkIhpVQso8TNRdRuP59JpqUhpSCBoX0nfgj/EclisuiRI7zPL7Ll9bf9SAsRlLTdY 2y9Whwq5lqX5GuR2lw5G85tINfYohO6w5digdawMqM6SXSq5IPX1RQaUOQZBpZdQqtVV4grJWCqd NtZIHGnz+U+tn7/YtM0QzKDF9hUGX42ElCnDf/liH+I4oJk6Jct4NXR9uR832b0jmt1/MQ92Uipt topYDLvNZ3q6J+3FWvzCSqilybqumB3JXIUERzFRGxMK2PP+ix23moZfooq+IJsKQSa9sMCrouQF n61M+NmRiLtIjTkQnFbsd8RRLCqk6WidOzKS20cpo5jrE4Ev7KCMzWwNg4uhEv7pMDs09fSrUJyp zJJ9XPQomlwUvRRpkp+9lSzQhMF7EI02wrOaxbbu8Qe43tklSXimysDzHLmhh22cl2VQcpXMeqY7 TJYJdaNt1OrrEDfRzY/DwzPARWe6XudM7D46Frsobk1Hhg+x6Sc/H/7OI+Uqz1mq59TpgFBLp98r 7vNFvYs2myXfSnu/AJWCFsM/k4CTewAB8/CwZtR2cpH9LDAZceqOwg54/yP5kbnt5zlrj45Dnvan dvAjOlB2Pe8B8xjmmwULVqEoZOa62yRcOQPYy3JUH6s7aZwNsJqXukHO8GQN2kG+WiIl2BbrzOka oMFPaBq3YqgtkhMKxK2+KxuyiatJr3pJ8XBwHFTtnGEdRIfjU4p/8ZLlndYA4U4uPbcCC/c0aJXi +7xOy2VDoeZFYaTRE7jAgqyKvWa8Ks9oq4a0L2ZxfWgJOeJUItwNZlEVluWU+d6OTPsK+PpVSRx5 pBOtJqtZIVN1pLjnQfDtSXH7N9mlrT9r23rHr90AZMx+Dlx+CqOx4T10Xlzu3Wb9NdTdhzkEz44F jhw+1tB/fxXJ9kMh04gOAzPpoLuZqLZn4RG1j/vHohp4lDX5RZShDUHvm9DQn09dX6+x2+dfvTRS yVMp8Yw8vnSmL2bBxXMW3LIvwvG9ODv0Ay9/xQ7eKTwas4lySH1xq4dgvjAeT+Yx2sviv7Omki8Y AC1lFRITgQzb48W2llHha53CBQE0t9Djw/z4jZPSprtTFo+m+cYp+SjsHijDL7kb1lt78AnGeSwG 2tRxltOLmPz84pKd5Icr/kldjGhFsiAsbDmdQxxf+1QzWycNR2mey1pRKy4aaDoepSInyn9wrYPH 3suYBjD7/nIVbllF2gFeh7V5X7ihx5EC49OAXwbCQZh4fwH3Auzxw4+1/V4yJ3E4U01vOGHLADsZ os3E3WCEU5XiP7hwkSfxv0aj5GYVSA+fXrAs0az41Ep/lJ9/1xJ9WXQ+c5UXyGLsxxd534tr9XTW 2aQHXOb0A09sq62sdcu6RwNJUd1Smw+inQYn8GDTATT2bFTp8PbCguHVCAgLyla8sZftYcNIu8vH e9KXYCuOqyiIhcPlMC8fjQDAuMF4+z/WxF2qjn5nnVrf+y4qhElC8SeHnei1kKGrJnuaBzWQGDYN 4MxwjxOh6UNx7laH+UyNFriR/eGZiiKoIvlep3YBrOV7AIEqefHCmIzplh6CprBOyC1A50n97190 0srVPMzs8Vv0cXPhYAWoafzBz/svi7bNjRHO4HG+uYtYOixmeYcm5+WvjfPl4TR/y3cXduxrIRjD oS7rqaIYm3YNew1snJz+BLhzd1AwSeQFfXBfIDdAubSy+d6sM0IAdTYD1TBjlcJaaCSWChULkXrC tZF+S++TSFrXEN0qw6SSb3SLACis8J9bf8ydhXq9+cg5QPzX5W5DOYoA4wJKdrnaSkhQOfKpqvVR D7tnq3ckYT7eM5Q6bKzyScIu6omKjjQVQTvc7iwfd42Y2iJbbAemF6uXHjW/+OWwWOOs7x02CSkJ qvvlaxQ8rS9tVqkmwD5YDGdkNckUMX7iNUzVjK8ydXFm7nGlaVQ8sLgUk8dSIp9V27q4rYgA4QUB akcSeLN/1v/Zu/hJFPp8SxW0LiobiJmRMJ14z4Obdw8zIjuhJC3/B6F7MUP9LjU8ZefeTecl26ci cybIuleV8f2I5J4QNAGXSe29yemsuRa1MYKLwYCrNjjyNp2fnfTLh8ZQ6YmsU8KtZ/lFX0jkgwH8 +xQY0eUXMtNzcQ/W1wmLBwD/AgiIsCVW8mtDDehWY8GIdixCL6+U3xj9FAhCM28H4Of6Ko8JUfJS unFrkVlXEQtJqhgX4pmQeDULzSpstlpKa3/6qXqzgBRbGAchZoWRyjF8x7olMXXzKkZl1oYH9L6v y2MeVL1QRhbzZWIUtfJAXySAbCtRtJwDDYpNXn9ppGIW+LupX/HDmzVedOcZ0ekn7TZDjANEMWE8 oAeAQousvT0gKHuqU8eh0BpIxQjBYQrocBAmY99VLBO1m9c7DC/DqjoT6BNJ9qj9+4rWOSKfEfXW tThp2UADZWX1ERBk6TifmQiXL1noiH3h3ofnxuLOLvC4Jnm2HxhtM5fJe7l8pL0k7iWGnmfGKHma fy6NeEuZdtRKHBHuRHMzUAkS9afKDQbE2LR41lfURozub+fQyNt1j0JVgMGSJlpi3qfiZSFwvDa4 9sw/aKGAPjmffaTwiT37qYyaeVai0ZvHCuTIFUs2TRCmLM+F5+yApG5NyWhtHMaajVfbHg2Kgprj lme4lASBqaBIqcGevfC3aLEkjOYhZ+x2nbgzqoQkXtrVpru2MDwlUgVCjKUkEARxW1UUDOlUTeJ3 8cRhAuEt+RUWrcjlHqQeMX4kspY7+jnP3E3jmL0+17KkC+ISPVCHXUNkg5o8EC86eOHTAmwqfQf7 E70TceGSk8KIRhAFjzYnBu4e7Z/VtLQoh7w5CbJKTe3aCtcftnhuGxUWaiof+1SyRN3Z8cTbFkER xKVuhE7pKesB8YnRBkho1svsu/qnAHS0xF8obF1Tf3I4fyWaSFKp+1YHy9EkvtNRuTtrL556C+pM fAtZjARzsaoC8It3bRX1E72Cyq4xg9y/lY1f9osP3iML1UVZ1a2YGfrH3LImpqnOvekMuWE6mv5S 5UiE1FV9jVDOxyyN4h3wfA2Ixs2pjCGzQ3STX1hVamuMAxKOnktDMhF6jjdEPnZvfhoEGI4fQPqD MmSbz5+Vv8gm3CXpQUjEnVYOQCdFegudMGt4irvJDFagy4EKWaSfTQ8PDqIlL3H4+siygeV5WzdA q2v/SOGGw/Qabbz9sTbv6Z9Qg7P53C5Sa5/AuUaBHcJ3rCmiUmVz+RNDwQp79mvt3T8zV7mJMo0Z RJMqfEPYgsML4USO6rb3hU13ke8wW4wi8h2C857p6fIXBrLYn3YBHKwSyHLtrvkSo2tzjAg1apgk CO0XRVCDAwuboyLPrNai7cqr7DDm8u/ihg8kyeElC5bxfM6yBkXMDHGXTfXzoZBb58K4HP+0Un86 pY1Gw4z8R7ii+wo3xEdJ6YYWMn4aUhKBMLNSRsIJRpsIeL9iHOqHGgBURqnLAw0KKcpJ1wJD5FH6 QYwgffXadAFyritRAdVPMpO52aqG8VwItlEqmkzT0iUHUKrO0jzYzZucq9T1exVYxJ2wSDIP4JMx E0+YRy+rZlgnH765DPVu7nGCdvx1ga8lw3pMkoTGchLB2ABFGRbDFTyoEYQ2ecWHiHF9F34uRmMx yM89an5hh1IpxYlDjDHTbJuw8p1ElD9cYb2mZI/GUXiDnnFsguvVBQD5oOZTaY9e2MCuI0Emd+V4 VRFfMVdkrB7bwb8hPFe3gwH2mFqsiunclWoyDw75/8L/Mgy2YnwTBvGEq7wuiDh9BT7mXnk9CoAw pBVfCJnePEq5jA47sk/wHyF/ZnXiVyaJoy4/4RNWf7ejDezmEyUnlG6+VmIiU8SAwgkp2d3q1iaI f2zZPWl8gXGiYvRNxLxWWJbFOBDqbkYIifXy/LI95vVFLHT/gcHWHC7jzFJLrExvRXq3lN7l1eBX xa1x8DYXKTaTvov0qn5L5IakC5eww6q/zux6Cg4HZfz6cw5KToYsXnU+EBpv9jq6QQSjlPbH/CFZ IyXY4V3iVnVDcUiRj0LT0Bsbtt2BteIbp+UZQCIvU0TCI++vx5fkn4XQUj6D/kgLIwTF+sOhGDhr kZK60xONvsyAQFv+6mDOKPs0gFMrrZQ1WDZ/gRwV29MLELo9lTtv20gNGRrB2JDayhckW6BTJ6ZX 6QBMd1SoTE8pBpn7FIGHwWVVMT36xDqnIV9g+0uKbZV8t6A9IJf07gqaHM80PYH8G4d9l7B0uR2e NzAhGtHHw7se+NmLL8fsYw/P+cZWh9YX9NaknDHL7XgGVQ3eFyXQU9pfToe7nR7yrHah7zIbO8Wm 9k+QyPsRVKi+16PbDq0yRLiPyJeNHy6KlxJKRdFPRKWlS5Gc/Haks5P++aHcaeJQIp27pNZZEhJs MWWwM/EfPbMGW9pwMv35ctxOj8tkriqxdGOAXoNu98fhbSmC+rZLDqQL57VBh1SArLBXU1lKt26S ZivJ+Y2LAdyGHKB1rdRu1XbKJDXmgOMZ9EfLTzsWrGyjHNIJ4JYeEWg5KvXAL7ui74k3RtHKNFkM GE2csnGP72GwhvTP+mrZIvhtWA2A+ZBmB5nt7amCaDoimvp+2aq2DzU9MMof6jlYpt3OzR34Y1Nk gmzLxS7QxAJv61DajfAX93ZZcSZfiLK1cTWVlQOJNz6sfns0rekqD01NF6KzHQCIm0TKWjW3VPXd JekF73yJG9mMUK+El8g/VnmNrQvJXMPRhSONjVjtLdmBIzUuFuKtfOFzY31Ds+W6vu3K9CJ/08ys bB8dejfIlRaYHmWJd8yMmykY2zJRrIlCiCvznBrXOXtQR8eDHS0MEC95IycVVbCNMe/HWfmD/Aci u70dTocFEG4zhNJhM3JpoznOTn02Tq4qYlAc2OGJOC//Q/35jWPqrjbjR3XBg/jEv7F85GIX+U/E ml7M1a3oh4Glbah8bigTCvgCld9olMV93kQGM0o+jnZmQVwVYbQDQWVSwG6+/p4UTa/vp2Cv7In4 26+pYxKBxoIXyWKZ2sjvIenCbG1jdYHni/eFCbyc6uBEj7Qtl+SUVCVoT0c4J8bbaqpLNwEjgTIu gw0o+wZbDSR5kFfQ2YiulNor58D0v10Uh8t5j4Gn325BrcOwOdjUm0WbHX+fBNbjf7DQ4TyzGXvW LbZddavUE6VqHzzoPrGuHAke8/7zKweIe0/NHNsQc1fs8bSXeTIi+VD0GTPehxTqn89FJwbLV63A qOhpvS1yOBAADAuWPkJLy0swmCQp5PIklAvwfyRhUK1OH1tXRc9e4mbaSafKMjfPegUIUjN4hxix xQjn2FmCRt7ArVYlF0/YskxbSd4uLV4R3lsAwDbhA+2sOLbI+m2g3aPjDpx/Av6VDV9OurPjtmpz P9J+7KA95AzDAwUFgQ3aegLls/Oy958/qpjLxDju1J3PryN7JyDVc6wHlirpJRYRsYrcPU42CbPI qnmCf33QjqoKGMomGYyLOEIHcanA28Vxng5pEeuEDtS5KEnEVwqlRaFFhF4hjWUn/Q/+UBowX++3 QHZQCVcj4mEGCopR7eB0md0iUW2XVi+kZefYCAmQnGXb6fXwkAmCWa+6Qgul1ftFtOxJtIgRA8kP en5T2EsrAzybDirsfE00pJIrtYhv8oSvHPHI8JHjOhAj6sTUAWdus9wnBxquCjp6BkPpd3bTA7qq BXUdwJzCDfVJl33NXzU1fvi3ZKOF4OqGEiEd0Gvkfsg6DJIP0ciy7w4jsfbONAZnXzXrS8T5axe4 /CvbdQNPQf/9JCQi0PVN9evTjJSi9lMXNbeZj1K/2I5gGY3KyNiN5KfucwU2tPIIUastltpikevK GfZA3JcikZh8ns4JKk9mi4dfqG1zBfN5DhGLBnLPPLZXZcLR8+vLjh6ElwTw9QbThc2H8X5TAFBB AXy9Jiv1WF/CrIWeX/wNPwkoqP4o3cO+DY0+IcCDi9IlJpKgrAKVL/yPq9JAKYE7pUMJrwsDk5z6 kH5V26jTJiIDf3m2BBQ8OAGjh6u9OE9JbE4kKOMcvDF3p9l4PgOlUlGac8mdQyKXZYK4ZAcXwVic B5a5B5j4F6HzfUTjE4Wgic4T83y9o84YtsMEncTw2nqAlSl6W5FYr5FrunIZO/bxyF90Z2bN2t1N UDQXJAAYwBgCdF/hXUOpLK4VP0AqBsEdlB93AMqFxWQdiheBze96nt6hu970KnmIILtqaKEG+uR2 wQ6HqTLp3fPNTE2OunuLB2a2kRm5ttf5yN+lKOLo0HxeOWKHQqvmTgr7F6hRtL8JJET7ljtZ3hME e22eoPit/f7YppNyjNQMB5Y1CyKPbN15SwMssS9url2vLE4b2+nctaM/7OWE2dNCrJzvOqqQ747v StxUw9cK8WulSg4zIeq0xZHfloLsnJeD5iACOgti+xHvSdEzjydUJYtshZ9B4l4fuKFdAfgSoFjx q4OFgLZxJu1TsslIHrfh7io05V8xthTe0aCcdaWYsjXCHEe1iPieZBIR28ym5w4sj8pNeC7Ktmkd 0zdjm1q2boVguAfzu2Yfl9kf81LKHHH3vpygIigLwSdsy4IRcWS3FD9+ES4cqTQfdqr1lMVQdO+N 1NW/8Y7YidwMXd3dVxQGiu2Pfh0tlFdj+nqbs7SD+FimpjNYuEpxmmQSEkDgWcZ2A4WMR0Tuvyvt 7j4xoU7kLMVVmzW6A2E26+UscimrJpi+nBUNyfOQhBsZZhnbzZcHWYo8UFbocXX/hPVaJBB9jylh WIzZVXZNfqoiHM8bZ09ycaXzHiL1p3Xy6a9eZE2XjVcxmqNAqoQoLQjVSNuA7kmmlzde2cLazGLl dDsEVrd3Osvf1aeulvzebtS+WLeiuzFpOvQ1sOXuU8KKU6xo6AOrf1Ii40JlPEdrs8TdGXx902ik uEBfbHDe5Xv9+ffiYCkUU29LJ+PCKgQ1j3H3PrbSu+w9JvHky3QhJKGGqZu+wJEOb4RRNU1eIobR aZn4HpBcVyZMW4LQ1tJ3cZx37kWqpGu5BfD4b/dnZ+0msOy3qu4HWnICEu/yorqzPzdIAcAsB04R FFIyByVUJOIx/7O3kw4h/haaHz9EdC1sf+YSh3ayxhIQReIGa0e+pB8QdBF8Q+SuemSoy7ELHS26 5r1XSUTVYCojkbu4auP/O21czNoPS59CLOuo2s8M8K8lxxAhPqBI//iAGkCpnVtqgJprp6JDNS5s xRV4ubrF96eiaNqjH0ReWZDXKd3J6xEG/RsW8xqoAknhUyknlKArGfHQ1mb4qP6lX4pJgT8RMiwn 4Ff5gie7TDdtzPitVm7Jg2JmtSM5SsN1+xPf2OdEENXyFpKtzZEj1abnPQHqTIuE8SvVwGy/0Pnj uSppTk+bdDOmaMPFmJaWJWZhwo2ElH9hKm7ktd4KqURfACL6/FtyZwojB8t/sIjWYt6+LrXsrAcR /Qp+haby0gaL9l6SXWKjbNggLXbq6JTCl7l4A7vFORHU23utlL2uAZYuOuVL9NqzjnLnW8DU/UQc ygDodeTLz+k6Nwxc548mc1Nik8w9Bzlln4ZwNCE2LtUxyGiPsCMoXcgpK/MrqZ3ojlmXqmImv9RS iNd2xrvitJEnewFMNO5pbRJb4kT7XnUb10UxzxsA6/+26CnPaDH8eLAHFOZjR80ItgHwdTklXaaS kXhW8KXky8KFc4V88RGhMHia3JvTg+2deBMJuXTY3d8kKFmsVNuuoe4SsorfdkNTFcnIpscCry+L CTvfcgwrcpXlYa39W26hWV28Yh6vym9sJLQl34T7aiM1ydsFcrpRQAhLTLK1S/RyysF0pegDHGAA W4ghD0RlSng4lNVS8X1fgcLRVJE/dX2DPgJnkRDJnLiCoStkRffWOjmVe+zFc60CA+o9D/Ss4EWP JaAPw6poP3HKU+MjheTeOcLts5oVQP9MpMucxAXovuLHxXhug8iMpUifgSojn6qrNcdHOzOHkcpK 3NWGpjMfCc5SPcB8ZOlNx172Lu8dM/Ef+sMdqMEj5KDuJ5Mw2whBhdBq8uYwuyP9vrw7eohbJfXO 1BQMM55nMAzh5RmdhAcBEUGM9yVTu38OTRpfbSa29zcJSp264KSKEO+Vc3AkL3+589NuDky5z8qb BHb/cptQWm1w2m/Fs54T7wiHnpLUKMisa8pWJ3JsCg0zDgufVxq9c4RNFbkT8XODpV6MP8TQU0PX +H/Apj6g/FiH/Q5g29Nk93gA+evSCyrJWEqdwUVcG8hDdzCDShd1bRySHaM5mOtHIgAAohIuu11U gsen9Co0mBRDCczfX8ko9U+arzEno5JQn+AqCxZvVHarsstH6K8VsV3v7Ojc203N1XeA6k0mDQKG ywLWxWyn1IGsdvmLglQOPVcGthDdXI/UYJFWci5+8FIUFxHi6cLsEcGHoGLkSKt9fRIzu12Zs6c+ x4fQn54Bz/een7EnQSSA4gbhCqYCJYFIpmvS0hnFFZuAnDX9aMbN6J9NyqbinPg1yVcCSzxVO3MC TBA7BK6NctTRtw0eyO+ECIu9LEJA6hUxHmxDY73/erW4Zz688NWvnNwShskDi05yg2PFwF9MIEZd 4a9CDogpnqWNWmkQS+Z0CJn788mt0jHCTz7I/7yo3/QAxF1y1iwkjswjgEGePZC0B9g+Nq8Olugk C9yinEWcFg1LXC8vraf057iO2FIT/8QRHTFqA3Q4EwpjHUni75ypwvdQ5NdVFeyfAwL/AigSOXyM WZVJ8uCm2ov/P2j6YrPuybjdqFTtFwsGoYNxz6R+UG4363AO0zVtcruQNWunPMg20BW1J4jhv9CP 21zuz7kqJSaXqqSO895A96r4viVLVx+3wC1QU4dzHhA/rSEi5raJdiGzELj+lj/k2z/1oFPIvEGo j8fMRk9rI2cuj4uvvMyvglSR4ntPz/xuxSpyz5b0i8to6Pymq9UDhZedEwe4UeQucohpG8gK5sic 4kzFFbflr93BrANibHvi5fMpQm4tspUwXcRcen0hVLe/VEZAru2Q32M2vTpNB7g1PIllhHTZd3di eUYGRLgHp3RC+rkg3eualR7yQUiNYvnXf0NlgtzV8oEwtLJcIzYjPzmd7glevaRjxVOXWJGBbDVO ei3ktXkS6XDvZgyP+lIbEOhPG50RVbGLwpql8KAXLOPznZ7xd7qst9TNBqnOPDiLfCTpypEDvC3A xigbjmEUCvz+ljpET0nTf2LE+ttr6zizW9viwvUoMA7R/GJ7EpCOug6ayU7HiIJW2zvcHD1Hs+IZ n0ewB/QKneMcnk+jwfE71ENCbOfcyZsyEZGXBM+1dIFhwzAnoTeFIL883KpJMdQxq/dV2I2SzFb0 YfKeq3kvqF0uuv1RezqLUgQvWhB2inmDq1hI/waRwQG1EhxOfeEEmjAOUP8+tTUqMyeC/datk0tg j0eget9JsSyHei+sUi5H1aLGj8EU8zuguhtxMG7otsn4eFFciqVc0ST75mXx6vBM3A2cHiVm2P7N sHOO1NiD15VYMH/No/TXPf0WvYCx3hPQ1Vw2Cx4W5v8Y9RNtZaArQ8Fr+Ch6x+jKLOqVR2vzrCHr 0W6nwZqAZ6XEImLw2VPWMZmRQO3q27UjoyYsjw7V2ZcXmcuHg02MvSoa8rngPiK0UTlCQh8kxO1W EV/QSrG5hLkqm1qraBk6KPIURT11dubx58IRHip6tjDuRd4WXvYHkUR6VRraScEbM3DvL73Nu2m5 aX4E8rh7FiIwroDpLvTy4UsvFW4Ig5werlyPkBAemFe/1EfPxeAwMXKjM+9Yj0p+PrKT+okLZhhE 1orWB6zZ6c5MXsMUWBT9gla0/JXr8Qud8hr+SV/XLmUvNcBBJxL0Dwo/0SI2QpqKFv4jY8FlT/6j ZqfJrOGB8VyyAd32Zmg27EG98tW/z1/x9zI9+2qwOvtDwQyZdcXhl95D/dbhGon1KBdLCual0etO Bej9Zj/KS84Kt+pVfAk3tWAYgcxsJkIntxuPcP42Mynr3irGJ9bA4v2bJo0PUX1d/CD0tAeWcVcB biHiveLhgKnPii7+HHosl/DalSd5/yNotxnfnqIQ0G42o9OpKU03A3AnryfWbn9IvnBiT6FcDMG5 DNHmuFd74Gm9zL+jPh6qW8CRLmAjICrV3SPHgSxGCRCamtgdXSzvzTWIGt4vVOXe2zadGEvN86Dv pJpJVUJw98xah5cnhhrSYva3CttI4e1GIy9M15Y1k/8f69ZCwc8eoUAbfTjyFqvD7rcBivaUTPby MtG1GzuX4+VF8s6P9xVaW7lZ+Yq0e2MDvl/QLntv5BpMv3k/LAm8YG0muVlOQL6IgITkfHiC2L91 NiQWurJXG50q6xHNyZs5IDsyAjnUH9E8F2yOAxT4inY7l/z14GrmJQZWOtXaLxyxd8GtaJgJt1me /tlLkqzriqLkwXsc/UWmMbVdvnRsbfu/o9dZroHyiMNAGVcIfTta+pCjNzdaQGcbx5XdT+Pe1/iS XbaXeacKJSizI1GGyY/BWQ9om26YbNpEOrO/GLRAr68aiZD+3OV2lnNq49ik5O9MeswKwRSfA5qs AH7CLqcNBPmiUAQ6IVoUKXt20FQ1lOOgOEE22FpnDtxcjW80WnxHCoxCYyo6WA9RSoZwOxFNMfrx xToc/GfLCtHwqRPCWb8sZAIRZNqKEhBuwn9ViSXzA/J0EuFLsvWg4GX1tjvtxjIL6e4Ng2sGs/uf uS8aZGDLAJlPjv4dLwjEp9t2AohvkeBizexOgXVGcWZNx2VXXJ3NCxcl8S74RUsxUpBsA+ahqyU1 bjHhe+6/3960qs2qx7pxyIyb2+ISD5ncFB3vm0Y9euVWiNDHppIAotJcEYQS1dx7O2cczS2+wYOy 7cY+S5nwOc4DotvHSIz0opCb9JRxM6ZETsOgaGVM2ij/09e+SuMCbv86D9Ef/Jyxh2RP5EuPWlJ1 TsZpxvyZBBGY5hRRnQuoIAw7eHvIHocX0N/tBPAy9euZqEEVwgOUM2tu10KR1ZbapMcz3f63jwmm m3/NHYDMWHJRgnPjjWD4rZgnohifUvhO/HFBOawjm/RwMWVoFRryVjmiCFWYLw0RGdFzZZlv17Hc qjBMTDoSr7z5cGWfb57HqLPKwAu+WCv79ePF9jTbdsd8XOM/mzaOk4k73El7IjD6Tc09WfKxOq4L ZaK1fp22ESrZ2VSVAtr6mtWQJqjYvPJ5CVl9lPslnD9YwovK795JpmgPSibg23i5Fb0qB5EqhNBC MM+4DgvG7z9qavQpEG2qCaV3o/9hggCiuJQ9NJfj67AB6IYPcuKskMgEWffoEjHErAa3mzutrzbT ccyJlProQa+blUicVCiQ71mHcfYPYjlkzsHBSi0BLU/Cw7LV+f6X5/954s+ayo1ucZe9m7kTG3Hx +hbp8P4KCeY1DTm+LMAjWALukjryKnnlZMF4YFzmhAUlR6am5H+JyQ6ilViaX/He/DadF8zeNNEk 94JxWiNBZvga8q3IyeqoxyVX2D5jPP7OUhfWqduaviwMMNyhuz5rLVBZzJtBxF0aBVm2qd2h/6/T dUjIhPCFVYk3TyKmdTq2/UQGwoZp+e7EVfeZkOXlPY9bbYMLs+QvFU9DlLeMzrASQdqZeNfZxCNd sA7qBheoNbjUablFlxpGyG/Kj8LL4BQomXE/rdLNas1q5vGAKMeNMHTZni1THezaAnLyZRDH2kOj PkH6NuA497ZUsuejg2VdYZVIUPoxY4+Nc8+pz7lBx67B8vmXhBjNUoec0pPv8L/hyZf1U0tFjCN7 rxiNU/YZNqxs7dljb3DQnUa2RbU4nIcE3f3PbOQBNa8G35OHg35J/eIzwg06OVhenoUyZQYyl40+ 8N7lmrF/5zG5fR+Ap4BkrD2aye7OjiEh7tu8HTQp7eGBCtf9Q0xaNZQi4WJ9dzrTrIidkLQDnxKl Bf1MzSMkx9+vMFUHBhTvROgj3hHySTuwxS3WjB1riM7ufb4kLzC81YGi2B0Ep/zCVPpntudKPVoU iza5uzBwiEfNA3VBBGP27L1ZKpcpDQHANUPMv2Ac/YsEuRUAKTKXr1eeiPnyfGXw1M0L84rXzdsD rUhfrmMz/zPOqH66ktt4FVbW6xX2STInAtMwCCDR2g8/LFo86SzgIDQek7Y1OTOpJNCqLYCjaeHm hNWgOf19Y0q1DmwuODb6esAJ1bufIY7h7lXktuJS3HDjHuIb4fFtaF9mlpNwmpZrPCWt/mYTRz0t JnXl32WnvN9eDif5gv8CVFWSMW7X38bQSYMiFcqe4exlt48Av9hV0S9jRZNzegCd874T9olynyh4 f7hPa/0b9Q4Q+MMXYIp6OJPG2xKjj4SqyAPf762WZAH6XGYmg0MNlDtacsaPbefQrYMD0rwoAQ4Z 3+burVt0115XOsS5yj+X6GmeCyXa7tKqAT1Ut66b5WA7Dn9lgTFSD9C4W3iiCPRUG3XuJZz7EwA3 dkwB5vRVqp77rBJSOB1XCwrcSi8MEn56TK7QGkyUC01qwravc+IME/6ISAVqXA1bKZm1f4iqnDvH C4S/TmlzKkzP46HTe7XbQZXnD27zHIZdPQ/CR7TawfbDByr05hucx/WF1ZY4mTSyt5a8sxNojdFr MfGh/kYvfaZ3BRKGoJTndwyzeCdpOBD3Y3w0Ou7H05pmfH+dOoXXoYp/lmf/DwUcBZ/E3JMOKLRC yeee1w0iA/Lnp/KQDDgds/W6Gd6lHiIasVJ5GsANyxcn4CU101ubjpG1rzRYtxwTCEdsmLGsMRWz nSA+AdAvg2SpuFYHyxVdWWiF//nmkQLRAu/HWjS0S5FVHcKlp3co1qwH+UFkMEoH0ZL+00oJtYEO ZjgFkiv0WmKl+NSS3VeqD85HqMsacBRCR7HBcPXv/B7GYY5jxuJ1IybI/qPtA2rPKzM/RGEPGt9J twZySy0Watpole4kdt9IGCtQ4n701poi5zDfA96LjLbtg+GjswDVoIrN+UCbuufC0u08VCjrI5f0 8LCF8yH0+JwNp9PBZT4Aoxnu8wE/oXfgqIWnBCscQZH8gy1B5ziuMcjhs283UPMWoRYBZu9+RL+n nwWNKnFh4zog6NZwg8su126nUcKUS1H20Hfh8BtXtFQ88wfMKsJ9imh/s6nkvMZIoSkp5AjuF/3e jlNKqz0FAt3wlNcHhvL7giOxxKIF5+4nNC7oppZekF5eVD/rVW97qMev5a7woIKmm+uXQQIllwk/ 46IYIyEyV0ni6sPLwX3RJaWyK525GmHy+ZBERP24FCSjIXgW63pn91DQr4BiHjPGUNvekPXEcdhv 1DhT6JmDTUTWHYUsLRMfxkcI2Fkko9Xn91NV0uyX+lewD96EqNqRwRbIpcpFkU64dEZ5oDfUE2dI L/uk66GiwNddxlZBPwxYdhK5o+BJ1YQpqYHGWaFkIQaPWhQsuDhl3NTA1wmV8VPlrFUrJyrFOrLA FrWfolald6ChCmZdI3Of45TpWqfjxQzcnO8LBEywTQZInp9Upsdv0GrDZRuW2RrOkNKMgJfvWUb1 rLzt9uzLl5As73vwn0HmFQwBjqAr0VWypuyhqGrWqelse/2ODjrvKyXAGTJ2k8tU8Oa99uo45VnS iAd0L7QwGE30JJRf2zsEx8Mcy9TifAJUqdW8N1PEQAXyGtg4XOpMMJ9j6f3r7Cj3UsUdeK3Bt8U5 XSyrkiqbQdMoOsKI8YJCA5aGAt9f6K3Z2youXxb3R4w6SluqmYECDzuu9pAtLj+JOQTIgGsHuq20 /KFT05PfLwhy4uqze9YEX7yNp6UE3MTaFMAtOi87wu2xoy1isAXfl4tbvGPxSP69h1rOO0zVdiNP ntSpjvjcRzmdmNG8iEqfqrLY2kUNIr11e4jMM7+z/L8q7y4+JVaymDm7uPiMrvgdubYH1QQ7WkyT 5HU6AFbZztvrxhPSstjhPKfOPC7N1H/G5+IL3/iIXcH1YerAochs176uqG1AGJv2KnOtcN5Ls7Rk b/ExcgJNRNLsfnOYXhTNe2aKeMAwXEYrCQuZHRjgnDjUCk+IXjpNCjROZ4yMzeDi90H4Q65i8p56 79CYsLkd+E1sAIMRVmj2SvOPFZfynYFMQlV+vuvn7MX/NXYO7q3K4MPxKVjzHSIqIx6KeVCi23vy H6g2MoAD2oVg9WKpgRvYOsOxhVGb/ifi2TtkW/hJ7d9gxNy/Z1rXrDWbVPfMXGCx6Gyux5cRTIxS IpHXS0oIPMWnazcoHblt3SEmQjKqCjXSdnOZxB2/2xy9g1BOSQjt4qP0x6LdwwCca8CCtihQ6drA 0Puytm6Ew03ha7S9ZZk0R+XmeKMuyUHd3Bvs3hIFFlC15/YQqJ+uHUry7tjBxqD48AGqmxiKifOY US3cJNRqiWuaznd2AnA0umVrflOEuAPKVBZhCcpobGVG33IInJx6rdGiihUd6nCBKo6+y1ozBloU X0jT2VmLzlpSrNI7kHPhXsatSw0bCNVF5cd4Xsm6ysc2pgIz962AViwnAL5IONWcouNIPnTZQKBc VAmYLlIqBQj/wG6+mViTVlgPiaXwYcv2kllUJy6oKpkLOAvhRYbAa3iOz/OTUM356o1UhVwdzPfs NTkgz1HWS9uVvQfrZ/B2UbzNDtYTKWRKK4KyZMGCi9Sx+/COh7aMDgxtJuiYsEnEpQ95nj5AVQOp Dm9wXHpmZUigxJs8hwdk4gUnZISBaUihSTpEAEMV0AL3aZRX5XurNYnib7vnDc4fGIflhrHGg6ec X9Rl68KiuBd4yMT6Ct4LiXk7OhWWOh/zhOcVIwkgbf9FA2tn55gprkxHEoxdc0F8UTrJwJmXk10p qkpKdsuGKNbkaxjjqRg0+vrIJtrchdmCZWAdSV/EvijVyJ2wyPtoJtzyRh/2ilabXtC7tXe00E2I 5H6EJX0zV3pPSExMBsawK7BR3p/gfeKzP5n7Z7VzrkYKMMiOX1aUOo4reJQc+qV+MAUwBMyc5O6l XM9xfbQtKulEkhe7YKnZTvtF2pUqDDJIDBn+dl6N5F+eQwj7IHmA6ufCI/1xU+Klfiv2LOncgi05 apqI4ICjeAbcn4wTWcadCtcVBXTzfrz8QhnnptVtfXr3kzPtX98xeDt4G7Kn/Ep8+ifGWYFKTi3j qyLy4u0XQ5DcvantPGK3MA7bc4bMCFzl/SpO4uXrUEDxOAz1odIip7DfhgRHYh4FLpDK6OgYym14 VA3PyM6KsM6tDQYmZJSSfuwZ4ydOWnQzJtEMABZKYrUAkFyYL5DbudRHuc+RRpXXdygHxvYd8I+b K4JPZ2usYugazBTQXCCZ+0Dvg2YGSaWlkCtIDphNzaEETYnzag8hZVDJtYvatnPYGjb0twnlg5NJ Oc1OPb8Z9mbQGMZv0WPiCaM038fvamKjqORQqX8FF5+1l9vuZBJGFIvPr02efgl5nVy2uo3AqTBH xU7yljpXd36OGLvw3H/RAsaudZtQpEbBMtf8mY+FOtNBc+rOCGkW1tA5aSt3xgZZWASDragJ5jh9 Fl7AJ9KUh0M6+cCyJcr88B9+DfbcRCjSR28pcnWrpbX/JTW4nwzUkBqTtmqr/qDKWWW3rHDceBad rI44FFgp63rfQP+ylhrqQbEwpf56SjYV0PBSIvtKOs/YnNBv9eZ2qs1gTDIsHC4keQa8xUPmj+iM BHhpiIjMR2wfsh5fby6RDOt3nlNWMHHi54kylXvfREQzYIWMzDs8rluvaCJxFE0+PxXc+lkG5qXR YUa2UKJxDS19olTf8r2kI5/qVeJJTQoJAy/6d6Ao0RnAPZeLTXTAgb39wYriKbxrpaqPKGw7tOah uCSNHZLYxWtEV3C6OF2deU813f8w4iy0Jzl10wiRFxTAP/C1G5YWZhH6RTXjHNK8yvL6RDWx4fbW LsQ7lpYBM/dg0o1G1wStm9hESxwkGxfAXHRyhSSvf38+xQIj/w/0GLoVfpNqx+EIxiXm/YufW/xp tiIRGiMflvK2e5D4ru8kVETP6g/F7pM/FftNAYPrQ9B9tP2ipx2h948K77AJ51K6705CrlemJzpl aDsqXZPzbfyOer6gJ5zK2i80VHlOfBLuLZYGESnP6w4ezJg/TZamunVDbmAcM6GT+pRz4lqQV9pi QR1CGn3XRzKmo4kZiUTcDiOAeidpEDGT2Qz0bw3u6FvvNNYqaABFqQX/anK9gfqSdJ+kBBbosNQc E0n6Uh+PD9793F/N0gvp403jETE96Bon1uoy+Pw9qVqmhkje8nQJRGf6dHICaDhEXsvsnp4N9bY8 4yVK0ab4eQ9iMRUqMvOffzdBoLZC419kmiCh5imxq7k7yy8nQB1yhS2C/WP/8PLOa+nnJziejjvo NSMUoKOt6+6+v2WFmxdW9QWypEn7+CBryYAWrnCUghdVdmJNJByGfZUd2wK5Cbtx1C49r4WbJMjj xqtRfOf4YXUxB9TAWJFTYcmbk2zvCrr1+Vs+K1q7f/cDQaa40PPRikgmEzTtrxPM/F6jco0htFP+ fQNrfnozWCGdLp1Im9qLHGaLUCsq8IxiKUAUHD1Xf2Y75aFdfolbgx9JmChdDXIECSQIXpSfzRIY CnOn1GIShBsRmMh9392StYjFm0JIcWWTOgwaIX75NylnRmruzeNjnKbMXaIOnAtp35xyqpKKHX+w hxI5Ge6eDeQpryrOOXrRDSM00SI5sg9OD3vBDKNcTM9QcMY2Zu4HQ1qISGLDJ/kPFvl3DCjKqNNf QIMTtQzUeaNfCqRhSdny76h/DpFgExeJtagBlA3Yy2GXzXXgbc/4wZcl4l2diSZ9jYC5ncLUVehB zEf4z9wp9OFtLHkMQeNSQFev/9PdfitRs62Qjr35Sv/GeGj95XBAaHU47rMwYc1EOz7HE5eas+Gc 3Xss6kx7+2FN6ZUY3U32vhxr43ErrFa+Kdzr7uzhgjR8FRRhaIqiHVr91Sa0b/jwnlNIUKy6uKAc /mdZFSIQ61IsTfS5PdPquyV7kuD2gULTK/WpKpbBoswP1TCz9fuyVJII3zbgcCJ2GYn3XqZjzZom jp/ruX1C9RtfkuiiXoTsAcQrzmLLZKBTMXOUd/AdgJ86tJPz/A0zhRjdIjMlmw4NvJhECWYnO2we /Y7tGBepmZqRAaONV7/sNovk0VVo3JjzLk662URuw9hW5UezhYAC9mPCqitOvrGUUmW28Hjuo4/2 I27erNof8nwjxqQm0msX/atiZJADVadB4WfOoL7wHZLhs/4Gm5iF1kj5M5d6hdQto7dnUPtUX2jt bzIfQkRSvwBundAkyrUXDcNm1sDHTdAJLztWoTKw6JtZV1QafVQAZkXkyxViVKF/Tn1iqTwmkQcQ PBsWigdxp3dlxJPQ/wHHOjZic/z3/Bt2nfVm5hasF/ZzabukIgAC35IwI3EQIO7//Oga6iqvOiUe rdbfURDayjRzOFLUBABCJ0ptQ3lKK1Gea842w5zNZ7LKgQDPs4aYqWuBSgreckf+iThMT0k7pjRY aSf4y5EDa5WL8mbJABOq3BxBt+dCJOyTEmof77xCMjZWOJX2IbIrqS78l1O64cc3oeiVDzzfZhsx 0hILIOw3zkMb+6rUlOB/eE3Ij67mtRlszW49a8vb2r7uu7VewqVtUeUTrLXkXXHfchHDX0N298RV prW1Yd1ermOD0wk/rpR1956wYKHcc8X8Rddzsjq7nUzcc1/MbWrPOHUcp+UNFNlNcDvYSoTyeTgx 3FlnOEFX6/QzhPVUyiXfxCTce72eok3aW8Sqwyu02Axw5O95rNYdHvtYkvoc3tNCSRyAAMBSD85Y uz8FGGYfcV+yghDYLS/9OEYaak6oCc03ZThkQ1fOVUTq23ENGMD4H2T+jUfURHJvtR1I56a2Q+Pm h6li2QN+VppgetdFwHAVcP7cbLqnHBIZzYlf9176RDti88Ud+rnTQtpUkI7H0kDtAViP6mL3iTRy vScbBrSeOd2DtnhQneYXajnnf5CsBBnBMvToRwam11dLe/hyHOBXajxXrMm2Jos6LsuJ39oWX0wW 0w61qpdL8Za/zlL2gCyqtTLuNXVcpsP9Jkqx51o2ghmAsWbRMRDMNC9hsyx2cRNs1IIGBwBNoPCh Yftck07tScVUs3liO8DXMOyGOpfrSrvGJBAiq/ncknfxWagydotDzzkOXLS8CnkUwtChznmQvkJW yHdHc7WOqyyj/d9S1ulRMatB8fKsn5taS2pg2HDcVSxzDqVP9eCunxtXYeHsyDwuUizcSXAAdiBQ TW0jJJTTcYAYFAXSMpeB3UO2WQVkNQ2PL3JCp/GKtL/lj61vlIYxk0tnq0Ith18M/n3j1x/Ir38J r9G7ldFgmW24W1kS+upgC0crwhWErWyDY3T4ULLWHXb4LEDpU1AgyAI8j5xTAx+qlrtEx/JfP2Dt wJaXqfL+qD9V+AF47FvPiEWTik8iGuIzMnMzQA7ropQBbIGh0dcyzdYUulWo4q4Iy9iA5gE1K+UX C6AKdHzsMhmnU67iwTVHX/5sCJVG7csCi+e7QREVjqAm5uzueHsFG1QcQSW2L26QcF/FMZm/fuga fIq3OcGavvSkd4eNiGGFPbB5WFrjbbG2J/r5GMbxmhdRg7GTeHU+Fa8GQhcfRecZVBgWSoquiDy4 8/BjWuxOGylAQ6ctp+MqvzcHmVjcu0kYV4RX1b7limgnJjsQwHlHutua3N9tPGf28kFkaNAAGUBD 53DAhmMY7J6IXtPft8rOg/dk0SDp2+CZk/Fp8jcxUcVQlZvslMNuywqEjg1h2yfzaWu7tCVSrRSg hlkpb21PgoR4cFD6NnFfbSd6+Fqf6Zy8AaNGx53N3y2jEQ5FIQq6pwP8A2Zz3lkvrp7NvVxFDizw BhpGAprwtT0D7adglNzr/T3MzonLccn3WD0MHUw+2f7yZZCiewch+foec0rNrStA4eLoAo/IVyC/ Q39PhLCuH8df0Q0vvsXkk9dlD4WYLQdkiMzJARTb37xjJxl5Ki1I2bBbR1gqhnHwVSDuwIIriEen s+PNnja+lpclY469aXzuK6CMS9H1tRZDlUwzh1gFMAWm0eJ/H0xRSJd4BztwXRmffK4b1ho/1yYp 3ZSZDHPyaYGrlk56scYcF4WYMsLkLfWVo7Dx/wiaegmHTrbJOFvw9v976Lz5lwRrtyaIOwcmAqHP WsNckFTodAi4y7HShw/UPtX+uK+3/EzjEHNbhw4tXWggK1472SCQ0HAzyKiKa+d7AQ0Q1q21uFQa Pr+Cog0U6ya8ZRTajKDifoNCA7AKi/F4h7AL4LhrnR1aXzPxnGxXp7CiGOC5SAXo2AMrca/D4y52 9pEhrKw/cxv5eaR0vVwOpGQD+yUz6zV1bUti6tHEZTwUEnfja0MaLyuL1blpsWKrPaeOR/pnf2dG ppDfa8ttLaiL78phCN1SI27zJ/kgpLWDLTj7MGdSoj8JvDx7TCmHcueiPHOXp7iE4S07qXJ1BzVv eANfEHEOhhmRMzaDuQrIQAtQ5zI7jTQkBanzLfWndkl2GDGbnnFY68FkC9aeh7RZZr09/eXeI/gR mMkZlVjLb3Ca4n6ORve9KGafyEBtmFZrBHcQ+UNQuoUA7QcyHS20roEwXaxhNCEv+WH6auTrFEJe rTmkllvHK28TuD7Dsw0+ZUg3UETl926F5eo9bWxB/2FdmD3+QjQY0XJNhlDMqYYKn5zQ4WMw9kDj UCQYtboK9SO/YaWKH09zMRFQqL7XhUFqLqoqcCsCgvAFqsnn18HIc94fgi1E21ba++FB99oxpLZP ukmTboz09aKeMQYJ8+BoTWR4y6SREf0Bc1gyGewDoZEImCpzYL+pA/bwiL9HLUNXPOjZF7xY6J29 ExsPb0Zp/J5e9Q5mzff07KKA3WBxmpfMTu93QxBFz2q8cLjwJPSwTrMUIDCLcDMftQkp3tX0ltS8 Jd/O3II8JbgGOvxyzxlkTFnRQQBYDZtbtGv9p639o0oV9dz+rZiLg74CSr3ZMqnkKBrkFzuX8ZIl qyMCJUfzMvNK49KVszd2uX/nEyLm6D4iYdBO337eq/zrIqoD0549IuwTmtMqvz6q01zQY/ENrNpw /TSEysyMMK66CRuCVrdt11DNz/E026t2a9h6si/fOiIRvcOuGxQuUZi7jEL50buk9zexiW7ZOd71 8JnhGQ0iCzzDIDG0gpoM//FZDKuxJPF26cXOwi0ZGv8DztDWMLAImiHTJl9+cmm1pzI7dZT+FakI bOH0wIlWJNEIscb3rLhvxpagfz7mOXqdN7QXU5Y5YD9ZzUxewAeDMNJmzieZKUWnytASLjH4z/K4 CU2Xij73W5ls8H5Njs6deR43yzlFCuO/ecMuA8pHAsAc/ycHnF3YhvpvTIpQLRI1eYtnNLDq8a/A cduxJL7+QyvmpMv1cLRay5Lf46swfpz23C7dXHVl7l0b2gGVFtB80fOGpoVr+I+4aRF9Uf09rNAL hOdGa0DWUQ4pYNDqpQHfQeNOv4QSn++u8cIjtAGvio70Ry2BDLntRHxLbMmsOv+XNOai6ssk5mb4 WrN2IdaWaz63zYwb2k/IR2VSV1ZBe4uXFF7Niy2OOVVvGFh/fQQYdLLdAxQCDCBw1sVaq+RPCD8F OJ8L77Ln0C88QiWuqarS0ekpo9v+H1u+4ZJZGQphCv5afBm5N3rrjhLsgOqRe7p4dnFAn/I7jzXi StxOqdXV5M9w7ZJZn+CDbycGYdpSsVLuL0UhlZ7yG3ngsuuirqxKUjq4Q/lu/4VhTr85IzV1VzdH wra4gzE6OEXKDlAiTp9xADitWUOjWj/U3OA7YrSFyuLdA9nOqqvY58elxby9X4F68lUW1pLNF69I 6UGkq5wpkFMyFfrfWCiBuEQC9M3vfUYSiCY8HFjy0qbQ4yVAwvHECoJDFsamirnrhByQjA7AEvBr 7o5Sqrk2JN71TSWIKzLnuU92zFfi22AiA6BTXb/3rejfoJFdw0LXlvGqVWaMs2a6/NsH8dtVhby2 ClqFF5dRChtnHSvCCGX4X3681NcAXJxcPrwLl+PMNenK7CsV9mxA2+Cyzvgo4JUCR8nsYUPuv0+S 9JMZjtUbHVQlCUrpGQFA/HDfHPhi99UNmbomvT7zEmjUXyoCuFXXyeoE+Uxd6IblMtBKSRAm3Ht5 PrKQf2rc1kHprHIoWa3Tarv2OlrUwkQDHgBk6eRdh9E1rI8tQJBSSVyb/58UMWDIPTMJQulC9RNi xe3ZkGqxKuxS3qLZn1UKWt9Z0wl/SQwFN1kak9PuPTJi9Ps0d6ukgNQ1z/JwTWpdXDmrzeIJ57Uh fELTtDF7RkK+8VvKppHWKNj2ovQk9Tqr2ThgRHlAJDVDn7ktDDbcDN4uXtELXxRUWlei3kW/0C4B hK9G5A2+xHDP6hKNTGhd26nVKlW9atv+5N8cqjwUvv1cr2dsIZN/26PZHsvBNp4VatANFMpA6JDC gwfRkJHkaNxQhnKgtWOeRXL/4FIAc/1a0aPhpzUzNeW4+ndfqMJ5lfjX3Zq6yB8m17PWSnrY1m24 dnKAyNtzfuasU4tun6LRhzpxwEWT9KVS4UqKHOgYig/L8iEx2a+wvPvliFI7A2L0TgMIl9IUiEIl ZIXJggzVokpuHshVYiK6D6V61ccoIMB8Cj6JwtW8DZAxftT3ktgT6gU4gpb4KjHbyzVcXz1gEphO dLhaqzuf2MN86eXaFP8BlpC5pQSRAT4SB49sMv67YQ9Zf3pO0JB/MWmyIeV15Gi6oHRNfMUMTeCQ 9yOWk1ONHPkdLn/8gBwFw11Eh1ttH7/0NLC2XRVYR8krfIwmHuw+OU+StaGOK6/woitG4u79SUur hEPUC0Vq/spZ52eouNrhYi3eKZJ8dIlAr7z8GWvm8kH8zsUH4hLnhb9pmhJ2jKNKOqHLIJFO/CYq oD3SZi9WEunNNtXwqoGY2L2sJ2kQKx+kX6xLHAFEsuMWGntrX8WmYBCgjaxyQlA0S+aZjthXnFa0 PblHpIHK5OsX0WHgsHPtrlOBM17vITt7CFnlaaHRUDZ10qZFawtOeUw9C+bi+vnTLqrLY6e68No8 UvMoVAcRNke27IN1RV4XYOIrLE5iyMgdoTynEiXhZEimYjEulyU4Z2LgNlQ9eLgvqDkPB46bupPw 7dMqopKLHYcukSZ1ymgF41chdub/UkxgQXyIw0IuHew35dXsa/KHQXy3QL6tg25CPf0YOzLtb8Dq 0ptNPF7Zsu0kh6M56YaypVPkD1UVkzyp4mb0CWyWRLHNhw0FO1h+b6KVwj5L8xne9VFjbjGNjXBp IOqu5UuPdmXSi2nMiOQgAnrGBO8LwcoFrNqPHgsHEtYyonhO9qOK8Kz2lOGYenR43J87V25Z/sXC izDAWqIAOn9wzZp0oWHqEZvvXxAoSk6MwN2tCaw9hIOUT2PAelMDQI7mXprDPdLgdpNoSX0fdvBO r+lGNKss1TxymnzT6+VRCs0K+EH3xY1uC8YNkNs3UYyzGmIo83sNJ1h1hWwCsXgBk/g6meJo2Ocb bM3Rm1PcbwQe9wM2yteLdq7eoJQFfBYC0nLXQ56vPldwsmoFlGCef3gMxiTJMmKqzIEBXrkK9t6f tX7o1369JePbREtgfuVFA60DxQ5rlNY1wHSx7GTM65xI7f6Jg3tQmHHcZg06+Wzw6aJAEBLZZBCQ c42jB6Sw95uj/rWw1Kro/3Fv9Y9UILtl5PEvjv102tSLTVKphl17tGZgzS58GzmxXSSMPlIo6uQJ 7yLUXpzgRF8W/pSRsYyYOCpVcIck63t9JZS3p8STB3yTZE6wU9SCYtk/dOdgLfQGhiVbmEV7kVJh naV4lv6xXvZ2c82izl27sYZXAI4bqkFqhWrLQYuBPZ+ULYmRypP4xBPlDCcgOqaacADw+5RjL6xP zkzvw6XM5p79GT6LRA0VQTBx5zxU6Jc2+ADl7haR3n3OiXAIVvADkFBEqlb3HoxNAbGX2n9tpRZD 8gLGrK8XoAQgwJSbigNGoW60rtEys3KraXmdM/1WjQJkDKy82KlUvWHjQ3W/vpdCApitNq2axQkY iJhSlLN1MimWHpv5yzZCXKxSjIOkrPxqhkRlL3Wr2fWFkdM6wYC7KGuY0gxUwtUX8q7Sfz3+md8m D7ZfsQQb9OOQbKs56MtHdolD7+27I6RC20VlFZUgPUijRhh+hizIWncqY63evgGl0lZMaqvpLaF7 glDqEkpDy2fHnDOI+E3nH7ufX/u2D7ctwyuUmazJRQ9Ogaxht3ys5bBAkpU+qmyLKeoLSX+I2t7y vds2QOC/iqJWtmniaOXtAiBwGb9xNA/FQTjklgqS2aaamuiHUfJev1Ih8+pubFhHFGXMl386PmnM wpjxZ4bZgc43zWzDvpx0PmXEXs18TVA+kLI2mMrfTp9at5kBP0S9FW0jx1U2x9baTLg33mZu837s YouavlS4nAYnmtE7qlpKssmA1+QXcQPIvcnZN/cjDGlvcKOSeZtO3T6vLkkAQ7W6P3bdH0tjaYYE LmNN97HrlaPA59XJuK1c/UQS8Wq+5K1W6WerFAJRkcqNq0WcbGJhYNOREMD3odheXxx7fhQehlWN FxAj100DnYR+xLsVstl1YRu39H0Qr4YvSVHfyAhXm/+W++c7bLDDVyyT9dAJ9gp1jEn1Ugk693fz u+L7noz2iC+Nxl2jEqK3evwU6jilrqcFTG8CPgArGTQ5B6XQtTgXBFD2hzFL3kKBPt6YAQrvCS21 ahsBZVoiR9HqfnJ+1HvVK6bxNHUc8ytDAtB1I4B+eO2eu9XKvwiuRhmnqRUr+o1THQHi0uOJCcCE vCGHNRbrKs37usyunuiotDZY4ZkTxOWPhr3on8vjFLbOASfzkMHbGX75KI2v4FvmCBea5SZsNyu7 bX1VssETSGMjxA22xBPq7GFhp4pD7I7NdImHpdHFR1AilNkBAf5KqqZeREdEOBtT0Saa46+7vMZk LJGzRpFdimpvDR0rWvk1FsRbMFXnfwxYRj0Q97CmQARwJ20hYPh6CzZOKzLuVd5VIsT5pN9Hf29s 5fe/bb+VmZfMKl5flF5uBFl1uxcLE26fRRHijNtAwvWC7aO3MwdgQ2uFZFefd1XLyPpVRMdpTzlV 2ATALe7BGEcqKdBP1dywP+6kzjLo3MlX2Kyo8H5XAZ+tuiSBiQa4oI7tPXiOwywWDvnqlKJu79HM aSu3FA0US3K7Y6fAkx6S6P1QDBV5PsHamEnThcU4OsVvEjoglHJ8WxNATm2t9MOEDB/mnts80t+o pEuG2zM336Ra2W4cKKNVnucsuuPURAcQFPdferpeZghEexnJxWu3HERsziFQD8Zq5kL7CxLx1/Ih 18b6gHb9AcSSgsr+ZG6aJdC4Lm9IgV/WDGipkc22NHNeszx+3fM5hbvVaf4+yE9CdrM6sDQwZUvd j/6QT7BPCZGiXnYZKCxlgG7MIrD5t5MEp9ow/QAS1iyUsFN0ZeRmWD+E2xVnSE8JN9SL09+5W52q KDorZA7XZQWfI1DrruJh98fJbufXqo1MdGNom8bN56koSV2xgEQRk5/RbnZxu3Aapwxenir1QHF0 3eHn+7Z/iMeMAyqB7BaDKO2bOzFhV3UgYNOABbmbB1P72fFVrkd/2gvdqxM3+l4KfU2UoNje9eGe 1aem20grovS8/5b0gIPValvDcr6iLH64jcfh8E2C54fJZp5r3HX9G2waMjVxoVMNV0W2RWD4BYqm GUIQwIgrg7xH1XiYiGxXyTFNv9M1TWp63jyV/AtYHnoBdGdt/WCAAY130ATQoS6OLFE1QIFkuRYR KVnV8ql8avVOd8IH9zyQeI/9tVmoCcLbXDprR/j0IhfHMULSf0fwe8DycJOClz3IkwIqNhg//3XU iQq1nskVDOSDp3+RWGhPd0XTu3ADBCJvUCxHOe5IqSh1k81QaWWDS4wNOeHwqOUuNQmdR12JtZfU B6xCcr7TF49jlNHOf7JCPoaH/7K9Wr+wRZ4UsnC8SzoGac6pq6pGHP6DVnBZqqykHgNVJrGTEUqC nAMaq0ELStGNIo9Csr0H4InPUJMZXreIRf9QwtK6g+s+mLJltO/VW1CiA6r4KUUh/v4Vk2o1VqpT 76/UmPZwt3H3OQmlgs+qWJpinG3PX1Gxe9jNddNl73WHakRMn/zyqvumdLt2fuGvmlHdahj9LcsZ Qk/fNqEx9EFdjIc2UJkeawaewxSosbojVIpUKiQ5ZHK9/9WwUcBWt/CnqbVfkw24qHnTEa27YUZX qEsX+fM4kl8Xfcn3bBhpaGxEX0yF24PrmIn7QiA4ZvDUQ4mLNBCwI9RvUGu8R85lyzogVNTIe9LK cnDxvFO4yzmCn2cwQ3EdbyldD06muwdm8P0XJ9yFU7hQHxgBren9WIvrWoTIdctKpMD/dFvonS1k mpypvQ0Io7zjKhD69XkDBbVNdpXiy3zALl+gxau/WUeERzEHj81GSjvSzbv4mmQOpcDdP7pYWRCe R1Pp2SiE0W+0N0EWP3Q82OwKFxfpEhrwZVPzC7X9hp9EJT6a5jRda3Jh5SvOE9/wLb5ptldGb+Qo ENAiiejE0gtNL/WWKRz0i9bEz4bRa6PADQXE7EMz3u3lshqzd3W5obGFour63CwhoBlQyy+3r1Mj ijMOsqr8vuDzMmwYr7nFR3riywbiIkcSyEFLjvNOjVpUMyQ0BGQIwKTAO+c5WEdD7wZLovtfMKWV 1Z+6sYeqrqaTLC67uLOPoAyUP35s376LGK7Y3AEzvSUd15187uPXM7eY21ppye4lRWxs8BlVXc1P VOqw2DjFanKbvNjb7bv97LugyOtySL+6NbtmdX0+L64kh7/uFBpTtfezOrS0H3IXLHI6wVjaYKDc jGyY3aLrsHvqnuSHJ9A7dWKHHCD/TyKsYDN9aZsiFrBpwxfYKYxWiSZ/Y69w5Gz43xEVjKDQVaqx Jb9ArrjQ8/0Juo/L7pGjGzwjQk8NHF/NaR4Col3Rma8TSAHojBjd0vkcMHdcikMv7twUPgapIYSu v0SIXGPASg2H2qW/RHEl4ayU+4tkBN95/nvttvQpGzcZCPhy5rSXNqgzyzD8nCGSOOPkSsSQ03Eu uSvflDqa4v2Oy3XxydjbXDSgnGfUiPHQbUiyH9Act2Z3w/p9ruSEXAZcnvmqhcJXd4uW/hQS8elN mxdAdUPPkI26wcT2NNsgwYKAlH1BFH85wl0FoNYGwGMCeJepUGBewlP42FWVUMjUI57yexEmDBom aWoF8ZqcPbwBEIARsxg8vAgISwy3nzTjgZ9Xoczh5v0lBtx+xOcJhRsA2wcroD5M/g/0bbjpo6ok tVYtLh59+CMfLnme4B4fD21tOs6kWOmkXPbBkyDGXuh9VSxyXiBi8EnKIcd2bEy5Hx2X9XjQP7EF 02IL5XRccL5Ue09IEyuOA83TAAk7h941MXESmlU3JGyEs9184tjGw/MAp/e46s1rOx8OvFhwNec/ 1sz2cyrQX/TdQFyEsFBLy2/gwq0qWR/zoIq+rqZiyeq3tEJfR0FaX4SsHUiPrJ2iQDY6J9IDCyQz IqDRgGz3hW0FV3pCVuG/kGTe3wjQucnOX6fKK/VfbwgRtN7kFDRmKTq8yS2K+xwEq89BEd3i6ROm Gg9d8ow4S+AxNDObp4vnyLcAo7gPn3A6UpPvreS7nOU3Oc5lBZAGyQTZtYedKJDSWCZNV1DT2GhU WJycGSgNjoZMBnj/PMtdqwtodKK6OpJGC5Noh0+bKcX8V8j2CU5yj88e+svlRLAAyp6VfUaOIfa2 5dQTcmc1H+huENUi2g1rUItubyq9CICtelcWf5PlzMbLHrtQ50jPAU++OVwLzMV2qK9H5H9Z6aW0 J+SlFfPlKL2PeCRlz4UoD/avhhEAuGYuKnqzLV71fzeMVgdM4ieHV9Q+ZN5HIuuRjRuQNa3cuQxL Xwg3qxwlkoPOBxzeYcj52tdoe15Ev8wMvmxv+bq2k8SHj85q+18gymtMSZNFsQoE/w++cYu1BVfN CE6lcXvXMI01zx5wou1AKRjkeXbqvhsSuJB2DmRZOqHL5QIjK7E8z0Z+Ywm3U79CSFUYzcnR6kY5 Lu4Fbeppz8TLHJJm9S9WnuLI7qoU1kagccUqQNAjWnM9kyka5/bPamtdu9OEBWQmUm2hpj3H7vl4 1VfAFjq5zY3k7BQyhba7cRuJYch0/5jDyYPdSQePpNFmeE4LTHoEQOUyFowJOJpEvV3oE1D1EKVh pek0b68AbH1DKccaWK0KKNfVp1PwMBBYRgSp/QYCYAUE6N+1Zc0DaLiFFBjywdkCziDBcQ2Jiwyb KBn3bpXv7BkMqsg1WPLa5oXB/U1NIZdBM7ij7e/GClaWPXr+7+nVm9mVqD5MCmorP+NTsH+9JQun f7DKp3A5s2pZXZfMTLsx19hIyIxqbuUTXKtuAp0QzPdOD9GtD/k8mu5Ptb7ZsfEpufCk203y1mtf D3HAfvqzkT+NaeC1eom7pVXIA22e+/gnCKaDQt8MD6XRUGocq13ZUc+lz/AT5SREtMJQtCiFMVwm tCjkGCIRT4Ji26o3fiowclXcdJrxtilxze1klxddmyljLjtNde+wUV62wtzt96Xt6QbAvIbGtyuA vy68o7+UJU8mDwGOiFmzp55YjZ+7BKAXiu9ydeeENj24ZaA0Eo0NeFX/7XrX2UPiJpIRebvy2clL BKZpxkPFGJgJZ8idJ917SAdfOghHu5nzIaqoyz2hjpDGeCUktJik05+LU0LgKc4cFPKzEqYRLyC1 On8OBWVCWi6yH4P5OJMXNCjPkhJKjN9NO9ZcSnnJS0E0dHyqQqF1TVScSaPD21v6aiZTW1VwSdk2 6a6PYS+Mt/0wAKigAJWNHlJxpEEGdO8RzHXTR4jN3wqSFwnIgkOjkeOaKVutpCPq23MjdDQAZxmo tTbTyTbUpQJTsmyyM8lZMDgFGaikyAZgYfgmom1oK/AyBSnVipwgI1F+oW26VdKeYsiDgyEardDz tTNHuI/RCL47hmy2QFYcsojwwWvj16DF4Re0RD81FUf7j5bgSWDFM4Yeu6/tG3pl9Lh98wHol+HS NyNc4BSbB4yOlb+0EKn4Y75ychD7auKioljdXpENxkxu7srTomqQRyGQOHrz0xAZ23UTf1bOt60d nIJ2ZOBSmo++zM/IiY6FsRAB7jcEZKUF1xuNCKBneEa8QE0VwREktym8xC9y+r7AmgamndlHrKhx hFYi/Dj0DuqzsrrtkpE6War8oEq9pgdKqH/Uuqxgj/nTuBcBcdIGrHzMCerq/Ox3N7BbS2ni67nS hkUbjCchca361WAkH4qONn0ww4Hlm9JLA+/07+6ufT4WPVCp7ZZ3nGAk5IcVCtLtDjxBeKHnJkjw JoekyWxBV0pFf4MFqgGlgJM9+M5kOmpVYTPgKgqVpznQeNv0zc3oEtBXClgaTKa/aFh470rI0cJ9 u7xPhJTd4bNNsOJ+PA24V8tkjKjbiL3eigBM6YRExTNKtNxsvRiMTmDtjWYixKVOoHvRv9GiV/dO eEcPtaCYwZN15XQLgPGZxUqQljs35715uT3dot0Ol1vi2q5moVbtDXqbgFrS8MruTFcYen/T2z+2 Q413v7c3uNCFdzJCk9bsKVIqZmA82StB2aFZ3ZtTeP+P9Nfx5YXVEUi7PM6hB3CvbdY49XRS4kyg F8lMFafqRzeWkUdLfHxPaNik+zboNzAsLyDXp75W/ID95hN+XdwQZjq6A9o/43U51xxbGsaaJ1eU 3IrixjE7mzkHiYRi/JvO96oHH0EMEORPN77TIs4tc8RZ9kcruMpu+Fl/f304ax0cj00gzqpC3fKM 3bOtb57c0a0bjyD7AOBxahzoicdScUwuKMND3Sxv2QSUUYQFfeqNbHbwCXnPphrDqj/Ix/rrlWoO GAz/vH4//iTR2ZzaFKT70kiYiYQ73CpvrnQ1JLqgssEVsAUOqgjNqxkZv4jdOimNgA2GkZJVN3a5 sAeLY5lelV583iI3YVAe0sAJfndz5OoIKU0zAu6T0ksvBCh6mfLjH0gTmVbH92S1NL9a2K5RXhVi b1MgjqXKhOIu9R5bXCSN28wQgcFQm7qACPELQNSiYrgSRHkYMVtGa8RUn8OMlj9fo+jnVRwDwaPX fzDoUTznowIsDls4joZ7EB8wLzvnq8FGz12X7k9qh8bOxAXtXxgaiknZcMKEaByiEyxEPIx/L88z MnD+WLk2WBS84rbVZ0Qo4CNmytJ6SEjYM+8i1cl6XLnRbhb3DWLh9hA2p2obCP7QPLG/U9PWkacl yI0zp7EtQzCwx9URZ8ziL3Z/TINvXp4CfBVFNoRBwJrXVcSgOx0OQoO0A5O+JbokwoC/FiXdCzzI ehtMTTwgk0XC0VZKXiTu/0anh4Z4DvY4aCUVFOfPu+S4zGH6y+xLGWNvsLf9HogZX/f7vswCgFc3 1fcfV1e50QrWCr0+w+CtLiuAWHutGAXPf9/EnHIVJguutc/zBy4uLNQWTeP6yOwE2qiUSKgbTyg/ WmlprEfFEB+aulAFr33mDGYYsm5tAtVoRMRPqc2EJ2CAqpQQK8po79X9VKWNK9tOmp9SIJb71j1l Ugt7yBHllv1c1F01YIDsl1F7FQJ2tUypYirYN5k/gjjjFdNrXbvZ4y8h7iHaBCCGc8MIwXiJ1JGt 7XSVQG70/itdYIYQAE1yYEtGCcUNsbu5rpOt1acq0Gr5qMkrQOk7zn3zbuOoFmvUIME7vsAIYE7Q Sob2WjlCh83rYVIXibIzdU2VQXnY13TH+7eH2qxWP7pW91MdOAJYsTqOwdZcW+wKdREU+SmVtUS4 CothEsMmE+MbRqCNcZjaoLxXkPWzG0Qf6fGaHNVytwqpAJp42hTfWZHgZ5sOQ7zU2tCQjPhur2ax KbrLeS0H4V+VpQMWT4OkegvXHF4Zk+oTEo5hg4CeTNRsU6qdunwKieqCZxLtHSlYANg8ac0UPMMl 7yhGbBe9jPnvTBZB4wDpPun9gprbMiCMPTbU8Q5MIhR6rUdufcF1po29S2sJ0Cy5J4u4+HBfaleQ tPsH0o0c1ImX0KEg79xh+leYFbnmbl12BCDhemLFEPVSv1KlCTgQvVzn/n1KwxGAfJRe4A4si3rp WiBJ1rQMlzvRpG5hWChRfX9xfa0fCR5H2N09LhLy6GeY3v+m4GkQ29Pcum86+0cYHUnzWSxEDqfK Vui9BNETp+B/DvK1P3k8dR2/4quhKi53+W8ScrFhWY8TbGUbeZhUDaa//VI8zP8RWlLmfCPYNgha 65Cl9C7iwKjYcjxuA+LCXDo9pLIDpoJPI0hRi/+nGc7IFNHLOVfOMr06FdpzWtbMfK6o4w77GmWl 686tBqmXK0vHcnScYYLZ2sr03A5Pd4+84U3850pPfDQocKlBu0AsYEw/Vpcq8INhayzeKerqvnlk vJbD1GsV0UCX4bESDiBs8ZvtQaUQL41lSaG102GBDaFV+1Fk2Zh64J1hkRDQjk9OH+OEDKrGygE1 X/BkmW6tWHModhXVCkZxarUMuuuwlks6GdjdV2wG87dQO6Wlk7t0+H8hQEtODl810BW5YpuL9HaD 1Qg6dCwhuNttqHoWkc0HanDXwWDSE0yYfZG7IX2s2CJS6rg0cW/waPu+kpnYhRdSTr7w14UTVZba iBSfNScpIZFB+Q9mXSy9Qz7hGapN4vk6zMP6dMcGcoMKM55gKD5yeQTWMHbtHPHxdHLpNW7XHVek K9cKrtnV2tb6BLnkTmCvnYgc0U/6DuVHmV0H9k9BHd0l/4jpRNQ3NQcfEdimiSDwiaOHRzmwyITQ Dy4FkImCidtFmtnoYAoDj5otjwS+RT5Th40mN0fqWJvdwjEHGUQCsm9+PYnR5aXadxMnuxtM5AqD f9nPkoOj7XPOfxpQv0WLbK0MNrz65/n7uBwBA/nQOK9Y0OFZq6nq+QMcVVxv7Yiog2bAZuYICN5x Uwg3TDgkljjRtUeQd2mfIAT0rpvRgpTeDKPnUPhvb8f1OMHAaIR8nwrsTV1MwIWBJUfzpwxvRfSa FvjflEDkbtIHbA3tMku5mFCvZJ4hMPFIyIzKYIok5oW2QC3Ps6p6Kd5yFFTo87DY7vt9lFnuft2B cC2W7YUFY5easxpM6+0SXAuFkGx31wdYLDVMY6a/D6bT7Xy/2WySVGHCTXttnRpvxs64YlJKSGE9 A5VZQ2rve08oh3RN/fJohZvDhnFts5Gv4Z0mjIwOkD7XTY32qckhmzIktT3JwPibLVINnln341SB /NMzoc3eZPjTOZ5Vw9tRHU9Y13oaizYVmEhxGK5sV6vB70zDDVuUeJuvZhgJq8eIgz8l2S+y6vUM WAOJuszEJzKMlmktj+YkSk7ISAcQIwt7hWLLnp7b5uQnIlZ6T0sJeGF0JG7Jz1mweByiA8O6WuRl ggSyuX29Cpn9BhxRbPqs/lPV9vmtu2Eql2Qk2Z9CvydhJKoLF7g3AZmZSPSBCehArfGnYKu7oOfj 3UIzDP8dSKzpzmnBAd31fejLnQDfs/0uWHgGKdRT7Htjvat0V8P4kC9+AbInP9+P2qoq5D6NNnEU t+eWK92FdVrhOmguHdIRhGGPtK1uyt5BNs87W1OR2MDBzRrs4e+OpEGWLv9pxOqJ0Z0Wos5tADbL yW96hY8mMMBiHmRy/MGHc259Q+Srseou++EnzfLqyCeoV9LIAJq2E1FG/9pIxsXRdqvv9687O0BK 19wnlM932C2r1F6QSfeBv0XNeEvFLyAGoJuUPCFkhVnPZHJdIcCItGptTeyL6Un9/V/vR5BvyRC8 rHENve9YsLUuPGK+9DtZiToLsvJbLkVPgi7wieCYT+PgWb7J9inxgiA62KCX/tDMc8D6iyyv3PcE /ghIKfR5qaOk1hY26ArdiAe6sye9Kns7MjAfnlG/DDcnahLerb1/8IghGFPXxi7IZvpUdxuGQxLL CwuT0D+Igc6mx3u8v7v6vl4ywjhtIsyrl/Thv8XhcDsBZbBp2QMjcm7/zdu8E2PoNxRWk7FA/sxQ Siv+dRX80VHRBQiCsOjBkEyv8D5ZTtS8KDENPs0fRiLDeLYou9WQMA7g9+WAzDzm4VLaQZnJHWFn +eSjCWGDbk3X9+OsoxZy840Gu/kNfe0bCOjcxGfTTztli9l0h/ie1WDt1Xl4tjGK0nXdbLzQnUec 83J037uV+vfnPVn75mLI/LPDBjbO1tNC1M9oLMuYc9GehI9hueLIhTb/GpIArLx79q6dlsiPbnZw pOkSM11zcVoSUZN8vow+awytZZRLBKx0oujh4mG2AiYvZ5n/xgMpswXwj3S3ePECjIZqFiUonTG/ mZPhoFwEaPxYk8uQiyNmZ5vbaozkmYzz61LU0Jk/ntgzfg2Lq0vyQZlQBdKTvHZsPd6+eptdpdP4 pRSEI1m4HmcIeUlXNOAdqD3EaUHL2pq9kenWbOBDb5itvp/jYjNOVOIEoK+mVhxWIr11qfEn6yCW Iu7hJQI8pAn+9GHgGvaFMLQ0BRKCFRWYQtye9YIW1+oyIBIjECWqPyfKqGC6g61lQpAlJcmliYVt 2dm88fB16q7sIDxnORoxr+e1ksV6gT4Tpn4x5GVpRtx3LsYLSxkyZqQmy5qaX4xRm5GjaXl/37h3 G3uzC45EBWZlKtOC4nBYoFCQZweycWkYZOFpQOK00U1lMue6U8k8w/449fFYeS1rgKoCCW6EoNP3 pTVBRtcnK9Ad+WnM+eWFDGoDsAJgZ+iq1rgumV5jYZPcOMWC0O6QDNN2A+sLNOdxNfNHXpioymg6 ZrDXrrS3QIoSAVwJX2HkP+lLup0dHiSJMIBEmzwM+hd0Dgb5Db1yizm1dzIW/n4ukMJHT4C9hz2w xXfT174xEZpaDJ83AlKsYkEc1GWYyDOAqMgw0Pbx40ny5YLQ9ynL5hyemF7XOlqf96GfXCy1ZdZ8 zy835kUCFFwXD92H3IraqEoyvZ2HumJzyuJuvdHdKdX/KGuH/3TITFqz7ilezrSqgS3uWhc+wVUp poDarl/93pcY8nY4ERJWZB5sh3QRDadLeY6P7F0yEH1MWzDsv/B3sodSEI9LNGoA+6fnd07dmnZN qySw5VvqkDYy1S1GkgMCEqzHG31Kuab2Lj06TM8a2zLUIYTQU8ns78r2HUSzH/SOPc7HmdOfKnNp NnT2i5XjWBIS6QFKuKVuwGeOgQ9FO7P14oEO3nkyrhS2NZYXjmNL0+SURhkEE5am05H9IJlOvfa8 YWn/LtyG0GzcGWuvBJbzaReGYx4FEI9MsopPbTAS/Scde5nJYBIK9hbNFHVknDZcahqv22gWG+eJ ya4PcHJhtrR3yGgRZ7YqBTmdJApI++JeiaWyf6w/Y4wC6d/3jTQqfs+3UwYvTiaUVk+SDZp8prcT KltkadD6U9EsQdjONkcPsARfC6QGPtijzO7OnMMSa6fUJowX5pQRTvZnjGTuz0fAfj/4q8b66gap 6tgig7+O9Ja8IbV5Hj9Jt8yGJntEq5OFL1LzoeWHth3pRHwZUw31Rx31/FgOlUhrl+Pso4S6G6RE oWG39cfxMTsyEMSxNgOLAoRqMGfoDizbjW3Ch5iSTs6428LEBwbfgSljH7P5mh61IOUogbwGFwac f5+boQrOmR0dnZNNwHFY5TZxWlmuLQrmIQEQr4oCDwgu9KJhrF2HzWhU//Sai/KrAdzA8FVh2T4Y dDvLHAOIzInYyuE9EZCa8mOE9+5yUIznCYw013AyufwQjw5/Jk/Wq3hhA1d5xqzKSFJQMdOkqC+h YFPIjIfmDIbE9mjWaEls2emxmiokhDtkNwWkd5MoN/mD/bX69unTUdw/fm20gclqc8LFQnlOCcSc jWVZTteat1oA5A6Q6GNGDUtDMVP0INUnsPEFCnPHFRElHCCgKQ6W4UO0SAsKKf71Kku0Xf8KMUID VB9sDT2iUfRSMmfO5vkKcTjtmw+7ma459NcOqasGZTXtdzA2U56bJcxGUa8TP95qcb9OYCs0+X9U MhHfmWtbCVAB4srkK38KcWFdFvJDJ3H5pjdQu+QHI3wBeRqdHTsf6tTFrb2coz9utT+amXl4lqG6 jNiwfPjyjo6gAYG30wOQN6Y/BfY4Y78a3k+leeCR4hb9AobuGQrbEVwbuAUbJalgBAVHSQEJi2rY FPt+fdebSXbofZj01IcyOVd/xESZ2C6Shxl5sSzZCphR6Z/mzQRdRBJ0OfdNQVcXN5SDGhKiBJSH Ii9OAJIwlYJbCQDsapHQgoOk4Q5KxG5GRm4U8FyIWpJQhVNCigwNREosWNi7IBHqMliPMG0+2OEo ibdfYtxwejzU4s7UkMbm/N7KF+0e94aHOy+MMM4OM5yv80gWdEeLP/QCnXZJgK937Fa+dJC3y8qU WY2LQfbI0Ih58i+X/ndozFMTtKcckzH/ZIpnsd+YGrswA8TFEDACb5YmY87xxeCY4jGt1WsHjaK3 uHYYgca9azF7xJ9QIUMD6dkE2DIMUv2kDXoy21sqSmpfAalKpINPzvVCwNnWMttgvfLqK6OXt8oC nceFxGgarDtQKV9YUeXDLdLFFpqJP/T/RFHLBU09ZLYuUmZEn9c1zZ90LBm0hdkv50tOd/w1p+1R VsEuWYiR7B63QBku1TvRjWyTwNL49EoAp0X8T2RVp/ecK9BL7mJhcC5XUMJiPGxhfxc3ewnJLcvb stzKRa9NIN703ASeKdbbQIRz+w0TSGec4nZGnrL0MrvUOC4UTrpH1f75Rg3vltUQKi+F4ZeGNexP UDHUXjnoRI4ZGYDZFCGW6FsNJ8MussOcZJVBsGBduf4r7p/6y2RUyWb9tgdXEcF6bfLjwbHVssaD m50H3t0KWKYVCEDZ+yywQ/gU6dUjm4O7O32VhNLnUVgPqq3xw7OMcImysKnaNXXP9okaOto/Riox LPpebxOXc4hzNELHTHhMKT8hVHvmlGYesqrHBFluEOybyafUZsLtLCBSASgKoagE0eVhsRIxXi0U Zu1gg9C9mXGy6gVKEUbUTyJQrlP3RNY1VIforE035rxnLaY+4E7byVZe+ElbwHzMYSuQfUODQKIK vDYVy6qs4Ctyg9DGljZjrmFVQxNu7SOGAYC2u9ro+zEDDZH0EysgZOAoz+m8ewOYf6t2YfXsBUX6 lmKMwymMKW8nCbVui8xanQUlYIKfSDFeFgF4FN7WP1GYX/H3QEtivOw6S/INkaSq2pKKDnbeEWp/ yCTbhKbfusiv2vjyuLosLrIdS0vHTiTTvcgN8hlgpkDuHdkUDWb3KqourN5NbcML/YeDFwVGUKue xv1TIoMzYf6bx4mAmVawUn8kAb1SeiuM3IHp/aOJ7EygImdH2FUl3/4wjbM+q4HoAVz8JOdj4+c8 Y2hChpayrIZ7YvM9DfkCnjwswDSl97+1q8ithxEiTwv3dXQtIu8A7ZCqXSMFuEBqV72EV/nKykSJ aIi+gJVVV6J+TNTGPG8jK9w1Kk2oF7m6x/oGTCR5/QOKkRUMrLmsWUQ/QmrZ87/8b/WTGy+QSp12 xoHWgVH0mC0Ne9GgnIs3ini0tMcCulYkWYx79NIk6r/btCtotaTl6i3Ej+VQaNrKW8DnD9UYZJUI paMfSbMnhXkenF6M2ikYdFUpkFnre4XTejYxQsN3E1L2xVADuZEa6PmmKRpEuNIXWVU3SzPEW/Yh a+Ht1Nj9HIsJbFNu85JQBo/dK4u4bzP3T1W8dM9x4ha91SyxDptnCxJZg6PSoKyGHjroaYXS4/Yr Sf3m39t5iYSML8mZ+qDCPssmLRUx4tw6OOz8q6FJ9SXo3BhQNsw1tyw80lMeWpNvwKf8QDdaw889 34bSSQStyGdotjIcj+/EI7kKv0xnl27IlWQ+DhpNOIcq6gmvvZR+EOJ8RVP5ZhcXqLXeJK1ePJ6g xlFMkbEmwAIkae7Tb6Lhurm9uJd5iS5bCPdctU2dVaCgvpw4tpcWIUXovLY4/Z3vOK9M3vL15Ais JK9CZfoNJmOSEPBtpQGes98yYs9qclaQMxEO2NeQjqu3YRj3BRqAX/mutaiHjoe1l9tNMn3RKNtt XNrclxspbwLRDh+9KsvzRUuriuZ0seZAzhGjElNUlH7W4CI94H/relRVOpa9rb1HJApSGjsyPgWF fvz2kvuYzdPJ+yecGTIoG+Awak2j4tB2sHzL9s+gUcLkE4m32y76uBjTJLMueJeNfyAPFPzZP4s1 l1/MfxG+REtlSqAY4vSjRIZL7sRQy+fdIlFemcY1tR+cS5S6n0sFWWcF/4/qhWwjdEY7W9QR7asg KSMBH1prZw/WcJnXCBiCmLBiPdcU0plXQaANBUA66whQ5qQqqwJJ8PBld3MYwq13N91WyzCWQql4 AmaclhHsufY/CogbgoRYdAaquwmGx9NqWWSlVRBHV1JGNv86tVGd75MG2/Wer4M4zbBDqiI+cF05 y7XObv7MidzfHdc0Pe/8qY0bRw4LTcT9NrRSbLcXGhJzOE2XJWijLT7lqY+7Re4x0V/76zGRwVP8 z4HQdNg0Bu6xf82Il09LY3jPWyHxgb3R+g0QR+gWrAFAFYb42ok4cygiPZy19s0YlNin2qDWMBG6 O95xfFGwR4++ocv2iCfL7EzpebPUd0JhtkZX4HC1CL3JpwQrhSVrk8wuBxe3u913TeurTZGk/N4g T6FkAN2/O/iAkclzsgv9DeNOV0TxI1QMgOefVMflvrkDb0RvWwN7tJxQLiPJN7W3QEDmTiOomZjn iaNmmzaHzelIrUbb+OyDm2SXHRrKoY/W61PmL5zcM2rVaf7mVMxSIfbT2IUPtYfMEjLtTTi0bgzU 2wCTNvbPbTJOsPxART2T4GB5UTPIRYYiyoPtkcHNIyXFWqgpS+iVWCWynFo0a3lw1jxp4++VEljA KQy/oON403PwPGSdEkI50tVJpUWs3nvMgtJ+FOg80aofXzCvopvwI66jRH7ouYDgoXINQFyuY00Y 81+A9XrIxPIt4IBGdoJ9NTn6h3ckkB2pFs7m2Jxu9sePpqEopb4WUM3rE8qnR6vsW2JXqDszdyKI Lyz4r0YWZ4nmWEDk1O/8yH5b/k21bbcvCppIFKruO+cXlKgPGVEtgxmbAm0YmF9a0Qk9dvFJ9I8A LpcB5UaEcIWl2cHsdfwTn5pD1WQbotKIwBB5tl2X/L0nJCM7y+3dDcpmsnT5XyAnNME22qx14Kax yBJG9RpToEy3N9Zuoe7lj3+pPozKHI0KUjcTdVFpe8opgbnsz7SYBv+F0l3rWc9KpPi9urs66d83 XpgBAggqiIJuaCxVFFxkTppA4mtIytuLvMD1yA== `protect end_protected
gpl-2.0
6e46ea9bd15b805b95b9a5fa110e6860
0.955368
1.809156
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/so_addr_gen.vhd
3
32,156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KIsVxBdnVm5URFvhm9k2Dt0n1pEYIjW7hhLird6RDXwJOPvpewNJM4nC1kgjY1apTXlxcWplDuWl vq4O7YCMCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ViD8HXln8WHK8Y6Vc6tYKacN52DRTnxBS+7xXVFS0qMIv45+Leo1RpOaTqstz1aAxMgOgjjFtfqJ c1bPyV9DpeLU9EMFMa2TR8XhQjuz71gB1YhSSEWLwKuBcdtXSGLNa/HJ/j3N5fIOgPt0IXtELcNO 3QteWbDXKO8b0UwjGsQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fU4zSViJlIP6bESy/ssnS/kvurduzlskTbISzhHRsjQwou501hyxqNl3oPlNq4DS1Z54mpSeLGIf IcrTB4ZlalksveiUSWUExL3iopJDWspjjEb6CeOSUvKlbnfAyK/qxJTnsQA3UrELvrlnqewWAbRu 02/FItRfnpHRHFff9N6IFP1Z0zJ5vfo2YteUJBAsvNt+DGdhvc8LHxRF0WBm+Srx21iKHsFeiX1Z i3WbDfkui4CyZtOysILijpn/QjgcVBuKZHItYsA/kQDLIF3poYi+6DUR8l6YejhsoMOJ6es61sy1 2rZ7jlDZlf6wVbYdbKbhlw//voYMJ+tyg5XZ6g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vyjszmSOvOQj1+EmNxmUJ/mMg9sbyv1fO37iYKpsEr4LjGP3iBg3cnR2AZoL5Cpl/DNuq3OrJVI9 DkPR/yBHz8k+ss2+0CFpPapSo2bc7SshwnnTwREsKQvZLP/PgVKjL6oCcKixERqv+nKC/Vf6RCRs L5mNN1GNqI1sMC+7g70= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CIEGLlkPnCZ3U6SAXu3PjGkZBFjyqwaoVeyyScW8pNEYY04QfcvFzN6Ro7whdiGSUiuT8hMazsiL IKP0AWclq5eRAmd7my43gwGVUJxe9FaOE5D+hb1tvFacPbNRT+fqVjiv6xd0c66YlMXvejK8Eap1 /ixKhRrBaozY+tkPxc30rGL/YN6mqRGEfFb1XAAO/TOeMA3j9741OvbReJZip5HrdIRtbPBpNFfk 5zDpvZcKJ0tp2Q5AyjFXyaCyztc8dzcDoEwwWlsgEVqDxott2kzuFtuP07IRzurXUQNawZMlmUot 8caqF3Ifos0V/7MGzWeQGprPw9l4aLBbhTSSYw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22064) `protect data_block 9cmZAPGcujMlJST2qLtOVMEvn/ZVF9BoI6dqbioDSYnuyS4RyldWIetP0CMxBOrtw2KadfCHa0kH KBOPPH8SiU/++deudAzIG1Cu0+f5HENXv+uqw6GjU6W9Zxxur7Kxpkg8GuvOqHzCNUg1ssVMlUnf sbYqcn5Y6dCXgCPD9AtsYwhBm/YTVmluzAJ9JfjkJNFJDtax0NUxh5D6KY2xCNZhMy2gZX9Mt0Nn YRGkerOmcgG+Sbm48XWePXgF/zylj4o0ERgDb3q6UQNT8otF1mKOT+2iWsBPxWo9zD+zkpFm/fkE RWK9I9W3NAJ4iRRveWr5Zk5UdFXO4DyI0oLicxKwtnIrnKJFkelwf4qePfq2LCBj1QaECkDF4K9s sM5FZiGHwslHuVKJW54hHdLGU5NzCHl6XCA9/YlXMCgX5AAP9mb8LkbMpGAPF0DTp+KTRS76/QaO Ani33ZIBP2ZOwt5Fo/4+NpusiWB1lRBRgs4xdeTSYSh64nb0kPrFd4rs51U1jHNYD5X9mhxaPmaM 4v1S23etkJ/29zTGiAnFXTVreO7BMh9RVNzlBpajpJlBu4B9cxRlbAmMpagyF+0T/Esj+wRzNln1 38cU8wJ3k3jij2t54Jfi1f+SbuJ7RA8oDF6WzH+3bQZm4aPvgrGxoX+p/PSNF9C1kEV6I0hpAv2d v4AXvVIJphuyCHLRbCrL1j1vpn/NjOY7O9bYgyXKEFrMP3t9TSOjwBojsYakWfS1g06VGuOPRgFU m5dybpufdpkvWT6Jn5fgp7dJ/+3WLebkL6vLpHjd2h6R0w6bFefxm4JhpI3fAexQiiWpwwrELX65 wuGL/Y/tgJo8MGiy6pidiZihy9upup+r3NAGJm47jNbPhbKZxNvKMv8bRj6tLXdoyFKcKop9NXGq ByRnG1JhyQsOiRjYrmYb6iQltcr+d3ajhQEkuaTISO+azArYPngKag+mHGX85GWp7nkhZBpQ+Ftx LZPwfWBQHVFm2U6zJS3RhMjVEGNgJD+W0leztp4eVl0v8Hzm0QRqyytwUaxG/HzsfwUxFkfPv3/y NjAv1VbzbAfjIHrIY0TPakG+vRgvNm0eMMyAvhVl192unFS868iyDJgNr7wbfUWsEu4VXFLkRtGb vNHKS6NTV/PhaMfn63oTIQ50ox4L9toXlydgZAZ/URI1IsOqnhrOTnwiNKByWjS7WYdHkV21UN1+ uDzhhDcJys8Z/iNu/jXNiqMKgRNa9tsuw8GDzAoNOZ97kDOnkf+LIS27B/bcrNoYIiE93t8O/EXB W6QyzZclbTam9pUlARNm40q9bkq/fK4uM9z28ljjgarY1/HkzionEDkLQy/ZPwx7YI0oabmmMnuR NCv6QlQw1lkOgnRxPSkLFn0Ii9r3z0M3raLflmk3paWh0yrHOIxwgSsuw/oGQjETu9hbiDZOa/RI k0UR2qxj84+M4mJqR8AZaem7uJu22eTKSK1ZE3leKdJ7P8Dbj6FKIc2JRgFRPFrLgRAguRZqG0Ag UZZ15GAh1AzSq+cTfjjLmPnOou8vB4PKkl8jOPkgvto6XWulD94dROxRyV8fMtHfKeSCXjancadJ 4LJV5Tl3pHHjbor4Ser12WMv+M8w2D2g+wtApPYqBkx854NmzkSE381D6Sks90spWxXay/wB0o0x mCmVp/R0cvaUGca+VOZM9EeveheqXQd+cIznFN4kQIHXB/+j1AC9dXlR6fBwTInenflocV3wNUNf JI1MbLNr3TiPcpnWJk2AewKC1xP3ANG6+ZIAxFRUpJTKla+WYSOlDvW7UZs7lQRHFSh5WSX6Nbyx j5exVPFrqNlPbQmJ1psDMAioKMqWBFSnVJ1Zk4Tjp8ogddkmbSQdlWUwxoM0VvpRGMcGhfKuWXoO zHkdW+yCDpSmFEugiPKEwFk7uOYIGKHUzleiZWoHJjKR38uefx3MN7iduAN3D/Gns34WMcK1AtGJ tl7cRLRZXtI05GWrgaWvT1rZ5gdF28JViiipjta9+6pO7IbppJz1lmlOjC/fDFt3TtkyuEOqaE3B 411PlTdxyrgHZc2nHJnLXQHEWHeTXruj9mF7nwnaPnVzem7hsLTwE0bp2hLU5r71iFClWlcG5K7v n6ae3/HsfqqHS9ITzQbC9H22bUMMJnLm6VsihLhBRlr+hxC8Q7aAxyu8TFab9FF9RK8Qp191QgOk 0NTuzYLXAiJ8ww+ixg9pbhagv6F9LNMbPLBwO0DmoZBtEjPwyi+98PdVgjSmWQTnJmNqzbyNbMVQ Kyn/JZnd9kOuQSO60q3IwlQyxWCqmk357QOtrBzsVq88geav40GDi5UhZHBEBuICVjKSfx9t4G0+ UX5ik+GxxrSuSVGGD8lkQ1Iij0qCXwD+vs3szhGDDwty0Tj5iJlC8p7uy1cZjZ4GYhr9Gy9+5o7Z nyHJE76SWpauZGMCLEKjc9Zm5tqxiiGG73hK0fe2LrSmxkVKVUkVwExhRh+nrZgk8PvexjSIHoV5 BshsTwzpcM0Y8ZPM/TSSlyV5DjdUu04p0sgmSAtCJXLJdppnECzXQmvBpPOzJiB6HpZRQkOqT6uV uPOWv281lSGyUTlL5ei64BwZTRLxf79ojEl0U6Z/14RSeOZrXB9G7vmlzu5vFi6OgwjC3zo90/Lh 7Wckg4pAcAOL5aBb7lRGXC6N7oKcStOTOyFPNUmuT/tEYdugdT6RDfj0oVOtLpXKm7M2ni3zGxSv onX1wvTZXmcG/PgO5xQGjNscA5NE378w6VDS2AImyzx1eu9X5oWQZp+ymoc+HORwSSnCL0BM1+RO NCjKvd6uGLXiHPH+KC6EpEtbl9+OF1hLpx3LyeT+nUYbnC18sgy6+J75hazUqvyBSrLw9xd1Ulq0 u62H1ocKa9U3Jbtk8xbrKcXJnDd9WfdHDkvmExGghqRwMmqZdAZuqXDrlZI/fjLqzPC+ni4/S+5D C6bEkU5RQzhzyn13X9/+13IkEz7SN8Tchyq+k9Nmau8fzHCLAtJDaO9Rhnn/NJte0XV7ITGZxWIy snH3CWig7Khns7ZS+U8IjIpPyJpRwTr97PiYyUUdUKrTwBZ2wRmNqt7iVTK1kWigaJ4odGb4Jgoa ZVZRSqmePVK9keduhzg/xpxlEjO7o29y4gULCDiZkCiKzVQGskpUnaZdldCTCXufDhAxXPb5rM9E aU5dZMEGuFZH0lcla41+OLpjp8HbwYCOE2zOGL1KN4ZAhVKvTtFOfuN6aAs/83+Dg85fEn/1BMEV Yuw8wBRDlXPBp4Mo075VZzvzveBaQP6wxrerAGkJO2ykgDCc9UgtS5pDePN28yGlPK/XSo7ieXOC 7r6scxt6aOZri8FgcNUHoN34vK6pFTk4lsrIhQFE92jO768qxrv1vf0g+1gzq4BVJYofPnq1tq1O zxyWk6CZOIebm3PrgoPegO1b+dilC+SQdNlH4j/TcSKBvqyGc8ss3VdgeS246tZy2js9m7xThi3h w84vB2eGMHhC2AsZk64OhsTMJYy16laMW+lDPyyHouEzrDqH290DsinSmziTwBj/hd/lFoTjbCFu 6HqKQ4tVk/aXtla7dy1t9TLc5snvyRfQyOOpYgwhL03W0Il4zvULBgS4C5Sy3y2tvjVnVt1J5Pd3 c7MmE3iblIsDp81YtFXqTVdyGehnFBI8gKWgW0SvXaLAzLlx946G3tM/7EzVuDYOykEes+k3BVsQ L+kLq+LscWFXscI5qc/yIejzfA34c9ciJDJmz3gK0t++cPgajLzYOQZ5OGAKyoCNJWfpktJwvJs+ PHRhm8hE67eiHb6WLsfww6RFkPEvDglhA0J3HLnIx0IDdEUmh+VFFQobob7xdjhUMhehjnHq4ayq 8aUeM+ihBuyuZKRVSTU1Bl12x7MDyaqNeUsuOIkHMJyI5Hr4zkTDZxUHuZkiMn3L4RcuoTSYzXp3 4UFIcHey7GR7IehBj0VEN3pdhMULBS4x7OHjsQX1meZDMDf2ldRikgPHs78aHMR85r+JGnYFChJV DTzSrL3zaGveuHZyNY8TZzH91fJ7uR6FswS9hbNfowKPVu6AVsmyJsP94+TbpmfGBNU9xmWTsAle y0f2B8/X8OAxZLs8gdG46/tAGT0Sw/22jB1K/48tEO734f/LgSCZLebj5efK/TPI3pT6SdLHzwE1 a6Qgdj42pby5WieBKJQbKWtqwJmTCF82FvdIkE6wX0Bc7yco/H4iYWdi1SbM/ZQMfNuXAeZi0v21 wvLxO+bQ9onLljKMeeIJMymarnonpAsayLmYA9sSL8L9JgW+LarWpQHQhM19RFbB7odD+smp3v56 j97axr+UVRKu9xMAtmvQXzv90JM6fU7xftEn1foY7Nvm+jy+gB2HcZNlYST4SRsaHKE/M+8vTa2v EbOC+O1ZYpO2oSMN51gskwwSCMwRuWnrjbmPmOOgJg/RwHSE7NL3En6lhJV88IIPRMKKFPle6yCP w142XA+48YPOaLPUiOrmcHi8ABp1R5YlAWEHbptLkHjOBcb1QSOh5rdeB599pU4Tl0q9P7zLjf1R PQcUrbibwhUzeq0MUGtDkaQq7K2T1kZVC8hTwn60UXQQ0mMhHjoI15z9sA5AQlSgtkt9YR9Cv4sI msGlGFYQpTKFXkT9m6o9cmH9TFRHNDYj7+VOgMOlv6HUsc+2d80KB4TGX6TnHTXXsTS3IdNZGH+y 08Y2e0BV6lBmRNkAIXohebubVkfrbrtmvhMCOU96QCVWhR4SRd4lHjGCj/44k6l6MuVcNz47UX9y vUXPmnKPmvAVuwoIxTq+YBMGC2qzCNiCnEcLF8i8bxypJmdFTEYTJRnjTEYwsI7cnSRMN4rCJkV7 EOI+McXtuy7sr510HG8MPpIDnrz8JVb9LQThnP0EcVeMljk9TMwMqooQWRfLQOJf1QWm3iSPASlm 17ez//BxglCzRSTp+7E55Optmj0xDcXQ2gd60MZgjarPcT3bqlW3JZ/oyjpYBHZ/M5Rfl8aB0UdB LqgkiM/TkKeCmOSP2E1Qe+thPlGMP4biZkHEXTdfTcG/IFc9hZomy+4YGmqbupTbavi1yTk+a8we yPYaA7ULsltpUfYpOhP/DVfT30sy1y0QXAvZD3ULiLiPe+io8NJoPnwnyuHQuk7VKXGnIGxX2yOv AzWyCswXox08mxcfLMvnvEhwDje+nwL8gQTB4ramU2hky0roCF3KK1WRwErUTERxQBZ3Tx7fWxy+ EoXX4E2svco3yVlY5ik83bec9Q//roUsaF1CoxvXMT0wfBX+VOBFQKdavvU9oBwMSmmWWl4bsVw+ WaGCfa+vyX7npUmbF7X7UjWOKcTQZL2zyjf/eTpzc2EhwiMH0jU84jQsy4Fn/yE8uO6X8FWW/kTI DWN9/1zMZfqr4BrIGMi87XTnTHeY9ZcTSdFP8kn516DJ5Lqs2L4qqE0TW4AMwisVZfuxr3XLQvBS 1Uyoc+sis5UkqwjwuYIau0OJcK+gzYz/BxRA6Swq1VRqiu03OoZ94z0xZaBvCgVFc8WKwUXBsOas 5sFfhum2IytMAuTPaHVUdVKRzklj9NuOLcXxeqLaK5SPrRPrzHuTnNXhkAOzm4j+aSqrK2fd1D7W cjdVRsW15TYyF4pHXDHVLLUTb5wM0Jol6quExaCIch+v9prgT1LyTYwIXfhJjTTQx62T1v1Rkhxz 8CQv5fyJDjKPM7NwM8ymQbe1YMQEa9kgMUyeW45zv49tze0JbhdWIVbtvzeyLP0zsuPeTXMOzlMv hzZ2ohPR+xF7duXEs0im9eVC08KotHWBnBSLRgSX2mSi4Inq5qL+qkmFEMNkb6syddRyw5qsHSR8 ujD0glCZw4BcjDN0eL25zvvkLG/KjKiZlqMDZGfgGVl51IJmAECNKtm9kE02YRJSsSTtvApHp5V1 yUCTpa6tcVIRDD0Uw71vhh6jb3cr4lCXtlwkpbHLdaBatH5+TkqoAuRtn3jsJgvjbriBI+uYDRMQ xR4p5TuFbXKUyJ1rDd5WW76DDd3rqazC+fXWbT0c7qK0QHujcZVA78rU2DSrcVo1Oz1sUI+wgs+e nBNUNkko/UCYhvcw8qGei2+wNdmeLdgwtlISBIQojobuoB9TDDCVUxWSCF5e/zfX4t9xXn3xznsz 7bCIPIHXYvPu8ndd+hvjRI8p9A4iDbK1RKFr5Jilcn1uRtM7DbwOitXqKQyUV+HqYDrKX+eFxpbV p4c/xSk6eWMZ7QmJDmGsdbLnD1En7kqkFVmKfTQ2o81WMhPeyoeEK5pSLGBdqRzh8UBy8Fb1uKNB A30JQ44RYkQtQrrInImJkIFboiOyWk8X1n1yNn/MPYOAAIidTg4hpF+kYCAZIl92Jz0WDW7TYNgv B2Njid3TwpQiaQ3OtE4mhks6sq1mMzwfruRPBfeUaAG5MeWAQEcb40Fb3YFrzCjpRzlK63hp2yjv olFevdlaKopK3B1hRke7n3amwEtbMSFJAedsckFdsUC/nBMUHuYeruqi3r/GWYY47FZcwu3fV9xF y9prSG++d7ZXQkaPXt1ssUJW/k23UlTT9npGRR1jTzNOILYEkub70NDsFjwAQB+DrH7mQ8TsvFxf Zn54eVAqilkr375xL+yTMalDDC6BfzUYLl1QqCWUpOLRkHz8x8kFnkDYARGQPd3b+AfBN/PGRAof ZkQQmA3w2g1zqDEO+LRRh3C8UvSGUvpw8d+zIpMNrH3uh0IXSRtOj2hr8KgdVKOM2DhC5TwbNPAw gCSMI9e8cl+e3aeiNBBTChiqJtx5ENKvVwPC30+WNc8SP/8SB4QlymiJoNkiJ5oK9QHfUZBjv7Ig ia2KhyR7AJYpE4xy+OE2okZmof0zwaumxYKjL0pv5DMd3wAR3Pp8DqBvQ6Dh+pcHtu7uY+QQ3ZYq zwMKJFuVIDqIoRt7gt5SNX3VcZ1hNBimixgDlmEziZ18BRxwIZKRRoaF0z3EMfTj9tjihcNuc5A9 mU///0VJkhOZPx+ShihLqjDvdxG2cYTr/4D7HuWRrJ+yIkJ7DUjg5yBOKyFk2xwGfBAQb4VN7n5A KOxpf3cDnzKWKi/JBcm7muEcGwlrTjRXrezm5GhDDnqT0eKYg00486BmRruinLaqQXUSBlwbn6/M 2pOKfBz9rip0KsEZSpDKTxrwE8rRILLsZoi13C7z+gUmXzD1Y1mJZirP71EgpcFuQoWh4KfFeH7o EJDKNv0QyIUx1fzdJLSFTdF+KHFgURyoajdJOY02ydTrYJwu1a891EGjMOD+0/HJCq9dLniFi5s4 KWV2ZKgErbc3jr4/dDA213v5C+7XYylZoAn8KR1FfAlW0E88nDXCbdmLjqo31tpimfx7oJGZsiSM fx7niZZcSv/P4cO6C6nZ4sZWtpZxNqDSos+yRfioaqnEOgZTzl0SE6wqJe9LMrWzezH/1AKtfwkf fkI3+1EHo6Joot5BkPpddWpG9jxoHQScuoTjx6LEsqktmUu+X0REvgSCkH2n7q8750GU1ElsiEsy C3XSkCahwxh6yUFuz5ZlZI4tO/yMkPTXoTi+SfasSiRGf0feEIaKooNKiw/tUvTpRe4BNlOBFk1M DCXJDcAAA0GA7t96qsVB2Dgjos3ODz0na0BNwgd8T1ZC3mOlJyU3kBUpLjW8vYq1Ks9cQZAGqWnv p5y3Yqubtno+nUkcLAxQkYrDJNEzErgvDYifWlb/NmMEBbN3hWDVwUlcObVJY/aE8vItlGu9rL0a uwZ3V4VcQ3LtOSuQclZgO82Qf5TNWGp5/UHfGBUX5fed/ZpyS+2pbkDyZmMsn4lQZbxmeg1JO6+a PBa6aiAY8zyEch9MVmrxxNhuxrU4pFsaMjDy1QKQeF/YYbv3w+qf5syPziksLkD531wl6awTXeR4 D87JCn/YfFpVzIFh9p4F6OA+myNdrV7goA3ZGJh+9vnO7eXEM+BRBM4b6h8GxSm7g6C7nMOJFqbe vWqvtQjbTo8ucIDM1jcuXPmX1lA9LbJoeMyQZ52R7Mooj2RpuWjS9XvVTGCb8yVpVULX5lq9m+4C 0H9fg358euQPM2TSgOH/OomqJSxAtoSu3FWb0e+dmaWdPrBbyM0skhfUxm2s4qoAAc8F5harmdK/ H743SUQghKbggBSvVHEMfas6j470gtACYE1pxGRh0Ez1+CaRqtmLtprqlKe7IWnTbIxnCYAkHL0w Mma8l6AXj1CYeKD0/6R2bSBOIlnHeXdruntP6FiMn5Nqwmsvgta9VXNPXwW5H6HG1EVT7FGDgrup ffCYPnCnhMU/1RwP8WHGSkPOwGmik2GiSu0sUeb1L5SeyA4n8OZrI1oFQtnJ0onFq3NgIX6e1zpU q8hDzucNB4cM6sPcBGRocUj67GqK3km6cZJW73KXsh+cO56PUYYg7OMFuhQ8JHtadxAQcU/EFYy0 ZHNdpwXy0/zEevJVq8TOQIgQGognfI3hkfn3vaMzUPO5QG7bazITCovCJJHULapzNLzxhw0kR0bA KE4g+eKAsNT4z3X1xrszNchb12/hJuPldoKStDzbN4oIIrmhWqm0FwQPZB3BTVZZS4TfFkjjSnOE kMHM86/Q/1GP/Q+RSdrGzADg6xpbGXXFc6YaxYvFxvVnr/VNgLsaiyvhS+qaloD0fITpbl56Hg3a j9s8BPyB4h1c1NE/13gQELDpAgeZN7ZbnWFA2BNZuz3tOnan48Q7fufbdkugFTZBnnWYErv5HTBP sfrwPkNswfiDQqEScmDP/AdCQ1oj7EyoNakMioNAFW82GyaW3FjQPPuq0gGRQXej2/Q5J72xiKXJ XXUjboKSWdZQq6taH+ZbK+8YGTBcJBq9gJgs98bVM3HxAE0FsaAT0Rr1D4M+t7GGHLnRFVnK/nVt rM3YcaTP+2GnJLPr4ZWKriqWF1o+/WKoZFxCVB06iXIPRVXOujkdYx+tXIm0/mEPdD7LsldbcgG8 o3mGOPuCYv8wnW7bRq3eyiTfKY/66AkOVSGM6dqLEBP+mqbe+YctpHNSGM/K9HzpNteN4gjm/ouD oIuEAMUm+S/hYyThq6CgNCjB17Yq+C1xe+veOzeiKbzSWm02DpNRNnAFuJKtMXS8lh/WIoF2JnYm ir7WqoQ0Rmh4FHIQDs2fUxw1niqG7NnAQLGSvg8EEgdF998IVOi4DaoGof2Jjb44YE98nZ/EU+t/ 1w7VENvqW1Hsb5aCWMWmvt/iuiy6PSM6GtcGHnTRGssHcD6XDzuSQQ0g8OsrAMXNJ3ORM2k3z7dH gt2ATdigZ4o8NXQDrXbVCaiIVwgc+V3aT/rMi3UH/it/zR8zw+1Mpl66vDk9NjorzP/7hXnJLODg 1Sa3xQwDJc23R6Tv0jSIcEAlVkjFnoAHsmq3lio3nLcSb/1/0Zid1bjLP8oUi50EdakhE5OA3cuI ioViCFEM5nfI6Wi6YP5PVAW13BqZnsgBK3ti8n508eyuFBnnj3g4unn2pNQ95MWMDIcLpUa4k/5i DswzJMo0Qp9hOLDH/FD1QRbPE7q5niRYyHHXhz5TxfhmFtpJfiFcXKw7043uyz4Sx52HVLXKUr29 eeaCf7jCpPW6EaCpto1OSdngvW6lT4ioYcccFSlXW/hCv/rmYGUbOLHGoAaGUDxPTHZHc7gU/7Vr oVwclwe6JTgGgY7krP5AgpVBh+CZ2jIbBfBenFMK+A0ehDPLnJx00i8or0X5bvELck2YTLokoULk KylaV85cTG4x2STyUy7T12zjegnFiao6SBve+SH90onznMQTYOelU9WZO10Rfsnhyl++9Z4O6zDA prOAq2nHIgyYeCQrro2qKjbNhuI0B4HVwZhW7dTKuIDtamY3XsprYPa8fGjLFtpc+JMFT/6EYFY8 FLOmpuxeOuzQXxz1WfJnlkJCYPsT8QNdc7GFY/7wghJRpp8bauELqACcdePj2D4E+XJb6l4ENYcM DU45X4bdnlGU2DYmYzaEC9+FAqZTuFctzUYuYVqA7yFxJnYr1Sn/MdYGlsRRp+ONqB6wrVFnzsje eT8+pEj93Tfw02llLeYEhGzgNwX1l93bnF7ApNhE/K1eFBa/y37EwjHMnCYhtBtMw5hHv32L5067 HjaNXtBh8W7Wt1e8/YovOcdz2Z2yMf/AYIE0DQaNL0XQiZUkmaoL+fxwF/CCN4eLepWqadc2qdmX /KGvD+oLXlBFZ7ZIjS94ZjAYkAH5g8yswXjgLc4NlORzbI/Z4GdkYZ3yqx6HgJZzCu8pGJztY9ob 5aOFQ1goM+dbuImgeNJSbK6QvzIZ9TPlFCUlJUfQTKLUxKSJkYv5bH5A9uiOXltdMqljCF6pojPH 0jirD20TEUABxAA8E3jto8rkIffBB1IfS7d2l/OYzRzPl2rWNXzXrzb7aPkFlnShFMfoz5tONKUR lxncz9dVCMXD/QGxwyqZwwJYhpBQ8lH/IhU03QhDO8Cg6NefIxjuufAByCBjwnmpDMW63cULHbVK SlTsi/2VC9yR1Vwo5Shdct7wRpcVTb9QsAFYrCvDoRRTbLZcbyRnRe0mA1x/REmKYsgJdbDKHofW kfMS35nHNLkJFvvqasca6W6v3dv4Ny5nWeoGl1SGR8kOpgH9AkEltsHxFo8t7DHUCNODdVnXSyX6 7tlytieSV7oVFLZjgTXNBgLEfZqWWC14aXjZn0LPKcVb5sodFIi4BN7WELXspwtEy20iXODnCJ8Z 6sqBQxYSPUXcCUWHQWOJ8WLD/DnI7jbDbyT6LRbpS0RSgPKZHWXrlxkEIs3iF+aF3TEq/SN/XNJb 5DZxXlb7udU781KZoNJ0cnzzcSeVeikZJC9Tcds3Qhse3bpoV+EWl5Zlg1xBMBx4JIqV0LuR9y6Q YAMyoy2Kld4MewdrfH0ygkkr8XRA4ofLBYoH0Rv0TvplrXrHUAghZoxb39LzeW/kMl35I0EKJQml hUrNnefhYYo0IJnnjyV/vApHUyDIyvocxdKVnuTgsVjIOeVRCKySI+YbfoRu6LkMrNsKPrZqK1Gw 0zxnBuQ7O1cfx9JmbLS9YELowkNDkdpINzZRGLIPiq3xFZUJ90kwErcnCKsWJdGosEJgTNXJ8hv8 kNHxzTrMuVyM0o54Wt7z2XKz5tYY1h683iMA7Vx1hnZlSNhYmmskdMf7AISUA/bNFj5gppkZNJTl 5m8BsV1yazJBDxW3ypzbuKieIBb/lBXr0Zq4cc4y9llbL7QsOF0Gba++z8xw7k452wOzMprMSyLF BGBGzaW8sFCtNoznj7bW0VLzCDqjGP+K+FB7eJPtKEWcK2m4baVXzpkkbohDJEs2bGcc1IjGP0HZ k2vu7v0bvMOj0Yo04g8wzWYGajvZiUi7cDAoT+bImxO6Fv/9S6U3eqZvwQXVnlOFAbmP1O3RKECW DIubl08fZ5HQ+gFpBRo9uTBrY5DwuPHKBjfeetrexypyixL9MJGKyYR07TqmhMpgiEy6mWJZlUwr czXgpnElKspAn4vhx+MPW2rc+JPEKi1STYb2YLpbewN2+IT/ofYgXWWco6vDrrRGhbBuf9SOYgU+ 35mdsjbjE1O2NupOG/z/McMG47WiON51nN4Tpd4yuB/4vpEDdeSZwPOsS9w1BGrMFXA+IGgyq5YF ABtYXePLhT8ZXPg7/YqiKTQtGlfOrkmG+kRHROZsUjUDVBHr7dU7oG36YcXWa+VLmupZhMyvhZ0k uVdueColnNsEMPYEQVy6wGdoBNJcWyZ/hti1ork8/tevnzyKwhGtFgmCrm9PFxnAFcusGH/y+5XI uZCduCL04BYBV7pz0ohgqsRdxsJtXg/lDKdojmzg3wUkSnH7Zn/Myc1nb3Xs0/oslYH5RvPSY1xr jspZvS1anpYr5s99fQmB5rS6RFO0g0nGDJGx83JWHv5R4vgsBRFQxsZrdDBhRfUpUmslQQ/jI+L3 NhKMfzLU2DyNgYDG4dzi7x5luANqTRJbFroQbTyTEii38Z00PZWTBq1kVALLOHYBMHyCAC8aQCfE cYhmWU2Ore2IQmtUqL08iRezLTIqAM779p35yXB4+NrIwMO1bhrpWegBaEvm5tLotucAaBZVEV3l zNF+xN8GZT8ssqPiRMoWm1ZrDazqayggmvQz0nDMwzS4KBwy086ml+LpSTZ1pVYS0FId9y2eZh6W BwwdL3Z77N5pw4stsShsv2WtDs+hEQ3lk5n1cv9m1QeoljfK+gOUtysdemF8DCfLI026pWCo5FOl rneiQnrnostYdv+zJ76l+2IgartB2F+sguQdrQSu0PxzHk85zU1Ik0MJEudKey3utPi24fBiSIeA +yNGHl0I3BEJ7+H7hF1T9RFSMrQZMyqcFfTCo9GcpI3gV0VQ1izjXEK+K3mjxeuxALTXEmXtG+r3 X0lcM16Ojfq+BsiX83KzMi2KTrY2ug3hAnh7cyOMkfWvkyGyIot6Pv71QKgJut6vWxzqwrXEpe06 5BzU0pp3npNFtXlCMm9E8/waB55Z4ZHNE62eqDWnvdSJUx0yhGRItghKyV+nslwyvaWYH8MCJsX4 6G44tYeE3vz6C73tRKpzNFNckgB0d2+WhFcZUZrX68I3tCu4r5GYQPck4LnLsLA0C1490we78u0O 9GYqdIvSoWpm+XeUb7C0jRJc6qjtjWR9bOG04Au6osV2A0UWDfKSBMF+7f5mOCgmGMCttQe8uEBO fjEeq7DfgNmhp9GmMIQe0PCK6QGMqkkGxDyKDTylqVugQJAn9t0eexVDOZqTheWUKlsRFlKJ2Byu knnLHIMT6FbfBgYupdP8mq0lCglNhIvxGi1XG7G3Dm0RLk00JlnA08GYvyHKvN+pzHWuYdG4G1GT hR4mDEYzT+ZHnFRAwzb5nBcmwYt1MF24+Gv/o0UGDORdayUKAJPHc3K2BupwxHlLQKbKaju7uW2Q 9TbM6hA/BxH/Cmh5nWzrBduXbQExtyEd6xurx5eGMgZ8CzP51AAPvLPb+AE6mVHvyAf5Zlc1ShXN fp49fj7vaRcy5Px0Pscy1JKCIeSgQ9wNbHUmXBWyg85mamAHiARyFRQTT15ykQM1wKp9qYBDy67d 1qIwo+uwV4/WnJMNRhxAHf1K9ShDww9vjWM5/enSda8OB+VJq2y3erUukVD7rqhMNbo886EIKbMM whIQTzgsgUjBJLfAfRIpRUVAVc10f1RpsRa55XslwMeflFuwq1/A533PmiNqHc9EMHqsjon6+mVz GkJGEkdLvrcGPxISZoG8AyFiI1AdKLGhOFNNlKfLKBxfjDNC3HZ2GgtbQF0S2EDFctrZlF3jFVsL ci+yqIg8tLKnbzl05DZyT6cBGXcEvA4OD7G/DTiIKRyMc480w3aZsC5baiUapWPcIdmQ7T+3VyPE TPGd/meU4s0ByHnflKMjuzNqhIaRFu9aPyS79ts9UYvy6XqNJkOI9MUj/1p8YBOpkD/JG6QTFio7 Zn9RY7Iq8cSOlxIDBJXqWSft9ZXVRulINxMo+jbnaHyisCLGQG/03qTZrWmcVEfRbaf2lxpMgs+S X2EU1Q6ekxENDa/O+u5dLS2oyls/3c13R8yFENHz/6STe0hyknkRq61wDtb0+QiSyggaZzCvefEI RtMu1F1On+0a6Dc10UoiwNSgBT2k9a4//RLKTRdGm5OpaO4XTAE4VSsDLc4dgG8x5QTISgLEM4oZ Gjxi+NAG+IJCB5t2qEZ2AsyAZ1Zi2FViqfZpr7LQY1dnNRNq/2vGtLCw2eUgg+8xB6Fbob59hKBu 1xXYtcj3HTjcaP+LfQjXsmcme5Ff/ADcvI8c78vxwtHoPoxH82W5p6FcnXM4/HYvYAQ6QKerZpRa cHBtwX/C3xvXkYmSqx5o9MhTTwQz+VL93rqrjjtePR3QesMZPcE7p6ro3BZiAt/vAi2S7XonMw8L 9gYK5aPKKJgnfBc1oGwLJr7Ho+Vps39DTtMymz0AVY4LBJrB5xqZHpN9UtP/D6nKKsetf4Zek1Sa XvaFNuvnVPA782b3paRoNwlV5GukhVs9PS9tJoXDGugeIkMNRZNExsMawQa4ykwAYQwRnkK1CR66 QkQoorXElVnh6a9i21gVnXlc5In4loSERglJFJUJ5F5aZ1vHnEvf4TCVFQct6UP0ITUxrjmGOrwW K8mZRYfUcdxAfBWEyFFrl+xpFKf3FHmVK/K3QrH7ufodAsLHmXYX3JfhdSsyU7BGy2H74fQtOrsl CLKHntXi9Vb40KLCS3YX8wHG8u7WCZGKaIcJBrJ+q0LEc+WAw97w7ctZpVYSFGZztuL+pGiybruX u0yR5QqzPpdPUh9UDk4SqU7CylK73Q7c7eaZYaI/2qugIvmb1dqmXt12iu3lIjsP0vXj5X0Dvhp4 XTqY0HAhLBXRV2jroUaocBn0vvqVvAOWUZAh16rRhy7xPKDXhugFkBeBfOtXpbSXtdGRCnzXA2SM yfaIrnTT80iLQ8xfmapjoagG0D6IVacCPDzlTUlvC7FKtZbSs3aojMopnVrUZWR3LlA+nF1I5bqc LAFo8mGT+KJJgKJrPYAaYmzBD5bDVQfe5AwOAwFKsV6W8BF1cYHKUx/QJUAZ6voKnw3DzxmQVgl+ G1cf1kitxF84g8Hk+oxlMJknHpkhWOcUmN506r6vIp9abFOPnS6aS0aITaJuVo41aNik/C5d8Xyh 4Ez4oCs/tiw7NLVe0IHc3J1cTVwo2X7f6b/IRpUenIOYDxxPElOvA9wMJvx9f06xoBqp3bdtHA1C dTemy8WupyPboGGoYfoEd7muGdLgy2sFHpHwtwZC5YnyO1Z44vfXmxCNePrcZMrhdCd5ymWdL+mX bNmo5OpWkUSEDmejGqFIsUEjRmsxsGk1DVQnF84ZuOmQAcPpt9j2nDQLB+by82zAhgghEWk9BpAL xHvRW6VOUkfuZg4MWWAYGQWutg1Lv//1moml4wFCwkCuyYtbidKbRnxacFdOKTxvLET/uOGFt1IP po/2UmTUqmv1mTD45afRkHKfByldI3BFIfetoO2AmejbbQbRVSUc1SyzmrerBKHKbwJ5mH0LMubw 3fKjnod3xAAAiL+iuOWaR2YDncyiMEh3VGAzSRRSLAPUduc6/+o42c0zaNyTGdzBKcaO1H/b/w62 3lywAzWxBqI/buQKNxgP3DsHRQXycdcV4cLxBR0K2WyxnxcM7ldFSC2n0Y5LSnAR0AWAqFpQuetc uXvpG5drFQWyNrefgl6mp7udcJjXPOYE8ajJPao2bYFfZkxPHudSu8XhI44zTwNDKLqpGVStLFbh fpyOXyCxYm/1/UWIQMFIyYunD46wZk43YZGD8Pp1yycnuO/yT7ntom1ixowpXOpNOb0FKK+i2Qn/ DpcUWtGKQI2SkJlFEFXtLXYrACZHorHf0GiOvKxjZ5Ql0I++itZclSxgykiwzBGJri3rJ3eLc4vl Wes9YwHmRkJ19dU1nIJy3IhV+KTd1vwQgu+hNhoyq2VAGE+v4nC/qeRD2BAg4X//c1nN9ruAk4dl gbb8RDFLzla1OHLULCZDr+jPG9uF4rk4HTG7S4I8wOzxQG210FrEK02Bx3+Pey+73EAmxxy4Ohu/ Zh1UdrutwLyM1bCdlIQ1GAPv3wVYPTIu7M68yhweYtD2j/lAvSJOBUe5WopA7AWGvqjeEMKwKiAf vLFuabk0pLgCFV8ubBLTJ/4/PW/lmdIbipM+viYljKpbqAoJhGNNd+eHX5laz1mS1/5Dd4/jKR/E TdVvUXWBUr4FPKLgfAzknj2eiNtMvV32u//TX4dBCcarF5VTRwq2ss4z7gY/GYPfCXvkDnaX0mUX oRD80KIY6re2QDIXGHTFPY6cBNM3IRO05iBOoM7zPHBDUxGftauPaqrhbkt/h/kyksYcz7JHzG0I OZsXBod6XURKEu4aDcHcKJedTEJAQYtGrEgaeQXgpSU1sk+agyZObdQheRkz9KFrMkV88ZdeoziR b+a0tsZhjQFxTihvFCewOUkkI17gmpznhMPO35yyRD1kr+CTzLNgmfOmSgm/hXvYa/sx7r2aC1cz okObPZBiCOeLKN4SLXcPlbgnp8HAxNMc/N5u08Fop4e54xIycm1oavc4PcorI36HNePTmARAXEUP rLSYyoYc0Tj2bNu8+fzXm4bZfHz7r1tMks8rw43UB41l4g6MbZMEn2jOf6poVd9Kjsaqk8eh/Jb5 GncWLw+ohtaf68CAKTceDJsh4VXd1O6EeP0tCoXdlH7uPuNmiNJULIxpz1C9wb1zQZHTM57getDo udKdhwBa+yCdV8Y2PDty0iBKY177Ijtj9NhRA5MakGeM1yQFky1kqvwaGd3g7GcOCTmYO5HXpm7B MPMg2Vau7OpVEIDyYjllJ5eik9X4/5Dn36UXxWmWqcfJLw07BhYBjlUjZqBVNSgnXhB6mtxWjivc iBrcerElnpPUkVBP17afrwl1OFaNuRXgOPbC/qHJZNEyoqQiuDomcZdIiiTpVH9Zi9qA/t4ihQU2 bEe4aaRyaA1VlpQIupjTmv7atldSa++Eunuch1kKb4jYC1CbC3sa0QVmqLV+V2om2B+Th3n/QbHG L5LKpzNFdXhgqYBdMK6fSW/Or0HPrQLFwjPe3R3EhQTjMf02hALdRIjtwcYxiaw1097hMvbfDxaR G0ETfnTwfa8C7y2cLwjVzzVMBzq68+YHjgSdxRDvH+SdIYGZhDd/CNypPVWSobPoS5KnO5ewSTBS JalwW76kPopracofruU5zgxLeCVrYQRWdGit+AkJbCRnPfS+sMXAy/wcYRbQ+47+cS3JBrkgQ0b3 2wDvMayedP+Bpqbe8hKnJonAzpfgFPNaT8PN76dtDc4snSl2WCnYoxPbZdNFYeHhWOw7j3x/094U ns2URDrcmjtNUXCr7xQv9W1IPz/JIOZPaOp8m2kIkUW6cTX/BTlhZB/NLojbOkjuzamLTri+J3Q1 Ze3cDv45mumk1O3Urek3INXmf2STB7kWgGX7DL5C7Zp0qFtoD/YobZop2NoFbDjejMYCbMpzAXWA dk7iqaVKzKyrZPND7jJ4i8KWFmGcNmaT9bhWiZYLh2kPsCBwA5stK4E6A0lWNg46666JYjeTK4ti pBsI61hEYqlD+QNh2WReOyhqW6qAwxRxRBQyEMEAyjmIvTKoO+9QvpY9ez3PCIB3mJVvPa1t9tyn ytY3UEK346HfrC24OenYZygBFhKKCB9I+wT0gtDV7ZY4l/y5ueWl73DeFQpf35r60meeBz4CtAHF Jx7SGht+aipmcTRcG6KoVMPXpQmpRpO9k13AQOX9HhXnBtKvsm39hQAC8G/qFNwlN47duN8tyLTB hjZzGOWvzFnJa0NAPsq4z9fdPA6ORiwfTiePCuKvT8RO6UdFQRHa4OAQ5xlSX0vZ3z2ifdyfS23y 8AwNHhNW9xzql0bTlJ8ZLAPXExF6h8Hr+Ia0lIHOyZiEwcV9xtapZsKNlZOYpSDUfUjHw1PgfdSJ 5EvN+KIJNEsFTMlpz0tuFvedmXhT9+ckOovZcr1duTit6i7hUvnO2BAY7oK8930fsOgdIU7iogIx f4tiSO6NI/VkH6csUavkoi9whgXC8tY/xjK/f0to7KGfmOGrtABrlVmueShZy8UVDYJNR7yTrr7m 70k1Hy6Pg2dsn4T58z1ZpVxpEF5NLVOSU2ea4vRxV5q/E00BuFSRoHxD0VkpEVd5FqI2Y/A/dmdp ev6+vrmiKfbgkMfP4lxtgGj9YNMyxxtsfQw8NA4ci1/W0o7V4gsIDWFiDQFXv4ZgagOnXjEQaul1 scShovgi4KIIO1m+84LWtOBnmZ6ylFwCPA+QQnP6aQCwYytwL/KU+pKxACmBYo+k6CWjMF66PAns 0fjlW9izggYA5NXM+nT9ny/YWPr/Fh/ZUl8rih0B5liIvyer0chjYe66m7iRoz/td7/E5AknKzsR oORP2qNFRquQiav0aKbPCH9MYQsgLS2qBO9/KmXm/mWFN9yn84GbsvvW7I7a7ENCSUm+JrpEvK+6 bPbijKbhfzjBLhE35UVODBGhopLioTM75ef0oAGWlfRRLoOixFvQ9wktKfCWiEVRe705Z9px2/OS ompGUVGUsyr+BXGPO3746CUdVrTSJXHNpCY/H0Zm3k+PqfEHV4KIoCveA2xqlY4/6OeUElZd1ZKh 4OghMbpYhSO5DGeNhOEYbRTXqkAeI7gHxySX1etFFXqmvpX+LUDf3Tg5kohhc5BCHaxa6otJwt3s WwG2MGcfn81JUjMQzPhkDnZmglFnFHFOpzYChNvWl8ap7vPo5BoQgDQNPcw38DhhibEMSeJDg5Te II70nd6kH4AJpRVOzFkNCXcD8Rp0iyaSY8/+Eihyu9LHl0ZKmmMW3LMekxbfFu4xjOvH1eCfUgod tMZWmM/ch1x3NgYyqakUrb9PX8tU3o0ASR1Ng/rjdIOpnvK5H45Xu5EGL6S1c9ylZQnas0+B1XvK Mkb5sJG1aVGtyn9HFKiF+eXoK+hIygQl2hWHco8NN0OdJdqegi59/70KKMnft4MnM6O4BM/KmViV /mYDu+0cU2D0I2flzHOewbcnhVChNDjLe/gB9JsQ7a+n1eQs52fFgtZHkFRl6/JUjGgJSSRQPCRl 1vuqa1AEx5EcpPjt5D1SLEOljrHqcPnMxTFQljAyUXF3K2JAXASmFvie6Wj6FdxP04Uu/HY5L3O6 lFJvaGVPpVswL5KMLsinbg0/Yedw804wpHMOYZk0WrcVJBv/j9vwWSSnAkWKDAY3wXvU4O4NxE8M EwEPg397SNbt9kd8kf6FlCRCqNPLxvt8XYZ5b926unVnBDXEGILtBUauyGYxm7FVVBLZht0YLHo9 vAtTSA4USa84+eJUGg1NVaVbsnjW0WDLgX6X2a34bZlX0RuXL84hWJYbceusjxdPqg6axNouoKpL m5OZzXo7He4UjCXih9f/SPfn1NzkBr7kOfmbbrBvjYUWKRqKsWC1Wi/X71Q7peSl9+CMg84zqTf8 Qj96ZBS6XaE5EdLFOvpb0Gkri8Vm3IxSbeOdyv8WqMqJh8BJ/4v02z8ZZAOa01AX+10Tnecb4idF qubYBapF9Bf6ZyxAY5Rwm2ALN71XN8QgA717B1BR2D8dHhKqX9nFG3GAbdKCT4Ay/ASZsnyrzESW FMBwtEkHHEFbj50B+Cma2nJ9cmyg+1lZjjSgKaHnPY8jw+jXayggviCUUcTCzg520sHHKP1ARvWW FJUAl/uCitBqkF0ZcGxaLcQvjiqaayOSwWlitKUr1qlm0pQ6W8CVefe+Q2v0DjXOeFUKA3rnT2Oi qhb5/GSB+vrWd/Q0tH8zKzHv1dHoUR7PlsHi4NH+Tnhg1hA5ABqvhaSvQHDwrVDYB6zMGJAmnkoQ GbdcZl7eQbhCDgt/bW9H4qaiu0Agn2SFJh27xStfCDC22LV8ZOzbYiEKsGqiCdsEeex6YBYDFj5G zGbE+gXdEiaOeTescckKKOWyk+N/hTu5ux6rC0EK/aGdhJm6Fm3F8mDooVBU3TtjLLqGV0u0A+HM QbPJ9YGqbbFlqq6tbvNi1vvq66OVRj8hDNpc3RN3uIeS5cZCKUhuBdRG15xBuEi0pqdZ8/lEyYUi qxine+BZyPIU0WwdQxQeNfzOAN0o2GQAGazfkRdj51slYeLJD2CMEJZzzgh894jdytfBfFUzT/7e nxGC3BSRbLN/+DXx2DelMjRwHFsV6PohrVoMzpPEsOWIB4HeSZqBg/j90BiwONVp1seqSxoZqv6Z YZ1t4xTHmtTqKcVg97RK0RYmh8pM6zd8GMMeqhtd7YLF9hULi0QIl1ZmqOoIsczzlwywud93gp0Z dl2A6f4QqUUDYnL9m2Hlj0DpboHAEclxHRA1T3IpM5MM1z1tE97kRlDsseIAv4k9PK4T8Rt1rOCr fvHaZ8ZQjJQpSsT1bhWjP/Ydsy3IYwxidtv35LuIP/qi4URhuGCsvfJxE3XfdGGwxBpv2fG30Nqi /yFXB3kJIiofBu+vZ+XxcCjtUWCQGjn6Na5HyN+7Fpl2bX/BCUXsSe1w+GKY0PLtQBscGQJqBvkZ LKr+dTobvhy3Tl07yqYHw/iRAHbdIoJWMjaVILAt0P57QY6Ihxc+ht/fHhQPUbvNEs/3Hn1w9h5x EkOsYXUgIvnHHL20HGJ8PnjZQaP4eHpm5Coy8sMv/wZnqJfJ5oCIhUyQQIp4tjxmyu1AoBPcFkIy Qh76NK5LuUIPKOlCVyjl86PyeE1p7627XkWEwmcYPkLcNF3ANpyWvpRNIUwzxPtIv7ZMFopzLJzY yj/JqPM6Ibi4mw9uomBKzdxWiRGr/Rw/mEhDfjcLOkIS3om3sQi4qXq2KXSuiSAvs7YwhbCUQgsA /Vrq4ZSB0FccQ/vCbY+UCWbdKIU/uWar5g4AO/kRHAeaxCnMuPiZ/DimdS8YSx1Hz/f/Fe+oBarv ATb0eUkJSZdASLYfZCWn03x38X1sfR1E0KjY+hynidQ4S5OPgXbZk2Y8BinF2FOvl3dAXE6zTs4p V4revrVQlkZVYl1509TYUktJXvoEEnMCg3v+nArg/IWYfGHJcQ7NR/HEQgx6810yVE24ZOJ/ozQp ugONzPqQ4TnDDEMF4L7kyhXMtvlWV1T8IOcoJE+jSOjk/p3TtfBjzwUZdW5FRNkg5gowcEWqvT5i +gRFPoTcN6SuK4Hk2d6dZvQ7CXvaKjYVlc/4C5CD+pZ2FfLfIR1Xh+i5EW/i9/zchunpyolTQxjK /UeHBg5QchKbb5T8k17qYtHxdmbtroS+InoX9zwrlztRABs+txgOMcIgGZMs0MdKHXhoYhArtpZa RL6Oz3XZmv/HxUmaxGBLOwJL/1sQtuub9YHNeFFRQijUQxZ2eE4xBRzWflKqlYenZMIn+2e/wqoP SVqQmFsLgpYmJxaC5UKIRhkxLUX7fWSIXd9lxj+LBylkNIvgHF0+uwrKFj0x71D5TMUkQe13lqR4 CAXwUqxRCGBLrCelf8HHJ0BUMiYWAhXdAAJhWNnB7skjffzdUDg/fKCplcrvzvY3zD9Gbow9rnOX VXGAXa9xf5HO94HkxLybwMbUJOVsaQn+tyt1nEnbeRM7tXcjEdQsQo1y0x2IeoII5MyXuuqK/IAm fgVw9RFZGgOKBOWVVYJELqwWbJBqXDZOtxpwGD+1meb0eaMvkRv3oxaPMm3zo6e/y6xYptsOPimp W0nOsYiTPKNHaZXcngdWm4H+/dNCCXcfLgOylr9sAVVU1FpDfgWpFUZUNF9nZ9WSswX0OI6PHOi0 xKGx8w3Xg/nT0TN311+TUIs8Yfwh8THP9i7x5dZ0kJT9k2kCZRD8sCnrgVNx4f4VNu5Et0ua/VW5 mfkbww8KH/M0efNYtj5gxQYilqdrHVCLIa8RZcRHJtt6pNYya9MDPw4wumRahGgE0xaCemiEDqgP onX2f/1SUXuGrtb4ZIazQBckebeHG/dvr4sZQWgLmULh74XCymO97KljzMTHVzdoaRn1NS9gOEYD mq2LVRiDqo/x8mP8CiYvQ4hvTVbDsSiBb7A8NHam1NEveSX+YOslnOoC9GfppM9kzRmPMoTsDdnY bRKqse8FJcQJVSQRZfKUkiyvUWLISd3vRYNyo1RcMk8aUI+dBNAQ2pIT/icmzepFdzo4DdsqDf40 iwNNARRncw6xTyITLlz1VZ56rxzq7+SCd/U2xOBPhkytoYR8WNAurCCTe99Z7Uq4qYTJnwvAq9bJ liKdlvoV6XxWmxWQYAD5TopAjQCDmXa3DkQTk1P0NZo5QAGqpRw871AFMk1mQ3S2KnpWwOy1KJjo 8uh+MvkCoeNXwiou2kKkd265KJtI/Z0jMfG0MICh+AC0CjmUXFggypA05UWEdm5OV2ITnGjGOius NmiRj1yCJw93n8jia1ZmKWQeEVkJphkzw7EZSRBK9CcU+efYNowI2rgaXnZvxErZTPLnSKc2R34n Eh/AJBBMNtNw3nr8+yJa+WsISGdqFBVfHoCKdhYWK5cHgWhYsidpbVysG5swWZ+TXdkYahBHHd0M oIAVuO5HlxYxEGsbwoN+vAQYEx8XvvTyYEzVjSXBU5wwBuK3ETwH9cP9wowTO6zfRWB+u7DFaMB6 k3QD7fQZbzub4Eb2tP+ozAUZ3otOGg9ryV0zSM8/XwzKrwOW+xS0ajkVTSGPjAN7cLxmzqegGGMa 6Nute6Prrdlno9cjt8mHinC9uNR9TrtnQiO6OYqOjvBOj0zoJOlLibLI3mDMVA7QRO69uhQuFVzU fvpzFgk63AnRrBwZNijrz9jaqeOV4BXTK5OLZzGUs7YNZtUx/JHxZD1py9zxy2CBNyLNSwWygZRC aAj7atgfyyJNKBmJd5Hpr1G+YfbOuqn2M7t81wWlZFZxtC2THr8uoSHsTSYBaOhyi+cHW4Dj8hC8 RNpdOQyszACi6jkbGbCDeAMVDzOfdzBIj6ghKGYwFY+ZT+38LwJZqGOZqYl7RlLJhGay6fadk2po jvNW/u94GFK3gP+Tt56x4h7WFEVU1iB4H73SVj8DehGll3djRmetuWI1agnGaT5hsS+5xYr4CnJ5 Hvr+pGsc6RfzYCeYKaWQwWzn/JIoxEY2d7CcwNhfETnVoCOJ6M/fp6UFttuoUiwY1N6kmaTd/UO1 7c6Jly95mI+kJ8Xy5rZ0ION1aLHdLhVu5E8HipTe5LU+IiWqt9aziSGn27C2V2Tx47OpB/ILAkXK mP8hwCCDZGdU9qAX2c5w9/pu4+Ff+LDAQEVnO+4EhnsLgEs/R4SBgT2laF9kjdFK19MTujw/6OyY HNaW8sW0VJT+Zjk/9PmkyMNLMqmh2q7a8VGEZv9Y23SGkK6HB2/kwnMUIXD6+LVBLbrMzmXBmMWH doQdCwN/Z2DBxekyK9MoMxv9FVV0hgusNugERQxKht2Rs+r5TOujtLV9CvFGICz8VOsvuGEEXsT9 oIP/0Lg+29yMtKIh7gtN48aSK7a+KcE9OJiqgXfBzBCFuiUkEyndAO4TZnjOPfc18fyDsuVeYgIO kDz/6rCRfJnne2kPMGERfmqRCL4iGaAv1aHAbwc6Rbhaahug1Oq2/2xVB1RYv8Km+N1/+GaIm+Nt 7pqdjqPete2tnbOP1wNxNwd6KxfelTRU1wiUJldo6NRf4/+aAMV82qCCwbivV8ZQ28x8dIAuCqDL WtARABSzj7IQcnJNLc5j0x9kv39PKKNyGlfgKseVgVukuYTklgcsoqYqoofctydHmLbbBpcD2SyT 8eVC+xaiBaxNQngKOGDg68zYJVPZh9BStJzyeOznCETzwxinPGq6iPFFdGYjCLtS88EDgPVraPNy EWALXkzxb04yyoUA4sPj4jprrn86xbpV3+V25Mpm6DKFsj3NMNT6+oSBxNR+vZBuM2jkL/toNeNq GKKg7q6t3gagZ/YrtFB0AQswpjvelKtMleCQsZfpjOulhsvR7kfFg2JvAg/fqNwup6UB68rnNae0 P8K/kG0cmp4S1fM38dFcrXQybmzpz12Q+EpueYbGDBqa+Nu3HEod/y6+6E8BgMQOnjecaoNp3zjO tjjBsl1UeEjEdr/8txSc2ShJv1rOyJ803RfDaacIn38Z5tUEf+udkL5zTYsfqPGEBToCPr3601lM UEvtmFhGkgTVJcwtUMCvNSZrwI1xnmJzVHrdv4MW0xpZ6jjRVSUH1j1JEV7DfEYLlCwKjD2osRt6 Sx52FEjBNvgVPS2a6BsB4PffR6qZTeUIQqEzDM323P7RmEAqfI1wrucdQ8BOCLdqCdyXd9sZc+p5 jialHT3AdKBVSa9/OxUwY12LE+i7aWCuIHhSnUp1ivLJLhPKHajqZA25XDeS5g/nyxSDU1IXEM4/ MSEqkIw7iL2Xhcoo6cm1+smQ3nukmfKB81U0bJvcIYN4nVGteTTlO3v5DNj50gF9GcHNz5c3BNYv I7E3g1t5cG6Ktluj7eHsWWhpvMpN0uefHLbBItrST5ot0H+RQxelnuZ1KWUJ8gbvGuNALan0ZeHu dhKOou/5pHTfVrugbcl2utLd7+cKLjKJlCSsb0A1v4L1s4eEZ+eeNYH710O+KolyluI6LyNyQ4BM qafnHJD6swQ7Ew2rmCfXqiT977ckgFRnTfzp+5pRrUieDU/FdMHJ1nbZ2pNYHXHxd7DJdEO2ccwm j045Rj5+rM4X269jtLhIRDg8bisLa4VGSAjs2hAwBPFaXnr8VLFhZqwzwd+jJm/rqsIlSrx1p0sb dc9OA+zYi95XUImrrfmu8bvM1PxvGCHmqxx/U/engFXvrnTFD2K+hw2RQ/qrKaPsUkDWjZ1S8YD4 FSjWcyAYUwr9WmKsc43tgulH6UF73CYLuyPKkgc1GDEyTHbeKHPBSnytgykQEZ9M2oYv+UOW/VJR aK2ZCUjwdwcvfpAT2+pjPwFcCo2M6t2zNvcvGSdUXpQLhtVA3C10GR2LjuSbLfGFSIWQDsk4lYQo x4RYcezofA9lLtIYBOKnUN0rozTxjLxYLFNyzfyJTjHtD77Wz7Apo61qqp15kI2UlAxu/Aq4GuOK 2wUSiV44TuXL62ezaA0sWpVVU7tmVi3tRYCP0gGrze/zMVOU4bqEeg5PZz05TJLUPJ3wuB91p/rt oAmSQZk0ZChsiCkaTsNeQFJvl+XlU+VW2whDTJtD4kCzFO6FIcFojmMg2NtAv74Ubgc2VpzM48ro aRgcFos1IXQWfQY+0nqSC4vQ1Qr7eYBIT0I5c10bGUaoYlelWWLvjq+fctftC7Bfg7jdVw+Zugrz EhizercCGVe3jeUGxCwfm52kne+hGA8Zw7esmmwsOG9FckPsMkWQwh3/PlFmHiHa3fk5497WsN1m zAveV4rRvogpwk8bUQWCWIivM7quULWGGpFNvCubalw+2qSpFTlvHijAFmBN3kcKDyC6b6KCYFP6 UAoFmSAAP0vXJx2z7cHKV4hG2iVX4pkJ/LtN1pJGP0g1ZzU4CZN/vN23WKfHpnXVisO23iD19TS8 PFj63w3TekM7U1kWGT4oczaMyyaBEs3gqdXCHs09Qh3eVIarUBOJfaOXJtvMCMMh1/dFBR8FifT9 e9UG7Zgh6T8P5iODgHxLNm4HXx5yDUPUz4xH87Mkq1oPVq5X786kiZusNJ3vkTR6klrTCBQ6TGQH ZrO/oWXmlJcwhJrreXXwk0Qfcw9exT4NnPHpPNBuUhSs7XvDbi0odSJjSzFzXrnHyyzTn2ZJrnSi iq0XEVb3Bpc9PKXEc5s2cB6uhJXS5PWICQOlPs3EZQd3J1SNi6BIxXnnjhgd+ZJu1LCaVOVcakuT pUBtfE16c/UtxOVbDZXm+ngZ9R4PoYBAvanSaVaNOMTs0/fRmt4WT1TXD4oacUcnzj6QbEfV7+/T uPHBIthRASsVhMiyJooS538y+wktr8QCdCBKZ6ke6AawlTGM89YUBgYNdqP85thajHpEW4Feo42w ycz7Idy6QVvxiRwdw13sTfSgvND/BXIb8BwkNiVgpC/m0PlDJ+Hl3M3pao/xSIMwGSgEkROl6hft HzldIJ6pLfElE9HQfOqWMAEfPw/NFqTLYIijYqn2a7Sn94u41fSBU40U/ASI7VZ5zsFO5pjo71qj ZA3YcZN/fH5O9TxdPFZcq6GpZ8K3e+NYIqJmI2KKKLT9Rvln19baygEF2JrF04WXGl2TdTDupwRj NCc8Y3UupF2ryJnElMqO1N0kNZn1HLGkKAtBsnbCkZy+RgtvDbA6E7nMlRyGfrWWPXjOtJaEqzKD AHPFBnV+X3Q5UWztq+AfLAHMoKoRC//GnHGQ9206C/1gQ4WWNZ/OBzWaLiioPQ6BNsjTdgywDOJm 1CddSwMmrk3/f16dCPsAvNI8l8U2d8Jxi4MrYAgSj4MRsHF38v4w6SroKkwWhE2FJR0W5+Yv39Jg qynysfbz6B8pYD9O1TYnZ811UfB6YAMa7HzK0QrJ70FfUtPLb3f/gjWBybg8mtjR+45EQI636AbC HGg2APajdHzNqC2n3jlDc/z3cg5MnhJv54LRu41Ba4ehncELVfSq0CmysgJc3WaXI7aVSGdr/J6o GcAUTEhlIVsNiqyyB1WErK1Sxs7mdFyaRJAiEvxGtpLkV1Joa+iLCqQR/kLgFf0cqzlbakTSnSMK qsYL7htgFLRUJoU9LAuiqZHfKFGTx9nr5fT82ekjZpR06OoArVqEO8vODhCFs3gpqc8fDSB2+17L RxJOp4sRwcgLJj31SxyijzNz9pq5Cpdo2wDJMBGzHKgpDULXJdn55hS/uKBFehSGxx3/mCEYbZpi rehoDwKR9CU3X9ip10ZqbIDSOIbSqvSgk+rMddyiBIwHPrionAIgNpWuBZ+UuMiUuZCcrYyAIhtS /CiIX3nLT/t84gbk/qzXYYVzNMfCeb4h73D/u3rzEB97vzC1i96G7NUyrKx42vmAj5HL9oNhmnY+ dtWgRBT573wNQWFfkW5VVix7Z8KokyVcpB1zopog0COA415jiIpOAOzXj7gLsCQQ99L/pbbYfE3e UZw/hlh2XNF1WGEXR0V23hN3AkKyZkWkmWIHVmQxlTXvC3ucAO0Y7rEPibPBsDbR+OoYbHfxKiUC 8DN9XATgxNPVIu8mwBQC1rtKlX4VTGlDq5d35AF5GyGtShHR2ab4lZjTeM1yr/i/brtvzFBBAi3p 8xpCQuoLZ9LsZwKNGH5Q/QkBosAbwEimhPTzywGxbnv1seAYsWqWRZcku+3NyzvuxUgLhptWI2fz ytrIWsj2u4y93On98/9pduI+w7CxUce27dhEU17xXeAm2jrBRDsRKBZfsiUa/IuwB3QlRPc2bwH5 UO2a/8w1fOI5ollj6BYpJ73PLDgzPnX66COygmSFQZ+ldIUs4HiaZ75yNr1YUg52jilv4a+j0Wq2 A353t7A/Xxz2dfE6lkliD23cJsjuLDqzzHwHCijugtRS+CxiA4ID22fnJZV3kNHSkJQl6rjtNqpH 96F4IoA7l3PHSQ/3JvYruWxD8JU/FcWCit6XNSjNR1qNz+mjPVL/kkAhD6GcWEUdCUodP6RcaLo7 WcljS5cevoM+udKSwXb2hJojaQE6OeOb3ejh9cTuHQZF0S+rBnjUOMQv+pLG3j6spfm3ZD9GOcPN VrLuMe11XSQiCEa3jKEINnfGFvaEl4/1dlDgp5uDb42iDoF771si1XrmLyT+cACOJ3WDsFckq+X0 7s/zYAWU4njTClFTm3o/lGTEZhTBpns1p2DAzTQdPoCdHDVF+MhKjUoDmCE853As8A6UYURwL++a tdiWSWDGbbSUV5xS7u8FIVUp2uE70q5DWfD23naQNt/d+p45OJPMn3nJrekxvf9jgRgocT91u3S2 FAlWd+UFP583Rt1LwhRI2kYv9KPCClG76hu6BCyM3JXRqTFaZNKIo+ZWiDaEdvP75m0L4iA4+ARq 7FXLFiUE/40amhZBvsx4cf2+CHVuXVnz1NIVpiucQ89hMKh66rSfCyF1RmwW8sgorKPWb4Rog9cd 4JYYVH1/VTGiyQUchT2uhRk/X9u9vp9MXEZDG0xxVPOTVXpAmGGdUd9IeSgX3kXUX74zJUeCrNNh lNTfdx55NmOhy5iGthGZVfjlJaPd4iMTXVDb3LcEiC5+5wvakfyXLYWfbKHz/6QyvsSEP6g2veB6 iz220YWEutiAVK0uEG9E7KWmT+wykLJ6rq1/WX3dfbLG5cb2uiI+AcQagOVqabNLABG/Z7Jrmtiz 4SSoZAqWUBrDbsZMx45e29GqpqYCv4lxaPLZ7XovplpCd7d8NKBKcdSHLBLtnHxZEs4YxvFejiMa 6lGohAEvdFQtudlzF/f99kXTsjvafUdgZs6BXYA77m2YPOiI0YlsqS9VBEJjKcDp6XJniHrySoDS R9WVVN3Km6Lv3gbMFNmpImlYjOIOKehFr0a3TIF0RdveamIA0nwA+o3nK/1GqhEDaL5wT44HC9Uo N/VjHXvhETJkMcsyoXiyMats8FmNhXyVVrpPp6TcsimFgRlK623kMBvN+dCwzGKTfjPkqoEle5XZ u54U4Pnng2hlZ7ZL1IE5uW9eUa8FlqElV2Qi2eaMrDhNdlbEjzPyAprs/HOoq/Cla7NIdmbXK1zc +g4CRyViljQ9X/iLxxwKHaYlcJBRWStMBuMssMjAWnk2QKeyIiQXXNxqUjRJXpMb51P9Giv12gJb mRQfODdDYLvmrn232yDTW0TlAUyYfl8F1P31MH9jyeJKq+nLU14GhRYd/Oz1WiaIX6DorpOyG0os F63rb+9eARtN63CS//tut8wjU11sbe+fHUzuTV+QrD3G99iZ3RPU7YhN+tHb5c9+bF8DS9pN1TMR KQFmheMyKp9VBnv9hCWw5Og0yMT9uajwMxE+ZwddnYlXwgQJPf0Qq/wTMGh1VNGCnoFtTvAD75/Q yWWo/YZO+jmOp0DU4JzAgljhWLvYqzHQlFEtsEfVe/wqHaX1w6cmpiziw5YOc+wBcSFaU7UzPSGh uoR8q1fWs2RGVCKFFSd6HpZRi9t9NRNevLCHBMJNw9M6xcI9a2SruM6O4xwZtALFXb5d6yQmqy6a mDbJVvCu5JltPgmegzFfiD7pf32Pg27fIGqi4t4GOUmAHinUHGaIZ2T0pG1NSizyWB40eLmW87J6 PTyeOeOD+xEnNc2es/FnGIiPQH+d/3INcpPfp8ppZ5j8ouYBqu5FFWcaXFF/f/3sCEQ6DkYNwsKJ tDyvhru3hXgIla6vsFGiwKPjAzeMseXwsaU07xh282sWeOPrue8pxLOwg/cbCPP9/QYD/8+cF/Qn VLaZHc/4oljt+kacyw49ojE0C0/P1nJSjXR0wgSNEeLbgh8TmEiyupRaTwfRLw6qh7WE5mbHz7s7 J94xIuhOWGhIE3R6ZFSmhIYaNn3Laj6lRl09ANIBpWlBpjwhGDSGNyQCthDlaFGatGtoDC6lW9PN aJ+XpCrUB/zdb9WMAn8Ba1hkoiXHFR/ABn9YgAFCQ+zw8qu9fl58LX9oXtZAreIxPYGo7K/DZ315 Snn6c/wJ7po2d610Km+uf+oUcyFaf3rSFeAst76nUvcj6QgkgLRLo+ktK5KoVLDscAH7LbSkxwPO 4lplW8DoklBo+4PN4sLqQ7ie9UBm3nseGJWuwUbXNUqt+VHoA293znujEs1peEWwkZGfy5c9TXd0 c5KC4ng44Obg2ZgMHykRnDUirXK/NA/AV6w0eH1uf18XqPlGEjEeArIlBGgG0hsOB8OB+eCPOwQN 0RTZzvPjy3NG2VVv6qCtS5b8OoHmF8oQuEGfZTNs0UwAsVsHqYqB5T/wN34s9jYm6jd6CGOhYR7r P+Z3VZRH3+9SZ7Fkwh+jIuxeQqF7jUkef+78KihsPWI9KZxeDjrAuh/q1ym2+MoqpEzYtR0zzC/8 a0ytuvA= `protect end_protected
gpl-2.0
5b5e78aac1a76f9e7faa2b6d1c2034d5
0.946355
1.850492
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/demo_tb/tb_fir.vhd
2
10,045
-------------------------------------------------------------------------------- -- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the FIR Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the FIR Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated FIR Compiler core -- instance named "fir". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_fir is end tb_fir; architecture tb of tb_fir is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT signals ----------------------------------------------------------------------- -- General signals signal aclk : std_logic := '0'; -- the master clock -- Data slave channel signals signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal s_axis_data_tready : std_logic := '1'; -- slave is ready signal s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(39 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0'); -- Data master channel alias signals signal m_axis_data_tdata_data : std_logic_vector(37 downto 0) := (others => '0'); begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.fir port map ( aclk => aclk, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tdata => s_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tdata => m_axis_data_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process -- Procedure to drive a number of input samples with specific data -- data is the data value to drive on the tdata signal -- samples is the number of zero-data input samples to drive procedure drive_data ( data : std_logic_vector(15 downto 0); samples : natural := 1 ) is variable ip_count : integer := 0; begin ip_count := 0; loop s_axis_data_tvalid <= '1'; s_axis_data_tdata <= data; loop wait until rising_edge(aclk); exit when s_axis_data_tready = '1'; end loop; ip_count := ip_count + 1; wait for T_HOLD; exit when ip_count >= samples; end loop; end procedure drive_data; -- Procedure to drive a number of zero-data input samples -- samples is the number of zero-data input samples to drive procedure drive_zeros ( samples : natural := 1 ) is begin drive_data((others => '0'), samples); end procedure drive_zeros; -- Procedure to drive an impulse and let the impulse response emerge on the data master channel -- samples is the number of input samples to drive; default is enough for impulse response output to emerge procedure drive_impulse ( samples : natural := 408 ) is variable impulse : std_logic_vector(15 downto 0); begin impulse := (others => '0'); -- initialize unused bits to zero impulse(15 downto 0) := "0100000000000000"; drive_data(impulse); if samples > 1 then drive_zeros(samples-1); end if; end procedure drive_impulse; begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Drive a single impulse and let the impulse response emerge drive_impulse; -- Drive another impulse, during which demonstrate use and effect of AXI handshaking signals drive_impulse(2); -- start of impulse; data is now zero s_axis_data_tvalid <= '0'; wait for CLOCK_PERIOD * 5; -- provide no data for 5 input samples worth drive_zeros(406); -- back to normal operation -- End of test report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the master DATA channel: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Data slave channel alias signals s_axis_data_tdata_data <= s_axis_data_tdata(15 downto 0); -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_data <= m_axis_data_tdata(37 downto 0) when m_axis_data_tvalid = '1'; end tb;
gpl-2.0
c696b73054c70c604b657c797e5009e0
0.570234
4.824688
false
false
false
false
keith-epidev/VHDL-lib
top/lab_2/part_2/top.vhd
1
3,129
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: top - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top is Port ( clk_raw : in STD_LOGIC; leds : out STD_LOGIC_VECTOR (7 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC ); end top; architecture Behavioral of top is constant horz : integer := 5; signal clk_100MHz: std_logic; signal clk_193MHz: std_logic; signal clk_250MHz: std_logic; signal hscnt: std_logic_vector(11 downto 0); signal vscnt: std_logic_vector(11 downto 0); signal data: std_logic_vector(11 downto 0):= (others=>'0'); component clk_base is port ( clk_raw : in STD_LOGIC; clk_250MHz : out STD_LOGIC; clk_100MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; component clk_video is port ( clk_100MHz : in STD_LOGIC; clk_193MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; begin clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, leds(0)); clk_video1: clk_video port map(clk_100MHz, clk_193MHz, leds(1)); vga1: vga generic map( Hsync=> 112, Hact=> 1280, Hfp=>48, Hbp=>248, Vsync=>3, Vact=> 1024, Vfp=> 1, Vbp=> 38 ) port map( clk_193MHz, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,open); leds(7 downto 2) <= (others=>'0'); process(clk_193MHz) begin if(clk_193MHz'event and clk_193MHz='1')then if( hscnt < 1280 and vscnt < 1024)then VGA_DATA <= data; else VGA_DATA <= (others=>'0'); end if; if (vscnt = 512)then data <= X"07F"; elsif((hscnt = 0) or (hscnt = 128) or (hscnt = 256) or (hscnt = 384) or (hscnt = 512) or (hscnt = 640) or (hscnt = 768) or (hscnt = 896) or (hscnt = 1024) or (hscnt = 1152) or (hscnt = 1280-1)) then data <= X"0FF"; elsif((vscnt = 0) or (vscnt = 128) or (vscnt = 256) or (vscnt = 384) or (vscnt = 640) or (vscnt = 768) or (vscnt = 896) or (vscnt = 1024-1)) then data <= X"0FF"; else data <= X"000"; end if; end if; end process; end Behavioral;
gpl-2.0
e34c534b972754e93cb8fa8cc259945c
0.551934
3.408497
false
false
false
false
keith-epidev/VHDL-lib
src/components/truncate/truncate.vhd
1
862
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity truncate is generic( size_in:integer := 10; size_out:integer := 10 ); port( clk: std_logic; input: in std_logic_vector(size_in-1 downto 0); output: out std_logic_vector(size_out-1 downto 0) ); end truncate; architecture Behavioral of truncate is constant max: integer := 2**(size_out)-1; signal sinput: signed(size_in-1 downto 0); begin sinput <= signed(input); process(clk) begin if(clk'event and clk = '1')then if(sinput > max)then output <= std_logic_vector(to_signed(max,size_out)); elsif(sinput < -max)then output <= std_logic_vector(to_signed(-max,size_out)); else output <= std_logic_vector(resize(sinput,size_out)); end if; end if; end process; end Behavioral;
gpl-2.0
7c7761389cf68851b0362c61f606c5cc
0.648492
2.854305
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_specialcase.vhd
2
13,695
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Q7bqgCzcPk9WQ4cJbhjTdjLbxPIYks9slMsfcdylBCAQMKg6Jc7nNc42bLQv8MjCsD7uD2eZwEQ+ EhnUYomeRw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eUQCxOGQnF8n9eLch8RCJ5GYa9UnngvJKn35Y6PYLhI90pW3h4j3tmB6iRKdZYpLwnok6jt5VRc4 5TBT2q8gnjmNtSx1Fcp4t4sKI9fXwWiPLihBclrDcPvnN9EB6y+4T5oj2Jrx1zg26HGgbUSUgwM9 YS1DdVIZ9C6n7uAEfhg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q9Jnnp9bVBw0w0tCjiVmTwL50YCt+7w1iTGN8eYG1N6rTjsJlJt22hrb0V+uux14oe/pcuVIRL6T fyHdFxBpusuqiuErXYKhgjuIkVe5etYt4S1i91xjPBLIaoyf256F3tarsxVNg4OkNGbajiDzZB9B NGo/429N4KfdmGQkRHRiA9sG0wYHJJY+FERLfkFyEI/QYTq53QyuVZyuyKcTnffPWXunqaT0/JZx 3E0PJdi/LscDopT219uw66oI7eU2bzZs5Py7ziM5VyK7HBZQKW8be71XCRHV6y//QH6TWv9j17SP tvBXfVSWz+i1o+OnnMstKiR49G0wRF4BKoYopg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1JwaxzFX2BEtMAcGrjbz3XscvtasOlosfO3VlyrPJtP6uPIIWAd1KHM0EQpnmZaUvWENB1ptmyks jJ3Y5zju8bx8YR7ehkAWF79DXx6iKQ6oMF09NXJRhoHgeMF/7kAE3IaZJ4nnkvX4t/6pDxTbd9Ob 1ykCuTFcvrBg9MHOOH0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Jmg0ZqU6A31cE8jsagHElChOx1Uww2tkqwfTo/8Hi6KNfJJdsWUUWS4GhQq8pitX9x03JcXIjJiO IWX3VMp0FSuu24SSjsVmNnGbWXP4C0XkrtipAhBd/TEeRyhg7k0y4+qooft0bYwsGnCZcR+E1guB xVyHAJVPUkL/QYQnkC9EKJ32m08u2fIaMMtymDtXet0Lx2hzZ0vi3tFNI9+IxCMEgLQAEEw7H6ib OSE3I5bSp5SIm87c2w1CSpDik5egZangCthhAh8X7ICg4MLPebN5uAfcOlYRrl2VldmT+ZuaSTrc zl2F0HXjIzJmCE/VRCMrhuR3BB4zhR0mBoN0uw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8400) `protect data_block GsT6XNfyVw/JCHIE2IXrb1Jvb3p4S9+9e5fbakhCAKfQoWKp+dvmSIg57MVrRpT1FNjB3MX52u4/ 1DQeZqd+3KmifzDxSVFMnwxl+p6S1jHWJeU1Hi/E5hwnd0OGj9EH33x42R48oHQK4BE8OkIXmL9A Rrqj+xnlAFrEmtlStMohbI4CDDLJ35eogf0HUTxkpPRwibzpabjHwJP1GHIUzWD6+jhfNVVVvyYG 8zuhnZFWum0N5/ln/Ke/C3P/FL0VmpCT9egs4svj9uP8iq9MFeU5wHq4/Aoq6x0fIYbewnF860NU qg0E/O46oehjg4P1ETEheqliYpYONBi0MBsXIJirHtP3NAhfLMbjhf+wl2wANcxxCvPOnnj1VN2c BlILayfVwKuCobnlE+MiqGWYMhpO7St5aB6YGYz6fUaekM7lVYH4rnJ8SzsCK9OJVAwFm098oSnL w/S+AW70bvegWFUPGzdbC5cxOEPyQTyTAOCodT4fE2JTAvnVMgULlMlmVcM1TyVwWlRiJ8pK6ulr QApfSMPgIQgw2hhm3Qa+aZZ/jV5MQMA45UPiPW4LjeGTqnMBC2GcjyXCveXdH4mz4VWXaX5uRlaD ULsQzFMuKDH6Kqhmb3oqXUDZNwXWSCYMWkmJUQfZo0y07f4gYOw6sugAgmLimooksh7gJ+4tUYV0 dR1BdmrkBmIzX6S5U24CTyQWfJNzdn9XDaDhxt58b0ivPccVB9Enc7+UomLjzkQHDeqnZXHTBAdu wiZwHZqOlcYh9g0ACMkar6wMHD8Ewg04T5xRyqOVE4R0dcwyLUYoIcqVVuRw/wB3J0/jkOmGIQVt /27b3F1k2bNBc/2Ed2y0uTzcMlE6J/bA2+UUeq0A76iTa69o12EdW18ebtwx0S0PXp+l/C2NK9kH xYPhYh6cuz7xP8ahcbOGuvRww+I/N4XRCN4GFHudicO67kc9rHRenLNeK8hRvdxEjJ0D756HPILs mFhPcFMLrPdzfrLh/Fz7QRfRQag/BPyXwjjUOVKYxcRcRvhbPOlGNq5KFeOxLiNWa1XyFxd7HYxN zJJHsSM7B7u/knud2Mp2MbJSWL0apBgm3kGIuoCuAy7WEU3jEVEjWUW1cEwmRgIjw0Vk0o4e82kA p6FPwlif5twb0Fz14Acqw0h/QnjGtlZgecaFckaGbDRGE8BEt9Gtog9+gyR5Mfq8+FFr5e3nolzL GDopqO7jhvY2nLOFFVonyW97fXvQtldLhHB1GI9CQe8mAFXpzXTRQsd6ecjYj4X8oSVpm75/iFqe 4p1+BnukZrc0NVFDoN0T7YU930FydafHY85j2iUwGTgH1Lj8GYaqECwk9KUgb/7l3JwS1CRE0wrR fUv52D8F364RER+H/JX2w8Ls0vhhguK85dgMSuBBGSTdrPPMZ63xeTcirADwT9847dpTr+OPpQYe aoMwfhcdOC9tL9VcF550g1JB8GuUlA6oty3hcFneZvrlN7ixAGXFiuS9rTjDaMikO/v9rOjQpYWM irPTwKDwbux0ZTotVB4AzIjh3bLmqDE4/E3HQ/Uqj87y//8HkdNWn0AYSZkYiSvVibrXgyr8KKod Qe7kH2zH5AhSUj4Xv702kTIG7OD7EyJV/k7rRUVVdnK5OPiBgbJ7EzZSKIltgNZXb1owPh/5enmG 5UQiTSkILzI7NCqgFnzX2n7IuNr+GcFKuKmWNUxku5ha8RAqrlrlnPxX72zxLRam3TbjQWYvC+5P UwWk5/VZFNxkgZ4YH3GeqfdlBkXnAKu9UFUXoDkixK+AeYx5IdrZR/l6lJpBnxKp78Boe5WmXW7n UT/xJnfU1WXPqL11Aix9LoPS1zkdg03fGuRdFPXnqZGRDpS/GX0/ORhjFUaoyp5kwuWDUJ16U7Gx 8rcGxmwxXMrINmWoAgXSJwGvysOcRM24b0eYn/ta5uleRHz5VwWgfbA2lPL97yYVW4hpMqZUtjGU L9vvHAGOyVIDsCl+Unm7i719cgeamWYWvOEHH4DiRkfLJF2FdvchEQ4m6INbySEHGCqtbh1xan8k GZn02zalkdpHbMTCGx8v2GHT+/M87VKIjollpgAMP7mieO8NZff5pO9AUWq/CtswAgFaV44HIQst 52FvYnG9d29gcRCRHiqSGdpWaIe+fg4skedWmwwodAk2VskhE7tFXUMhX9BBWXqp3aXtjvJixcIw E5xXfmOj1y8rj4prQqVjS3vEpJb9w8ioolrZsRSJzvsHpn29JlE/jgF1O7tEQHNm9nQ7K7olHGrN aL2n7d3Wd3/LnEz/4hbrUSYEBg+5TKC2HgCyYS6K1LYrx43N/b8AvXjnfdP3ssREg1tsZL5gTI2b aGvPx/wLJWFhGVbd/OdJHO1y/MNjL6ETzGo3k5yJW9XtqGcgap2JB9lTYfY8/cOXoPCV+bRK/jjO Mqev/Ylt0PXCv38jteOnBHCSEB17HBHou7h/LwNLRunXCxDw9vYEODAMC6y6HydKNxZfb/ZbzZi0 h+zsDq53rDqAj5ZLl4wzjbdKCWXCmgkkT5XvyCZuwL/k/0jpPhBYirQuAviae3+SDQwejmvq3ASz B3YmJ5EBKiQGPpJxM4/ZI8vYgGSBiC3KeoAmz0ERX37l+5XjQ7aHuOgHMlxVHFwLb1+vWPe0vR5v YL1a4aWWkDROqTBZtUno1URgvpVFUy6ULcZaOexijqYslXB5Gnu2MO0HromWGh/np5ShyQ09gOoL cdfLzZwPNYwJakXiBtEW681RAgZb1Rg95P0FH7dDZ9hi/X4Mdtoq5tUJpL4am4/ce05V4atfEpTp 5nnU2GmBCxFVnT4Vo8+m6NX2GGZ7b7k5Rf+b4hpHGmMWqiJCfBeoSRkHsSqxwE8bmjK1N0BF49jj BdilV+jYBnDk5dm0GEHM5l+XzRWPmFcZm2+hYrZceMyrf/Kc9ZmpT9YxNWphZP4ku5kuwDnFU74C tSfN00h50Nsl1j72p43eQna18eGTcCmnnCs5fmYerNUrITHGMM8x0l9mkryhZOhsE89G3RFD0jHA dLhHCPzkJuiKHCAHQGbTS2RWr9vZi/H5fZcZDPetv1IvTEEsGXR41HoknG0PvSrqZ2ZMXUz3QkDt QBubUKCFWNmOXeKM5aGMXMaXlIl6el+ECplxT0CwXfrydoh5QaVsy/2kPEp5vdA48MYAON1TM7We RThUD2CK/Z5x5+pvUFm48FPPOrU3lERq/4h+dcH4t7bR9ht/eDOTIbwPY25B8XgI9sbHAzbWn7q+ 6T2rY2uo5Wmd4matfFPOP5AvIbbsaeteLiKjO9MgU7HnNc2HYgXceFexnSQC3S7WLYHn47W/OqB8 ETfxWLoVIWBHyd4Rh7MPkR5DebBSmYA9iY3/dMQZfgX8nC7I3fPoaIOv5UNE7v9Ugcf46DRZB0zJ fnzvG5Zak3y0pKRXdDXfDV5jKscbls8OwHdPMAk+PCuOhKV1kvaRvIYDftc2v3NVZqS5wnurJVib 3sC5v0y5WNyz3+oX9g0XMPPUNp6+0FumuKSMusua3ReQn0BmMRie7bogGChnV6jrS+UkJ+H3sNlX vwAEHrGZzPQvS7LQqxEzWpnMvwSIPkCUYA0HLyvSYPj02UllKnC6F3US2hdxQJfUXTr3RH8ZM+t2 MDTdqiHpXGaVY7WlfACHJwPloJ1vgj97iQtioZjTPHDVOBktd3zRR9f5mp1PiEqLp+ND0L/ovKel lILgJfjIuLwys2bMNpyeQgVxsH2jA6PIsKcMbYxD1j3MuuF8VD+tkD7i31VZyVkuWwzPrCqv6gH/ FrQN6Hc8ThzJst6Lx0t3tpns3OWdtIJOTewBt5zVtfiM1TALLb/9U9DseZLq8rM1gpnsFOPA8zFj sQ8Ki7rIaawFf+Y6C9R/InwZWuG5ikpkgEXQV/z3TJXgzWjbJ074dHpZUnnP9mzqJDdmOmYamlJA QOjjwwUFl/ELwT7WIRPE1C/3F42Vwjr2Y/ce+ivzbIqRmZAj/XGnFuWJAxyhxrTVKPy4PE5908g4 +Vf5zomtfa33oT78eTOMa0yCdbygmItPcN/95VgFQ9wi8neMc3Xd+M+AHwbg5+TPsQxLSV5T7rlS kcsiHzflXk7YkrVaX1lKy5c+cqWzlCXFVYhvTeII9t6C4iywBavGTT/Xd0t9UwOafFSurC+Bfw+A GKWuPk/ZRZaMaq9wp8jnpecERnG3iSp7PsUpLmGm0oXlhF+ic2euWVzX0ahYmI5sBHfWw6KlTFbD xMLNME3R+yaXr+P1OcwKyLZ8Hkjxyw+Prd90VdguGJ45avVzC1QfADiJoOoipLThE6HlAdFAM1hs W+n3v1/4c3VRaXkCRqW/9n8XfZAMGZ99D8shshr+Axzamr1Oo+ybOSYDOfMnCuCZZJqK5gw0cEtL I9mLiTfKv75rb44FuhwDoKl3WucBJHDGFiHkBmULNrgEHy/gHHWtDY9SIE3r+zJDamP3zJUpgEzG JSioP62N8eUH1ko+JGzQXnRTIdI9LCo7DYEk3LewEaZccsLsgJhUT0pyun9BOwvGBZsjhhWA8Epb S6iajLU8t6IuONguvT7DmrfOtIVc4xxbvHlz8q71XccEBX+bZGlTKyPaHEQnAqmENPWAYs9/6ocK 0O+coLM2IxEWO47Yb8xzCTeQgQWOVFR62punZY6rpw5RcJpeU9HSWVSGZOF88LRS5FL6XUINs/3W b8KasvajGmDwXCHcEhRjFcpj2xu0RD3I80rvEYkh6fg50yqLRb6qLk+RrPjU/9sLFL+9FVSic98i q4CyPq6mtpVhK9hCA3XxiLf6AhskwacWon2+/m7oQdj2+7sd7sBjLoVbvcTo7dBvHYMkd3aMFI2Y L+WbFfKXw51m6cpWBt1pwp8DNtFBICo1EBlx6aZWQCd9GMjTn6jo+1axVyjPDAATYPP/1eqOfcKi cji7XhmTBFCNONnIZnPx1CgFtXd/6ZachheBPrvpGuVotU8G490t5VJ0Mup/DYq0am7eh5WwtRSr 4XjOSV/Ohh8b+C2cdNTPZ8bvtWLUVqoa4GuQDvJCI/qqZTTAuir4PWJFwgd3fuF829Rht/XuUaE9 BqxAgyn9Y+SlafRHY0dyduFLSOiC63JwSNHPmpmEYFnEnuTUqtdHKPRjScirws18X3RN6cuTwQHd ttrPZhh7/WWkCM6RlFRwZ16Xr3BDp9itidPoAMBITdArb4dcfmv2JDcZGTfU4IFUKEfFaiipVR3P YQzsxLYYe6uHPoX7TvVSUraPFm8+yrtLLTgWKxM1T8y8yY7/desPl2MSetXkSQycZojnhHLuGqib D/en96V8Sp3Jrni371Th9qWeJB0fDZvodrkeerMkxh7L3Z0lkOKuThBc2C7D3exxOCEeSqhNF16f cGoimhb+8DITHvkPsqpALLWfsB+6TN98c+WKG5UcltCxlubTn86qQiYPqbu1386FrE+iefnnuwB7 iai3zQLn8uAq+uXHSs1O7249z/5KIKjUQtulL9BveZMjOLlvDhz1V46I9VpQuxtCpZVgnlgo+h8T Ai7LOT4i9+MYQ+7z7y/B+5bCj5DI1B5oFLggeMeFRrpe6HnOREFX0vrJEIOkRYtMNSb9tvAT4dQB cUb+B1I8ueYWqbUWqnxDmekQJM+HWajsDZUVqYqEo1FPnJM+vq1Q89nNKSiucJ+EuAFQqft2swfm kD6zViexkimpWCFsn3A7uWttB6h+beDraqWZx33jUSMpA1hZ/NE/rvW8k1hO7W8dW6eBc6AVuyv8 wXv+Y5vyWk79DpCPY8lNCDqh7z0hbDMGD0GmbF6jKRShLW7fiuvqrc2Jx0d5rlmJQ7NoMzJzCb8C vTWCljB10FBx3MPaF6F/83PrITftJTEJ96ba++BGwBbVOR2wlwBRk1Sna6TJCXHNEhu9pZ9WuD3/ qiJoItkcy+/h7uCDpNCyYTtgiIHf5h7Mnxq6/q2l44HBVWUVBlLPeAFVaxYjUzB8QRBeTgfHwGwQ dtUVtIn/RL0ZE480zyXlIrx3Xo5vrM+cJvTe5BveL4UrrdbcCaH7cAXR++iXBc9mhT5D/h7knfUP f3mBDwsy2M+7SVRLIHJ3QsKDEqXtw6Byle4P2tXzmKx6kXI4HYeEBwdx8pLjaq+rs+Duh9O8A74i Aacni6zaNAjR0lPiOHPZgG7oVeMvXlDA3y34vd/EmRKGxe9evlMrM6QPYOe+u4CeySoALNx9AZ6F zR49FOb6/lAX09i5opaGuTBSbk3LXWufy64awKfA9k2I/iThQCp6jhNjUvG9HGN08uxtmkG5baW+ IcR15eOexS7ytIzX7f3ctpS6pdcOzuoHJgQlsOI0D0OJMAu52SrRpGq0exSLsg2QqALxjFDLdY/A 5Ac5iTEm1+5PDoQG9sV3AKV5A07Dx3seQQg7CWesNLYNMONbXBwnrbsagM93SQ+iuH9UHsNX6YVu y905zssatFBkrN3yU3/tDX5a8sE8WgUUMpT4L8wLiarQIPkwWYeIByu/xoc+X7V95gECZoHhbNd9 Yz+n0F5KMJw2bxNSbMclWOnUVZPKQ4Rr4Y/8jZHUKpXJIfXX05cVTrfyD4g0wISnLheh7NBaJh1c lBiMAFPCIHca3NtG04hy11IrTLNxsm2v9x7Y0YjNgyFGTIitWwQ4sNapLrFQjxaTlqm4zzzWJ9ck HvIKkQ1Lf2FLYeShpK8WFbP4ZKrRBp+nytRNsWuXkx7w7JW1TPmUzGYgdR7JgZYlh5wev7Ahuqqz ULK35xfMR41eah2zqW2Of4XiXY/X1he6W21mOw2YNxFPHZJcFn4Wil/qwcoA2DX7EdQjWH7zvna/ qacqhFoIFPi9F3bgAmTZhKRxEU+OJKmzmWAMpeFCARyl9TPsEh6tk/FYopIq9tJlDD+DJOVp5q85 vnN8BHpAL3QdO2X34p0/YpbN6ltj7l4+lYYtWM7xS05GxbecHv/4PIhyzAQuCCVgimPp2PzNZ2g/ OI/EcoxHz47xTb1/MPnlAbJMqlmIqaiwe9sUzGGVGw5MLcD5c47regZ3TKLq4Hn1jwokBuI3aI++ JVcsvL8UOLd0FQoPkkoZM6JPNFJBCaQewgfZi+5D15yZ4JJRPnVbXwARiyzyidPoMmsS+57HHY2g T3JEDPlRc+iyUNZgOuNiHRf8WgjZ5x8iQKRu3EOx0Dz1DWKpxK3Rje2qpExA6vBCWrONHpMDTFMZ AViSuE2V47UkQuCUZW2px8A4B4EgTIeUh0wF0qtU830C4wgCywOvrJfjv3mp1/X2GAZ4jPTAkh0E 1k9d12eBUGvbj9bTZophoI9HHHyiiv1YpykuA+B56kCf37brPk5+yXJUc52GtztopPGmlafkmUTh 8GpHhpwvzhd4V06TrQAH4momks+eI4eHWc2SjlXN0GZoPkdzuV4nSBKzonZuE327EoRu1iiLHNzd zsrfxdUl5L3bpa4JLh8YDUcrpi7JYdw1Jzng/Cljp64NpucDMFr0spqx8bl5X5tdf88fIWEuOkIV c5PG0pwlRJAMb6tpSbhHqRAE2dQYnnWZnmtkKYWjy99aTGI+4qDvpx/x8s29f0WamlD/uvsGlHru hVwzrmopH08XfGbXY1OzG/rJCw4y4hxDDEjUsg6XUtacsGqP2bzkRngda6kHu8GghNdkNFwDrZ2K Ia6Z5jsoIRBZUFGa7dC+boQTHZHNnpQZQJortMlxouzu3jB7uB6y0BTLFhHAyW1vuv55K0fABBTt S19p+hedRbkO4pEVyPTEB0bW4FMRx7zrdjLeHRHQSItz43h6Q8o1vsV272WCpwLqaRyF9Ot18pg6 ewkafn0jFjqb4o8qHUz5Hj3pIrt6PRZBxvNx7/OP3BBNBsIExMCSyqf31IsALL+/AwXNLCQLKZt5 xkGH7icNaZ/AiLoZP6/m5QX7pGHBuBogrPcQ0wHGsVh/7cu5pafu35XFY2fikoTyndiWUq47cHqI zEcVCl99kkBkcYxPLhaYjX7OkaYmAyaWUzrjgV5VX3i/0QV2Ukhof4T8OjhZ1C+baQvRj8La005N bCDUl1ESFwEKIiAthjsKJtqU6olNsZPKhXdp2mJ6DxhILxyaYy6n017qlhQadZad8+gVcKSoUCzN LEIS/jNNogdjzmUG2EyhPOWQqjIwaJpdtNAI1D95CeYZ4LJG4vrcaCteDJD9D44/zket/UeftELm RhdGV07Cdlgfhls7QheKzuvypBCWGw89QlA7cy/5hoBOUKikGSZHn6SzMPBcyvOrll+WSLoN6zTr u4cp1Lb2ETRHAe+yxNWyt21MJAW3Lut7s/rzcnpuGg1lvN/A5T/x+FWTjm2jG6q1DV94W77jOtOh X08yoBagvgp3WuES6THN7JCoMB3s99epJgRZG0hsebRN780yinTB2AKKccMfBmgs0ccO7z6qvope gxqbCDz6kjEj0u8wIXgxz6pNjXKVBocVNuLvp5TpiO/rgzVWCsBsdie8uxCU6mKY/5wvD/fgMcAs WX6uy9EopB6lJL/vwA6/16FmXWtzrX/p5EL6AxywKyaUprwfGPe2ogl5KtKU1/WZKPEZrroue9R9 etTE4X5VPjsGV8CcII7CNHxoM3j8FEhmscMwBHdZs8rRjnxYd/Pyf54DSkL917mLXXp51dbWkGWJ mOvdbFxZruBM3nHeicHBgn5xVKV8oT4DrnVMlzmGZTAidyqlqhqrtkwkF78i13kFKLMrmuCjR2dO m9BxVbIx0ib8uAys2rPmKq0Ccz32deCCWCog2CQAQzPN0hredI0u8RUYYrLTRP1eCv4MxYq4XmqG zMN4KfstPTXDV8mlb4crzcF4X3I7TIGJR+Cs0Y90U6koT2OY2q4S6VmahiyJWHp+mtSIRgGojvDl NQjenS8xlYJw5X8G2iY9UQEj+5BUGqKQ4COVCnHy/6muzOFPentgqOV0AD0tEAcUBzJZYC+UI9Nz FsD+CVxeeu8LD6viiHL54TapLIqMYqboVh6dJ0i2O7KgP/jiT0hueoYEjBVxGSHxRtN0RmULRw/p cNVWLOwxptzG3w0Aprj0wJArNDuZnI6vnPyQrTNcgzdbTMF5HiOy+Xr4OVr8kN4cH2skPy8O5Hxt ueQjvW/XJLjbUPS/RwHvcfJsqo7bQjxZmvz2QhveZIB6WihZMWhsEePsBpi1FAIAyPeZCb1SrCCX mT+oMF8OAplAErvNjaW9LFt03JPXJC9x3dH1fExzzLR665gq2qTWZhqur4olUmIW5nvmu+kIljgV U8c0YCW4Xd5qTFRnSDeW3+3Kl+yKTRErCbCG90ELoi1xwqL8007HuMFjkKgE3e/fWLJ+toJd5aY6 fsA4AHkbV7a2L2UlMkgCGW5mvgXskT5+mo/7cTRXc6aHNWO9GRFz4sGAQITu2i4SyIZrL2wN+yWX pvZmEQL6hlHduDUAXwPnpgVu0xe5POC/+8rctTHkcLPzJe/03WBkI1aGo3A8NCmtfAeq9bl3wAi2 5cLFDwh2/Xjb2u5pz1GYPAwbw9xHEHYg4NhkFhURz2Dd4ucL/mpClwgQpwVjjG4GmIGb7TeBpOXx dLco9n+kafHJLCQrad5Sq88iRCKV+N3ThG43xFxFLHpssaxz5yqTYENKV1u/kvpt6Os6gaKjViVg rEaZNjq6gReAW7VvvCku4X2WhJn3iqapocfPfXdgqxOUnTAbS6VFC+OG5HEtka+GNastNCSZBTfs GcR1xahZ2QMJPuQcfeQ2MTZ9mofduvgDAe9eeYLoJWeOUQaqYCWD4SmpPXOaCeAxkNS0EoEYHDTZ 2cAiytobUxYAXFupZTCdKoep1EAjtaGR5A4wl78z19qnE2jVroeMLr6DiAh6G9gNVLCMZAW0sGgO +0TDr927o6VVi1/eWYUzUJM2fEG0qHk9JzY3BUi24pPqC1c1Pbxbx4wn1SJSvjrkXnPDzMKKYouR uEO8s4mZTDDPONCfzNFzYiNaD8AxKyO5gIDmldqg2ZYsLs8VVyFs+H/4lmP56bXUy7f33zttBCEb ZdjE9ev7HmPjaQWX+/DjPQVRqLW6SZjcuQOls0K+PgDAWgEPo3wvzbvpuDaB1FI+ywOX9NNDXmfx hoYhl6lT1+CXkSjjpHDl7vMYExCNGp3VY1ST2+4RnQArdOgF1FcUrCVFXWBgnyZDUK70C3sEFWfO DE30MT+nlrRB+/o7Uf1haMa66dUkfGYbzIGQH8AkMaG/jzhm6FRuQW6awHsz+58IOjScu4UhlLph QbDX5a909DjRmhL7NwOPAaoVbNZHYFtAIiFXr3oDn3t1IXs22PUz0v3gcO8QHDOpmVZChDkX6N1O MXI+EoDub1ObJqSrF+MVP47bMlyM5Er2zTOHLAHM0Qy88SEyjfcbjjLZ18HnPeMOOHWAjjTvpZyy 7V8x4sqxRJ77uJciLk91IBvgJw+zwbs/A1NKTQWP1EsfEoGx+EZvWGxhbc+AvW/s1jC6mrOh2SIN PM2i0sVugmg4U864tEJdLYS4I//EN1ZC982FBsFloXe3ujmy0KTV+z2JbfODco+ykqR8nP8tH6hC P4DPHYUeDH8PnRIKMuwljAnHxw2oVB1PYiuhq151Jlqexl4bTwIAwEnkfVzpKQDxUCXYTT7HNOLi rae3VKxyilR37JxXFuGBs34FMbfOqw2Wiaxwa+K/8fTWkhrZ80IdpCHWm16UuvieT+e7MFBaUe0V Qvrb5arsyIbH8VBza3rpUtQB1x8FlGDKcR7bd5+ZEjnEJFQfEAOVfuR37b5eQ3QSR9aQmeQaMUGU rqkS/OYrJJxAhiFRqC4M7lYmhpyW/VBmNOSaW0cdxAQeSFMrzXfdrDaTFsSHsTdKxRnmMYGNd+dW n0Bow8kg0veoS5Ngcql7g7yZDUG49kHpPNrjEXotbcB6okzTKRW5ZaBKcgd7MDL1p9sS2vpN3jMB AenrrswjSBqkAQ3fXclYjcJaG1TYKvZ/NMpFt+bUqw3qdMnuB6cGel7pHs6BX49N52yNcE9AaoYe 8ytOTTb8tbcAJWZLbz9ISjz4JFpxgiwC0UlILKXLlolPR3jWjZLh8oQffLBXBGolgASKnVYoNMC4 +Rmoi4vfktKN0jvkaPCNMYXF58wLx3RMhbLv0EbMMnlFSRALLGr0eb1ZGKyxi/kQgymgYD6bhr0F Wa8RK92cyjG0TWWi6eYXRaRh1R+v `protect end_protected
gpl-2.0
fbc566554a65d8561815d9001302a95b
0.934502
1.864026
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_decoder.vhd
11
24,873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JxfuObrTyqbe2hEA4QwOiYQWOPxLUXGFSYHQFiIaolfGxhZj1zvQa30JxIBd8weczinC6gIhKHYQ OiZIjcnzUg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jn06LYncZ38HeZKnzdwXlEyWtMsLkGuKphL9SfI9vQ/nin3CMF2DWW0aaKDA3K4kLYA6+0BVaYBn UL2RuFCmWsSlnT1u8CPdPkxnmiI/ymwfOFbHwDpi8C5zDfwlSxkcEmk1JF8rhnHEG0TJVtjtx0Xb DOIfecnbTgrj66wbvmM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FvWDVCvPueXxVjxE73Hg+66ukrxdvjInPIExuSYH3rtAJ+Q67KaWRMFv+yD+oLMiZWrB+9ZN3z2g HTzYMXv5EWy34j4bEpdxgtMV0THc6JUE8dSrC4UqQRGHZgY4aSlZo4H+4lAhkBWdMGAxJG1vLI8n EM2J+2s58BnuV8K9ZF+LFzoDbDZRwhW2dReFRqmiqQ2sG7WyQeyRz02eFt/5AY/hAL+T1Xn7Abfb VzoZUWM6XUQmBytIopfxBZ5q+H8MS0vngPTj9pIOgry5rmepVcRgoTrSqxAAf5bNJE2Ua0AlzVz9 2+aobB/Eth+AL66PQgnrK4wipZP8U2G2MXCZEQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ibFWWG1XdY/PW/BH7XKrn7+4Aw5Sq++k6GO9sQ+2cEkTOqBF5ivD4ad9Sy7RSJKysoQsrBE4Dl9N fdl/3MPeQybS5AvDlaewDNsg/sr0db0PfG5H49Lgcc82rMjIrbEVeWjyAMxxEf6KvuqlW0Zwv9F2 4cWwSS7GfssRkUKfjos= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TBfxv+m64ke5f6OYO9lUIbDoPu+8GznSlDm1X4Lt/JkIOWNwtkGYOZhN5WPiVhEYzEeKGX1N6cgc t425QQWopPfUn08uWQh8kMi5S78FcvcEI90+JHFJVB6NqTsw0oNCs9mo5BjDlYFNSawez/THWthD 3XXvU6FRu6JCeS6ev7Vt1NHW9XY02khpakJLLTdM6FIfjjYf+zNL9SW8h24wLw9c02alUQjuQPee K1cdLsr6VwTokl9niddPPQ8yf7wJbI++74bTlp8ITT7V/CitgT6dAbG/KE1mPbV+a/dbgvK3T7/A FV7uFV4jv/voXs6JQohvi+J78c7V81f6dbEs7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block hzTcvoZR7/RRXogvGvuPhkCeaamo4Oy+4gprRvyc7K91a2NzHJ8Wd02xnkQNHGU6hnz2pPmEDNPi Q1zFjb+yQpmouZ46NJ6foJLjuVywgEz1bNdyTmTMSJ30+ud6QksdSCg3KI4FsHCAOA1G1/VAYInR hU+Hr+OQ2K4VrD6AmVWuaTWg7taKTZH5GSM7Uk+8gh7Z2zifD0hpG+hoPOC/rznu4fE76bYzj+wM xKOr7rWnkuQ/BjemuvShDD8U33xmGPNjdeWRmz3KmVFXCWzBwB14hQTGSoWGQLK3VAxkvAElmwZX Meb4RSEqPlSFa+8//VFvcNVvR6pq470+MuLh8ZJK3J5Xu60xc+kVZJkiTNYfWN80pnQHOR3vj7pM baeLQse3ic3uTzEUobQMv2gi8PPZ8XoioL5kNv8Z+g5WTNFb/uPjBfh9gn+jf8djzxBywAKqrroY w8aTB6f1WjanUmvPH6ON3xz0eiXZiYgHBakAH97E1LXUf1VW1ILMVsVN+ksvFQQRQ4wTGL7uGNbS xOkSjuRhX0k0clKAOJhyvfHgGyz+d1slFvSvqoqfcn58Na1dkB1bO2+icvZ6vP20n4MVJfhsgq04 c2Ws+2Oy2/HZbYXwBv+AjVptbBTFCPs/IzeDfSMAmz2zpMwlbX5F5MaCnb6iooniEe+tCbWcNEYg lLjDXHRlGPXnm+I4kiNeNN24kqIbU8gkOt8AHRJcngYG85HVi1QqDB/LBMh0Nsmq/pIz9Aj8QB4Y yh03ib9GuPnWNXcUmiCbhYEAJ5tVfhdcCyE6nGTS/B9elqP9d4C4Wpcfgty/OSyiozTBHLUUM60W /pXqV2grHeg3efxRVKee0hIML0IwxVTCuMHI90aH9n54nvCJCfbruWd2GqXLqiZhU/AX17Z7iKUo SKl01i/L2RTtyX/NEWeI7DA821kcQP4DirAeCCv1Feqj6snnaqpUhRYlCOI26O5MixCcPlp+amED P3MaYrl+ONe1NosVt+swARP42sQhbVmI6qxNko4XU4rlyKJcYPQV0lgq6G7MEKbty2afFNasxOxm SHZd6TrtVelCF1i4S3OFGmkt2JmzbrgJdstRwGTVPulFxhdG3VX3GgKKwRFFsaOT66uU529HK7wy kEK+RsK6vz/dNJnhf1F1vnObNWUBrwqy6ExIzckR7NrYPPxdaR0GgVewU2O/5FXxTJZTYKWiYeoL VelN76eutw42leF4Dlee3ZKPRzdQz0esLdj+5Fri9RFoOkPBdi6d/fZLb40YUbr/QiX/iTfEjQec 1YOMmTTkMj/ETPk2BqT7H2EHEEvf/eP4tgC3ShLHO+32smBQ3r63u85lv2UD7JVo0nBaXIjIsiYm z/wXcTxZcCJLQW8kcva58HLUvsRqa1BVhtcp8v8zRcPX3RtA1MGjJ1pnu6TjU60+14Rr3OrMjRbJ R3B+/9iztcmFZukN/es7keRExMm90GpUs6TGIH+L3DYajaSEMjcjt7YHI0RJvnQeOoufugq4poMW tgyrLic3gFDC5dQwqEog7hrNaR9x+ma1IAezI+irGE4cqvtKUcIwMTeSA++JZeEk3iH3eMfM5CH3 5IhJGirvBB4uEZAQvyZZJhWhEJjU8+9tVQnadmiyf32LraWPc9MgRBftjnERP7hoCKKamHEY2Uxg 9hGuNQVgEto+M7boZfsTCFqmbmYGT9yR/g9VPak4iYqB/iBHWxNQmSn8f23QrWZxK562z1NV/1d8 0T35z+8iIGO33uGjRvN+2gOP8FPulhm9AIHdm8gjZ0N6DH7mlXCG38YXoIBitQgUXhi8kgFcGk4q ETDWT1mQdIZz8vYG261ELL7RptVob2F6txg1ncXhrd2jh/g19fyFJTtWtG1estA78mUpVP4WFAl4 gQKMa7TIpNlDFWnVfOQtLQVNC95J4Hw7K7Lr99v8wDLitDrP9rxqsjfGrq1fxZFgv/gSTeNGCWI1 UQDlIs0+Z0CF28JHn45VHqgS5MHk0ZRrPxImyupdGQjtNHXRqDto4rzKIJab40ZCj27I93MF91KG nP3GZVwTUSrtDxzjFdrGIPbnW5F+ozQAIDRof7lSjbJSETFb3QKK0Exw0X8VH82pPRhH7Cmzw/rJ 2wdRXX82ETNeJmG4Aqt/cVokXlNkcBUsr2VY7NWklLE16U1dq8glESaIR4BvIqsdCJ10skJVH6LV XxLvkjkV90KPBPDFmFWhni5rnub7kxtSMaT2/XjbyMyh9RdkDcW+HkGYK5Gr602iGvivkRg476rE PpQ5+bpuJR+KU72LHK3ksG9Gpmrsf4Ykz81XZ68Xb3P45sK6CwH5UB2IPkG+zqRfoXUdVSIN5we2 ypX99vJGKOrKO/aoxgvzNIFA0tDndjeogtPAcqbHD5+qK4ayGf5KN6DCKV2VSq9ZUhdj9EeW/tsC u86Kz8FatsjTvCCYRsnfRzahGWqGp4I6NkwTfJXni3zKRQl7++gbuD/Zhhs3ZZbwwhkcmF4K7+wu EWuDzQ00flqezPmIYdzskiZVF6n9Ig2E4uiESeXL6WZiDpA4+jzRNhdbKKD+ZW8f7KlvtdPkV7Vq TwQrxFOjhaeWIie3ZEW68rsrhcvcLMJrS4hiLqqQmTJSMS+t2HTzLvi/fNzMsODiQ4nwM+1A8qwN LSa2Ota/EgRRJrWtZnI15fp3thgesi0dHBpE1/5LQaQFcoRZ1KUPBx5oZbB8qpAB+mVZjsX/GK5g 5sgcrq679CBpKlqgQ+1sXpEplafArGNpNm5CXlcA7dCjAWX17rgXyOn5pvb3aBVuHl7KDkxCXL5O f2Q8WoOBeEFF9k8L3j4Dyw333PFqKtt5j92MCsED4TspFdXxx88VARojiwp3o97lXm3aFeWsER7L sOGrK7YbNy2uwomR+V9PXiWEeh35xbEryz8QLGKxZZwLpI3jdf/ixNr9iyBFLud9RQXrg/cXhxye OmF9EKGTRaBkmY+NML3wDTILRujMAnV1GNJ4Vz5fbni+AXl/7YMQuATuziD5n3Kk0UHPI4c24kP3 bmWejdvPrBYQNC1zj2aZ76dGNOUlwXXd3GUZUjGTeNdE46yUqUBPy/+JJfXkAgJiCB8MgennxNei OjYvWWVJYG7xqXgTM1pHWJU6zypULdNgUj0HSjif9KXTSTYSJZkFcUaEe9vg7xhquKWI0+twvsv3 2LPy2zybOMCbjni200AhFHJGR45Jvf3rgsu8/uUy4So3KH/t2E8i2KdpCjOyv/gyTNnl+wWvftk9 blDBwDUCZ+FE3zEfXVSz1I9/+auAJhawXJ25GF5yjLZtUF328VifinukkT0JnzA+l5iJ2rREriQG 081N2mhGAZcTvrxRIWxJQAES7SiAcn5RHtvZqDjf+c/Kq9VeZj0ocqpiEXEbePtATybm1FBCA9Vp uP9uagGFb5F4WrMKqNZg38C4yFW/u3Bcklao34ZBVF39OQvhfmyZUQpdWFmflXytca2A7ot41IH1 ZWdmP1ViX45h+a74lnlSA4konctqaaXldSDE2UIPKq/PPsq2FPuEh9aJfaWFWsPRa+tW5cVF2uJA GN1QVOvrXBQgo36fOwKEYCT7nEMomDYKrl7OB9eJM83ERkcDeYp9GtXdiBQ2lORdvlg7uOY2DkeX jaXtTqG0EiFfZ1fDJ47tGcD4j1839XBhhQDg7vzs4W3cjsUupAdsuHXWVpTmCeVecboeCZsf658Q 62JuJ3dIAOWX10EZ3/3hZeIC3hSm6N5fa8fOooPNuqC6xVOK36nhyOn1han1rpF1so64AIilRS0q a9M7G9Fg69c1gmoQ8ugAoEhSxAez/enT+2qa3GjdtBSQeXOKmpP+ihtvTwJGBrBg7s2VPFGZonHR p9aObMYr7qdBf1IpN2cHWt2JoB0caJ2YiQE+QSyQ05i8zSzfEOts2Qkw8r/9p1KeFqzgQYFH0R+B nyo4OVL0/lzU9m74vqR0tcRYVw5Wof4RcRyyJXVxcPbsoHQ0T6E+orQk5NXQA3zB63vTZUM4WX2b f+a1rO276zP16tS1d5U4J532TFIwk+PU/IzW09OlOLeCMCCep6Z20U27F8k5gMl5zNKru4XSiiGk RNmHSqsQSChiNycXOTzc/O4jqnubXD6QXWD1VivQitDsTBJ8j8CXvrxBEsGsXeWOZE20q6eRAvS/ rLuaXkef4CBofedI1aJaRZu50OHCMZjGzPnVDcm1Ox1Jx+FXwq+0cRGwrUEQ1k8kFxM7eVkGotyE X38OCJCGnyphZa+2vKkhe20VGIU/xwuD2+Gh4/aOTs452WzIGlQ/YhvcKPqVAEX17TDxthKQKKMI m1eXggiW2YMO+enK7HfYskZP7ddGHVkOhNxFyr3kslfR3NTG1n8st54k0a0j2+Kfaw6Pnc5PKQD3 HAM9/C8/mN29t2PuSgwwWahuPZUjsWFlHJQq3CHLvZHSIgdYt0l1CIkFlxMuTxPe1kPIB2KaLknj l5rhHlNxNLaON4eKCvlWH3OxDHGbl0zPmcWbil4/MqgK3OQmtom5u3UBihrFfYsXG3nN4eCF/N7S W2KptFkM1OEvbP22RiYlGQbMsbG09GwvP51qfORzt1CAib9MAVYLpyRmg+M/OxW02Jd4pzuT6Z2y Y9gxXwfIRG+ScLFetTVUSnLF8khfM0kCEr8o4Ab7R4jqS/AIAOKh+5AxESwyaxHpqejr4lj30WS7 3EegPNmWHRztSApskdoskkkx17gZKuCEhvSVPF1n4Chi69HSbFHXiDs0gUxTLR4KtstL8A75ioNW A6kIGxpU+0h95JZyzbhRJyQp5fRyaGJkdIkpw3n8KTJ+qfB4hdaXY3RFRIBweI7ywyxrn7zgxZyu KFaEX3KYHc+ODHzL4A5jjJ47RbSJF81aQH7/UJIYXXsnlRypMyz5keUQkSy/I0/nUq/cTIKSTUs0 sw3dKaxqNUrcHwt8C7aGiHY7vreJUyeyYH40LvajunEN9ZP7NqanX2bXhszkVa2rBaJx7PeofqX7 vhFYQZP6ZJ4Lf9hp7xxbQCCym5hzawuftM1fJzUtAjqdudHHT6+1p31z0g4ZScZYsAkmCFrmZ4IG 5PyWdfnDKRwPJGpvPFN5A/VlOyIbpP65rDIlLlJZWU7Vgw6ORzpfnxnBGSwgEyHZR7YPVECvfTUa sw/wS7tjD8j6eouA37uRwKrTtZaHJ28+/143Cyj9VGDYEkg3AwcoJQK5Xsq9LJgVi7VWFuQxcY9y LXnQ426jwaYgZWuw9zY2quPrDzjXB867/jAZjA/ZFFuWmFM3jKlAHozc5ppukUtZLZjCeqr8dlLq jPioPP3qp4Iy+SXJ+XwnyJYvSw/dkFl4om1Mp163cVaKHOUHP+6LfMWIfzqwZmHPblkIX4j09NtK VIXU1GT35pYuol5okmJDP6u2LYUvSyklcE0YvWFJafI9WoMbHlU48EMln2gH0Vf1iSwo9YdcoNoj d8zAr03wxngCV1WYYS8r5tBotrWQq4d5z5XNYhVhtHn/FKW/AJ3YDikb5CnHZAV2n28UB1HktJj8 qQO5FAy0DGOo61sr3zDOkAkm2FsHkq+tyBZI7xe2zeOEaZRNT1coDfqrsDvivzwkjDCpVf8f/5Bn WoNRGuaczf9g9nhbh6/mSXhhLxYeOVYB9VkDB9Q2X4lmIIUIcYM1yESjSHrzAtRtC8sT6Njc1N41 f9gH08Pu8aZvvIpGPeqxTLXr46Gwf/Dus4R7JJ7ztu2vxYGXidFEBmnhsMxMpV2Z4BpBNiPC+TXG DCuAjwU0nW/DmnQonU088kUD4MgPUQpsz6zjC8HYquv6JtN7DB/kissUMMbM4kpfo52XiqCgovqL HEmqrXWbWCgHKi5y4JbusAUQEB+OjqVH3d0taaYSJUfUv0wGlo+zh13GlK3in2UOIXe9g0N9vDxZ c1WC+YYYF99kLcOJ2HSlJJHE79EHTYaitajh1PPdvDmS8u8TUecH1hfHSmRI+WlIxZGsoBTWtdhv k/DqPg5qzB8KV0hc2ikZqUVXfXAyRkSC04/szA/IsRAPhYVilzbq/+u1wKq6o5c2HjGWqyE2qPbX kf/mLyQwHJOPASBbg2KpC8LHNgOkR7jGgoL0T14DsBizYOrn2eaqY/HwRl4p2kUSmDmXAML9rcj/ uzfNrRShRCJALVnemuOukjmfTT6NOHBi6Yy3GN3iMr7Xsn1PwJUbNfNEUHRGcLxlp5OTRv3PFgn5 +LmAW+BPn8UPsagDRlA8Zk9rHYLIIwltYZftAR0WUBrvZiAxEG5llaCs+aZ1kwhhOeSl5LAJtKc7 k+4HNW3OQyqg76kLdFoTGqu+futgHwu0tE08Prxl7ofmzuj37MAVAs9hrelVV3dwRZqxModmYoQV O3kgBaZDJQ3mCsF2xvneycTjF8S2ttvsM2UG3LRccdcyEeH433z9QRvvfjmAqseTRwpukD91D35x uXEWTzF1gGGEHXlnIQXuh8xRGWkIHmEzULYqAcSpmOP2yGzMzD2eQBAcBOm7TZmRdvHSivjjzXDw TO4Utsw0fWKCquC982axAQ6U7zZxeTLIV6g6YmhA4hQD1wZmg2ZMGTNLYGccrbHI7t/Lbf4YEqwH VHUlsAMeUCT0FVzrBT4vSKu174om5Ma3jwnPI/9AFiObZUYHh5APfQW1eFvBJpV40BpqRAb3S5kl mCfHDOfwcQouZvkNVqs3hfBfxHDPoUoQKzWGQ++E60ncmv0BsWcC9yLrtYAA1axVg+bMWhBJzMo2 7wkuxeaBSdezNmuC36k5koLcymiqTwWLF/eFYAqa6lzslzZoA4ewNxQp4dg9k4CqF7XgEJHYQL2z WLO2sXG5sIYfLJZUX8LkeDcDXGzNrjDMpkHAWk+kTFhWlUbYOHNhN/3PXcFn6+2bBNnn7pau/8PN FQRdNuQvl9iIL+94AOsNMXs/ysmr6yIHY93+TWioXJM55eVHeVy6G6/C7VmFGhAymIvABun3xJq/ x4THPSraJ/6UY6QHAJ2KCvAbZd2aO0n21vTiNdEtWb0cJNo97mS9fna4jX7Tw1+KW7QuIy6aNigM 4VTcQwnNvs33GHFW1ctqprRVTD8yCNcaZ8y91Zhe4R5P0yksqz+93Vfs1AQVr7Wblw5A7xG3qOjJ D6r45fwEe+zQR+BGkefbSPNaVX3z2yrqkkaZX3ytSLWtJVqoWqXg4aUXsZSkaMf9Gpi14E48CXAb nVfj8Ogn+osud5LoBN+fR/5grqtr7/chJCmjIqVjEiDq3E0PGgQJFr4y6FCbVkTOf2zV0W7YiDPL 6d7B8CwfshNoePv/cIblBZrhZckUcI420P+IijZ3UfXn6C6R2sckhedBcRLIIj6Ub0VekI2+5Y/e 7+cxIea39OdPNAK7Z7XKbtrSkI5ci9wK4Ea/eWIxEHnxqGjSnn7YIbQ/ypF8ePgBQ0s0/HN5tN/r uYzhmK3illcrSNmwXYSi577t0Bv/i4cIzI0NtwxSxXtgZCPqmQNuRe6+sP9NtLHtiwfwaDM8B+Hw 7S/Vnn2HV8rVWKtkgqD+/oH6fUmzet0ehVQkl5KSeFG6pxUs+fjpKE9gCvFTTDtaSkPim8v7GWBB OYtHDfAwfjNnD4jwvYrIKRAsunrK4Ohj4x4xCWBdgXsbmKJRjCXEublcZNHiOD8ywDOvvLYo1PDq PaVgunbTxsZyY2PczyqgBGOW212/QeJyVhOQuSfhv8QdYpOyAO/WLrZn2+Y6Lx1x+2nt1I/bUAo+ kJucTb+08uMyTtnT1Swqt+QZZGXyM5E038J7eEbsqJ6H5BzcGz4zycefWOFrMq7UongZlrgrGbwL 4haiB6ia5dWRe1rxJPRQwG5uJYBv9XpLJzIJMcLvAOzZVMt9mOMMsLKTac6UNZtxmTACLVTx+GLj pDPmEgQPfbPcby+0boqgtL9lC16zhTeCqMl4r3XGvh3U1PixLukPftAAS0f7ey+YrrAS4wPUbwbr Ine65TldAmPty3F9+acD3EpkTIo21NdX5+QRJfKcmy08zYvvaJQ3UANJQkvhMY1JtT7rWmAyABkt TO/jHWFH/ZetKFJsVJBHhwTxMZ+SP05DxXF3XpN1smpLjK/p3RyJWpzr5lS7sKGsbDX4q49Tkyky +VJxFHyueuozHIBI8xXjbG0uB0Aey1cKljoq9abfmz+sopXdmnSZlVwb8Sp2GTJOIu0SQAd/urm5 EfiPSpPH4A3NTAxaH7BPjn/Y57R1NRV/ZbjXVxY17A6eOOdSn5JKgbey2QTevwMmSnpZ0ukzpSht XWxQW9DORbNMyuAZZozhC/Ez1Ke9EBbINqjtyasD8COgWQ9EKsgxTPXxzp1kMG+ZRZdOgV7sRYVW vYgaeUgeWwqK4bZKQY6Uro1i/Ses3G3LYnzWVjfRHh+q+PYHsIrUtzTPqKK179YXkJkRPI0R1YGu TKeoEUSWNFhg86wYi7AOcP1dS51VgE5/CpKUZdFnKwBErsPJvHhUv60FbkiMlfG99a54SYooq5xV Nc25xV9aMwGVYOx7J30WlPk0vrG4204yc/djrOyhqzAPcwJpdyQssNGBB9LqUyWJNtJ7yFWlDyFT bwJLhe2655dsZ/NJXLu2s5ZOJqqmRcJmXP6fNquSJjj5yHq/SjvoVErAWWuL9v8+IXFL4UaXlwLC msnKfJeqI58m9DeKA52iXV4hEdHiuhZbS0ZseDOhrDkNiuzYy47ikhmBaoa96g9lIql8J5XbMA6Z pdHWtjYzLj81sAYmE7NXAU55i3wVPz5lDiVW4qkGD+Lz3zjmgCRK3AOSzf9BPqcjU0qjtqwyZGyf ZrvYTYrhD+kbmGHz3qYEzSIOkK/aFUcL97Gp/qysGfsukTaMciChlBGtMZgPSTq0tBCoaokg2zyg 7EIv0Dsm0BPFE0+EvqrJwOU+2Qfuq/znt1i2WOTcQa9KZlZdef3mJ6Iv0auow+Y5R7HSo8ANmle+ XDC9wWKPxMslBtl3tLQEQTy8aaEHly9YFaznuIiUpirFnIKjXK/39cgev3f3dzGytLAAaO+YPFsj ACQcncCgovP6CCbLyr1uprp956yWJFbbAynFKyWYiNX/EsCZ2Sw77RXI3NHBUR9vejtp4UvFwie7 NN7tdygnGz+AknHBeAyEBoDTMa8Jpv6EV/9Df49bhhZOtcOcwnZOqJAWLKmNEFPLm0TZG4SIzG2G 0GZoXaK7x1CrMDTyVb/r7a5QmFZTbAn3W5u75iv5SK4qfiUw1LhiOM87xWLGLGuBf+WjMNEo86K4 LD0XiFSwrAeR+/p/jUPRXWv9eM/KJTNGsyb0Xi32xmy6F2klACMFt4wF6Wl4RRHxoX7nb6WwUPMl ZftJYfmYYGr1SBz0GvZDauXYjcLmWD8w+nV2RonnuAObPyRz3nj1mhM2LdIUzO53A3LdDCABbxWL IXgYBPcXMPQRgqKOp7g6PdV43Axe4FCnzQI6944S4Pty/7X+K+8bikFWhvH3jUMG9MNX2/YceD2i 0fPlkpJE4Zil86qG76YKSBp/+x5VtF5sB8CnJdZ/wjTUcM8UFa0RJKBVdGoRuK77xyPJUSZIPBEa IM1Hm+/Hc+iq+SQYDyi2OY9Lm5b67L9Zu3IUqy6A+CN/epytRGbhjKvghXknW/3phmsrPsMUUzs6 CS1yUbOoAFkqg2F2IKAXcmB7bPcF4wwO4TAYp3nCWKf3IGGg+rJJQ39nVFniBnjXlme2z6tJttNv YR5xkUxX3EB9yhhQxFr+/kDsm9QS4EhO3UzNXDnTBllOg4VGj76bRw6/D2UgAXiuaYKd0/2PPOQv 6dLnsJzYN9Favvstiw7XAxENncl8PpoWwQnvmHFMjJtFnpEAJa9foaJJIalZtyFWwey4urpGcZFD tKjXk3Vf+bVxfu5Fe+Jvaw/ra37cYEZgwWduJ7zbrWvmAdAF30I4dYI8nqfauMr8xnGhhXFJB2Ru +YhyJiMXm+odqs+ryqUonl0vmtM6rEnuMbfi2A5jArPWsV15rjVS7WZ9leJMt3pjVMNmrwZYc5aB mCKqcPZ/AkwXhX8rkQsW4C2nWq3gTXUtGEi6a2DkTOOysRJgNkdIcyTxS8VpDX9IAizGpZveTP98 LUPDmm5laGx2HfGxJ006bWY+hnC2AmCR6FuTZOHukKx4iE73PmFn6oMQ3YdxXaQPMxFSWUuIkIfK fNdfAU0kU9muVCcmF4XTN6noBkiOCCTRe3AcB79mZlDi8W9eSVTwezjDF88RjYoPa/1DbD48bqY6 Vw8ViddXO+2gEg6f3Lg87ipmXSf1JTl+tg19NYEM0oC1xkDQ8X89AbpRj+o8wIDi1BBChjDouHbC NRSiIyySZKjW3Lex/IszqlcX3NaoFSaxh2CDJLp+g2O1gNcdiU/ttQFMm8UWV04bva5L0eniHymo Z+jWhpUCqD1Gd/6f9J/GkE3BMypE+Igi06cGaEbyFfofi8sbx1z228iGU6nouYi8ezgCVtVI/MQW zBlumY4wV1ErHsTpZ3eDa984UlG5hGiSlmbuu6fGHqVB+g7f1Qk6UEKLettHXnpqB6tVjpJYKLBa Ir9sPeQGAabWLffx1Y7CoEw11xaK0tXOA0GtQs7KzjoiM/BLIa6s/t5jHPO1/C/7iA4ZLJIDN3TG vJ/bY2XjpIBfsaZ9PnWdWlxTtuTQzrvBAKN2br378l4MZxEbRZmF2Gr1a1Hp5VYYJYuynBv5Tsdv YL2hKTzOE5Ntqy2JnVzuhpM9NZAZoGaj/I8Q0bexwwGf2VQF50y+zOJPyj5qGJWm0v20FC6YbHvP H3yMV+zjrT8NXzarBm7R7LA8jT73KV75+KyvQX6LFNBrzHZ9jIlzsOKoOgwRkx+B1Q8kKBeUyO9X LXIBm8nfB3K3XQBjQEGLgknzFSOITHYIDXcae5J6ExIVvPutjbG4oq+4ZbIze+9jnIWbaWrRzxvw EEW7gkkbgVkWMSvuPuQzQUjWx7kPN4CUda/v5wvyI7UeHzxN1hKojlddYPQQc/XVx4r9Kj/xKD4W RI1NTvWdwZDLPXIhI6oXbPdO2ES05+Btbu+QGcog04CITJ/PKKo1vdbPZh4KQUngt8S7FVv9JDtH 8Gc+TmvvDpMxBZuenNnKgXlwqz5197N+HunaWlIfwI122n3scwgndLYUiGzeXZtsHpsY1EP7nXHS 1ckGK/7xOUoRMVOoiH5z+95/7e6hLTs7Ubar2yxKjK6D5sWb3GvBtFnc6mDRt9VqFulo1B1Gdd54 OvPy5aMAs7znwu953Y1ZRao7kv+3EXNmjyS33IhYWYHf3yoBeOWzv/chtT1RrNfnVFgxhyyuvNF7 4H8eHYze38chKlkpUW+7TXWVquyY+OR3/AlDw4gUojVg8/f0whaqFYpGGRj09w7AYdrPX/3pkGQk h+VZ17/Vy7g3WocTyG+Qt6jIWKQ8vGSs1/2aHa/C9vxk3UoWZn6Fz+t+Ap28A4gVLZQNc7/dHjpa k1EmDlMA78haDxXMDq7zG0XfXUGkr6PL5vmQU/nG6CATbrujR5mf5h0y2gVwQqTK1zAnzIcXSkFG EH3mAUMGYHz9x5MsTH3srlCJlbUyq3FGosDqaTWCBdWMBuMHd1BiBn6sgpaWQVjAn5cs0RhxOVts 3d1by7nJsXzLuMaFHGf/zuwzAJxP38uFjGrL6XhC46rYH+Bigy9cm9MM4LwvjfXcjgiT2S8xWWB3 f5ewAejK5hyRFVOAzdSV2wU4EKKnZL22wSyndbz59jVTAISLoeYr1+HPirOyDoVVCXhmfxzTz6YB c3BH7r119Y6tHnQLiERS2LoeVyv61NUtSwM2BhkQ7MbVLgP6Bq4H0lLJEZI41/+PfPGZoI2Bq9dQ O3QDaAzyzNVEmfyPHn1293U2PS5hvM321GifxYuXYrssRT0Xf85Hzgt4vhMi6BSdacSrb9Tfh8FN vdspG+xR82suxaqK+0wVHjaHuCdfJ7QNHAqu7sq/L6dUbI4l1lHQ8Uz16ypT+uAmZ2Fgr3OpjSWk zHZRDG+Z/XsrL7oVSKBVX9FCBdbhYGKDBrVQ1G+9+U1jI1xw7Xsfju2AmVYZv1V8W57zImoAKjR2 GBfo105OvBkLhA/mZRDR0ZHqHKFQrdyJqyqBhMKFh/APSTNopXoWEL7mTe/Mu/JHO9l7LCtt2bmX 4CDP/fbvbTYBwo0cDyrrB2e3kmpTCl6OeAIDNX2cNFxPrmKX0gQn35l/cCs+ag1Z9FUxQvipFsAL uxutHCggstIo5lQ/SInEroY1BTyBH3dSt3tAPLbFVk54gsrLX8zYd0pee+xZ+jPIPDHDSgqCCSav 02WZGj3TO7K3i3GEOd/+Q9ZZVi+FipnA5MvPf8hhnQzr2lws+gNe8VqsLU8NjkzcrswkDT9qxLul nZo8cFl8szHxqxde7S1BXOYvCtsmwBEuWhm4tHgGt1hM57aCq0OTg1ZoyYgOabcaHPWERbxKKVh/ 1fxeVhD80avDzMEckXxMFwpmps6Sqe//EpuHhZiPdlInQfT6e4b+rdJyFoQVV9VuBs9eU43Hc6ey kroKEavOqapTjiFNTLi2lpe+nYLFviafmfGMMoj8xbZOhxA/E0qoOoi3tLWMGBE8U8/Ee+fG0d6g sEyllMAZDUIVdhX3MOuBjWt98Nb80HpL4+CTDJFUFNUEHA32BVC7/URPdsNYBk4c3+WYBn4tzubV gQBy0qp3b3yjaMMUvf2fldDXjH6usNV2PcCUsnaQmW22R07w8Aaw3QpO5RasmSw7Tm0SPjGmkqaj q7REsQF64tgpRNS2WoB3xWzEra/QVOSbweWQkYU+45ZXvFZRTGb4Ex1pSsMvzatHOJtBaj4eur2p SomfQoIZ+uun2i5PD6qH8d9Ux1pl70X6DbfLaF1XyunIBe5uW/4o6x03h2lzwIgNNQZAx+Od/ao5 WVd/5jcVNtPGvj+g7rx9lh7M8AciUH6zSpnsEeDzwWWzPY6Zhb4C0IXntVcsg9xELL+FQ0GWEKYO ICBzyCdDTnGWkgFFpbdHrVWetx0sTPIqG7dIwC4H9dJc+sVxZJYlzmDF/KkflheznRIz6SEfC/E1 fFt/I2zGF6qlkK/WyKG9hEofguAbOVIuXZRY+gf65SrvwJwxkyRJ348LCjhJDa7J8NaOLJLtBWlc w8n4p+cbXx3zHj0XpwQPZpB9rsKaX7CfrCDmr9ymKl9ZnRMcK6vvsFJINMjEfR29S0CCB0modI+j fzwdzVKBSMeInfhC//s+PmjuwcJafrj2bOlOJJAqG9GvC98+ugt878ST3uOOTSZwjNYFLhG962Hx 4lCu6Qcnj0kvqSLLp3qnMh6J/Zee+/eCWiFjKZAD6D0yqfMvExCBXigT8NT9ONagmu1lYCWM/fcd 5jZR93Q+TORYlC10y+N90ByZT49R7ieni8WgZ4HgQrybDN7kONUkm1SNx5ncC0hds9XZnLUJ9Mjr pDdk+u93ZnjlDEu5E0DwB+TNDE4lb1GLWTDWGlsvgGNAbE7Q4Rd4ouuPXHGgmAdXHUvUNqkOgKqz adGOXncHwSGd8EtwnZYHxvEVU5XTaERpQ+NEhG5sjGwa66H5mTKOyR+0NzQCdJerAoWQqfwpxHmW Yg/l1XLAOJBb3YR40UhiTq2EmSmYgYMv+LKVbYEKmi4S5IdZMXRXmGhNXqt4Kdj34iSKtRixZKGX PWpGrIsFUMdf5Ba8giRhzVYO67DFzhxFTIOZblubOccItt0udDorcfAqqDpQ96K/19WuLvbCIWwD SyiyULgNoPIji4tHr+jMuQTRLZRvUedFIqtGl73H+TrnoTUZigCKwK54tni5wSCNdVDwkSOs+H5n 999qgbGF6IboKqRi+O4J1zdOTW14PFATfUAF+MtuxijrVOA61zQ+8OK2xLUwC26l0+hsznhwk+8x SOpkEp1CxTxzKUA6PPDGAVj107htiG9xq7V6HooB5rqy36nmi+UWqQ0xoFP7lSMd3t+YiIXVkg8L YvgQDP8DyaIW12IphqV17dU+TCbrCNsJBKQ8sdf1iL/Zxr09z8yv+S95WLH2TLLmqjPParuMjDWU rB/dfHLpbxvbbiGSnFPK5OEhEUxKSS+dbtZphgnBhIIVd7URdpDjGllspVBxHmn1p/QU+saQabeH smkwMeGZhIVQU8YvqioTIb2rbaTzi41Bl/7w6eI8z18CPzD26Ix2UarfW3/Utw0ndFfnt9m8bAr7 HeiJsq4/B7p//aCeYrSXiiyhfT5lAoUT2l742b8alrlnioLfTaUDAkk5o9I4SeCWskvu9N7jNnSp b8/YGyXvp4xpbld+D7FZUgaoIDrQPdVqxN9eM/yq/hTonOp30oLcRsl4gDCcTRiujU7rBkN2EmmM CV9yRD543GG613wRODI3qXyjctXIh7J2b20rb2Jh+Uk42oq3wt23ljvBSGWks+5nFpMVVGGbrZgE KjJzU6MLsxZY6s+zS0eo1Yu9C4U1d0lkqxnimgrM7xOl9ZCCCP8xQJKqRUKqLHInSyNycFazGTM1 vyEnKvbdfAvoB+3x++boui9aX1t63xcmx+8U4SuoG3y1M6SdtS7ooYe9Y/vEH0qU8Lm60PclDKTi CLJ1HbvrCQCZiceWWQ8SrprmwjRvVK3m0jeSO95y19iSxyeb9TqcndCGgmM//AxWzFUZBIa5zc0I S9bw9bYU0qYR27hCGvwCdDZOwGQcO6fmv28RJaANKM+/La+8CtSDUYroK41tUiV+OjiaQbgBwE9T w0jb0ZkW9+mMdeWa5I5XskRLZ/wTV6FR3rUbODQ6mhgSmacZZbiEfjHRA/PhhLxZmRcPMYkjjDw0 a6+TJ1kwF14WM1NILbDvUcmT48XlLEsD0m97JsfUwPdz7xuPz0m+Gx0Ew+b8A/9szh/Rrc4VVnAK mb0H36E26Q9oBtgdpnLvyqhkVLGlLE648NxC4X1pn5sFX2rf7YTn0U3LeE93ywtCbw9CxHRgNRQV IJ2Fnq6aYlb0ewPN4fcwzmpaeRZhjv9ecpe0qX5wuj8jmX2MW+Nsn6uWnnMejs6iGOCMRGR6gy6P b9mbdxCzriHDXi4vIlb+Ioto8kIbg6RnpxmleQswJvlO6nhiArCsTycsG2wOWR0liRn9DNM5BzK0 IxxM4XM/UBVugz0ck/BOWdklJAa7E20ZS/tfUn+n7teDJDFOwHVssbEJPf2Q9GPtfCsINbyVCMLA V3RwvKP3cyWMd94xvPboLDFqMSwTJ2qEBbRF7sNz2SxF7h1FlRMrmlcub7j6PNZAGDODrkQT758d xGBHlJEiAbHcKx+Ks6RwaRsb3dQPckZQ4n8acpLMocKK26DpKH9esgAUlSw+3r97BIEqaNTfqOCz x5lMhisJZu7EQYiidmAvnKac09XNJo1M86ZuXi7y6tPEbQKPGdaWzmMfQ3KFAJA3vCLOjjjdXzGd DURn2H4hoqj29t6C0K2LWTlb3zLSPk2mwyGG+M9POFlil9IjM3CZkmCwanThDq1unsk2Rwkc6ouk lxOlfsQIOBMKFkiWPtO5tEb6r8JfS1RBz8by7v8xeU/JjuAk4QyKqdoD5i+hG9YW8Na/8Qkhrmvw quy7cCw95HdPI1HobPdnW/vUp6biC3/19jGyaa1trA8iK66ikJhsF5eGDlgGXURJQBkVriXzTDI/ ljKLmzbKo3WcsG21p5+ofDQJlncyd6/Iz4gcQ1WlwHHmdEY1K+2qe/B7dXrMvQEX2FqNIefavrg5 VMp8CUl3nwBnae40+uIbuDqt+0kZ0Hl1BIF6mown7WNCVcgr6YG/RVEeYx2q+R+4KipOYNuPUFDr 8iGlmcNn4hPndXb+/dC7Q890Va8zoEUjf/axB8XDyrmjBhCODASOxLj4gJl6InvJXOL3bj9dHGmI jiE8Hz9gQYjwKTXlvIApXzKg+eXzMe9lX75FJMRfQqmOdXPIhryVkQUVNz1FEIgbGEFaHbiqWsYE couRMqlXrEe45kMZHsrnl5DBbM0SaixYOQvx35W1pMOYTdvOm3lSIZ5bujg0c9CPNX3eNFEGUBsH iBQ1XyHVkYUA0OsHlnC5eFgrObCmy4znGqzaY+aawrNM8F9o27q8v3rNyAqUNWsw6Jz1Fb3gjW/J LnUICAPCHWxoXHQ+uvj/c53jHktI2iLlBhMeb3ArzR5CtIE7cs0Ei097QH/RdPeKWYMazera25wN dc+1Riju/o71MLZwQ0FuB+XHTL5L8rlvqycHIFV9orB43yYb2rntqPF8DLn+6NnRE4VFEWRFWwHJ IttlnLH1EzT6DUObxeViCxpwdeSiZWwfYswCTG72knqJgbxWtD6Z+HK5WHThmyhGjYjhsxDxzwHD nU2klz3e4fFSuCVlmwt7x2Ff+zl2IgoHAJaodq0StWxSCUzdhaN6HfXqbWU1TIPgtiGv3c059S5W LFeeXPZmz/2kYSUSQRQTYo3rzvofMoBhBiWz9NP4fILMPoNzr1+faI/KtRHIRGld81rKyDqqNl71 4Yd10WjfX0vJQ2CRe6g1e7yVcfTEye6hI7cbh7LpArfVWNLV/9tT6nL9OGqO5ad2aapSsSwrE0nR Sw898TH14P6UBG3v3iqHlauQQqG9IyLYbpcvU07sPbK9xEUK1oysaNW9c4Z80OJ93sUmm6O5NM+w hGdKOAJ4Tz2Izsp6HD5yUkzbo2KeUVIuvKuAqZkabAB5jCObCQTGkjOH4DzAf0qB1QIO9M8xZwX7 E9mHadAJ96X7PcuegkYGBgecDV0cmr1Oghwa2ft6VETJPnyImCdMwYbe6WnmCfZkMMUEGtXwcNoy lk5SwwkSpQs1p2ciOERu4iZuhWnDIhW5WEZ9XMscFIbh4gD72c6ZS2gY2pb/q+pqe6pETSyQC6Hu a2IEBK+r8WvPrFe0m8AF6hPOZn1VKJzQE7aBaCD8YigpjVkuP8CUnGHk6wYBAHPkdN40wvbhR4lh frTKv5v90sTAyPeqgJhtHP9R3LlIwhVd/C+e6Lp4r6w1ANyukX5i30uihMTP5j4BlCeAwNpm4FD1 7K5jUFd/gB1q76VlJLBw2f8lXSW6zNm/ytsquI5E4SVXeaX1deD4nYUJOVbogGSAeOTTsDH0+GtY IWYVXavAUIQlcK/CxqNDnlj6T/9yuVf3lsvKXZC4GzAcq+sYGjj3WFtjwKRVPsK8yD7YuFTtNYJ8 f5vpgiOrJDsm2qjhFD7Ekr9RlwMwuUR2hx/Xb4I07fgeLsTpm3gNQxKGukZBTkg9qndkzTCobiEw MdFFpwU4bnNGH744Gxlf3D7ipgYHroy8yELgw/KczbTyCAGrsKrdpNTO7Xb8AP8aBMwECIljPo0+ 2nslUgXfqSbLkvRgLv+lZnIO2U48bQ7zvHcsbuZRutTjYg8qs1epVu4YHao0r0ckOFnpDwS8BKOC 5kh3LoPJt09WQsu/SAVJF8dELMjkqgm/E/nVNF0bHOf1BgaCLulnqZNDkdZqIVs0px+TAk6a/U68 MhKeuagMXFagWX2jGBC+cbkmTcak2uvdasYOQn8wejxNNRn/e2Rl79n17+HSqmIKDxezyx566z58 OsuyWVtdJXS33pR0Oj7O8x2TxCEnULA9F0h5gufEH2Ua5D+CndIe5bn2GtifLCORxsKVHF4FwiOW AtjUBfPENotd/ESnSZ2On7AyD8kAY3GFwZuT93E6XWHxANAIft0E5sGeXoScyb48Watfl6FoxIx3 yTQ+qK2F7n92hFugT5s7umiwYSK02DZo7Evof9mVr2Ya1UFi65aD7JezdzdYoo0cv/rDG7LV+8+c mzydQkJd0Q+c0qQE/enGk7qO67n/UnrIceWD/tKapo0lDAKApgSTz4OIDpAooA9DGTVcKN1jj9b5 5NJCGmxrwJ6MKqb2Bdx/Uu6zz6M561FYnEE6buP+LP2uSHdjuxibeBO7I9e3u9sWtZKprP+AoMty hPiDs+LQIg0px8QGU76PxImD2+qNGzOhvxXFeznSehEwW09iiKl7ymRkRd013nub4+ZLMWO2zhA9 FQ4p/S1EUVIKoQp6ozRq3fYRuT6P6jY8cYzivCUx2fZ3kk88hDipnZ3NHHpEww1SHO8dBFEuAmUV z1I5bKDO5NqLiAAbN5u0ZabhXvbrOUqazk9kxJ23XgSOUrALMWiuKxEg5ljJIIgFiMowd7xnnBE/ xng8SQJcPGeOoFP6Y7FDqy0ASuwAwC2Votec+XXQxrD4ZxpzKQWk3h74atXnBkPSNCf2XAG1mph0 91yW7SEL+KaodHDBLIG+H5KhMoMJoAs+SMsUpzXhgYkRAxQq169CyO9Dgdd0lLuhdnXfwIAspKSM SQG5j5ww2LB93hTbJNFa9WEsEooJHLy6FC4wBeUCRkJzdVcn/Q+OBeit2tVP+6nFlpEbNCl7/P/m AGHMGY85woK2cJHaNJqGxKqywI2KpikkgfeCoBz/GQ8yntgszTWt8Ms4PihjytfYPpSNnp8HDzPt XFE/2KZYnu955CYo3S0lJhyW85OzPe7RXSQwrYHz+ToyoHt0ygi1FvX6PlvshIY5r7CWswQP6Bk7 XU4KKdoi5RE9olJDt6LpkgalskHwuUdfa0tEOmvozOMCcyOx0pJqGkxLoLw3dD9i+72ova7xNWl5 zFHGcucjMbzuGBtEf81P5hSkOt82Y01/66m2hB/yEk5etQebinixyvoRCRmspnc1Xn+8881EnUyJ Zxk52Zxhy1hqycQY2sdaF+e7DrgEN9tyyeEWTKvLKBGwJkNy6KfQBCvEGO1qvB65PhW931DEB077 6wxNyx+hQGLLJVW7ieRRZqSXnQiGfZ4t6hLLjdoesIufVnT2MdIwJ3zTEzy8bixGL0YO1rFYYuvL vgiC+TnCRgPK2eLCAjIyYHEjE4raB/IUZBX0gMT+UdTzFUP5gzopFo1IDLECcDrX1iQAuRgfelcN VAU9cQ++iX1/azhwIpYO3XaVG45UsIJ0pjYo5wuLeMMFLgd+Dce7B4f5QdXWcWR7m4xBkA7bkhYQ ntjzufrlDfKcDfq3M94ivxwQtmkRBuEWcqYUxfFftE9blrN8GcgCrOyC6uyf8OO90gXzK+Kh+UaX OPOo7DqKYLOLqmhnfzvrH0yLhPBTA3sQTuJ8EXB24RGHObIm3YZo2K8JxO72QRC+xi109hFRGDU3 vNkuXAn2OP4/spLXlbOq1qDBRLV++gK5qk4fI6L1UAdpjhndXVQfi6q4D5vZOMmpgolATM1NYf6a fTUM0RS2oCxp2CmM7Xr/leFZ4/SRPAwU3NyUQz4gg7eTmuYtbhl2evgORnKMCVlJEGe1nwACKPn4 qgUh+vh3IIrtoam9mCu/qHhI7zx+CSHHS4Pu9eZff+xsTrkxFqzBkycb90TyeEVQjkqhYAySyFw5 LwWxdeepNP4mQhaxv9kUHBOUHT+0BTpELL5aPjBx2kAqrk4b11p6Z3/yiIyS/IljS01hGGIA7mDB ecmlx7E0VWE0+RwVhiNw421HFrSOeHcy5vWit54fptqjiGYxi9NHzpawtTAzU29co3vfcTiVMpkS vaDNc+dTjy1XFEr+INGetLzPMU3G051/uXyitw/zbjOl9Er6s//IsemQ1kOqi1fdqwnogEa0xCEI mHGcfzIYCcpp2+iLUZw/JCz9SYBJvmkm2F17+Rm7gHrUozPHIBW4+g4U5ImEIVO3rAOGaFtbZ1ms 7EzPxvQMoY5AD4jMWaeTOUugShijhBcCwsuaKyx+fCTaNrtEtW/o0vxACSa2/OQozvanuPszmtRM 8d5cBqELo9oaQCW5DOwjjI+zSDpJogtJ9G71qQzcIIkAMmNwZDUeHmD/+DkOm4u8LvPnvRaN5as8 eOAzKIo3dSJ96eVEiYIpSVezkRgE8jUxqiR50T2jGaKM8HVY4elCv0uyYcm1W6lXhAG3G2nxI8MC S35XmnMiFwcKuPDEJxpEaxlN+iRo/gi8QJ8cVjLqDNdoF/IjUYphG8j7674Z4a9kpaGj/RYBl6TY QPGa2wI1uar1vPrsjHe/isSOZmMTRFUTyxRrAIIG8p/g10EAJq1E8KKR7bNupWjsWmX5z5/eDOPi HFZb+CdLx3Qoakl8WW2qiG0kmWERiwa0ILT0r0UjK90rAy1yQMmMDdpDyomlrv3uzecEUcLNE+Jf bWoa6t9O0P6QnyHguaSe6akQAf+JcsRskopEYtt7DvLT/n/DilKOfDr43wLw2CfamLwEzBy5H1DC LIP/C5zlrl4ZTgVSssYpJMWFXTfShiEiSYh/O9arT+oFDECgTuBzjZuOabT1hUAx1rZwadaRoAFd BqWdIOuvCfgr8FHszLXQznl1/dGiQj45TlEfWbL8ECCZkHrj9pRiNH6CUqsiRyehEaYluRNuXUpd SU1U9KuxANuOLOC6BvoqEdWakwvX1ixNrqH600IxaLrWKweoUv6K6Nvlk2dfvmmRnkgSdGBs8Knm 1agpTe1unLR21VVq/kV8FIRizq9ZkN+WacEmqIdw4EcAJY/BOUR8Y26KCSSHgfoJbbY+/pubwHa0 hpcUTUQ9Zm8zNwdJnKvXFT5XlvIhBPQ0gOnp1vtyb8BQBUwrX/ELlnm8pemv+xXRaFIjRh+j8Myd YRW9RIEI++O3tfYlj+xdpkyIcJXyl46EaSd9PFykFPj3quVZUY05V+EReBWHIiuxMFBs+qJtmHrx RY+JZsRfwTcimMNjd9J+viWILbEfxcJfRjtkhW90d48+dhFlc4cYvbwVoONG4M7ymR41pPYPOO0g Bp/jXocQ2s2H/k961kQ3aKFsgfLf5G4BnyRJk3GaluWTcoCRSh6ebVlReJIGlxFZ2xsBBu8SXqtj XwtMLuHskJJwAmZ3DnZ+1QdXfBy9cTlETntPkcewREBKsELhN/G25pof7AFmiVNhAGf+pRPMzilU F7zf23zFOkky9QS3zMn7xGAxLt8WsIKuLUk1T+ES/f46z2yZhePHoGEIZt38UtwH+xgIRDuE4g2j sOAg8AltBy8S6be0Mgo7Ym8tFoN3X6+6eLwK/V+bJ9/XI+sYLVIneRVnLyk/vneIZcM/bdL64ziv IwIhriqcbYUO8zs4uPKpxalqcuH8hq2BPyc2gpiCYhfg6Mewen2sLfMpHQQGZLD3i+/t6u0hzNE1 qk5ugpt3ugfzDZtyLeWxn5IFSs1XUjhMRWYCdirS7tLVIXazID2Qs7pgeNoAeNJN2qkex3z/noVs csqKGvXlczCY0Tcv14gKHcb6Tx2em26gqKAU6ajmyc94OI+tTvMCXXYUrGWpIiS3e9dgjw2XuVm2 NDzd/cZ0L4EPDHnky1fVVP4YVGPA2uVYjLA5KDui290LXgAWWGYFG2qum/n7wQ6I4UrdvsdMkcu6 bCb5NmMgt7WUOY2fS+YaOGjk37EVu9o99TwtPA4Z1tkcYHy1Vamke3sFg2zHUGT9dBOVX6uHeutA OXGSb6JjNowX6S5/U3pPA10LIbZk9+i0yCh6diJwsm4mtOrOIHy+r3YaxnBHvMcOk2jXBmUUYa90 Ruqgv9L/xzkVynK1AK58FpavtHd9vkpkSvKUbiS7botrfIbaq4gRmpW/tlc5rGG8LTRdVt5/8wGU AssuVPoMiE6JtGYLFJ2iYxfAcKI3WwZYW0KlqQ8SEU1bfev3TTJ3CCw4XMxOTPVVjlmTbNjMUS/8 0NvewYe96sYJOoCidbC1fDoItEt50tQtWtFnLFbGnXX4F6gU+hllqhBgfFWC9UWbqsazavTtBgfp FSskdxkpHrZQ3R3NtYcM8FqYNHuQgTquV0k9T/tBucvCVABUr3y5pvGeL1acBw1oDedgAP9U6gnN GmkHM9PsfVcnAODwLEiYxM8zRhk/JvN8tcwq7Nmvb56G4xrNDVKex40lPD54CqbpYeXxLylEmAUA KZKuhnNT7K2OlDolWXtBLdug/rwtpsyUk7tSBj3YXR0q2fYw7mUeyImL+vN8AUFuOwd7d60HcSbr RSpa7+EXoUaNMjODIB/GdRTiFvBc6zcYg2yao4hMipTnyZFdTJnBV5YtH7yG+38cpDnfjuVLkfWJ GyJZ6mlWeHlaNNjjBHbsnVXf1LBl4j1TRgW8vOw1ra+Woq2kYnO4DVS9HdVr/zhJY7v6C6B6zJXU NyJA6FXSp4OpLZNeaR1q8xDo2pryiVUFONRHdV+NxkACj96uULOnS7rgcaPLOeQgHz3TOcjxcUa5 OLbJS2iqwhJDp2P03DpDsnqSRxnbtOlqp37/lQ== `protect end_protected
gpl-2.0
e1f2c77c4704a1adaf2cc59c0cce143a
0.94295
1.84792
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_pkg.vhd
3
64,208
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YaLsZ52y3ZVfENdu1oPuhyWXGqD1HgI88nJlN9D7xyw+SKSqu4D9pf5xVF9ZBDRb24+bz6tBuyRY xLUmvtpDwg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oVXciUdE3RIuQWZVH8yTQTh12ttJ2gqH8r6cCR/6gsQjSK9Mr4H5tI300dIgQS9vO/tbyVnj+ZO9 zfje8hPR2U5BBgA5z7tBTGn8Q/I4juQ23ZFrPItTNQaJicqlSmrqvgIdSirjGZQFKoyVI5pfmSOu T06iVq395XOblsvHWCw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cU5mAnqzF9kOMP4MZOKHlpi9+j2snXMHlaRVNaXn39rIEQ9fGGuovwhieDM1Pa4b7kHHV5EmGfGh sJWQSbTCkvxHjUIyice9mtzj8AN+MMzBQCxPD+/ZPib30imuHsEvmV87xb99ZzDdysmkNOFA3kza tHjYoahiBeFY9lcjZhqxbN8DFGVEof/qv3N6+BvqW9fRoiLWqrIXZst8sd2UhsJMOkkPT08/Cis9 0qIcZX6Tb0FXzJESEpzkvcRsj2P9F1fkF97mpAcx0DBpyPnh2a23vyvepHBr//bUcmQrLddFyk2p SdfqRULmiEoZgovtYNkkZe2ntkcazfmVisiBig== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dp2wILTSi5LTM1iAjtAUXkbwp8CjOsabqxQWS5HQuJN9pzHkRvX4rU/lKprYORA0zha0GmEIuvnz 1Vop4FMS8U9niph+v959iRs6D+AJ4Y+zYboojwoSUbLAVZ8M/HG4ePMoJrUQFQcqvf2eAz+fdC1B 1uKDSc5WE2Tm0c24ETM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G3Jb9vpLTBUJClsxXoI2raplZwYpv4072kGR1dDnYOH734HnadDldj+h6Tksf1OldW1MNmcioePo JKjoSMqHDJIEJwj96a1yDChYA/X4v2apXWlLzspbW9eGDUOiuPlv26gJPBbfiW69KYOsc1IeEH/u HwfSseFgVLkwalOYjxA1N1aSiDKiofnv07tr8k16/uG9iwtbbwbYVJDKldKvuLvd5Ntx8VeHc8PH Vp6b762c+u8miLMJZtXaie7CXCt5BUNQpur6ZyfIo6JCDSvJ0i10rJOnen3Qd+tOT1m/gnqmmlAs NXAMqt8r6o7WlnrFEw1ANziONLfdwuTr8Srz6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45792) `protect data_block HKowXxefZZWRsn72ZwPaPF2p10gSVUmhjs07Yvpqgppuxh+sAPThWRSuYyVqzbJpFpbuz6F5gZSp O7f7Upp3wtzAv3xjFTMGTJj+fQvCTrZMvI9DOoz3He3t+YWbH/5zgAQiTMZJazasWz+cZl18KEG+ 7QN+Zn+hDnjV6qS+0bv1NQh5kj1lfAAjLrPT1O3A5tge5dCEJHLvEvhFcTp6qC8Gu9NnVA1sVm2j wN59zuarK/bJBkgBiqUdDlowoxDtn7bmgjEwQhNW7zC6J0NkNlfkkFdu/rHChbo1+qZCSOeKdlpJ EWroXjIeLrbyEBr6a0d+gkqapjVMWo/WFnN5xcpsPu+FBUheSH0djD3OMJ05wlsUTFDm13Vc2SOR iNo6Z9upqs0Cx+yl9tWvnml031utf1nDufzU9JC7nrL1O7aUKCxWT6RdxjB1nuD2ms9xBrFp/C0o 2aZMEVqd1oQpLLAEwjN6/7UtGBaVFwaRlZwMOwiWctfls+Hm/20cwo6HxqpuWRGLAJetrqKRy9KR W/2GVI7JzNGupGlRODfuI9tIkp2yaY8YxAwb+oSrKpMMfw7MzvOX8+hSRPoKMJwaLkFqMxgwNjjy nSQoNf55+Sk2JjPt+abltM9hQgqn7jbgrATaCbXwi556TlPZk2mlbJHsc77+SMpBNFSmlOZhm95P qdDkN/BjWy3TzgyPDVv0GeROV4d0W+zyYNcFY8SqgUwMBq7vjftipp8YD5UsPi5pD0Phc+uZo9G/ oIpncEFw2BJ6A7nHy+J4PBjG4ohNpQ+ie2eklkNaupoUCFp2aEehj3MycgLP3G9lYH+0gHTMFH0F EmvnzX3icFeTq/nAslJALlNAY3m/zETv6ckzAVnSPL8AsZfOgYgFfpB+H1hriIOwQsgJhNqb3T75 6Y7u7X6xkNeMeEA9TepQh4x4faDQuNejwficjX58koD/cWbIpNWKegbEqrM6kri8SDdu7FCpO7vF KZPzplWJZwWc9v8m+84H8OV30RBmEoHVJNZhwUVM/SUFjCnA/R0cZaJeR7Vxr7JTCBO/CLClV05z ++ifpNXYPqowVUt6JV0eekv7VJGgz36MyNRb15DzTRMAgFBLkmEGmase5OC3k8MqGXzF1PCNa1v0 43adRRVHUzOxGqD6OZsNCev1IlbReicm8z9EugvPdVe9lOSaGk4pT953QUpWpzRK9oQatWX9akEW aOWn2vS4ipau2Ak2nuWfULZJRjBoIFTqk5J8AfD7LQobReSOmmjDZNOdhmCQyfGVjvzCZG54PjJO gWJWIKaWUcaSJ4zCD45Zw4KatkRL3cVzm7UcBHTWEtq245p3e7HX0JFv5B9hg32+WHP/cHuF6Z28 /KoMdg7pXc825jrjBiVU7TnmYCt3CFASsb6kqDqWfC9QKQ8kZOT/vkCDhGZY8YnBaL81ATXRkCBL M6LZQ2JiQimnGBA9Wxlp2+pJ5B1zpF5+dcBW4kUoNsbNiqxV7apSrMPl0VzAvlrRr1un6cto65nS sGX2D4kqtolaWrZhnq9XBf2z0V3Jt/nJt73Pjma4o4hUGT66EBNKhO/WoPSky5IKaXCO09qQAV+7 mBUwdsb/Ef048tuAw9NVuBIHigOM6mZp9IZLiMTjILcpdIycbY0xZNxdCW0TGPRCskZIhiCWmQYX kpw+mvgFsUvcsgDCvGQZhK8okaf/VQbSbP7dojYlNO6wOnlzlFPAIh5RZSUZ1R5IZLHDPfVK08Yx 7QLG0Lsdt7rmirUVxEW7Miv8ISoeV6DC8EDPl/XjbG6wPdcoU7bby2jOjTawqc5u45ZiPVTTMVPd umjU4f9bC1FyK7CIumTtWGLhkchhrathtUv24gadG+QLNJC0gTGVUh2CFRbdKNnMa206OPbFE0j1 cZYGrfOzXeUTg5+AN6BKIjm2lMZ/SL2sJy83IfZ4T8rKQOdW4EyfNpuPbbQ2ZEUGgRKSwyyRrvTX u3koCEoo2K0LW+tT6dGLrAreC/ocppl8G1KgrKBwWfuH66H1FjPd+NCeBEYT8GQPDXmF9tvz4atL Lu+w6cYnXF/twBokCTPQdBpaYgu99asBUwZ3Ua1H081W0H68cN8DO4cDTkgaIdajnzz849qDRP8v t4CB+cJPaNvXZZ46SDrSmlIaiZ4ilNYCu1kKGGSfTKEARljPCxLHfhyhJEo6VVSphISJykqKWX4q Wu7obOYKtHFoon0vJSmWcTIRFjilRiA0ahf309VHmKPRGyQ9GbbR8VLiYqhRomzkiwBfb8OiSODx FpyDYOxmouePosVdVFEvgvAcuXBjcOs0wjxYsudkw3LvAZ4kWM1aO7fo7iBEyi+x0+s8kfrkK6uB L4+Z3H6B0GzesPkB8Fu6npNpSp123zUCnC19SrX+NBfg26r2gGp8GmOcJQ1cPDWRgvxyITu0B7zN z3wOYigdLcmwZgvZcSAKz9kPrESWpH6t8XwiQk1c1l6wqw6s8wqu4aJlV7GdE/RfdfQVFPpehzrb bjCsHhLyDq28gHGUSA+yzqYAKf2pmY8EFOnGuwhKhCddkXkPTfTiztoFcp5wCvBMxqNk3i/BMdv5 yI6gPs2G7YqSJw7ovRuVQtSqhLX9AcLZPmOJP/CI/rWGslujnOjigm/Y0a9/vaEaoVYlk3PCLN1x ZGw5AZycddHahzpe2HnuJbXCWkFTIlgfIfrkl/8+GroeKHWUmMpwrZDIC6Mqllh2yAhOEGmFr0Uk aS62Lp+gR+rK7KSOSShpvp2BRCC6m5ENjE7BoSuZSQ17Ng4UiUGF0K6L6c90C+3D98IUWKFqvQzY gdHeXoS8tG9ohCMHHPsFTH5xSyVpSL/9V9ENN6FKo7cqwqy1MoG/iaiqV9syy02PpO0TkYurRsmJ tVLdv2SptdPx6QVHrze+uvuEg1PiyjpluFjV6Kyof7dpxkzMnfylrZoGeLaZILoYP8JG++G+gIlp WhUQRPCQkvmQ3nBcUC8O3D/9Z9wDxJReGs2s67PndjRNpK/rhmCUw2VSJAAZiIHfJtFbzsGmKjg4 NXnuRRVzVwFuek5BUFRlyp3FgUoo+Ju6vpeSgPKob/6BOCIzVZZ9QgZ6+QZDzoin72ygZue7VsLB IlmPQ7LxmWM/k3efGM20hvhaloiG2MO9qmEd2pJpFjf5toQ/yoVrwkZn2rsEsLRdxpmneya8iXKe R/QqRiO9gp1h3GYZEKYeR+PsqM8Jpk+GRM1Oik2ZHlTZPttbKO6C9nQP/Y5Zp/7TRhSuKyU5Rmxq hKa/MSOYD8rpRWjVmpdi2ABaAGxRUXNQpU5SDHt6mS9O8W0Ku4RZ601iRHiDsO+ug454PQCMyLGu 6+HaZI9Zpa5ZkDLN4t27z2I4IkM+tZRVqEvL9+FuxYd3PCg/TNXqgcxiCB9i7YIeqjjoZrGtF6Hb 2J70A2wrQQLnWk+3JB1rn/9jnSJRcJtvZL4R4A+jUBNmQkVrHw4L19hBCK5YZMT6jE9tZpFhCzrF +oxv6jhwTFMncne6yZy2hJH3ix86BMPXiLdUVk3ODX0eFqsNxNaIItRKyeviKeX2MDHShA7BBt9n q36KNGbEmYwFUsQpSbPJYvXH3NigpC83eJh6syX9J67IWqVp9eQc3rTWVZGcbOqLgKTr7BZxgVd1 wJzUN0Jcu4vxkdcQoyHDsIR5B0M+G5bMWuCjUjtbhTTTKMovU1OaXulLA02WzUUjJ++PAdLzPeRa U0npinmUWiMhMiNg49bDn7RlXB/euvweWqWfWiOMkIwzjnduA18n5XxS5uidaPyOu+2NJACYMZiq 0eTwn6rolIvZd9SjgSnHGpbIgeBXH+Wz5ZPl77FY4gVd5SH1C5xdFYyJ6rHxVbfY0QPNQwh5uTcK NUOHHjY5+i1b4/SgJAsPiNO4t24VOprGP0S73OUjza+qXD6SyQhHqsaLijJCwQlaKi+bhx2rYNBP ePfbyB6HLsXbssz7BIV+SL/HAqd/ezwQDh5gPzp3B1t9j4FTi/niMRR3/uKzbfs6bz7Ydj61NynG 5FqnG075V2O25XWKndocc9l8TE5rw01l8ufXjdu2I6Sd5cd+wN2faPxwca1wCGkYW2kmSbfa8xnN Pa++t11Izfpy8rXiMdNM97JL28g3rDyLZYHFaNKeY/br/8h4o8P1xAdBAtWu/rL9Y/8N7+Z/9MIv IH/tf3ISUkiJ5VzIFeV8l7hjgMQikqizwlbsG43S2vrELHV9B8y2juw+sGGzwNsX3Q+FR+Fm2vIh /RQ0G87ZKxY9akrau5XYSda8Ep2a5PXnukQxU1tE6RDQy9gGFWM5I6o50rrITVI+xCg5AgynJBsS tdVXyKRsLVvr/vS7SpmsB16O6vnxTb+uLkpYK/AVsbJLG8COjjSQIc6LOTcvz5YABRS1qy96yjYZ GfUSndJEPr9WgsSOMy26DWVvfKdDXLGsily0rz6cv6mZkmhBj04KQTJiPun3A8spBDwGyyhgradu letD74KsGShexARasr873li9BGG3BWVJ3KSFBMPXrh2I9EnhLAuBUxArg3QsoB+eB+mZmqOckVE5 6pbpw2/mYX79Ry7AQwI8A/MyCn6ZeuYQVzr1RAlxgCcG4PaJ6/AuwovIpYJdzKZ89crrwdpteLNE 8EmU23yaPWgkUXWXJsmQQ5oLVZnMhyLiZwzBEHFRnPiaiesyBUnkCU4tAces1w1qWXUtLVBnKdVo G//xpQk1h8n7D4APbCXI51qlbQ7/xCLAxT1ylEw7DHnD6s1lWmhLlhbQtJj7b+HD3M35QKzlZJus CeGhKK0yzZNSAQg/hsj3PNi5ZEGCl5ZXB0a1AO52wIDeNvP1qTN9QHqxjCrUDUMk3ifBGneqNFhr EiNmJNfH5UvGBam9gvl4e8a5ngLZs6LV9pM080t5y8eQ9PlLxidrs+g8kvjc+qw2DQG1Rw/ZeA1R ia9p7P/JHOhmwj4dxbOr5UO1wiQXOpWNPyOsx76KSnjP2FwipIgeocNTsOJy8ryv2eb3L0TSd/eT eSyYKK3esU9ydaXbBJoBjtv1eXIn5KIScuvdA0tTPY1OkRd6BEIT1R9EeOjP888T9FAMecOgebS1 c15ruZeb3pFC4Ljmdnfv3GBGfHwbIIecEIO4k8nymdiHb2nyGtOArvV9gyW8KDdO7/T8KKvQq5l+ cV3VR+q+hs0tGB7NVueYjaYFDmn5lV3d4mEXvcfXQBrahxGI/nlFNfBLZs1QI0Nlf+sHpqUyeNLV Rw35L6gabg+7T0gWzrQIqKvMDsiZjw5SfH9uoky3cQJxjUAbWhkPfjByAIvSiaobkpB4XMLqIA7t ZZWFLp3eO4XcNGCVm2r9UggRT6x4NIm2LRJctCp9T4yPWqr8rNcEdObe+J4wBSDHxLPOMkXAhAju XJl7rniFF+ReVqmRFfjYaoFaaKiMYTiid315ycKUBu9RDd5nP9J/RxvUt6cqnzFUCUVxnV3LcM7w eysiL3I3LVtK/S6HXaxLG3TVr3rszfV2YC0C7mWdIcZYNDC3oOlh4Za7aldMpx/TJBlkf7Yeo3/z 9w35fUAZIpyBoglOgI1H7Ag+zp84qv3EBtOLE+C8u2bhRNxg+yeDQJZFNrtbcQXAAS9YjVIOvmlA KKpmy51RnefsFfGacsy6Qd1/EhSnt+0FliJyVcbE5hOLnyq7O0nyoX/NbWg/TECakyr3hSACueup 9FFmhGJBuPip0ep8p3p/jXhcMulaWeAFMS+6Ol/B0deezGwmb6vPPgkjnG1g721Y7Imx6E5UDyCo iZzoyWtTbafzm4Fe49H0nY/cFdH+1CUl6v2810UvUa/2qptr/3bZWnu7sB5PWW9T1B3+8nu4PV0Q 35G0zpnTN0mg1ZK8Ex1QWpT/Rvs6Ub4kFLDuBHt3w8a0O9rDsAbPhV63mrmkjlzXsvFdYaXwiE4b +LMXhKvBlxM/bBf7Ub2A4uOKpQpItrzJCw+8FI69FwTUyunV2k/CqlW2+cxLvzPmFjTVtA4zJ0vO VtzYUIz7EMNrcO1u8sju4GUOhH5SotgSnbb8t1ZpK/rnmDB0JjILAfl558uBYt9e0Iu/bK0kTUDw itKsqrkn5vVO7YLqXTgt4bYmdWVx2XsQGWCatqO2IdzWNa9djRx4c41woEVeYPUF4HuWh0RIF/BE G+KP7gPGDj9Kvm9uTthEJDxd+B9D4s0FQKT25aiFPhp6C+8H2aOB84VqO0z+kVpV/ANvuOPb4/o1 Q5uElV2b+ZhPwjmH0zzRUIVZmKaRWp7M9vnQHBidgZl8hXqUSjjP3+iUdtL5S16p3/Y8eC+0Denw 2P1VfwABfgLNeq3/eAp1xvtjbNRTo0nFG4qdaasPkjiNvAsk5EMw6sD1kTT/1HYfohOeg57HbVnl aNlCRa9mXyk5AfNvu1Y1iaX1mHeQlO5aWoOURvnA9YGtucyWWHdJL77bZcmU6xSpV7z2L9VBuFO3 a9mssqvIOaPaWq7Hg2iCbclW6F50N6LHtZNeJm3W9opYC5atHNr6ST61w8T740BQ5SR209aTTKV3 GCXjfJm9M7b/imoIbRf029M+FU4fszS5m4d7fAhH2rYpu0B+YmqwysBHy1kOrC055q3yqaAyWknH ZdypqWTtF93OBxsuiLr1SKhrfxiG4d7oKsv+cNTE4v7xHUnqniuRe+Jwx0c0nS+NuAy9BHyTHS/R BDAhLeK/Sxgd15cg7sABFlK/6IfVXcbjB6FzAawQm/K1iSBTJs4kldseScVs5nfvrFSSuGGSnRt6 kt3Eb2/fCDBQ2OmKdRkYt6Jamy3GowIRTj4j5CbStf3UIIqmKvp4eoyVHUui4lB9c8Nb+LiK/j7M YG8x/Umwz3wY7UfMldfwHvGX8bFT+RFwvUsh4lUd9rLbaWq1/lJSkBp1wmFGCHxKe88KpFa/1/St Go9vo/u4Yp4RL41zMWuVG8UDp5wfKjEIaCQRAq02CdzY4Bip4DUyI5Fv3SbXiR2JY6SQ4Qw0vavT 72iGvVAP7Xj28bCeY3Csu6aLm6D9sbnwv5+EPpRZmmyzJ11dGqOK/HUv/2Shp9beoHy8Vg7cCTMf +TXSa0GRSnUGcgjiDHv9emRPgNXxTuYia3QKzdxvgEFbNP3jeD3b0dZhzhSzKcUNM+vrlCyOaD/m R2Hyir780upCyAAUhX1bCc7xHQkNzKu+f7hWoXU0wOg3aF5yHk+SRfo3OQBSU7BzEdfdXwofTmM0 FhHnwDaGhMH8VgxMwSHiPQ7u1mEo/Kv3aTd/+rdxZlsMx8FiaBVx5bhm7NK/+TzqBTptEMrZubrB MqDEmzOhAE/BO3etPGrQHdwhy9zTGvTTOx808atx4fjUBrrXnIhG7q5YPRa9j63f8Nkq9NOJN4ly Kkgka+O0ZyVAyhzQileN8ioMDBhplGMjqPKEuHVmrROCgVLZn7KQs+D/LXnkZZbO/aT5jZBnF3j0 JXRt1b2vnm1/cJXGr2YqKkPuC92whHQnHkwtAAis+TJR24Yjzay6OMxmn+x8i0l1NsZQWVrK8YAU hvlwGelSnZEpbRJWk26dnPiQeLXgTvsmWoUyPCcDBYKhIvxxDNsphwot+ZM/Y7na8xiFb3xh6aJr ILgoQagpQvO/SudiXbianvYZahnO4OGo1gTlXS9+1UA2yBr0n8UPuPZnGA4ycAneEGNdCgezkin9 fuX7RR+rEzronMblwm/7WLD944K7kyCVDemGjHD7zf0aLwyuhwl5RyORx2degfvyBvoAz2zBL0tG qrp3fRwr//kUz9Q3smTZvXKrT8I5p4Jq/mVa4iYfOT9FOeDyCPhsdCoNxmiL9QRzQvIjUIpknL7l p8t9V998KRpbhVKj2K8BEc8wvGHtYlRSXpV2HX855tW+9XH27IHa3WfYg6Xtix/yYAyBPlasynCF A3nObOnt4nrO+IJn4lCCYQTFtfxjQMHZRCRiG7TKM99S6Vz/+AM3JlS1/NQdo2A7kk9nmyIMRwRs 1+rpAnjGkTM1o5yglj/NUhLIC0wAY7tFAcU0uKaUb4uqQW4yZrEc/Vqn/1D7AhAQXPOTd4esIXxq JGw+sEpFOWFk1iFLmPbcuKqbb/QaVoRUP4dw5FnrJciSHcxfngDUzIYaVSOefqR6AVuEFlxjsbch BeNjoYtGOpc6ijL6AzacAoyTtZVvp0fF5M8uNaFctmTWL54t9EEeBbpzZ5Tzl9JvA/fYXHxkrRc3 NiR2CDu3RrbrmJBuJ8zWNO6AbT161GbNyhAAuNXbkL2kl1UbL+vUSxcjBLqGAIvxgO5CEzfnn2N8 60jQzU0J8ZoDlnHzSe7Pmb6pAeQZthFQ3PH0LuQZzvwl0EUuaETy/WfRNvUg5ex5Ci1sdjUItrED i0vBNmmX4vtol3q/FoNvpWq8TO2C37TlzjAqFQ/VVOv29ijC4eF5klu9U9lf/OMJ6es7rAs2gjhH QGojD+8eZKvcJIjGsuAh9vjNAAemIjyhIzb+LxpCWtOxiq8NLZ8p4NYyBZlXEB/U0b9KUPuG5ulS utDrIZVQ0rkNzBruUMGqmFNVt5MBMBzjA9AcM1+0H7tPhDLRrnJ3XFRKGo7HKgELfMFKq3Vd9T+f LcB+wUbjravKBDAKDtd4cnpxg7EYe6IhSRBG0J6PnZ25bCI2wl1AKp9UQ+r54EqbK0XQgdtlKUVt tdAOa6g6JkVxvYEMEttKmyytjky8D8fCrdja78hwTK9Tq1Wkyj1sPkKoiezTnY1pidroizCX25nh +ovkqDN3KAEkdtI0m6Zbu8k0hpO3YoHj7u3ZSsXX37DLEJHtQL5lobC8DtMVQPCfQXUg6OQn7KTT pTWbDDcQfSMYQc4MqTDP/iA7blQhWb/4K3487WN1sj6lRklr2zNJtONVEoGVA/w7ggcx/6A8tkpy GjTzidt7eTPEkH2jnaQh+x3k6D3CwfQtOcglxFqLvi7MLS6/hNdnd85GzCno8Tqu7wJEijDGxKfX gMe693caGl1E7YJUlVfFON+9xvBNGAdwhfzFpsGrz9eG3jTUvGpkEgaip/UJZTawr5hRiysZArFp EyS0cT3uCx3vCIuog6ny9Mousqa0ZWy2kdOP9I5iFjrthQnV3YSni2hvtVErE8SN25uo0ZF3L0GB DQ4NVAtRgWF6EyUg3m4ChIj/DvSJ8+ruBuZ+NYOvuX1US2mJlNb7c6iR7SxAXBygqfKmUCw1rk2e wDXHD7wVcBTAuKNN4lN3F6EWgLg9A58liu6OsuzVtyUkOeGOrqngegEvtX4YZV3VnoWVMPpMw4nN qGO9pnYRQ4wd7SGCYV7K6FQPVp9v6bko7i/IEYJFhX4UVPXnAeBM9cqmpEp+kxDr85kCD0TxtcVA MgR0Ib7u6rZR5Kr05yavVAtSL0pWNqoR4zvD6cxmw2clSJo/XxKMPbSjMyTF0vc5ti9eZL3Yi7L3 wn/AgRFwxyH7z5XrDl3aoFLQzFiXWcK7t/I3MoYKdkOHrnbKb5Zha83APyngtknZm8NskmBRkSUf n4nuLZNbMFgpiPyDETvsFbsQCQ8MjojwFRnPRuFK00RExmO4BTJlktM1UzoCbMGz8ll7JbgTwHWN /EQLMf+XO4GL/vazxvUPXBECQkV//z2kUHeW8Y8yJK+p4N3Du73V9mIx145HRfquyBgpgKYKFFYa b87oOgbi/KKhK2eF2gjhQRogDRT1Lc9jtzqt3Bw6qg7qCR2yieowYaHa+hWdWMK0o6tm4ICr15qJ sgjD9KhfjNIRjCOWIj29Ski2dHvKk1EJR8IXo7C180GN6WQWSjpHK8AnevHC7kxBwvo30iGtnZ70 UC/FJwYW68pXDXoH4dYlasV8oxsdayKRzLf5dQflDRXo05Z5tfuL0Mx+I8BLDdnras2UEJDjMEYf 42xoLEaVhQIiGTwPhgGjRppa8UMJuO3M6CjVYiflCIZKazDVczA4ebP4FjF53a71v0xWZio/kFI1 0MVZctDgvajFj1GRBoVm4FlxP7jje/0rbxVDQWOLPCBN9dvjRneUmAr0tsYNk/KOl5J9V6aV6Wpd nyMkO13fhUoVm5RZSQyDP66nKaTvKce9U/lFo7gWgcI1YOiz10k88bJSRRFnsuEVBw8ZyTEtJZbn B7f8EFdbKhH8icy/hXVZN3k3lq93qpWSyXeHN4MMGFS3YRN6dpNIptHiYHvq4ui/kyeEMRA37hJ5 AlbRQejqUT67PfIS4myE5QgZwr7H+F73Iz0LqPbfSnUwD31/uU79K5ZhKIVKRNrkNe9PC4mFOwh1 Ftn3+LubUAWtUOWRyjefA+Qy2/a2cO4MeLcbr/zv/4ErkNzZvzmAvfFVRCTS+y2ZlkCbJ7lBVPbE ugQ/iiGcLDERs/dmi2uM8apqOHzC/UN8nzGaa8CJINgDIlgV9/YLk9Nhd0V+x/pFVGs2L/vU3RzN cI1AVqak2UCvVRkFkDd/FwbZFWgxDGlGP0+a46decjJbHExvlA1NmaaH2Q5oZz0pSR0UJcfN8nIf 4B6ne88lO94e6p99DlRwS1zt5M01w14l5Pf+mZ0dpxOCiD2Fyax/meel3FyNkn5CvtTENI9A5PL2 kwqHyN2ZIdBMs+gbIWdpo6V3e2fVH4AM5bEj9PxbsgnjbGGBAMNUsXKLrNAB3TT0yeOA7zVblgqM n3+3N6NSPIMJ7sKsnzzT1vxKDJ3Ssm2nVtW7Ubnk5Z6smdIYejW9eNkrh2s3pWetirSQ2hKx9Lm6 fazjMg+hX4fNEpnwIT1AbUukpGz7BeAXk5LyLD7eeA5Ln6S8EwoqknHGkqpfG+EzSOwgHgmDG/9u SI5v1Jyh8G03TnLk4g17h1L/JOJE+EoMOUad4jsqbTIYgxHIvGuq4OHj4ck/EOjmkfBaDnE3NdbD vN73957gEMd9DI1kHDi0QayBcBzb6WkzwAF8El+cl1Q2VTqlHtfJs88uu9ZsSpPpuFbm4h4deUGb kWAqyHnV9a0CqKcyMGiDa4dm8aqcaSEgKkCKbMEv0k4K1Ad+4dJlM+yXxMGu7CJxRrHWc8mTMtyp zaOWt/GcbPVKYSSErXlcwzoDywtQC8vZoNDDBuZ/b7+IRv6mtfOkp26gITtNwkSNmZkp0aS6zjyV aofrAII20dfKdCLp4qYwC8GqLqkmdVpNq5xa7ZtxwgJTMaYZ2/wU4e1CtrLOMpshb8nTUgNvuPCH sjaBaDg9ofLqJF9SqRV3L9GDcH6XL1XxtrBtBIZKR6xzlK6AAfjsiwOMzG/SN3IV+sXZv5naUV2K j/FCg9ub752ip89vJCUROoXpZn6KRX6hZd3G6PAYTSjQ3U3rWGj07lu99rTYS7r8uW4TZ0hYtGA1 J+tJ+/8sgV5CsAOBVvdmlXc/FqHBYYFictDi9mN0zPXJPt7rYtYvIQwM0RPWWLK59I1MsnhC2GGo 7QPBtM6KiQi++50J6GD6Tld7pIC12PVi2TWnr3chSjbFGJHrchyGgVfQ0XBkLZ3CkhsVG6fFg31x aJ5bYx/RPdYSBRy95hDWN/NmMhm/3N4wqL+bZh78uy6FRtPaAbqLfC3gXZjcLpPrgnutybCPnxFf Un7OnSQhDrorn2uHXb5J25SHO7bR7WE3b4xozlo1hcytlNEjOd1BHTLFh0XSuWOdXTJl0PdzAIUh 7+Tu/JlIzCGedvCcYM0UYhVpXePZd3znAvp/KLpvr8ss2mzxMoir2Vv+GMgHJSiwh1dUnQ1IKkI9 SCrBdy+BfzJ6VfBORUCswNJvKfNOtknXCWSgGpl9SBT2R0+dFyiA4FpuRZiomn1jg1sXURL+dBfb Qxp9Xk4A1zln821RLglYaimtqd9P3GBqBjN89zIljNK2xNHfrHRfbu++6if1gwHX8cZJLl/WXD3R taK+n6EjhkDeDYL0N1mWxV7MkLIkKkH+CAYnEzczUGUbZ220yt5kHPrXMa1Ur6zV5ORy7Y00temA Lxd+W7ojveoYBLn7T52mSaqRCc/IWOSuP2IeiUGKO0T2HpL5Hnt47z9OygQkldebhYjzd3JT0scd wonDnw4OlJr9pmdy9rGkB8jLsqjMWyxPgfZKk6/3iQigkMQMndcnWgYfK0s40KV/N8vR49RveOQd 4e5sTvnoWUN7MvxY+GROjS5UTheYwKXXiDGeQLZU4pCkQ9MJTIvPkWBYccskvlF4+tQNTSSD0s0n 2UDJXmT86/O7wqYp/nFT1a4TvS3JBPwLFEy3S8cO4tLv0nXFtANDXXRMnFeHfHcdCAIKDt3mfgs3 kk0ItWjr//DIDN5HTcYteB1nbwz/ts0FsR7b2nOizPYKxJj1U3fFP0WjstjmsSXwhuU3zodgtHhw xR5wHnqi3s5ENs2aYqbRDfh+Ltt6FuLNUOwlWV1I48wvbKtJkdN4hUDoKg7g4nxaMwQKWvlnbIjJ oiYpUxmt8u4j1WO5URFw7FRdoVQ8XXfzMlqsN3Wvh6l71emMp/dckkh35eItxA1droQ87DumpLSH gsZ0nqzdYAIT6XoThCJGimGGNcLf8a8naoDSSqZklTZsXjZplup4hDLGZYTgLlS/2VGd6p8AB0vg WbmDoFrZs1vo9brYc9WkIb4SpXtJVEsowEOZn5xEReAh4Dq3BHTvHkSoL8mNqWvzo92NtPAU4UmT S3pWi1P5vJJzfLngpSdJ4ut+Yfu00Y+Atw4gdZy/YhbEZaRCv6qch16Rn2Oykjw0jW1ogXOR4xn3 V0YF9L9e2BzwQWHdMQy2Yy209cA+V2tkSsllTk19EIJLOMsWeXlwcUBQpjzZav+szWaYQ1u8T9L4 I23vyIMDvib3W652ckMP54yPG0MGZhntGau+AzN4nROZJU/VRUskqNd6ywNrhrM1wibGBrYtaTNc r7tXwkPJtdFltbYO/Wka7NLjMLb5X7ZWPmFoVMCL6IPjhHt8eV/WSkjBsZ67i7oXpvcilpRBc3Md Ha/T+IP2O3XyETK4bY2e/00gWcqTyIsxYIUtYJ8wFewTF+ilj07/9n+zEmLIlOEDpx85LxKt+DbY dhuGfcvAHQ0E7/clEjdsDsGVSxK8G5Rnk27YU7qiN6TmxnSOTBzvTCUEfQcXUE3HKzGwMAnq468Z fLO0DLRafb7qlXxUbZw6U4nwqKrHaO8OtSKMd8s9lwLF/uFgHMN1fUO2OfPcgTsKrMB4jbc3mw1m 0w8gxaHMq76LW9E6XsiYT5sAG6X5ilh7yZ46k/tL5ty5/CXMhSVNKD2yqZLyCwPy/CJqECljXam4 nTcSSkgA9f1dWIAixTqZyVWh96qB1IrgbmqbI1e2ayEY+vOjyTcbJKkJiNcuC6uwu9gOVbwffPYT fZiSMQ3+fCkazzcIxaQ0wkPCh3jcvlToRnBBNyquD8nEt09HoXo+jzWMFfxZ1a6+uBdiGTseeAmA dwCrr439caPzJpi2a39AUCkXu8zmQi7NzMDS72w08JFcNGC+u49cI5E43LE9EySfjGcvjdxf1sFY SjN0bj/XVPcsThUGV4xNtDmf2cYAdoROlCuel/MvUv+aQQHmiUAIJ14dEFITCDGJYRHikrabL69c BWdZznWwXFg/HEqfRM/u3D6aj9OOCqsoqcud3MEkdk9WP9xMXlNlmYZBWO7wU4SV97A/aDCV7qvR oj0LsfGnoTobrLaRVq0100QpnwVrvfNU3z2mKVSmXXn2XTCSbXDiQ6Omdu7BvOQm+OopeFmrPIBw wVq+wMCn6dgLcIbf5wF/74mf55xFb+J64U1HJs0ESxcrAFfh2FLK6IUTF26uWUEgRh8yJPM42s7m dOqkNInadTRmnyopJ14Ij/Vfh4ADAwoqVStQRi7mQe++ByDK16cl5hV2ZNj1z6NP84hyqUUI29SD D2UXMV/RXTUMZVE9lDpVzcuQgKn7AKcW9UhwjVXos6OOm76OzW4sgiHj5rLFQtM/G7kyr8N9+heQ urqM86JaGreTqkGOtOUtlSLpfAOIz0xFOexosOWsGm4CrC04VU6PBl7zgkxtXh6VdNhnVbsqfo8Z AshEvwbFwU7C35NrwtF8R0G3JcJLWrGpLiJOdsZBBArYq46nbjCPMamhJ70YjEIwcBxc0bCwrmc7 2G4oj+JOoGiT8tRaJ1B2q/35C0mDkFUMbKXA86oXhx9BkCj+FRFdApc86qpBJOmO+QyrncYqV6CL D7v9LZWfQLcbJxltfEFqKT9vmzirzo7WHOJelnZ1Z89LcVnf96VHEfXwAowyXXodEorKB955aLnx 9CSoiwCUJYQUSGF59ViJqlNN5ZFWEF5MYPIBnPb85xH6J0CZY/JCpHDRNkv0VsfZHLYdTFxCXai+ ZvcEbo3qBWw9PrMLG8SI41EKSFGv9eKR6EG3x8a3SAtGPhqhudj9Xv0UPH89FJZcIeG191KLO65r 13461FAo0kz9r2L9yrN438JbBU/bUbw1VVLzMf9mFShp85rX5R/aCtfjbigmSLx/UKerG9i+7GIB AZNhIGQ6I4JnfOIGAIVglHNrsoaqSthHiW99LpLquPvOxnSL6YV/0iikmxX8hElO4eaZkQHIdZVB 6tRdozMul2x9Ed2rikheryS9m362B54xRxPVu7yAN38FhNhgNkSGj45A/f1j2C7ePPXgdHp3a92O xd1Ape/4WHqQ+kddlgjHfnjYjY2kYzsP0hzfe3mx607bemOzGYiXOFXGO6/6ZIBrB76aDWhDh5QX 0n4wc2+ta5qjhHElyYoyBou9aU7VT7KMwVoPhpAMtfiu7fD+bzYU3+G5cWrQvce4NnX8C4iQd5Ro z9kavcaUMd+ktcxb3pg6c9AjNiRvfGvI4Dgo8ymgW9t2JgVugQ4PDbcX5QgjTM0iDaEYlIG/ayFJ 1R/ORFTcaAxz/3x6fsNPFcLkR00UbcWEeLT+dtxU/VpLPxYL9bWwJjf/y4pc3FojLYusUj/knwqL fPOqJ+mRYyuibpWjbp5LQ1q4WiZfmb3crBduNhBvjfzw6Wr+Ngo7CiZVUQ+91k/SqZ9Y3UJPR3mg wlKs4a0vzFBuNn4AAy7DS8vZbzA/iMAP3vUyW6y2iXVoX9Jf+pqyyFr/TMwhgnbHEbEiDVTTo08w FQYqcGbTNg3fGet2EAskuuhVbq/GQOepkMdfQZkdDAagzcxo/cloCfbQ7ES4TPUrSNZV2C5Kn0ep hOb/ZgAYWrmmpiVG1UDa9SoL6KYSEyS7QTpnA1rsjHkOfKgyF5wSsHR+LXGa2keXpEH/EL06fsb8 qrxVLPtxlA7rJFROcME3gROS25garRzLqnSUyeDUOcGUcSqdflGIg67o9G0WNjJeReSBovXhSqhg 8YXE2VJPhCLxBjdxuNAfhe8wI6E1u3hyTbKixjuhD4esDh+MtR4ntdDUa5wuuUBVtcfCF985oRfL dOpz7GR+p1vt7aooAVgv21Jpc7hidYkc6YRF6v/mAakG2BqgU6KmqJv6mwGC6v33D9GxPAK2+YQG GLkiG7S1Z7niymzfcxPucbAvs5CWCDbLdvCVGC54SCGN+eWoW1Jlloxxcz1CxetkfJjgzqdxgllS qE6LZV5TZiiwksgMhQjZJA+2e9Uy026iFs8J/AicOri1GzIqal0NbmVOZw7jb/IGO0jTR185iyEr 7HHYnlzdxTabk9KbN5cSTHwcHu1AYeBHQM2i3BOm/5oouWraE7jcAUjmHOwLfvQ6gnreljUJAejI qWNOWrQCamDiMkGv6mjCzMIcFRBJVTPLJrW5+QTgK9XiMtMSA0m7hqgpalTKzVWG3vKBzLFJDlLY MsBKBkYGj/WfwBNAXyeoy9xM3IeLIkujbBecsZmRf3rEryXzKq5ZkbxJQ268Wq4OtY0ZU06Pim42 ks/ouC6nlLd+dATdnmi+RTU3qHaaSnF6yBCueTN+i7PgY0Yg0CKLDIkHXDDSjTlU43VczD9LcJHx ZT7W/lUTw37/mztmTLRnvq54eM6lI9fxCkEHBRG5SRIiHrE2JuCpKa2HQxqSX/ZtoXi4IchHMvXW vy1iXzepcZbIpdPfD9T8pqQGrFrh7DwPMDPLXGMZRT1VX++HQ4QxwE05wy61+lA+UeEJ3hme5+tJ 2ru7e15dTzH3Aot8GzXgkPN1yAZG+OymQWwTEu94pRYconN1yE9v2idd0BIp3obSMHwyn0yMlz5I VYYSyeS/7iTB8QpyBgzWS5cGbEG4AVpOCyeLEIQNX6qVkfp+CXZWY6K+PNrB8K1SwO6KFzkZIXiV lV0e6l/aPUodT3q62dNLC/qyJsFy3qNS1wIgaNOE/opOsXfCA2/8c6DfXBcBt9D036y+mKZmedny zanG9PrhU1h4/WgoRJbmAIWK+N4OsFfp1IdR5pqP+FTeyRyJhTyAsZV+3cmxINVsQCOzSrAQCAPL Dlx1U1t8WS4PUyVzINQEZhLTPwj1AHAX5ies4PX/jJPSNaVjuIWIb/yZx+YGw25JLvTwSIZ1Y7ov QytXk/jVCd8u+Mlv03wH0k12ED1BNPhthF9ixUoJSvkWOLARYh1CnbcAcp6MIQ7+uTHaQ/3URsqH NebMR7xD+C6OiF878PekS8+6/jvHf4jNsc8GmE/K9heOW7jGyJC2/rGQmy2LYk2ZTyFg486uYCmd 1E5UPX7p6urb29HCDIIb8qUuwUsnWpofXaIerT3SlLzayEs4f4YSO7czMhbe/b7CYRObI3TkjmT9 /a5fVdljSvRXcAnwQRe9k04nN2IrErTRHuuuG7TI5RPm013/JeOXAGAobhPqR30QmExh6WrvgrEM KBqyVjCqDybSkJBD2BVbtoF1sD4W7vlZrnrY17gCzw3qIquFp6vxlY9CCD2f2coMNzDC3Qlyqmyu niZTfHPuhauGKdkyph8fQjJxE1vbFSNxPca5pvuphMs8agt2X9ZfW82P2r3pm77vLW9FIsXuZ7hO kset3aHVA8KPsdqJV1JnqVLao6ThumEJuwFXK6vjyNagwf300jbnf0rgtGndjx6icSR2HLe73Z8B OsLxc0ZbfH5Z3hejRC4/zJwO9mIQTOxuI5RhJlTrtJcYbhLBBm4X7qfisTOAd+Z7bshaszBPNojZ ZHcKLVRWH9oHic/FmhNGz3qNYSZNVZUz65KbczxWFCfew/usEauaI+3KhAgO7z9WvA8Xhahsr38c GF5TSUrtEsJ9rTjwtMtSyEDoV+LfXESQIx8K/mV6NyemoziPErZHPhPq+faYWpb2+dWHkwyqAMM+ aASYOrTeBfGTBLGoMpA5Af6lFjwAu/ZahzuDsKkIJPHCuShguAzpQ58BP7eB5Ve3NAAI6m3QHm6G zc0OB5b+AA9SK1S4KoZMcPK5/pVNcSAPhbbhUhw4zEBzE3oJfHgkQqEGOrIwk9FPs03O+CCiZ/Vl 95A3dXfIvu4chh1GWvBr87cX3tIwhFGkLah6kxESffFIbqQK8LKVOA7LkOBVFw8OgCw3Am4k8UD2 7mZTNOjr3qnFYYKwp6tFJ2BegeXglg6R9HXrETauZL2R5I2vpil3sl0OK1Iq8hMoGYFm10FoaFrc FfqXde/MoP+QDW1M+wc50sAt+Le6bs4B0C11YMbHV9o0+MbNZ410nDz5cVdA3d/7FqJxXbK9qcmV 9UX7/ovxLJc5jiPjiXoOzEZesABSAbmG4Tvr0JSb8sy1tSNmAw1DQW+k+xi7Wk9jZ88p6BRXry6u IAksmL0ewSPQd1RV6Fh78Thz7uJjn7XYtweSSDejKprnsZfamNxn7rqzqNZVXi8hUOcLIlVTcYqA 57LiFkT4jKTniQyfRbnPCjFg0dPDaq7q+0+r7UfZEWt7bIrB+twkJcrxx/HOkR2KkEFRti8aUUOh xxi/f+0IwLqgG9eBfiVFz3ltvm+Qo5bpYl4bKb1tUXI0jvPnaLt1+8G4rwKkmzSRT5s2wpV0m97b GllVPnS1UTVWH9y8dSdTXwdcK1kEbwS7ViLGaHy9UY7Wqb2eRwjmOHBbI045QFjG3P26j/0bYwNr Dr9tAW2EttGPJ02OeOH0GuSHUrOdLqQHHVpGyVsp8/IGPRkId57bBtsoHxqd0XmEvb3xEBzGJFTQ WqWVghCllnVk2ocviFwDctr1wCwaHI0mgc4ZJibsgfehfZS3vbh/a75F+WpQsSoHfysaKSzh/zgF MBCp+mBVeXUMVTqjM46Vw0TBaHJ3WX7OabGbja0e4opOzHLm/ooUp5mrS54qrMKlK+97mZvBmMdt ZeRnPAHtThnz65k1z05QZF2Hu+kfg+9qjxZIxNvjtE0/sa5stThYwXK/M9GEYsn45ad8MOADChFd m7iDArMyq0sgS8xHeKIyAxLaNEsgEEUVYoDN3qA3eLfQUQ6XVGUMJEgkViWZKOIR1WFEQRX2wJAn 2ETMJ0GN5Ilq8zyLouwwM6gPr8OnVouicQ8uzMtJneloPwYtZcOsO5CZpn7vl1LgwrfJKV4RnA1x 0fpQOq1ZlOZVCriW6hag3vCK+EUz0lowO4Ts2vS4EAStzKKRaV/5deLRwpfHXJ0J/gUNEMXay5y1 Io17upyuG1VtFx3Rd6OjKiiXkP+9WhiSNOrdh/CVULeaAiVuk13j5jmSQBn8fTzs74bStK76GyxI hOQ0SMdTKRh9dcTT7cqw6jRHQKo5rLhBbqXe/KecSAO8WBpSO1H0Xkru/ZcPHdkvEdDgpdHEIhfY qqjF7QTbrWlonwsEObdS3Ecnk9t1jmtrZP0xMyRT8n+bg/laZn7LA2JYigt47gRDIgHBzL7S1K70 5+jPHPQe8OIjGKUodbw1lpxUzyFnpgTThZeH9gocdn+0TylcQmW569Gp7cqk3JYzb0Md4Fy8/IaO X2kbn8T+XTHUA+eqBOFMUH9sZCcMJHBMW/4KFxSFSKeixxMPnsrBm4Iz948X2pKDYhxATslklXQ4 sYOweCwy/n3pI67xE9kX8upvnNKdr1CGG8c1+Dgw7OfcR0LwdsOtkUjFRqkWTOyt08h+MY+qtJtx 4KME8jsKd6xaiyQwJh7fb9yggoiW4cRJHy1dRvmUD3zvmbGZEIWC9TYjflNEo3Iih4LjDU+VxsOv F2vbFwVPKwXpqUIu4I9Knn9nVWjPMaSAbKk/P5tnKWuAV85rElXodas3PFnwDiGjZk7Xx7SrSF8m Y606Qg+AqwriCq0af+t5JqqiQMz6NiFQpT5u1hjVqZ7OZNlUHs/sfpdMVBKkqj8OXEzub+AZYM1u 0z06olqb4PM6hnvZBgOY4hzyz9CbyE7P0uNiMvtIJI8JQ7jdrp4zfbfVnHWkp0REDRt6vcwDkmKE oATCOMeIa0hwp+QxgiJsF/35uwlGRnfRNCHnq1QymdACkty/3/GHfQJ8HGP5rv4pnWXFPeDAUsYm fMFAtlCro1L0+gSe6wuUvkwUv1ycJbRuSK2VNHchsmoJR4s9ifM8hWKa72RAVY5MR00x1Z9Yxpwy 88kKsFVE+dN5FPhjcrhG91i5lC4ktV5SwLR72h4Qt++DLM1m266iQhLnJ0KY6H82cBU9I6M7gOgX K988U1zKRfCpxnOkm/+KNA70dr8G1o69ieCQ2m8x47G31t/OBRyzjWNIBjtfWgzcvrYZH4abjfO0 AimKhKi3sbiJk476pl2kn2rmZzBNIc9lvyQx2dIhFo8cLQLMsro96boAcg13w7lwX0cfLBToCEvN oZbbPAMtDgUg5qaa6Qwwbb8SVNKKKKeMWzuD3OEBlpUWaXJFRnfT7qxcgcMGyyEzO0EyVqeY5rTL pVTrruiOLu3Spfdzxx6PdT+kfBNlMwSRd+sMg6yz7B9RBfHrljLJgmhekPL+fO9QDXJuHyCIlyDl OcwVvoUJUrwa9mgfKTORGvA8Q/dcaGldnZx8V+i88wArcSe8etULMTOykTlAUP+T4jAI2mIPcBEO JTYAJR9o48GBS2d249KWaGqRoh0QvrZyp/hoFJBLo3CdRUgH+JMWSKGXdkgo9q0jRlKl/rYRXb+b nXYHNMB7fiorIoeKuRm8hTGZNQWn1TULshUMpIvqbeQ95NW+CvrA+ev9SUIbdyel9Ma3EUD+TQsn VzTLcEDeCZ6lUXwvDA5igJcIMJCedEnGQv1u3u/9qq6hI8M9j3cfYnNXde9p0iGZeQIfhwjPYmrI puaCu921RRDFvNskpctEh6IOZlxbSBngpDKgXo53j6dAEJ7MlMoZ22qQM93OAMnaVt/YZfAgsCgk ma6S4aZHVdX5/xul5QjJbDe00LcpoQGrQdQOrXmD92bMAnulqj3JKirq/b94IMUOfcQNrqu8JJkV L2ktAnACbAKCCDCDrRy/yb8RgyLEzHX7QtFrS1FMFBQMJ8susOW0yoJrtCQEeKkq2HT0UnY3Yb/G I+4jfB0hQfwuDas9azNuxvzWmDDmxq7UA4DpyvaeC9FPs2rqgbz3so4phDgxbXEHpb17ng94Z8hO n9eZfnGMOYCjfflrPsYdizYtKttj2JhivqquKx2K5ZaGIdfTc5NLP3ItOA7TRpYTvvzkZ3SkGTcc eZ4cflxovNx/gPWd5fwtSnn0F8H5yHADHpPs1236Hx7w8CbkyQ74orZLOdhCjjiNEciiI9vYvRhU nCBQOcvlM6BI94OClgEyELbFcMkwdkLinssilq4kX6cMDrqSToF6K9+B8F5TkZX0vs9s8KdoNvTo bq7X5YlRoL1eDaKryHVvKn7JtGM2HdvTM9KVn2eScaqFMgczxrIgqiNZxaP7yAgvsuL4/DxjZmgS U0YhLkDlf22U+k9yIU7xMLBusGm2lk8xC2i8WU6/5AViRmbJGtlrVC8N4D336WaALRXzB1bAflo2 ZokJ0mDyQqHClB0pfwqVxQCXk2TMIXDxOoqsBD7cjbLS6RLHzGpn5vWWVZEBzrYzNc/SE8fkRzZx 1FaR0twIEq1ODCD4cC6Yzzz2OcLjcCr8336Q57LCO85tgfNJ/YOc/AFIvE8WYPoipjU7yWdqXGMU 2zz6/ZSZjKZoP4HJ7gBPSh4tvUfodSlxWru8KuNhGqNPY2F6sEysLeMFr2oQ4oCJ5OiU0Mu+deHo 5JdnyCUU3AKP+mhvrnYfWMMJ97oT+GsScj8axZmt9KL5yJ5mgsXp8QBjVKhKyOBJBaX3+IPo6xly Rl5vBRYRIqN2nj8wWidpQUUx7c0gMjEfd/RjBHIUmU9B7iPphQNKf1GPkUQYcIe5mdnPyVZr9KHq /zJqxd1kg0BK9M6ibUd59DounjZzKpQMycKL2Z6hWCcWO4co1wtYxfoxd0G3AcidAw81m3nAs4Rn c1EeuPdiDGYSmU9MZCUnZPyMX6qNLL/t1QNsDoWfR7E1gxYGBCSxmDhB2wP0hav92R7XDSOOeHsk 2H9dVY7+2cuFEq6uDDsSXnxJNUl8pKxzPHYo+3ceBtZJ/VlL8BK8Bi44s9YNk9D7FIB5N01wqYiS oaCCepl8TUG/4JskN+OFNZ9IrdOvVhUI3lzCZY68/i/HUwg2nF4V4s4RohAWTjwC3caohiBugG9D F2DsbklnNHmZR/+857q9w55zJkanxNylEiUI1MlX+d8C4CvSeObdNZ4rYRRXMmGnj40zUoeEP+97 veHUkTPbfzdg7vPnOv6mt9svQKwdOq3gAGjeFNCYJ6AMI3bNLcfT9jbXWsDSTcZnuqwYfPRxV6vO NpY2ZljElYzcnpffi3wTt5oqsz1F4Lk6XUMxdKPloPzKdFvu8vBnqPUebVQtDJMuVFmNXesMiZBg p4xq8x763NJOBS91J2lit9MfkNA7rAzGR2jxifezaeWZVhg34R4Nxpb/p6LMfmbECnDRRaYDPyLS G+5LBCLAzCMbIGcC6gPfLXnnJp9J5OmVUYsc6lafL/tRRKZzOfAOHMtVjS82ugJn1WRGEbmcH6qq loVkz3KsbNeUsLUFDrn8ZoxxYV43m6FurR1GgSQdKEl5n/GVk/AFU+g/tO3BOjV02UPsYSJhB81x IhMU5QRPkcumpHrbGPRZp7ajx6knlkTyVFUYuATHJeeSixsvhGQhUXfxnTv4bGX3+/wNAZJ87W82 YKxL+neYDHCryeaLWJUeCdj92v1ODZw2dOYlR8nhwIB8fDP2NXIm2CvvRuuO15zpYF1uCM8htbj8 iDObWBfIa0w0FPPxlnsFPiZN4ayGc72D03q2hX0gaPb3KuF8girqinfq74lhY2CxH0121Wg9wQFa kINGsBukmSsLFin9QOVEFi5rKvOAVgKSbBqCddldo9WrtI81g77SjtjprPy161kCB1sSnii6mF7t btWXnmHGG60vXNPjDVWDPD75gCB1Txt7Z4wucKxPyhghWHv8kP+f0qOJzvpLZMKOy9jInu+UJwZ7 vZ9ut1jzHq07dW995A9ktCZ0p47KT1Xvd5PTTTzKkbBxoTx/R2B2bzeh8k2ydiWKoQ1r+8Qz3NYw q2o5xMg187tgnBOgpM7h2vS5fikmTn7Ak3pKobVjT+dYwelQbgpgp4mcjpUYq5gi9gyXx4oDnOJ/ OJ00vxd4V9T3gTAxffunTKWtxaPl2Uv24a6Qz9ZkrGHSiZ7V8sCdufSbSv1jCjy+QvxZhCSwZqQx 5cBsRk4Bn89xazlGfBZ1TSzFFAW+OxUWySDqEU17hhGxfTC4XsZC7YdU2zZcrcegYIkX6ojFzY/Q k+41Fq1BNlrykx9hk69f32NvqFDpUTtyYmWrx15lfpFlzBm9ApLk5PtVYw8M+SQ+Lsjpsu7rRhPB E4fYhJppctJNao3ytL3msylZO1m6k5Zrnps9YR3DI1gjw+WpYxjUX0pz84GLU1ZIP2e11emg7Zlz gd5qLsy2Slj9y5RBIOub50niQsvcwhfHiFIpwjn/dXToFhi2cov3tIg0BkXQUGbTXCjhgoQymJOU OT3LMMIgT94R1Akga3PXszqw3IpBCsYHTEJiEzEESmat8X0WvCX7kElEqQS5Ds/KIEqhlFiWyFZP qsAHt6dkQOu9zFMJbofQNfVgAN/6+bC3R93ce7vKw+sd4qN+s9segljuwt3QZBfTM7fbQCwPtq8P F7HM9Vh9RzjP9e7KBWmSNRmQ5lGHL2EtnRbfFUf0KCz0B/YxkQo/Mbm4bTn47o5KjhII4PGiXHsK ffcsi6gFTK9iNgaAj5Eo+YK132bcDUzNXMy6N5cpRfeBgr+EprgBHFUMTBPsBO9Of4VttXF/O29q /H4A2DjV2XTXnEygyLSOvBM8EBQ6TQ6P1bu/0Mm6gWtgfZYFj3ayUf4u1o+9SR/FjLyFLrbTYxhy 4Lfj11Fu8sCfXxnJ5sAly2u2nOnIh8aMtjHnntft9F6ldp7VVuNEOLtr8lS38d9bFaKRuQ8g8OP7 lVUh+77Y1Hbw3BT6VmNmhAoJFO8PIzZo5qj8xDF6EsnBD4G7HOq4kF7NrEvym+0bmCTgsi2k58P7 Hz64I+GBaHHZCMgcarlXnvnKhiUDyppfybmCI0CVWmZO8ggNlh0lfpK1yTGSVmKmlK1rndojWIU+ zxir18ZBQng1TX8+3JYTrsbuB/XAjr5TGZAELMezzQOfTolLqAMRl3ki6IKXVDchqhxballSJnbG W/YVLTvOWc7490jUKfVo2eusbsqbllnFpPpt63Pu0ZHxHgKvMwDh2xyBbPfyOBHOpY8Hbs2wrVlG /c0nDIu7b0vGIJZD+B9Otqu2eOQaKq/uDUtjfzplKhwM827P33SLmseTBfnWpQkAsNclmojBn/cV qqbeQ7MK66fo7u7RAyGtCsNVUiD9R4fzjNG4NMdf1TU7UW2T1Tc2i0flQ0XwCaUZlbpBkh5+qZaZ ly7Ba2AywIYehntOfQnc5iEhLs4wWybS66kD6yuCbf23UNvCvYU7v0eTWDC9glm/4+KMRzHxgrbW /Wd16OPYHrgaRh2wHHfSuExo2y9cJQaiyakCSc+El7JBrPWR/KutT1yyjpg2A6ddSip5SB7eqaGb gKbdUK3XFAWl4f21WaGM0mXY4HOEGXa+yZbNbxeXbTHFyovJrZdkym8eb739Fc2mur3ClT3O8zbf qQZMtyhFXlG5pqu+zUxYClcV/m35YTFdWf0HGAR8oGZvIVAuL+RVvady4OnFDfThPwqVnKQogtTt cAShWNFzngfhH8sFioVqn50kVx7y3rxqxN8kroyP/enJi4chjPGQs0qxP3v+JZzoe0vGArnYjZeb v81albMdI0nOiOdrDOYW6a2cyY1uWH4r6DclXYExMnjy/5lkIemDh/b3Gw/k5KNhDZ3/3aYcwxCP t5worFPIsnGwe7fy47y6zu23Jk7TZiJ7RYkeoAV4UuPYT2wOevg7Vo/2WSpgqpK3RxXyDrbiJW7u jn8Fx0uEX5FHhUHr7YvLBVTTAmZvLt+NgcLYz0HAUQUZS9svErBOBht/5B0Xnj/n48QJliOGB8Pa EtPOCUec0E2Eivwa2AFtqukpJVcErL5bXC2sz0oG4qVCF5+opjAro0mCfA2yT7pKR5ANJS+E2dxS SxsYUiTfsma0X6rAYktGBlbyjxKwRmdpOrevd2IHoz62hx6QQ8p5TrV/NEIxyvy+7s/Dmak5b5pl yfRWm/jzKBj9gbzGVkQuAjBiWSdAX9D6dyfAaWG7N+IYY1nm4p+3zI3pN1o/OHXYuzG9lZDpV8n7 A4X57bl1K3aJGoVpdYfu7eAav57LX2m06DoZgSJAYlPHS8nHINeEe6YrqJ0OdNefhifi48OKTNk/ unXLnSlXb7AjLLgw0BU1f5rI0Krp+sX5AVWVcVKUgCsuhabqKPAJO4fAg9Y9ddrOahgcxZlCqJzw tPuwYlYTmITWd0yv2cNCCzcPsbalHhl1NscAOjOFEnQn4ygIfjAic06TvQgIo+vn4EOTqQjJZjuG +P3LqyWTo8adgVZCvaGa0Y6xZmVBHtlTg9PKMhI0Cf2/K7uo9HwGqxcGT8mILzrdmWXjFWaYSzA8 joouPQBLIpEC9ZMWgNu5UJsR8qMX512MqDZs4ZWsdUip7BFisGqxplm8EOJh4IFMrPMmEBU9pg/c TaJ0YiKdosMJ5AKzucwPkgc9l0Y89wbKy08DCXCg/NbH+86YhTBnq1Q92jqWIXGaa3BeujwSm2kr hj8aueecmFSJgTG4l2Ew9smliEJRqKs7NHOfAc1fCRxGPYkqPaq59ZUn0vQOA2ghSwxHChhkFDkL Pr0AUQKj70NJn3x2s1NCBnUboJrt0D7DX8x6wI5F55SBWuuTyP0N3iQnoCAokbadM/DN+WlbybWo uQ7nsiYXnvqx0B1EWnRvSVxG/Wwxnv+jn0Lv5c708HWFVS9hyG2laqO8RJd3ZPVrr++wJUB+LSVH bRG1/EqTc9ZjafU+heVwHHlWFsXoMAgNAOStYSYErP90fJQ9YjTS+LiEkzOinEGSJDMvK53pHCVe gUsM8n8j8wFMdvbIK/h9pXdEsAJsLA9TYWs2scnfBME9K9shE94q6qn6VtyjQJ5hzfb2NtdNdXR7 OYLh503uVcYC4gG4JDODjgKsqeYphluAGZG/TD2Sit6MLsxYPtQ+RnZRWxeRVKdGzm+WikFIU83k ZVjX3uRlVOPibeRsQJLPeoevR++z5yZWd0Q8n/JEgdB7EqdGqhQN3GGroV5HYuSZqyBk2lzrlVgz 1xlo59QL0+yxcaa3FkYU5hZM5/SdixZJhfgNku7mdy1KA0A2Jbl3F3mExA1RANl3MF916kDQ/cHK FVQ0REJQxm8Jnf9aHZbA9BcmnSqQ5mpp8LqdR/E5LAvWfXNPDsSvdyvz05THoGyoCZy+staRSeIM lyNt5OkUboPTh9Eoq1figWgyf+WePo+6SfFuRAMD3C81rcz7neIf2dX2/7TPK+jWro3bhGRdaO8n bvxZNZFOIONmfK0Wow63IjjUyTlZAxn5uspIkgC9tBM9V3OHSpr+FA524txgkZKXKAcaoUTKEuRm Xzcsd75GOkN63rpNFzJYvIlL/l9ZUPq601ohEnbf7Sg3YcIMillvzib+ZRpe/HvFRVHcWJc/YSIO vZLrOPYmJ4Px6oPxmKqPsPuVMTj+G5b56s70WwV8QdrFsWMpE5jn1uM5tCP2AUTFn/trzyrPJOCT UVGA39jCiyRKdrN1KqOGSzeeWxIMaFGggFT9d0I1r3SA//30/dfL7Uw9+8F9nWHQpARzuJ+w7WgH ZTWrGaTsaUsyy+MBriXa+aNqXPponLS9v/cw9WrwGYXaifz2yMy1Kbembu/ZHc+CaPD4ZdclAkl9 Jq3s5ege+OOHuQxmimdBA6dmh8eLBJLoTbVQ1F3XNi9oPhhOl0pXBYwA6jiECMjGgsmEEHGVSoCL JVujvaT7OIje8xpU6extdmYQz5MXlMKNAwlQL3Psb9iIZ2nIwO/bJ69ufyn6GkTP5Y1Q+lPqUSj+ YK0ekWocIhj0juin0RqAEZd7A7a5cYc5j+R3mTtZpQUSsuAzpafe2QFJtrw8x9Zo6Yao07fwpouq V6sAU+XaU+6wC2y5FWm6rd/YxjEBanCMGPGy5v4dPjG7SCgQESHd90UGIs+e666vjgN0b8ehPJx5 QMz5ZIT1GUDY09zCsEviFRxD3Hp0F4nvreNZri8Xxpz0gqVDzuUIJ8GRGY7cLdDCVN96eQNhYHYO oSerdho/4AoNc8Z0LNWMmzsGbREXo+sTnNvEVlzmwdYCyD3THsltp0uTwigK/A9OM28GBE0smTXW uQBjk7g3TPksevQo/hR7nZkiNAe5PBtpEcEf/ED/oUPZb5BlpjGCq2HwVvZxWno0LjMKiu1ITYxp c2GrNRsHxtMYzWSXQnRLu7lHBZc307GDLKnWQTQntZ7V2tIeCpvqHsE/Y85YVIk61nAE+L5swcNd GiuPu+EcX4J06LsxmdvOcgB0wy7ZJdNUQAzDM5dT6Y5nVlxQocZiHK2fERQTp33YbKV0m0g3s7Sq vwIbzJ+Si68cVKhPOBhLkNtl3wEJTCqXpVCD7Rs2fuvj5qRtEfTX405Jqeat0BlzzUg85B4muoNC FcBMVM9LvFnJard6Vbc3/JcGVH98lVF78y2gzu2SfhujYAuD8V6FWqi7Af+qhJgusZ9fBCvWxuJW Kp5PQ8cCtZhEvOiEdXcWkSyd9zvxDCdpGrIbEsnYVRyGNrtlVXgtqHjquKVEt1gxjadF1ami/TcQ i8gRxFV9eEZdntBGXxd8OjAyvkIrz/XEaCCNwPdEUvWjmzo/r0QSsMM8EWbMHnlP2M0fXl2Fo/mF Kskju5f/M7d/Y8XEPb2nOPT/7iajSuYwV5pcmq3ole5uhIb6e3dJKuUknO69FHyqJ1SKT1K8JOZV 1UhhT7pufCSU30M5EP+lVQY+6dx0tKcNKrSdmXq3qWzKTnbTUhULVBGpG2QcEPWOBb6f6F6ZPvaM ghN4ZKgkRLIwgvt/SD6h9j4c1LTNLhtM+w/QTkVdVvx/Kq4kzgiLpv9Kjobp3uLHM/cRbEvlqjq5 06UDBTIVh6Va57ognN07sYr0PUAGbe0Z+3jamFCA5YcOWo/GoNDF+y+LL3tVf8lO4vJqyHEPBUyP T0Ce3764HcEXdIwTs8LXU+qWKG3F5thDlO+5Vrj9p9UYWe0rXqn6MTioa5FZO2a3xHFbTvqREf6P sozCwDplsY7YReeaTta2luVdJgP1JP9lDJxUXs65NjdpXv4uVwMjEvEqytrEKsQIcS9WWBUMQ2vm ku0IHzmVf99fuSG7EfohfCPKlSC/SJLP/HBlX52i+i5bmYLWIqaz2LTuqzolV7ozZ8R6xjGo7LxR 3AnZGvjxJNx1z/AcYHJpJ8evs2tQbDnKiQHq84fqgm5nWK4h9RqImQQMYbVwJxBuKVJA9AiOxnme BGr9lyH5RwLeZRc+p9IyD9ZRtX67QELdcYSfRjZTFDeNzWt+6oEWwcbKoaGnLarmo2p/XtTSKECc X+MXWMDCwdgyU76j4/j+MLaJpyt2CIp9PQ9f5PNBkYWIfupooaGD/Jfs526BUvPW4wmhv08lp4Km qBcPnob362N6Oaqyphd9Ix7o59ISnf0eCN7xGJZD49xtNs4oJuNwvJueyDmxwdPeKSA9T5F74r6Z g1mELPrWunQ67QEE+nl7GSMfpfJVJcGHDBes7Ua5Ffjy5BfQTxe+HSZfI0XAeyOq7dBuQD3NZFud p6DMdXKJ2whJPaYTR+CmrG25kc1s927VKKXen7fBGuIrlf3f6hGAkqc9iH3mYZ5/lWV8+qi137Tm nCWOL5uFP4LGdCC14DRIFqewrXSuzQ64hXc/xyyyu9LMR89sQaNoZAobrXqkcXLqiSQXaEpa7lEp GBNmkf/1vKgNkLUEfcnN+uPYTORIGQ8VDX85TCch9IvV0a1HqA5YNO81CyJCKj9zY7BPyQb11fRq F6i3oK0Z7fK3rhVvx43zcZMa8kinX15cOxKiGuooaNFT7hgfMr5kZZy45nzyyX6F/Axz43sAwLlu /DKIx55ht58N9bVIYRgF0oDhHIqG2Q482e5avWbzPRQVibxjnagn7Xz7gfDIbaNnQIqb42KofG8l w+Na1Wj9iLkcH8IO2YKMlJX9Duq/A4D/gWjIJJSVhrIqRmB//q6IVkWPkNkxsXY7jul4aCuuSDdD xh3FLKHK378glqe1c4Hjll/ld4Lkm9EUDutZzRm8hRGK+UpbvBft3lLLYu5I4TnTVfiJKnGkE5pp gMbaXYyI5Gugfiu2NZNjWOgS3OL9h7S1u0F4yK2aQuIQuZqivXYBf498R0+fObC6Ghyuj2u8kkn+ Zaxp/UyUMEg6/pNsp6rZrGDVn9Ngl82+kyffRQgR0ZGkJ+l1SLJSCUBcIc5+H0klfV9DWQ9fvxQ5 94rPUqNOVu8z7qor14+pzg+a8oSvqTf/uFWs7W+DZjaQu2N5Rwhsbni9080R8U9XRATRH1mz6i10 kLM0IY/4YnqNNvRJYlEcWinKkBQA+94dC+iUtlnkP4D8eE0sLmPN1+prKOINASjQWeoa+97uDQX8 Fk7a0S3idWRghUOnVyCawoD9i4NYU16+DIQNjlm3uzPanZdy9NQJz57k6TuAe7XUzlIdUjuMbIKE /lE23+4axlyNu7BOyNig4zhApfHY6HfcNT2b4CfGvKx+ahRzzfqMcSnR7S+s6DfA2KQjRtpaWHJe tgXV/v1EI6hPGXyDR4GWiV8bRC87IrhVXU1ian16fPOntnX028uTepns9Ncbh6EwYy3vyBdwCoHn KwMom7cvtBcu6Rz8AYX3FD0RY0rEVmuK4GY7GlO6ugU53HtEsrMtWjz5+6iUDZhbw1bNtxrOppGK ZKikeZpXqjVjbGCno9M5LOfmuarRCKV3hFPSauIIuMaHhpFPSc1q5YqiGQzq/iAD3gaIOlza9UE/ 2JVYplnDX97RxilqQqyAWQcRahrzQpdeOi3a/8CuJxmd7qoxM99sResguSH27W+TY6CS15/ccapG ZRI1x2UO1giqgVrh6lDh3ekg5vSDpa6bv8AiRG5dhovPItGJPhwQ355Jh6AzEvFeCKS2uajr74md x3WvWOzxhiB8aCnJY/Q/2zI0gcYIMohoC+ik2YaIRF1AJveXby522tR+lzo5ooNwuDnX5f3/Yl4L +pJ9jZMjAptR6BqVdh6GWHyq1Ao66Hrh+nfWb8RZvrHJtPMWV+cgmy4j9kvM7r2jMfl+y7//nLKf UmFJotA6+pz9Z1HqMjho5VQLcsM2QKrJnJnURMolfNb55KWsDflGJTBflfc0oqbSrMako1BBKBtb Kg6JgD+qydP8pevxZiqcrxQPHdyO9NRPw3R1QVliMnv4dAweiASxkMWormUb+skeHQPViIH3R2zL hiCnRF0/eTggf7ZjxTB4zPTcacBIPXr/d3jYoSlvFRsfBV1onIX/ZC72pVz/k+VD1cEKkC6RP0n5 oyjGWSlOY4e2NBEa38Sx12kSK0CszHE1ZTPcXfMNsdyjllY+kJIKcF3vSrHI/V9h8N2eZUqJVG2X aQzC48Cw30KbXZsnUJWKixeecJA1MiVPvM3Mxdw0SBMci1d0j30xg0qXs6yuPmhQXdT3qTrD5ljr uSrw6iekW9VX7KnTTZBxWES3Dq0hH8pWH8CF0V09GX218xzPyLh5xlOmfqiTNCyGHLpRMSXtBpOf RnMph6ojSmwr3SHPf0xuWFFlgsZRm2rJNEW5zxLjp5b6cTyhAFnrTtG3sm6eT3LLLW8BT+GJmxWT M88UBbu67Jw+IuYbVB01zxIDg0pNQikxpQL0nyyTGW6ryp9eSYeBnMesustfayAazBbgXYR+d9pG 3NgpZTJKJbEVPAD+fXG6osMGAZwEODj5dCW4pr9OzjeQZoBWKdqR2DBa2qmaWpIgejXGP1IdaEUf iR8A4EVFhnXXHc8TWYELWWsM9UTyGMder1C3sGIaOB2CwRv7gZMIkrG3Rg2w3hMl9SOePZX3shaN 9+yOAvRKAekaGWp2nJ2YZVPb0Uquv5APkYh2KwQlQvDTrgFh3vaovyhEOpeaTpu9WBrgi4z3Pjkj isyFDxDC5uUjdzXegZJSwyP8bYq8iY3LYSB3A5Pb1CBj8yz4A+YpKNVL0LvMM8r1WV88Rfz+M5tJ xrOBE/KEBw0BV9mnTY5GZZ0lgLT8HH8NdupVpBbpooWUw8r7EHIcxQKHhUYEMewlj3f1+BOF3TnT up+DgYgqujmQYBlm+5IUuKNMoYFlBVbn9D7DoGtkLJ9Hh2nvPX6EA/f0pSYOek+fWHzSQlzCJgrm DCpcTeuDaBriGYxfmeY7DksS3lu1WUeq9a84v6Z8MFNfZhguZCWYaraLXrI5qbRfRAsLGYwrBF3x xD7+i+pv1Q9UkNNveIob2YV3GWz1GpWNj0OxjuBVbE7NpmRW4MobFIfw6JDl1zL/oBi8tpsnVjTV UoUO6Stb2x2IQTqiXlSIdT/iDEht7RaaVnuEST6jUTwHAxQ41ZwXyBAkVjk2V86JF1S6w92QQA79 /g1khpG2HMiTGA6nsKOU5cKW8D6z9fVtub4lDxSvtr1grSB9hNU+BD+Oi43PA0VCQM7gfQMbBdvg fKmALRjFwk32NKpYkClF4tEvBtvWWYjpB168Ylqmr0gO3Wgny+jPGq8XX7UUKgnkS3Xi9NGQdQTK peLsjXWEb6zUfzIs+DF/RooEnvIGBRMTH/FrUpgLKOgsl7kMmEURjdQiMcKSlRlqvM/IqQr8Zvh1 kQvKPxDexwuxV9mZkbRDgbA8WeHsEo8FejCvETuJPvi0MaI5hobFxl8jCRoDsRsJx4nWnOXkqLtD I0DpT7QoFVlevd8y6npljCAs64AMmAQY3lnAQkTVhadM5H5aMWAsFs4OV1kGAWwZMuv4Sg+1W/c1 NZ0bDrMbHQEnbuECmsPDI+nc14V8mM69MJtEH1ka045xgDsIMH0VlPuVkDH9kusB8FB6c+OQKK9e 50fbMHVPc2vvMi3CX6RdWsAr27UABHIlWydQk8C3o3jx/P3EMJ2doJ4CB0cjFGVW4hreFwEOThcB ulAjKr8gXiYywUH8TOBje56ODfPynCCh1OklLvTNGiCXNaYo2vkOAREXEGefRXR/EXPZ3I/rxEom 2bBaQtlH91H1iekEAJbkybNB7CFXO42fuQMpW0C8i237mJKADZa2iL5obz6LpxpMhUyDsSTzGslY Wq/20QEheWK6N5usknSds63nW4drJULfDvrODzi9e6RNvLtr1x2S+W7VwsAwiCEY8VVy3g0/UlkV QfJqPvaKtSKr1QeZrKaiAIBMhwHrfFftTktBpyS2t+O2wRZExUUrdctgT0P/RnHFDjAUDzF76zgh +Fy6uDpFjw8ChQ2IQ4TK2zAO4EIuR2kSBUkdhJfxpvfKrWh793R11nEBauo3BrgEGlkYL2fL4yNF mAf2yh2Z4NyEp90exETyYR4rXWrlreCSID24Am6hC9o3LSnQx/eb0VS86BDwDsa+OGZJb3LFMBKk 0v8KVJzFerPy778bdJAvrc/XM1ovSlm8s6SZF1FVrGh4AMJkYgqdjMacP6rPL66tXLpbnxwy88nE CeAwXAx1nw8yk25Den6h0LODT5Kx+/fB4DVx+qwSzkU6PqOCg2SSl+q+Wg3cF4UmrxUg04Z+1sB7 AldkehxZek/mHRnjoJazNBmBZKQCocow0nHdW2ej4Bcs2L1tuIiEbNCUbbtMTx0GxJJV4rNYiVFz 7kUm/IdgGg06WzId3jBUdVqZYobKmGa6yjh+URwKTCWxmYlx5s4uw48qIJ02ysSIp59k52PBBSjT RMb8gqayyC0Y/yquEaArM737eJKT5KFNmbXjmsNp0yxozxoBgD9VGJQBjTo2W0wLpSQMhkLo0Z1Q 2bK5qvdTCv802UGmWRieUHWi2GR4eBBLvdc7ZgyUcktyZawIM1yvNfiawMsjgAoCr0uHUDqIp6VY m/SBOJ9o/7HjFcaDmb1iliLqzba1/S6pkwr+hfRTZDlF0TQmZPYo9xEhqPzRDKvztsQdcArPkjqd bGJLGDdAwD/yKPz5xL4d5AqjypeFDp5GOzm1ytUnOL6PVw5yj76yeafrL79Z9x+pizeTL9+W07DD BzQeY++TiT4yajXG8/mIqepj6E1HfPAukqe2ySQSrdy9bvkxuU/ikstxYyIH4CUmVK4hrNWF3MdF foSl0PdnL3ESuRArSSFGP3F/6LcMrmpsFZazzJqoEEqK+qFtNi3a/StoCxVZaq4M5FGrphweZ6DJ dCwcL7UTxrahquC2I5uDCRTL5B5bijJuP93slCR19R7UIAVX6Fq/L4CgflZSzM0nv+Vjn3fh68zy KAGrhvA7hrivqRHd63DKYQ0DsAPS0TffXmNIdbuXFShXIjC9Bp0Z2bsGvwb46ricHDEyE+FETybv pjF8s0XV39C/9CClJdWMPrdXHNBtUTPXEi2M5RXnnngKBMMebjf4TNmzZ/+q/oKTPUn/Q0e/RMvs EwBXIr4y8pL+ROVXq/knw0c9d16nS/W7Yf+dDh0moTnM09/gZ9tPoE/jUIBVs4FiFqMkK5gtBclQ 8mp/JofIk60Qe8jMgviP8OoB7oB3RSNHO7ipmJt9thgV9IX6IQbcwxq3Fqu74v6ZJmHx9YqQNor3 w4k/286THz0yA19wLGgrj+rY9KFdKxH6NomtUvGPQOdhHCp6qwzDv3YHA4wTKKweQ02BJu7Sl9cX zSB/0qq1M7V0m+QNOw4RRChzDbU1e5Za9M/h+17pNjEUNqpWIaCan5JZHiE8azksUVal+5nmyxfO 5DpWCAw3NOAkTalw1HwEzgVCRGA/MGh3NGIbpNOwtEl6Ixq8zqOTGNKE28ymBo+wT9nf82dW2uas k5WL7Sm5frWUEDPNvRZbNnDfwPvdvLgxZQa4B4TmrBqLYy3tqWlFrJx4gOt7wKepyOD+rdBIXPOT QMYq2nOlOP1H8Bf6px2KJ1s+qg5CuXLf+I6DrvtDqZrV0w7/3GEEZU4VuPBOLNUwi24a9b0uHZOa bm0At2ESqsHNVGDksBFZVTOwFg4y33lBPc7Vsa6jQfE13/gfLLgScOi5rMNmyhDMYAlCsDTiGB9q sfIaBzw50kuSbYF6r9VAYCC4J0YxJZMaI6Uh73WIY+X8ZzggCzeyOnmtow3dXCuLVE7U1PlbLsiC QkrwwKZnV7TQN3A1brgTRIKSVmZZJ9hnoOyrFFsfnkqJFoL9HbdGOVH88kz1zMYYMd93T25FLDCV MSC0zqBbqnWzytojtOCPMuj9NKptOmdEP/b1l8Zx1RPKNjZgSH8UXL3fLM+HHl5kVgXa094BdLcG WCC40P8rKec5akUwVj10dnejSRn4FSlVNcq5s86vWygSAFlskbSpmEmpXa4dazLrFVZ3RVBgwGxf t7JG43GSEqDKx8rwZoqBQVRvUhIG4Qh4Ctr04ttd6jXC6GpYOELmej4ykSe/nLBNwU7xZTSUp/Ms qbnH66RmAFgUdBfK74wBGy+TerQPfQrGnqx00FFgg/Kx3MS+y4eKWsoF0grMNYrj6k0FwTZFNJ5r MRCf1g7dHx4UkMn2vTOA8+MPzryh0VMCI8NHV+XH3fP1kZxU6WbKQEvFwvcKOP0DnC21+2y1ciDN 9Tabz+b8/58Dl3D3mMPCLnABZH9OmdedITeUyI0SDHRG24RfSpxZgJUDaEley+RqzcQ+PLSXq1fJ cdhBpfhL2zwdIOJuEOuMGSaoCdxVYgBpUc8DUIFAQFLtc+lXhxb3IMlBfyHe5UfW8P0S5UV9VS46 Z8AqV/jqVvZhqEYbYif0WjM8Lhpk7188fuuZuRL/q21MnZNdiiuo5wG8qcCdooGiZN6d4BOj1lWQ OQDTAh7vuhoMIB4qgo+ikpWC7sDWQ2kIjvdAMEqydn0v/CfOTpaTbJ0V/6mRwv6jYa3dIIjtW/SC skXttf4UNsd6j/B0hrgLR5o2meqWgWKn08TG3r0TLj8/rUDzh3CLNLRemaTS7JlkyVViM/JEZqcd XBSHk0NnxuYhnC9/pI6ufpYpPG64DR6ibUenot7v6mmDAC9lfFCZTz9ptda/hH0KmWlsfNz35qYx WzgZ4myE6iZardhi4y8q0NYEGcvMdk3khKw9LHTQH6IPVoS5TfMEeb20NXKVB55NaNhDv2b2PCCs tlDlbQUE8mhzMSpAq2k+9GrhA9Y4y3oBMsP7UbHWSuK0mHNHRU9okCKQLSmV/HCHJurI6BFJgBLA 8NvvRnCY2dvqiU1bs3n/qxvU0xdDRTVT9lZ/Iisr55tevhIE54ae7yXIVugX6BnZWi7MiGocfrS2 GH6v+QIBopVug0wJ76RBsye/z5TDwDVJ65ybwW0fnPUhTOirA5Wg1sTIRR17jOkVY2Y334CH9wSv EXaBTeDsMs7Xrwb3AYhmznhOezUDfJH8BosBC7or6LzYR2PW51DchfIpRPhTka5jE5nbbBKa0jDA EsUaeiB6pN/bcvuWXgTs+X92ddfBtLh8yv2k6WaeenCdsm5l+j0RNr5ZTOuY89Q4IeiZ5HkJx4BB mElMDxt49+SNan4gA7aC3Fcftb1OWe9wlDoEuZEmgmig5KIQrykl4JVcwOA215AlSGkODIFmCbs/ HA2YSK6YDed79LAuMME91hIBGXl9TzGZDvOwv1nD2nARlaQDmkQUeDsiMVUGDIR680dbsMtWDg0P YZe6myWPB3+6iiI55aeQ6hg4ZZ1sn3CFc55nBu2UWfguiO9qOxuy3Ty1km4t/ozj6MMymgBqsz7E 2SVHiiyTBCGlUAXJbCitD15Dvyeg3S/mioyk0zleOdlZ1yJmX6unBg1FdQzLcUJnJjHCmct8dCdZ 3/RA/h/JEn69w4Ja6g+m5zZb0CUDFqmC366m1x0jtWmfh0im7EyAZO+l1s+z67iydmOqx1KkBgdU oGhDbEV5o4/kioF9B4XLPViD56xa+oEMx+QI/DLDg1tUYqC6HZ84/b900ujiPtOh5o20OtcADywU 8cmmvxKUkMoQyP/qssR4UGzKEP4v2MhY4Rklr7jaSCqEN7UeUZu9hwAOkS8KycEPbQos6wuur3/P rDExEtNTWGZIYv64EjHS9jGrHnQKdoUUSBvxqK/d8YBnwwQyepB7t4Lrn3D1hyTey0o1qaiDitgd KyKJs8PUfnn6eGHf7SsG3fUjAQCf2DtSj+9bYydpLJzwNi9X7TUNUnF6sKObQetbBVTP74pZ+T1G 2ZAF2p69nFXJ6v1uGxP9Vbezk3/47Qor4uuIq+NCDV39KwRO0m3rh0o0V0oZMnFGhbLNrPqNHk52 yCdVKMKCeJ6hj/Mh6o0j35CU8pyn41vDcUPTX/SnXmMS+FDaEnQe7rT/YxYvbT0vPLvvxJpWyB8Z iBgHZRze/86Qp2ldUytJasv8p9BeEES6rIN58+S0u9J/BdKGGKwwvnXZ7UrnLgSgS2wMKxx/MwEl N5/0+xJviBjvQMc7MhpNwKRF5b0yaElRZuxBI3Jn6/O9onNRLVG++yX0noTlZYIw49sKO9Fwgk+V SLgAYrtXcfGhwDwL8hyUok8xtapdC14zPClMezKkifi0AUzzcsMk9rQZfbKR+Z9oB51iuS42vQqp aAVivstBpD70smotBttV1eX8YYbyjZGMcTt3mLhFgtx+BWGunRdeO/w6ssdJytC3+nZWlF4xjXfR 9hHD67ehZPO7GWP8ik6RW1VxNURYKK+Mx+TWpB1StyIu67bi2LF5tsNQ5SHihf3YEoZKqrY5V5Rn A036SbzDkPLSilnQfmUG+gZZmGzcZo1yHMHW+VkztYaSsrzGtC4+GHcPgsvTrxHVtoiIDRqv4un+ YGWJxuMdkGQHWmOVGhWuTQdN7LedNeJcHlnL8YCyMUVsKLFG7DMroxkpYEBX51WZX0LbZMM/mUyi 0mr3ySL24KHAIMiveDBqVoeB3gZ4ylolbTgjZVnlJxiirJbdK76IaN37IhB7KIpiJeyRLmVEJogN I/00mF7pA99u/7WSqYLfehAth75hfTFdeWHtcZAYX4SDlW3d6FvHVC2SqC+dqmabHAWxWahnUH5h MVRc2mSafkIFv75djchRinlN+v+GvvGf1MQEejLA0OdWE61hWEJs/cb3VvuxNBR+66pYgxq2UCRf gTvl+O4trhPY8Y3dQ8q1LRVR/VuPeL7qvXtsXnr+jbwdniC0ctpQT340tZjgExHOJNXjcCmwBMIo QnLG8lu/ZoiQkBWOp9is+Gmn1GCb/NvLX2ivFfLiijehA3Jpl/Vxmee0IR80/T7hP+Qwb/moSNmd A243n0j3nW2RCe82Mh8AglbZ245dcyT1iZXUTgfOAiGYywDsGDqsprpZa7Br/Ojm1A/W+NCi+qIG mp1nTEChf5BWKhVnx9tAd/61Dmcf2jsUJanuDl4Elv8VWoh9grrdgYpxHN1QOLoff61TQRnJ7QvO dJ5gfZj2v7PiSWTexrfII3ODn8JK9vEBSPJmuaY9CKe5bbzEkzbt5O2ShWE6f3c3xuKcJVBzqMa+ 2FFScEydpf1RzoE9UHXKdlfeFRWE3oyfNdc4/KjKz67AwiAXqHFwXDN41lmd/aaJVwtczzIK/dS8 XAd8PortLRQ9yQAe5XeTNwW1DdXigN4rzd4zzJcvqoMoC+CPy6B+lfBpHEDPpKJc3wv24VXZZhc+ 4jkvbxqn9uRJIX5L/RNvcmTU+/xVTYOtUIlP/GMdn/TzMJ1+wvYR+u6K2qLEpc7ufOU3KuH9NOJD TYgzfZd4GeaUWwmb1kCQGA4hNTj++w9PEb6M+ChNekh4ArB/IzorYCbQ6qcYI0SGQb6duxD2g34f j4cR9kjoBoRhBpAvnxwKjeYKP+rhvAIXpNogBI2cEXbMKMrweMEoXOotPLAKMDoPGxRAew1MnFtX Hi4pT0bOtX5HYEhIDogqNFlskhtTsmyrE1kIzV6ipnHYADClVXs1v/VM0XZ+76vangW9/3fS2pa+ uSyA/GF9MOvgqKG8DBDhQEh8MvSUwDgKEKlFEwPknHfPKDOYmyXcPSe9pTxIR6QDFmdYpt8s+iBM w3Y3/g9jpQ7//dc7CfMpTRg0DMl7mLKXeKx+rJ79Gvt5OGW5+UKCIV/BPtyZsmsjCZF3rg7U6+qr 9IxXVLXXHW6k6aAUgjOxpt2Ipw7PkSgqXAO3A6boegmD7vLzHzBddQRYAckpnlPeH5Usj2uomBcO 4JZPnu9qisMD+dRLSC916ZE7cmHNOuoi9Q1iLnTPFFJi9igPebIv1XnQXhlIzvtMuFXp267l4+be 3c6LsQPxegfboqDY+ET3d6lQCiAm04iNybJDVOWSfl1M7MmCVPp8CmrIDxyvuTlCmYmnRbeEcIp7 fUivQQbk0oxAwHbjkAhsdZA+NoFNGuvvoUuLH8185ifhzjwvLNPqxOph/z2Zu0UbqYtXDTt7GI39 m+2pSEscWNXU3oi9mZGgp4f0x5w/H4lH2dM3ektFHmlhh6w4lWM6Sfi8TeP+95qF1XIwl5xAfhg9 I5y2rUqmnZGaZq7L9FrAbEqb527Fvjs2w+h9z9+5b4AhU0Dbej8UjmoiWyIeQF+2b5hqatVXLSi+ F/o2Tc3N67h0+2kN8v7FKX9OypSHXzYqT0O7jiF36YFYiTnk/sufb9+DvdFpGYobQCbUwSSpySpf Nsw2zzYtytEU0/7UbTT8qXjiBlkf+g5ezYpGeXVd8gJ3n3nCe2TqARjRZwwDphovfr8A8im1ftrj hez/L6ooB7BC5XYMCOEkNrzUqfCzoSD9in1D4+Fx9aeqkLSwf6kKn3/vJuhnDUIQB2P5IxZPR6rM JpTERobsT9Lpalb18b4XE3zzd82cBccTvWOT9vJmdMpdLGtlUqzygs4OcUkQs7RP4vXvSSaCpL1a dciOiKoigZZ/eDnZsV8F1KswQhCCP1gZwiknF3IHR/rj0sILhjfJmh8lhHdNqa9tuotITYSu1CXF nUoN8kvTJABMeEpG+y1qqSbgBXrKh4XiFIca9XTh4HyZkrZhKj85VSw8KczYnSu3BMFWJaGlkJAv wATiZUWsMVFqZGYNbpm5IAtAa/PJ+7X8qC1PnDYsZw3bORKWDe3Bqya8MU78bgL2LqKAk08YYar8 /REW6xGR1tTHH+DdrYIs4aCGGPeI6L4wsyxS60O7dFfhZhj0Nv7q1mvh62JPZCBJKi0LBDIq5OwC rC0O+584OUZw+GdCnnkFuCqONODrnu5zIULqNeMkHhPGZZzyErYBZqxLHuMLMurJrszKQBafTFk1 VnKvAyET4OF6mGw+x9JpeovyUo3yoBlOdRXaJSJUQj2/UI9KIjbWWJgBCnuk1i2LnGrkeUy6SCcW BKOJXoOzzD1pW7GtEs2vyWUgDWGlrxaeoRJscSNIMpRoh4gPKvfcsmQXdGmqsOVr4cCMwOY2owMd o9QnLizFUPEfgxuOro0Nr3+cdb+KcmvAiQQTCdpet3vq4JA9DuIFMcBtChdrAOXLtcKheNkxHAXs TROydxRoW9Ttc93Ci629vPPgGMih1nGUzayy1BINqvyAhM9JcAU9bJcRVuWkFt9k+eYH9678WQfF Uey0Yy4GbKojGRlFQ02EbZMaYsvR1UI4G8dPDNCMHvEL09aBVSx9O0EJk4fzO1bC/g1uGU72nPTU yqzlI7ukj+AYN5y3X9Sou1+3rVgI3wBw+YRd0lyGZQW9rUuyyi91yfJ3ewwyYDFQiDhXY/uoVsHX 7abgn+T9FPzAeOJgtRJ4YzBtm3KTeyQcsCqKr4gli9WihoBqWKxeHwa+XN/qdJKskE7P1d/vlrhR wahLftBKl6dfNkuW6q5daCff7VqDgq9p4SftKywDKSZqUjRNAi1Z7WvZoTGnunfVfPvA/BU8+q1n wuaZXT2C+vc3HgcXZFW9VRzZtLkrH7qmyQc/cPybnj2QJ6+B0CHftMMfeOwGm5+hcxK2mBfpAR4X IbiwPZjP1fi6+NnRfZUTAPxbSeNSJT+tR4zEJHKnbQSZdr8IRTQbjkFiU1cq9fuMHq+gDnqxfUM+ RY+JybgiOs7i94kchs9UDRECACFN3WIcIidcm8rvxHQgQylegFRyznJdZd9Y9R2Obs+N1kQPgNQL R1XkgBrLkfAqYyr14kZWHqpI/5tNwf+M/Xoz+Rq5V7cYC5Awei1h0h0z+8Iw8tTBSsYYUQQZk4A9 IBSigO8qSfG7DlcYFOfHJJDgyfNi1yxDQEO8HRxeyModRpsz0W//Kf7+v6k0aDNgnZgJIu1hDgnM 7N66wdSoxY+PqWV3GseLo70W2bdfvOBeYGuoxloiGdkAJGgvWxekPVhBFYa7cQ2HNOo+TmnvQW3w j8mC20BGJUhgdoflecwMu2h3GmfJ2qFP32nX+jzyIleswTQwEpVq8QsMB5mfZIZ+4t8GHQguSDQg 0fhcx6h+0j+yYfs0rQgDypoSMLsZcDotxN5/i1a4w963c6tIJDnVA7q9tfqOO7Z826Z6pr0TotLU +IKK3rFd0uDEa/uWsvfcl4hQPe2dNfAG0wf4/wPTdjcRXeIbH9cUAHnjFWqyU75LAMkKp1IVySBF yXeEKzq7Y47JvQnvwl0t+7DevVxA298andLe6SwA22DxP//I3VV0Jc4tbWn9E6SX7N3VV9vvzhEu WC0SwpAYjAFAlRk/qumLt18+RKivqruJHkoPoA915p5xC+LX6jxwoKpsaEi3ILjiLElrqxtD/WWe knRzXqgwGZrkNQAxNBTaKxmQqkrPMVH/M1Kb313gVVWi16UFY2sysygkU57BMQ8vxaWYKsCX4rUt YxW6TX5dftS/tFqZ/9p5ZapC4h1AN/qcCSNidEAj/S9uADC2/bvqy57VF97Vk39f+P4rQRgl/aSG BNbSAgq6CzjvPiCAePM3xUI8TIl3EQY7gSRiBD0BHy+wnjedY72D9YbSax7sGPe/drAT52SsOhjv 5Jfp/XK8J0IDm3oiqbNtDUhpS4+rAZVIzDOGLXSQxFlARUGld+HOSRykmtZKOTTy0VbuLqw4T/tq 0RIdQZkPdjPlpItIBT+tzSCDmdOlI+GsfOgcehV98EH+NWfYLjl/YfVEOTBr6onob0x+MD3AdIII p9nLrOGRY5DQU4esz1P1x+1IIZDQxytJ0anZP+2ath9YDX2MR7g5pjXkj20uRY2ZfTXTFq3IjujC 8l7nsfAU141Oum04vR5DOePEkDJlFkpPKd3Jr0GzDPF7JqxiYqZVwdjxl4ovtMGjplxjAy25zwBb FzAj+dIWsy3UeZO8ohxDwiaMdXZCLkOZmF2NI2V0EMIgs9tUasmuyzOtBmZqkU3sZZa6ydcJ8AGB uknr73qEcZQ81fVdl3/nEaciqLPn4kNvR/SkN2R78CVo/vwPGDJZS+7JmIth41eDJ2n2WowZczDQ ySW8Qdo2Qyi/Re3ekDuu0ZbADExLqjcPH//uZOPzGDV3lc6R/w2aWQgko+SPoiCG69DAmy7E/+Zn UiGNhZbnTYyYgHwGXvVXwAeKUe6Q4AAQD3qJf/rDQ6Ls687U7D1ArxfXeg8KyW229bzQs3AUz6rs 7a3/R2Grq4duEBZ/SVCMt8+V1u0IRx9WEoTmXir6JaDzngHg9ZlIC7kbGGNQshlRUFELJbJ94/Ak En1mfnsYnpcgrCseGafRu02UPHTNx/kwYeagU/ZYB8aNxdvs1PS9djt4x1xufptTgyqPIA3pzDTB cW8/pZ5A/L10pwbWopPggjXnnrVytQ6kR0OZyLDN3bAX2CRlHz4jcRxEXFnG3bNLRw/6LtoZqm/x 94+xljo84pjbG2WJKVhrOiFuGmiQCDRSPPHJHT1RNXy3dCBEDNSiwLzg8M2w6M1k9xwFDTl8vKBC +3D4kS8dKBAJix99SNoUNIbI9lhJgQQma93lribRLCPsOW7PlBuGZXbMz1Bi6TM1xbWLEi8t/kbt hFNt9Jcj+sPoJYyoOIRSQ/uOXQ8fn5UaXxUqmh+JcjvWOtkpdDH0WX4xiRu42yvak42q0z3mQkA+ 9RoIY77k2+lbf/iuLPpnyCEibBqieuUW4js6gSmudOnWBD8R77JlXZPbiGAYNJ+DcB3QDHpiSgGn MoxUscHwUZ4Hwyv4VldLQcvbh99JYcrRiaZzh7PAoQ1r9yWpi87JYNHekt4snOAWqjRZVNbWHAcL WT9SzGy9eVfh13BxvgfoiMIDSCm3UFzD+fxeY8nUgiOCExSVhVPQGttx1oZTjhvDpmBz6XicRF9F kQZaNZ7gOx/AA2JvN/1P9+PFyXJD7ilZ/x+fE8fWDO2yvvQR9Iq4qn9+voYkR/XUk1UnQBafUkTe J28rrQXZrVKcS02aUqj3G0wLOOGFpaWEMD+KeZtgNfuD2Yl/C9BwiWSHfYtm74Wd5WobI3J+Q7Zl SzsCf2BpRTtN8ySKACmrhK3fdqmPMaF4fUIoXKFMLiNQF6+HDuU+sKY4WCV1UZrbNxvrcWliQyID czJ4Q9V7mvukvexGL2cX4E4a91RXU+JkvGuZeKlS5NpWcbzoL5EEKOWVFsGlaLfMDe9P0GqfiVgx Q7r5DMcrZYcW/zf1Pp/TykjxV8H/ju4Rc8PGYDTXLtHo41ikNeYJIYc4xl3wQ1B/JzmUQ7pmnZkb Z9KyPPprE9GuSRsZCTVd2+rO9jyHgf6EQ7TcEkTd1L5hSKu5mqEvQyZkDvIdUnVg261dZb/ObVSh FzUgq54dZ1IE2qWKDw/3lBbr45JEE1bjJIMpSWUVnTiKvGQAafGi4/klNNrUjDzSFUq2ZItaFILJ Ps1j2wZ/g23tG0aNiXQB5Bsey/8Q05TC/MR+x3ubSu1p80EMk5645bd/XANyCj8gPkpuupFKKqLh Wxv8LZ5TfKUPc8DVzSBrwNkj6rfK3zedpB3pXj6kQCkDM1CvOgZQgfQvUuUoCI4GFnh5mhSyybWN LfZvgcgUxrijEAylbbnTyKylXmbw4cz7SFDw7yqsv1XSIYlrtcM+aLxPAoSQ5fEv4HE0Kmxu2hk7 jGcfv2raD2iT41fKmGIkCE/GwO/yVCKZM6RxMT7JtX9uo+orp6XYu977UZImCmD+vgrnL9ZnFHIs reKsBUck2BGuG0d4vzARW5IGr062x2G+846DUoNT54fZ3aqsyRuAmDs4fVU/GJzI7eYcXclONb/e ep0e9hyWmioGyAeM6XJ/IvkPGeuiSdFUYb5N9I66z2QOhQFN8yu4B0X9I+k7FqDv0u6TKsZm7nxW YEz6dqsBnjKDqsRRsvQnTHeHmjFsnA0QKuzVV1sEwPDDZDeTnCp0+IqmYxPlSpVcqJ+ir2u917Wo Hzqu/xZguqaawp8OokP/1S663WBsYohRNVZEr391KGzjy1Nv5HP3rKUDQtqfgJGcr0pMzYotTEfl oZsFkzifTeKmbUSxJ7Do2Quz9I1vVKhr5uDeZYnMbcAiyKfcPwVYtNDnksgEqyCEWyn6nU4k2Bzq RpFn+q2P6D6aZ+LaQ7Q0RKFWbX9nnT9z4qod921kcc+kRuqOabLsFo/HLMmHyDM/1bQyWczEQEwR uP+tLc2QxO/nfOV4NxDexV7Vr/04m2+zV2HdBBnyo0p/xNR1U+ReL9Mw9nTU2qu63rDXyj23xpeK H3SCZ8tgmKi5UfzCNDJyX9t7zRJKbvYtmHNbb8oXmMBPhJtQFG3ut0qgFWbwj+qNvSAJIbE+fIX/ xS6TXVdOTF8wREsC1GhS1HeOSJKgPUjijCQrtdIwDdmhThbfZkkPRgyjp7A9Y3GurZel1eC/lUg5 XUkFRJRzdIMGCEWLHCl9oQwiqxLr99GymeETjbNJAPe2V8HASL3Ulp0rhaQRKqz9cgL9euIZlfA/ ShJnp5gHPS25DB/ML86Yi+waNlh55dLacaiM08+m0d1Qt/43Vk9utCVTFiMIjkuNGDIsPHB8X/Ym f7QKKooBwNpf4St2dKaIynYFlgKPnl//c/Ik6hd0jCG0KIy0hW8gyHDP3HHFPYNnogCDs/D4CpqT Qz5vAeLfa/CDucGoaidCYmIQh/72buTwXjKetXSYlGwz8sBqZXLEBbDItEVGItqG/3GSW7Pm9x43 ZJ9N4Pbdr06/RU/3S+lNOaMfnWUxDgzI74zQSzau4f8nIBn7xOvC0gSD7RkmNXa+g98JN4Zl3P7h qMsR1LfFNCVwKkRo8MohK6D46ENtdhxXtMAHgkOdIm4zDQZeAwtXHm4R6soPDxzcaM/BB85Z1ftH ESuNS3cv1Py6VR3vICut/oE4ztnNmqxmw+0wjYgkwABl46gcRgCfG54MKdQ7MUgUeiqS0TSweK9v Rv9KN8T6CFqjRmwTr1EZPlLSNAy5+yBwa8bLuD3qTgGJRYv8eDD92w6yXCwnul78bIvW3smeYR3o ZIDPQXaRtH/Z40Tk8xsLqKp+KaTpsQ+xcZp7yFZHbkKX7OBi+r4Y/X5VZ2zEtufb9pfjfTxYoMZ7 ozkWOeiKaaUgl0+AiTFCvNd78HAcCBiD1WhTAH2d7lTbnuT2cZLD1uatlV5dfI8BivQc3LsOzjEs vEE69iRT4P15pkt+I8v2VMwS9XatiLJmmY6uFN63vOSjVqBOqcd5HJyRIFMj8n8hZaTa+JdW5GjT Yp1puvVJjemAkyEt+2YdvMHowKMwiEl2loM3Qazs+ZgOVrjM63EYvwRZAOQwpdvjPn+JGKGP3W9t Tp7QfE/TrtxXUaaUNAm770yzJqmFu3bYFwMyxxFnjbPrXW42CWZIThtEQOgICuitSIL0Mv+7SDOL HCyrNeUgdNQol4FdvJdhJcL6Pbhnzqs37NWZ4HqY5+SsytBJDrvkDkKjk5jNNSsqdtddgzcg1FkG 18j2333vX3RqZdlADyKXmDyNrUioWz6/HNPyblRU++i6Uj+gAzjUqLOl/U/04QqIT/nA6n7M1LHq uhzcuY/S6dUiq+GkHYMULxr+T4Wywa67kAK/eREDcfzt28aW9nZmGHj2wF/wmduMo9vQKa/SiaOk u0AnTicOcHkysG2jwr8B3rTNKQfFQLZvh1ywSPTv4dzfzA8RDuUUdv4TqI1Jcb81GWJeKfr/tlTR syE6mlxAWSCldrsO6uPvey32Jlmyg58ksphuAhPNxY7VaiT04KNak0/vFCCpjMht9VFQzyl5z3bw r48LZvQKKMvDhSf/Q02fv6EIAYVS+tIQzQCYd92aRgbix/bmUTL6vgnM/gjZpEcu1OZsVrrWsqqy vrq5TS7I7f9JFaXltUn1n8FoCN8FnFq/yjWC6m/tgBa9qJ1o5Ne0doWLHvlilBXzXBBCNRBI5NH/ gPqMgea4mkfKKNDsvQtloslHYtekXuJ84yoAe2dQDTuSSHIMZl8r1BDtz4ArwLD/BxFt+dGjmerL WjHoxlWl1w/8PovOcbpva2xFuh5wy65Z0t4cPMaYmMS2fkr7ZY46hkb7Ek0mhjttA7uwXFIBcc/0 5k1MCkmXydlDKHerTNTMBAKdWhm01sngv37628Iq62fcIjqHXG+bxoLnWQ/M/xKcUQGHxhj2aXuh OT8Ppvpe1K5yuktyFfb9o7biBdA8fNuFcaXE85rdvU0iohcvbB1bIoce4toEQ9e7NUyObxRGxBPt Oad01BtPTFSeMukgBE3+fBgR/WA2LOqkvFMj9KAz2uaVInzoqGDM265QoYk/Lu223OlTuYg3Jd7K 5TeBOxHpNYAiA6d8xQ8jtnou6ZVf16yWpZ8f94OuS2UYSVLrc8+9Y9FirNXbedz+jFAZgb0+ipBR O1L2ZEnmPjkhZZHr+RWSYotZLR7DV+BWiHo3PZEHesvZiQh+9+Z7aPohMShbHl4f1hBq2WzjFat/ TGciLf6RrSEE9qwEM5IzMNixYSP6d7PMvgqE+UFgHf4OVFEjV4PIfurc2j2mmJGQbgwmFgNb+Q49 M4dW6VWFXqhVbSfAS20I5H3jrVGXFzk/A/t+Skb/dR738f1dxqpcC2HsA7JW/n91KeOpNDE+oRvZ ArSlYEnBGrtahio+haIrZ1gmHP6B9LSRulzDyDUXoBcIxmh7vkYnlfyExy9paEQpHw3SaEJqgMRw 29hCkqhR687PFrSvX1lH5cfGfD+KW1tLdDCd1q45z4SQW6wizT7FokUbylmlNunhxC7ckFKf+0a5 KHmZ/rnHehVxWekqBDLfmXTzOqyVEJGdZjmZRxHKGog7ydZ7fP8yAaBzf74VNy9WDBrYPkUlfg10 gR6F/4ZvMJJlkbfbMHfozQSxuxJgKdtUwvwduKImlEZueGUGnaDubTMVmIynIU0davOJD2fJ/pL1 wfGpjTf5gzFetLx/fdPrkp0iKF2PM8TctJcfy1V0jkpFYZUJzHxFitOQOunWlGKHuGKbnvc8zMiH BeORxEEtJYL4Pl28xKpiEQuh/YjL+h/+4Q3jietCMoKk6Hiep1m5IHh92MBJJNjUZ4g8+DSuCce2 yVs5T0Jj173KB2EBaWK0E1kWOeyqyQrnpbXPfZeMA75T+wofuPYxtfoTExNMIVmLGqL0F9t8izLj V8RCo8YaaEXrZL9K/jMQ15wkmK36c0aJZP5kGPTvO7wQFbA4RKZrZ4Gy39xVbw8a29kNX8A995aO 33uCOK1BC7AQ1i+woaO7sjOnVGihIuvfGB6NfkOpTErRLgtzFwfOoe/grS8ez2uOczJTT7bv4Lao Tr+sZBkcpkVNumHEmZJ/j0y8TE97NQsdwsoGaW5pyRZ4R09uFMV7YtWIxVTjw0TBtZhYBXQkY5lC SE2IugkCLxtkneSp0tOsd67IHsNU/OXxCxe9montfh7MW5lWE8lJHQhQHUw3i9tKQvSk+CnyLN2p O8sPVX4aM3zUCVkpVNvANLGe51qcNLnsOY6p+YE2j83S6Zz76NeAuyRKJPvhi6ykwCZ+GLId/SdS d+p7h6BOnbrB/niCa1iebGaQyPmN66UQihHUqiL3UGxSFiOIjMFCtrz9i0AFOY3hK1UBG1TudaaM 0vLKcZDct0dIHksxeYr2vMm53rIRAP5sMM3JSfk8vCYU21YsKf3T6aZDiDR4jxK6D9CAGvR1abEa 9TxbMdsUkxJVrvHlNq/SpBhiqDmFiEvo4/JXkOh7zr1cHj214SzXwUZcMh1oewHjI4VRkfmGbtyZ SpFcGa9XaWd5oe4EwKHqX4ghpApOw12x4O5PzJaHv6FOERqDgfHIGd1NaOxDxlkwNsqT/22szSjg tY7cSWtKBNEQutrhK+IYFCiSorlFWDGPNWvKZ41BnnInyzkFoTtZi75NZl3gMwlCgjBfEmGYDMwl qd4sBXIFYbwQMHUVAzfkZQ1WuoKfl024aVuFHfrRMkcw0jLnG64XvlqhH2ghY12C47An4Cx6YP7a HYVPE/kiENaw6q2mYSTTWcrkJU/+JA0LCOHCMWZUUADtaK3NVkVqlQlUF/kVeP0pEcGv/HRGGVk0 y1EZDMLEjvmCuwYEP+U7bwUTmZaqkiOD0OUyhcGOPlDj2zCK/o74diV2laj4j/Eq9qWSDKOi9bnV mp3/EeFJWAw9tgCpRaNZILgzmLc/Ykm5oZ+qR1A7ea/Bw7qiHgilxhMxu0CpMkhChBSWXkhr6GcR ylphHNBu4ooteUSiVJkKP+9tuSzEW5NyHLN681WPGN3oNjX1/p6RdiE+zhS77R75D4mNP4eCJGsB nGlM4Nyq9j1GhjYKllDUaJzo4VN9jbKZxhVobVMWJ5b+bfDjwE6WMH6vPnPh/w9SB165s6o4Wv8t OW0AkkFTOpGn0JKr5rvP1w83zcdrgRab+Ik0fzifa4wV1WmMrNvAdlX3EapMqGZBjcAmy82TTwkB sLwEiwFL9p7A359158GVBmu74c5jmMsz6mf0vpIcoPm3rYtMNqE0gl/6lykjqZ6vw54W/sAGWoDU AOWM+jhMydUPpkA6X79i0cGlZrUwuRQINLxIWYkZaDGKIgbVMEbdh3skE9YvLHR1xHKXgGQuiTaa F0l5dzWvpYGjwyvWmtKIS4KDdREQgY0rT6W4YaXhv4veIvDmqFrO6ZVAdRBRJdOtG3j8LYzQ7UQO xgIwIzQQy8QdKbAHBFCcsWq3jBLRmw46liWsjhCxRUAni7OcSYlHyxzcNK6+IA1I/E6cbldg5a4a MLDvIQtH9Qy9qX2Xq4uprhqqRwJ66pSW+uWvef9ydIQtelYnqZZvmbx7Se3V2Dr7FjfEKuXL3tzb RjemiV1CjhP2o2Bdj9pKBUA0BL3wx04ozH4l5P5pVtnQQ5K5F/ps2OxcEQFz/Ck4SbNwwtb6CwhW tacO07qsHUyPfbItdpKV2/j+VvXcufBf9cvFjRB2x7idc2OoNQ079WOtXiGVfpVQQyPSqDDkDj5S F0YDBCs5iwmN8hx4+YA2vW8YjhjWOpiHckwrv8jVc/3U4FeqI//sMCBmxYWtfFa4xfUODYaWk3sE Crz7TLu4tNUS0B7ROvYuw/3M1sFs0pKk9EJJUxLYi2I5Exdh/scjlkrGQYYjAq82u9vTUaLSQYP5 84DGgjRabIDhF0F+jMSS6Jaeqxsh4w8DcfFELRshLhC7o+gi3dnXndgcEF3+pB/9Sgh0hXexHrGK tYu4TQs1vnI16etUWd8e9Ho/jheFDNs4DbiXg0jkT7xnm/PhyTJ5PLpFo/0Kt+lUvd/ZLMf/9boS twDLncyV6X1g/ZrraeW5rftphQIp1+ZWHbZVAGmNfss4iz3INfS4i9sQi9ZdeYaQ2rstKlX6u48i 44NNSOdBtHBHeXuTU1nTHC7rtVcCNZVypBBiFBuqqZzvoQH/HSbbGrQvhNlT0mJR99DOa3aCt936 TSHBzIV1Jz4w7NFCNhJo0zA73XhJS9yzkGjJ4UcqwDJrO/hxwpLqS3dYMpz9dTtxU4k8I/o3nINF 46WxF4RTUQ73mPx9GRasOmXeQCwdFvhqRUqb/ZHgxlJLV1cyWCbCv6Umc/OVT+WmyfVpod5ewH1a 5kFXsPu8XQHQcHlr1SW1xv3lWF1OSVhlubqeUOiUBaCSYMyjwJGJXXqsU1RL6RryKXlQMziObLYH qCV9+c21uXD3sqIJmG/5RrnooMOd3FFnRdavu2GythAzaRKdpRYNTEn5PzUJD2TLMNgigOeU06DC /kNWKQeXF5FrVIaBlhs5dai6BRiEjRDeKDAFL/OTZexp8ngDxly08jzH3J2jQeI445b+bOnIrPgZ UfRW8c3B6Dj2BPUgJ2bztRIU8q7wdop7tpQ/LNQWA+72cZQvaO5ZYl/ouSpHW2aismeJTfA2jj0D DEDdXYhpkZ5QIiAl99Njo4Ufwu40OqTTdVWP3vHV83YHkSXeUTYFEh0Tv223MLj93s4a+sHxplpe j0SDGIQJ3PtjbH+Ct/SB5dUnzu8fgfrbY8AE2AhLPzLtZouy2uBhgCAqoo9DiB8Mn5LJtHd1csoB zvXWq1g9vkd3V9t2198I+bnV9beKnwgXF7YYnmqYFSuCoemx4tu3b0ljNlLE1PBgW3oAd3DHxe7g 5z9ctUkOO4lMVmOzEC7mFbm+8OdiQOSDVgXCcoo0fXaMUQ3HmHR8PoGyK1eQRB8JJjmpA04dGCyn D+jHP35k001EuNM7/YCFY2Bd+AP3G0zHasd5NGaOW7ePQSQwJWpcjkJv+3xv7OiilNtl1kWFla/d MX2LL7zNuqr3T7g7L7Nzfo87b0dSg2VIExN9XWR8HEByTsHOL8OaYTUpVIThSuq6+sCWN3ONKP6L Ig70pt99Mahjq8VSwEJs2fJnnC6tNW0mQIdOrK9TIlTGmF/jtcWepZqXS9P3a/lKUdTvI4NiOJ8g hakh9Zd4Y/cjkZnoeTpFL2w94MnYIRh3TZ9ICwXO21Trem6aM2L2e831f+B3YDbVoR6p3DSDwRM+ h5C/EJT9/YiDMv6OsaIVhNOCq2sMKIbAWlKR19Ss83y81ipHsY9cLldqrC2nV9jAXsQJoh+HIkcM 2KXSXevH/fWCDpvuOMScy/yBkwhUuZJmXeJIRaOYuxb2vvc3wyOev5D0sz2JJGKFycON0Q8dbIaq Sn7bDuVfpgtVudwfjfpgMudtdIYLMAB17ZAxqSy53G+hK8B+LvEbDUNGw12cqfqz4+UaI0NXJzDn lRzNimow9Buqpk6mh6YDqEy6P9wb5xkrfhGKpUEMkd8bFPL7YhWDvSW+jDU00m6u3Ex8HaC//DrO cB0Fcj/u6Ran8eiT21TQIljSfXHpwcYBolEG5fgeeUwxITnzZgqHRCTP4Yxxp2Ggoo1v+fBeCPTC 49FnOigsqtEyVryw4Mzt01RSYwjcmT9p43bkEYBaVCTigsI3S5OEIxz/TegeRMnZCvklsuKL4BtO Aca8EMUV2pUmVPlWLdqnfQAxfU6VXYsZkXR9M7Kp2ibWILd/5ZDD1VdNrAwKsBasMcFeLp726mE2 5GgfvMrdeXVnWgOW9ZGFedvhWY5k73bmlNor2H3JclPfeiEpA6+YeWdNdW9gG/ybJ6CAS/Bmj56R t03f7QDFkfyZ9h14VNVvOlxe4zXOHizL7lFzIkbdMesQ+pmjXqWu1zqkbF+FFfXO9ZEjFtQdUmDf Q+Tc39TXBJlutEmvdCdN3eRvGdm7lMZZfrKaq90c1jM615jgNs5j3i4HVP2XBVhi8Lw06IW3CW9y JgVboopkaTy0ej4k4m7JiCTsBOljY6x5eei6yGkcnXACPU3yW1NRFxcn7Swky6Mdn4qk3lQVJ906 SWIh3pNuIgNYobP8LpCfUdWE+bLAD06CNEd5RUf+px/T3ZFb498h/BKNpKrQacYhU92WCaUF/Y6+ Z9SX/oSz8oNHMFEnkThp41YuXoaiwyviiDkCS0c5o42kl1N4voG4QsP8zyTA3fVtlEcsbIrJJUBi 1zajZOUyRVbhko6JYVmxWPOV4CavRAV59ks7eRQO7F5+GItk2C51Z4K/d9a1ce06+lhwFhMmUpaQ ilE3U3/JIpN+daX4m780d0B5W2ylvt2/Fefj92xUG2gNuGy6q8qEJPil4J2+hGlJkau6wPEGp8HV ucKIAl4qUeQY/tUGftwU3fUtFXZLtkqruX3nO6m0u4u8QU2C+LdqpG8xeKP/3665CZasGQ6cMe5a L+Vh6eacI1NHcCEFJu9UcdUoUQTcGrGQjbgwYGdyqVcyMzii5VbJO44scmRGU2o46MGb31HRf9Zw 9wzXyTj06grUi0Fg44GouWPEqFdvKv+JAz3NHBokEXDhGUam3kGtYUU73Ekm/hif8QOqKGGpijvJ KMKt0yXec+H18NjqZriKTD2M9YkDkz3vODb7znVnAtbECQw/q3+1bG7MKyKGobSJ8Xh3HT+ioFpK GIvnzsTqIs/6WXW8nHwXCWHXgjemAX/ShenRNdeaBTAfWV8yyVSIgqYK9k8C1BNFDb5fnZ6fEMuf XVZymhTQMVO7nUxPplAYLj9Xvo9BDqe3DyiIczssZht/9G3PENVL90/Z/amHKFCs4SpevaWFE2aF HOIDEi1ubL8rYyu7ae0KfDp+4e+y/QK7oEEpp7kL9wMEuiKBriZ+jfx+JGjnUmnJLNCFJq76AN6W qEgL9v7F7DtOHb2FY7DRuerx0SZIFoocDbZ+j6A/i8buxM3KOfze8GlSPkME2vZx6JZUWFPzvs78 laxZaIIJF46Y8yzSfU/HSEnuI1Au6HjzhWASao2iEceu/oBSkY5gXmzA/ytDg+hnGh7J5mCcd8M3 a5NQVeb7hkehWcLpjEHX/TskFhGlvxMUpWGb+2Uif4EqCA5ic7knIKkbe/qbwW93IHUKTY+9nlkU bbgNGTV3QaS+UT3a7Qi4p5/HhL+WhaRRQmgtjttQ4d1/tjfyHOhOTGBTz5Wn23MEv/X60yd3a9ra 5BzObuUwvjUh0dbyT5qTEq2LTl5ydbRxHa5+JSCx3yQbYC+dNY9kAE9/zCRplk8974v5jwXTWXLk w6Tw+DGW4cRx9sDotJmd4tDuupIujI9+A7vEJrf66CswKALcivNoEbFeIwstX2IyYJa/PblzupNh j1A6Er+yFGvrU/wcn/dxQCQEqNNedrtR8Q/67zp/p1FVm//Pm1PFhkfKKLj0JR4jAb7/ltgsF4dm L/BLwsZU5oizeddIJtmhRkBdaoqPm6IJhZ0XBjDZXOk7MXiGqWL40qiqojWejNSES/R1Q6IHqTGB qyQzK3QLyxxTwZaEusgm8nR8jWJsyLW+SMz78cEwxqZso7pvS8kzCQDXpdmU4vFT8V8biaH7JLz/ CEIjTpTXvqzb1ISm2R6ZQdFPduvtL4GbaNf1PfTIFgtd6Hc7W/ZXONqoeqKyP5x6oyKMWWRVSJlX QQfTzpnrHFb0BLh3Bi9MpcXpFfd409tIru/QB2MVtUakITwMDbskhhosEv5ObMaVr2G1jpuL6lNe 9m21Qn1R6DpXBQnBq6jEFktzlEwfk+VAglxDX5bJSOrBLrG9z99TatEnokSRf8bUQ8/AN4aLkqlO /FNEvYSIiXtMX7jVGTWg2ooAeqMLSyIjbQSokYHpDjwewuODC79TMxLLCpwiaaL+zfuTwDKinzsq Xyki6e+7x/8cLreDaN5h2CnthL0H0ZloL9SKk3OngqOnR3t6ktOAY7y2wB/jpZO7w3TzLrJWj9CI 8uKaO3zw23DkxfD6/L+h/LLiqC50n1rD5gf7yyIVM/MLt3imItAYgeSu9o9oz0OjcCPxUvfVTob5 kqRTmnIh0No6fpPfnR2tEwxjSSgWu4sIWr0vYnICblpz2Raa7coW9wE+S/m9p3MgVtSkyKZKsj8N g0u+dmZulfSgCF+AKx8hBHDpzcbKuY9fdgyZysJZnYkBnGBLfKJ7zLBE2Sw3VwhQPfm+3ydlBJzI 7hS3OYqCldEeP29AI0vf8mZBvu13oOIN/Brm7DA3U6D8WkD/QKXqOjjYP6IiVp+ZA3nA/51iIAaP mMjHdOuQZpzg5FXF/wjCo5PzkgL79XveOrS+m6DWFwuLXiSvufsq4/rS+IBOpRWj5v2JytwmvnNu XgFliCmZxtfMLMGa9thToiu+4A5xnpIMz3uEI/h3+tu7xU854Ai3z7YxUfCf9e7z6aECjNOf/jj7 OJ/uRxnukb+poO4xe/EiWzc7b1ftnlgE0+bvPNhc9XVwtzo9BE3RTAZ6UlcsHyGFfEqwInsACufn P3gv0bstUeP1L5JXn5nb4Lc9sMV7fA1f+v8tHmuWlMF5Ysewud8U4lmffhx578OY4HY6Tovypo7W oJ3qZZE3pJzaKKYTNwiINIdqYlHW103YcadBYjAJVFxbcukgLgMsXaXDcLzlEH4qaUty90dtW436 YfgsSBC5rsBe9ehJKF56xLl3Juf4W+qVdjNHQnh8LmTkNqFnM5nj0gHcSEc0J73SVuilesEdHgBH Ks8YN0kyGHZeTQnWJpfZVgtNBykYEfQIl424czP4lXJ67raB+rWVAIYY0sdghjaJhIjXy4BOWaDv WPCFEC0ZCJQ8gJY8bgIrmwN5e5LKWkH6LqqR8g4MgOofqvo0Q8k8JnjPlTG0vEI1s1YXmEMaJTWI eBIvE/Er6CSzjgWC/oFXeDY41Wdb3yZT2GNKdKldm5z9Cb2CRnubNf5vpt0eMNZdv22qvegK6LMn ezX6NG8piK7QORJFsMi555twJvPqJt5/9pl9BV6LhMObOtDAZp3a2qMSCFeYYeZh7SNvxxrEGVqy g4reC2wnNO3gnW8S9yO5ZJNG/s2Q7ybzezVaUvICQQf/ZnyX3IiERIOo7kYEtnp4Vz2QkSpjiQS8 djoEDvHSVOodfhHuSVDWadp61fBfTxYrH9VwHKiEFugSs0sJDiXCPGomJ3d7GtbbNNLhyTvh76Ob h1Y4ONDNUyocUH/jOMDUqQsqoidUhA+T2xvVvd0eqTz35F+CXq63PwvAyOF3rW5jMBCZ5PxluXnC iW2FDft2vOymNyrZM+9q6g1t01JDmtfq+4aqtg4mldbHzOZ9dvUppPPIdZHP4n9lFLtEenlSFm2V 5EeIYgRJadefk2GitVElUDA05oHCnVxF5Gt0iTImHkNYgpD2Q02IkolbMrjD8nlVFIEa2IvW+/yu Kd/0SwFt/Fw83tKyXn0R3pCgySoQYsjl9FSU3nvkoPiW6H5Qu47YTQEuIZB+SqeFPgjPRFoDD04o QRsZxHUkHkI9JNobpejKBlJ1Tv0DdEHjpqH878U0OG/Bq3tLs8Tju8aNF7rgeek4mK6XuyKHqUAJ Tl3l5NPXbnIakK/kQHu84EUX8WWyZTJeCZMgqrYX+Qeey695TjVtrIxVYQ9bfhgEW/39nXbwbHgj 3rDo237Ij4NWCv35CT+343s4jvn+nw5kRoFzHf5CdBPXlhTCAv3p03Xnwp97PrOZ07fZWzI369ZX M+7rj5A3kIYjpktCb2W9gengHrds9Len3zJ+2atv5/cJUO10KcdXcdXWAWfcawgFBsqlGYytkFKJ WGBDOdIRKDxt+boyJpGAXxmRM19DOub4GRSE1BdpGQjFPV0ZPfzmQ2EMbbP5CpqipTwdjvm+/jKf lSNL6qdQsblmcPkJWI35euLJJhjl7kqh0GOnQe0Sn3PAOAOUt51cgswp8IZ6PG7Q7Hksi5g8t5wn MSoeAjtqq2MEQ6wWPhechGEwq8aLrT+NiUB+Au6jPjUCt3Rh/C4hfjmog+rCPt4AxU5DIAVHD6EC ITQwSRvIHge82buFtxU8YGSM0utEtrvB2ezqSbcjYbNaJ6Fj84DiZj46/BcFZprIGx8pcnDTOUB2 auQnFdk/i80xj7QfoTAYEgZ8v7oeYNBX+DVvxuaULXyTubc+1JmaB7CzAXEJ7jGQ0k/96Nolx6dD /g6usBHygc4CF5u/afnLAvAIHNaryGFnuVFN4+hOF6ZOom147euFwaJccLjp/j3tfK/u442fkkcC ai5dhWUumbocPWPX2/U7ZxyNU0i3NRYMA6loE55TWwQF9XgpDrq5LB3EvO9H+8tRbs+b5FdtjiV1 W9zKuV1daZbLvUzHzF+k21z5tVzwVGki+BNiLJYbvE0SJdPDCbTusKplGNqdiJF2fq6DwQ+R2zOT sfHzxqONPQClVwAW7sQD3WgR8xcAheTuPkB+xJLZtfO69sVJ5gskqThK7V/QOl9d9hcf52SYM90z 9T9I2gM1+yQli0XKNDmRSvCngB+Fxieulg9iLUKxDz7IWL2QAabZ362hrFH6xisl2hS0RQlyBgkc kmol6Khb5DXp8P9wCxc5gLkNDZBRhKU1FIZnkNPbUr93NU88tlw8EeOLrJ38SfLnY6PJQAETzpXK xkeh2XkxHM3iNIPUuw6DCPKbctBY1m7ziVUv+WPuKmHOyS4gWdkZ/mJKUvuf67mRL+LYJ2bsPuvm wbD7ioNMVJel0hDYDA+T6zScgbhGyycN2AemMDXFq/uQZ8mMGV83o5xs7hVrmJiCpgLCrjPE8/4D 7oeKwGsolvJNt8pEd7fNnWSw6JDkIcR8dWIERQsFOuyeu7Bk1t4Ckn5+MP7zsc7k4vE0it8bg15v uBJGXI0BTYUSH+80r4tuFJlF2voPewLG99MReg5SMF/arWJmKyXxJ3Zw4quz1BI66aRp+LsVifE3 kpH6R9IqyfpTqoj+SU7MZpkbkyH3OJdOgD9/DoSCUZAZsaVL7qAK9BKAbOkfZF0rkwJe8bu4Fw52 Y1Fmi/tVfRFW4cvYAPSNKEsGPntymuE7ccCIoUBdaPibl1TYG/3wWaFoT8fTzJIBOenoTluR3v4t Ull+2NtAhvv0KL404qbAMzGIjoYe1pYDSRGGHB58H4F4FdWMcek6JbkjBs+u6A//haPAbV8/Ljeu 4FeXDKvInOT++/nnxraLQZ0Z2ShmcgHqG0azUYc3P0OojXQvQ4u1T0BnmAXJvQyuBaIHNQyblG0w ljR2P5Q2DgYTgE4Lsah+JiQBCb90dpXHHkGllVZut2Z/sf4uoUhQZCm/4k9wh6cY17PlWa/EGjqk casWuHETyjZHjWkfnSQ1gA4Y4DZQTbmxjkaTtGW5RDJQEVpkwIdxJJ9YlCefJ8XIt2Y5m8mD8w16 XR89RsJhHfixpbL7rmFM/PgZynp9FBLLESVnFtyTqZIB863OD8iLYtlQ6zBc1wWOgEcpBtMDJEmj dwm/S6mvvCJIS88TweQqfjferYfqEIvcmodw2xCC/H54TgPcYUJgR++O4KVkO22vt5xf1frYIXnH WY+wcQo8wCHhDAWjmn0j692nfTKo8UHxjpE8BOhwRSeE3A3xzSbysZugXTOOohxnEEt6+MQV7EI7 u1zoOTVDF7RkfyvQfV2B0LjwlrYdbLaCUMISfOQwWbSPJ4BxFjuTLTNtY6jhsFBjTLa4Rl9iDpoC cerPoPWYKRHssqedx39mx5w+MEBcDQPZ9MkumERLZRUb5RD+Cq9FNtvrkAFshcjHQEe/hrTLutFB oMVe1ezDwxxLDyTiGqAzy3fg9tr6i6iISYUfXBAMi9Y52f05IaqSny+j3EONYD5m62RfUm6NTicC EZMK8fqha9USMUAgq99ycIYZMRpBWy3ZWQ09ZRYJluzUo4eqNtQa08MgoGSJco6G5zfwsBWkPKnk F0HduWKyLy0b0HNVTz0lrgH6/7yiPjg39h1Z1J6uc1IAi41o+mMnrHupRTA/yKGbSh1YHpqtVuCL z713U0RyQPn8lR8rxlJP+OMEsvJmawkf3+OiviJ/0qTiwBTVRMbvXcRdS1dYi7cWi0/nCETHA1ti F80w+ZDTqZG3FsMAAl+MTPdW/0vXd8VZcybeTaYB93nop9ZM+imNrbHWlmSNQFUSsLjf4V/CMlSz JyEfMDO5Hs0lvRkVeWkcWMPHiIo3X7FKu18NQo6LB/5UkZPHSSQ+5NSUAMKBn+VG+pMmeuaW70C7 6SB80K8hLcNSJPmbjOVcmjWGVh11UOSMS82h8Wg4paMynP+O48oihPI5ApJBUd1N3gOXmb+G/icD gj75SXP2KO3jAfdqgr/5IHcGXktu6cCZ5o455D5p/EuVP/1vddbwMXyhrfeJ3113b2JREm0so9M1 l94Wxn4h1SvhEiA+nwWpfFWr6yjxFqeZppUR2b1JjgecUbnnqRVQWFvO/pqQ7sWYQ1oFmTG2I+bR TyNBl98THS7dXuMvUEkuDXZqWkO+6osR/KsWJDUbXqt6W8B9gpVDsvOZypkX/Hw5q4DCnSac1b6Y 8ig/7tV9K781eNwtW0t7be2nhPdsBsVc8M4ETsKyt8wZm4DOVgdZeN5eK/F142X3/3t3nxJwoj1h DP7Dxzy4rgw1Qh1TWFjduEEbG2i+de4nk3FDK1kDj3Kg9GNzRzWHvdD4l8NscanAH9rnqS7b8ikL jOyfwdxrL7txhpMsP63X8rzDUu5qJiJyT7p8vci/MqnDtoGSBwJiTCUrE4TEUT+ImQtArQaMN0K/ p2FqpSTDsML29AiSSzuvnZ9eosJ05yOtkJWPS8uzFA/6TLCTEE2nrSIj1ruVMNMXTZI5TQYjPwu/ GSOX39Oi626SCMNpJsXLKpQWU1AHxuxrm7zQuZq1i62DG175rsQ3Od1AT1mQmOQZJ5TmmIkcAQPf 8ndfsxTNYnw0bBVvwF+L4sgSs5cbP/mT3+bvszxL0VAnMxEJggsZvneguLjZe/ymAM/My9+jkJhQ 411PgyC/PuNCIGzN8pavSmiNwpUUADbTYBTVHVSFG9IHA1B7ST1Nx1a9sH5HnzDGHlFygVV7UL+b nxEXHG4bcJotx7XEGnchqDXOZGQzbN1dv42eDj/YLlrXQkCU/27zrd8xXmoxDkGv0cZEjOIem7WW 1XZvYIsABbPr52jkfM8faz+ooOH9utzXC4+GJK09tCvI1xz7Fe5bcFJDtCEPtDifFTjLIiJ1+SPa 2eBF4o7Z/1IqQnX2QWWP1H0XVXBtyE751yDZwvKZnqYvtCLGpexF2wUk12jgnLWWCdd5ffUF9aDf SuYqJRyl5u6ApbWTvdRhzwkyJF5kctQ4IUZZ60GYj/XzW8rWNTsAGqa6PW4VCo/mKmBPp7nVyKUI aeplLEogyYPp3fERhQpyZ/Sltu6tU13FzL0tWpm6OuaclT07brjl0vPAXtmRnoDbDLp021Td7S8z zUawMkERv1NEmxa7+BWpmUHj2dyx8npIwYVv6O6I5O0pA+ERoE9OwEubj3CfECBywE9XgxNkHqMp 2mSdgQr/tJnGcUrxCvRa1Z9O0u6wPLj6m3I3jdT43G4aAIXs6idC7/7PrwyxBL7Es494bi8vrGGv YW09doUbkSHzJ4LnKFEui5rER6VkcoUXH7rsb3LkMn2t+PAu0Qi6RiFI3iyabYQSe3VzUkxPRtTK Xh42mg03I0G74DY4RWrHEXef+gOAF5hFY4d9+pXhl5ZkwaJ3TosCNUf+sq/7heD1tCjVwN2X48sA UfPe1JKeuc7d12nrc+7ULi76cuLLUUX6Zkl3Tfmw135famH/PsRJEEub9A5lHr85tQLGPjLm7wHB BdnWwtedPnklAypbSDnlZQgL8EJkI95KPjW4+L335SRWxy+6syA31U8pbf8D0E1iYTH/ICchNMe/ p8TNxT097FFxvRtrF2dAvaCwcXI+xV4rP5DOsqMk2cKuNQgW4MosE4zmOE3xrtu02dlwTb+9CNj7 IQVXJPhcM62SeAZn1RfvLlyyUNIPjmajufktnQ95LXIAK7YpQ8tM0CB7Jl2jsyIsIuNhLihzv3ru CGZL7AQiCtpTQkoKnhCV798Xwqrmi/DOwv3O13faMQe4WSla9PrR3f8YqIutDLzK1IZ99OTuLw5U IjSV1Dyj8Y9OW4loCCwCOyEgh8qerXOc1ktDE9pVXx7nHKyNFXCFQaobIrqMhwIHLqrReZxCLz+e tk5lr+RSQL1CCNrKqjl6L+i6g91LiyetgUYVpA3pe+vebz3UR7NPS9ixNcng97L1IFik/6GEvtMV WMCFLWmAC5pHhC8/Wz0aUoRRYs93q1s79HlFuF2if7PASS2zUMBjTEAtOb83x4hmPlMOsGkdmjs3 0KcIaa+74ai/sh86jgaYw+E8+vuG1EORH9R50L22imr+KfvUsQUUCDytc9ymC4zQY1DsIkzmf/kW 3xbTG8be85vlnJfztDNhGJ25iiHKf19LcSQep66bXdwPJdbq3zs+em5RzLfZBxP98m58ZU5MaVxX 6nw8PegXIozFBdeGjyU3EZgX3L46E6ux3NeT+G0Av2AkLcSP7iDoO/HstN09OKp3IDoOXOmaq6Hg nRLaTBoiOESxUWr5+B+4QUxXqf7U7DxggjJ3IM+CEGpAbhoXaIunv9klA1uzV/sySQaEuxYpEJNj uHHKS0WxuxcNw8hhZQNOMOiyN8WOg5jhg8014HvSdk9ad2PxbYC3A/TP/yeg3VkVxR5pnCEqsO4N QhI8EKYIVCUltIm0GjeE90gqmWjH+NWwa06KSTrGMFvjz0w1z4DwqOqnATIMEjX6Wx2eebE3WuJB C3hSXJ54BZRiKT9Vjkr0dhUmpj4e71dz9iJooTysVwOIc6mUdo5Ds0ihgme6deTDIUm67yTfMO62 ZKoDCljXtSFde2yVR3ohuOUblXXTr3fHgDOgdLgrTygvLAjTCh+6P7c6VKHT/It5ZKdog0Ku/+ix wjulAVWzsQzQwO5yhEItewM9zRqa4XAaO12DMxUZKjtLc4l/V+UbtkldRJLelBGjUPtIvOjRxYfu vA9kVb2uQJ5DcwOnh3zm6hpdmhDUkWy6AOVlcJwNWhgWDqbzMvDPtoV+3TcA0Q4jFCmRBIe5lKKU 6b7WfCQLQW+yyuS+nYO5W/XCDx9Jeu5kVg52qIcMtLvJvQZmzlDULO8Jrrk6GPN+jeWpChvOV/GO vbVHW2X+0ysmd1UrzPLUVUMg+zdz3p34cWhrBxAY0ehBl8dGJWS3Qk/ipqwn5nF6p2QEDX9NXpDE rnxb9tWPvHBHhfMMhZ3Epk8LzCHJRsUy/zTNlhaxv7RklXBxxX/kkYTAQWaAC3h0WTcp8YuKH6sB UHN+b0NrWlZDanZwU/JMe+9kBR72iBm5WydQ7V5ItXwIqLxK39/nzIleozmP02HmwELaw0Nj33vy VQ6KM4m1k3/W0agJva2CwlbJj2Ylj7Na1XNfWaHPZVe1f2gAGhiH+no1+M1tBuM49KdaFZ1yHq4o ZL7c7qt4ehcTK7lio3/Ytu24Cez4YnH+blhulc0z2JRE6YEtFQhbkhuCPeNtJE8RZtlNHBMhqnPm PFOOLIvVYuaZpr7cEOfNovCAGxJc6AxFF3xRTvvHpaNdPyA5B5smfR1D8LXWkYnB+32kgb3+ggdB FoFLSZEGvAIiBP3xGMFznl0H8qiVJ/yksGHvn9eoEmtlhFHcdNzrHMuI6c8ISMCldMti7xSV/oYk O6GuZSBkFer0u9jCgpzUWSr3lsi3tEzeMImFVaqqAD5FFdAPRbuZcZE6CLSXJYUZUYGgKdU1Or0S bKDGxJ1oFMg8ZmOomzN639KMq0rmgsjFB5mUjPKT0L9fiT/CIbaDiqx29vkFqV8Jd7SMLOcqc+Gn 1NDFXY+dN8pRJ1hkaqGv1O/tqGpQYDKZ5htkBi5LsfupeIGPU2KIOw2Qlp8F176VCCFQ1bemOxWX bDaIr5JkzSi44pVAIsI9K6z8vKfqoPNCJLfnbQf+Gyrnbg6ihzWSzY/UJuKMclTuQKaxrCUYo+ZU CiQzjnB7KqpFqmShN5HBh/Ucvbna4qg2W7HSV8CnUcsMVmSGQRi/jN5MGmW1b75hA1vUWqLpN8gC f57n3GEY883MFyJTWUkJ4MnIFtklgdYcabwCjhkqTYv2vEAwJLxujVaVkrgUrwgBaDVEHyYcEalF 4kgsUT7x75tEzi1T1doFkhUgpuVkBsQHFJRaSwsFMq+5uPPvtzlGLRLyzEG8G3da+36Hx4w2dVr6 9I/HkMV5bA9dJr0e3S4ajwW9h6RkSy6Gq7OntdcgYiZSTLkBU9xY4NB4b4ImCnaksq4Syq6JF0tv DXbxFjw7Dj44yVTTukHoJ22LowD9As/vOlAH90bcPgfz1RTO+yAFsbWVHO34Jxg0+4E9EJSTqJo0 kjppJlMW/Y9b1GG5YZDKtaa7VsiNqo0sFUT2Lm7Ya3jhw/NS5Cbt+bssVrMk04VMRg9Orazy+cmd DxyCUCleNf8qU/689lRrJPnaThTKGxcGUqfrcqfRlVeeRMasknQ3TUBmi25meF8Ia2OHK8VBEUjY 92oWEoSYXPQ2UeMHF8zTI698gG5JDJCSnR88C56vFShyafTuhDhnKWY5fwb/tNiYF5mSaShRea2z gnN6PN7ppvfAIe7niVn7SnCpXAymmZPx0egAMaBDxk2p724BmX5NvvWHFIaQZQ3ndEOKLZEvViGc e5a/1kbqDAifnD3OM4RpTmkFfeDDORqdlg6CJSyVGkAfVSjeWY0CZ38etFXZXX9apJ4PxgBykyPK CtpJcJi6lp/xjTCIJQOwy99z+ieGmUceM2CzI7M4AC06f5qLO4XmziutNzQtOhAAjKi2RTOrHaw4 ymWaxC6/asanov8a9LfmIftm9oHkjNMO4vaSjopdMIlhfXR2WqWafMhyoUnolwgGWxpzwPQYXv0U 7tvYq5IFIntFtUv/pfhBgmir61uMHTjwidmcdpsEd98E4Kk+iH8jV3uXCU0O/dCnzHPcF+aQdJdO 4JfWl9EEJ7j4ShfLj5B44ELuRA8W/IzQbwbFAjntxdJj9JX/P+/OXloPk7keomElpB12gzTHq/1P ho1AG8M6WkZXlCcM9A4e9NUfdXoS `protect end_protected
gpl-2.0
dff8efd9c19dcee58c10b1fcdb67eccd
0.951906
1.816299
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_memory.vhd
2
11,340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XU18WcCwTkt8jmY17aSll+jT4Z6pheiPyJyC1wueaYPnrAnvdRitRwC2FZLTtyA3iOsooKeCkCm9 d9/Zraqvhw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UQSz4M9+5VPqMHgHgpXva4tn5lfEbO+7aCEqBJB7qFG1vCLhGbP9P4YX0ap8juXr/WSSQyITqYOv LCdM3fGnhwNwvHW38JgpLPX26/ESf8NhU/YpQN4MndkyM4+Kpub+43tZyeXzuktExAm1MieCB0wG lcM3YAsGo/ml46ZlbSo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GGjuEe318hF7wzeNenfLANT8PxNoxRQEM0UhXdHhDZ8K+UVlNB0gKATyF821DOQ8i8P904p1Z5Uo tNpU+FXcZse2c5BiOZ8cnQy7XWVoci9BIKvhCEWjuzrhCMoBvkbLCOsm4qYLTX5oRGWoMOh1kxvX xtMfX5Q39S8PUZIJHn5MvvH5JcfXmshS2KDAmaqSs+1XsLJQoo6S+XNVG4a9leNyNeV2xT1aF9TM AlPxfdM6I70GooYqevv8ToH2R6iffqxXqXwk9ssveYXnrFnFLcaVdn5NF9cgCfIETO2KVjE7Fr0a xrgpJuAWztXnhtCYZmiD125uc/nJXlubZpQI4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bwZCMIMOdvqKigzonKJZ/wVcuTnfMuM+mgeuNeebAmal25OTZNnkEEsmce9f4FNIKtZn2fpJDWwr m0D2CllxeRkuBpohE3q0iLSuhgR2I+t6PnSoQWBKhrOg9N6438ffk8VR3a5nGtbqgQMNOUVQoUTz ycLSP+EDlh4X/o7Urc4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gs+s8Lv6587sfU3glljYMPYgBrLOkciLuo9k4YbcxDz/UnyDZKekVBEAyEw+woEJoXqIAygzyxK+ jESe3Cr6cif4DnfdQOUdvPEUj5oixoZcbokdKrioy/imrWIocjtpu8Ge0v96xJbsLBuC0kUhl/D1 Ug/6cHELlcMicv2SZj6p4IoGjZDLB3XLVBrhCAvIabR7XuEZqIuYCR+CvIVVEKn/10tMJGGNkXuO I9jViZDcJ3x9NM/6wtaskVJmqjyKSH47b1YAXlrDlNP+4U1dK1luKHRKcsjE5hYpGsC3LGrxpoBU ZdIBV2wjmXZaflgK7sYRmKg8ZKYd8R2ig9d7sg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6656) `protect data_block OPA+qikcDvffarX1faJnyruWVmJHqPnFqpKj60bsrX6011IZr4tjv9g/cL2GlhxNfhi32LN0IGtt z9PN77MX0G8dBlZ/o56jYSfFVrTfvECKuX2x0WoHKT8ypztlmDjval8Vh512DrPGGF3THZyqYeFF JY3KOwK66yQuH7Ayl5LcgoVTDdYQbAvfXkDCtGQlapiPHpESKRWot0fQjmdo5HcVyrNTOiaYiuOi jyRHtPXUs6Zh6KD1MKdOm27aj1d3cu7XKsceLhzdMI4ysfiyOdtOXmNAA/s8f08Mm/93yiBdihv+ 6MPeM+dRJtCJnMFsBSwZb/pNiJ5Xrs50j/g5IblCv7Ka/2BtNmrj4Of5Kr1/iS+nqQoeaIYSzq7d ttegmJQ46PSPMhmI9YWl7eFV9/QlI5P5lAdJnuBnQYCYsskX1hw3QD8DXaegi7yWQo/05RJJ3WLc lBlCt4VvRbVXUwv74ycVgblh1AmZBPKVyyU75thXvDLxWQRcp9ZeTOGt1C0ztXyhQZIl6VV2yRRB M/l2BTtevDmpxxx7KgHJNrQtRQScsuAyC4mf8lW2acRfq/UV+h21UvdXHacw+J960y0hysEMsQHa 6NwgcaAtgsQMAwWBm7PLe3TFOTBWFDR1C+Et2h3fkn/poEFAxduFtAqkznFagFXvnQwjmuay21pZ uk3olkJyph1xv6doUzCIeGnRZqTU9zVIns/R/yODwfFsLW7g+1mH8ArWjZm3hnd3ND1NcArXKmvS yQQr8uzABkS2dKx0nefE5zJOUWKNC/9sj4xTBLiHUsu6BpDrJOvNohOHsJ/yEpHmcnIt0lE3bscs hygzrsEX3JF4LtYjv9LzX3bCjA43jHIqyrgfScUHbFoVZANtJhF5OMrsh0O6QENvEVO43tLJSzFj cViFbBed2POdd0l2EqGwK6JTJgky8FZMTiR7+wbj0Nx9J3SryVvvnfB6TQdwQ6L0Fe0LV6n9xa8z bUWI1jgtZdhVmlHzyj8QWgWMOcjE0n2bk+C4qj1GxNvTkyXLZuP3DefRmmxy+BsiVK1iaWve17zL L7lvPe9vCZQXnTktqfZ9TeZmM8yFBP+xV01Hk5VeCFcS4DTdxwW02efG5z3nK+okUceIXkQkrSIC yauChunbus2H80jNOBoiC6jDcTMsKq9L0wFXs1OI9The3NV2kv6++Iqyyoj/9DPwd9JrdhxT4/PB GnW9rNyQ0P3SkK4R44zSysvYuvuoWLwT1lHHWuJfOx7+OnjJAh/wD1lBJPwTTqBvipmVihTTXmTU nIG/usTXuDJWd9+CRN4Ywcp9hFjyb1fA3DoPCTsKz1ZGER+pwjk2fJNe7CruIkpe8+HpDqpYiuGp VAyu9f5NQsSz8vqm8e+hgq0GcIDCYwdDNVGKy5HfY+DRZvuVVyQr7juf79PQwWHEe/6qKS4RMAul b4HflxoRxWo3Zg7/IVP95CBAdyjWcHo7blQqiHBvAwfXWZuYVx1+HPtY96pkTWXEKVKrqz6fZ9i0 fxQHes1RRZ+S40+ZxCSEstuKNZnjfLlRUm06tGSFfPFrYzaduCy43nfprIkoV31Mcf4bupFjq5tE aV0aJCLhmGaIMZ71Fp1Wy74MVe79BA3xjLD+owVYVAP9qke6skWVRqpKw/Ub/g2aRCbt6iOGOd2J mqKkbBd0oGHYU1RBIdtIQ9KbEzXNDL7H0FlsFpuYlb9zQELBD4aEjpPK+CKbmm2NphRGT8Nlkivz Z5tSXE2q0QKpmXKs/ZOO8JjO0U0rZZiDwF4zEZ1kWuDs69rZIdGskr69nr9gg5iGK7YaAuiNCCRO MXMFrsY6zzwiqanOJAJXGiXugjThEB4Vc4o7SfBJ/P31zmVaXLPApmIWkJ94zgofSvCQBR+3K2J1 1Wlb1kizx9Ak+bL1oeLQmppRHj+w54ezcWAF1Km++ubcvOmrBR5kpFXXtrOFKVlisg5fH2EO4vZk KwtltbLbwlcoGAapPpVat7wX2PMnv2zdDDU8M63K44kSf+Q7Fy++vNgrzCPmsUToIClVZHGVMruG SAhOSoIec+R90YkeafFLsWHTaszanVUub6ZLI7AKJORD3LrGKnCJSg+YJmCzHo5OFL/RG7sDmWPI lF7bKN5nRw1GJkMjoLI5LmxsAJWWFyGiUQ1HW3ycFg5XWfpuSw8Y/duWyXShXk0XSz7Hujmrrp1Z 3BWO7Bk3DjWOWvHROiShoEF3w3d3IRXdRtVkDUN4ZkMwMXgWIMQvtYalNfgrDyzlu9zvA3Sh2hLu JtVCJluOtsXz6VJvtg6ZX7AQaHBhHdvH1senGRL2j6AjrcXYvf+JcO58a6tEBvyMxjfo6HD3pC6H yv5X4An1fg4IAeE4lUWpV9x9ObieVXnhc7pls2io/PZ2V2Qio8EM5jNabi/Xq2ZKXyOuL5Bv1zxr rKljiV8O67xqRYudQ1wuJQi5V8BEmchNafqI75aqhyzsL69Kjq34J9PRZe7hXirvngBPHCZUUAMh LR0U3U5G2+ivXL8vM/Z49983yOOdTFqDJgI3QcvKwo0f29VGeHF06+QuOLw6Mxv6vqaTy52h3T9D HrOHGfgtmrrFkLzfx7JUHCb6tTWGmcC1znYnSUY4QIQHxc2blpV+WWN7GcbKfW4E0cTWUbg8u71d qap5pqZwuGR4Wm0X/SXuuH/QAEL8ayiNEUmSZB2tPw/kQ5tN1D07CtBwo1IHtQHcRJBmCTFzr2RU EPbYLEdB/RRQn5HBAJikI+5SGgFJrZWO8oiq71ioczhUoPqtJNUJM0f8KbkgpoFd91w1QM4Vf3fe 7XwIBJqX9miJ6JrzD6k4K73SFRoAAd+zRo/0in66xeWKKv1/f0J+zNm+kaIRODi3C0FdLc4u6TSX URyGYBQYbSlh5ZBezoDl1ClzEK7Pq7uyfCSAogU5gkzxHF0zCLxkBf3nP+tJ3/9izjf/WmJ6YTqj yu5qgDfSbAzXOS24kKNtaxmCcrCdlpDcYr4a91KvtZ47mdjIHzY34OQKs7jrtoH1LThxwWLSzgIF wkqINFEG9kHavepVZ3TWzb4dm8fOqkilzKddbG3IHPa9YHUV95NJQ3XAfDbjH0KRr3hb+9r1My2B MTTBCWEpXzXljl8vNB7OM4upATZnTWYWVf2fZG4AC/HSrAqPSODWIvvCweAc0O+nJRan69j2Yx/r Z5K7oMMidygJ+OMAgJ5nl9rs8osAVEnDGyFKTdGZfD5jIzyyJ9UP12wfn4iLq+lkrpM8zj1wkA2F 61YT4Mzj02C5bPTS3tRaJLBGaSdrWjWMcDT0/XcdWXyt2WRmv0rQPMjS0CGhQnWDcTU/hwZ11dax 0mTRiuf0m9Nv/O6mmujDdfGKHVzPlD/eEaQC6IbB+U0qrq4LdRDk8Feap6+A3TCUCM3wdh4QeAtq vTswHY5gGwSfPC+fPLMNmyVQ2BOT5dJ9T6J1waaRI5Y/MpfW6+UYTASceeIsfOBhlvT7/RAK6UPW nbxpTrokOg3yWn8Q5L2nRkoia21ZwPCc4zozAWIoIEAEeu1yoMHgpGbAZBH0tawDA4SY6PLj6kCM M/hk5XHm3z4EI220HU8YAf+Ovu1YcMULINqNV32mrwLQsrpxWhy2QQAKhFbi0PEW8PnawUoEx4IE Ouv2l/2LqmTlYfhRIhxwuMxQT2Y4lv5W58KKLb7aqhX7dXZZkmqj2Hwyw8GW3qIDjiqcAA9fwroy Heb6D5JW74WnjyrrbC3Qb1ZgQG8dQHQeY0I7y0qhkcg3NfZmkW9q614zH8xcFNGhWyY1frhMtlfV Lr+G8k7gDwqcMgaohVl2ho2rYCNXBhSWHeRJc7vLtNnE8bAgE9+Hd1497OLTj+P/gPYxJP9a9YII sdRffA0Mj5Uboxv8LH/6zX/qvHIt19qVmtQNxCQ9yXF92wqaAT4Q+DgW11weokpyv5PZ10RSyRGp fsURIu27qYludHe7jKftiN1VAX0MwJT+1wrjJcQiTh9TN34N49RHNenS4gb+BkNIdM1TANYdpse6 b17vcys8EAacMvuT94Id/187zuO0L3uxvAamV/89rb0O/9jr5T3NoVHJa625Kk+NZqigQFDtShWW j6KzrtEkzuC1duuPU8EqW5h4dASeysZw/o9bb0UdUxSAkx92V25qWpj52SXJcXgj4GWlofmSrj/g 5PUY9YQqMvagI4YCROlOu/C9Fs4QEmSa8Z6wQwtX5ZXbsDhTWkfulxFCrx09yj9eGXth+N59G2D7 QjHEP7IFYSsCpPp//XNgRFdQc3LOCwr3w380rcsKzq/tb9BoR9/3xZ8agA8Od/cvWRM9O17bjjyK yoQf3OWZT9m2HO8WSRagCTJZH8onFrW1meQx1ad2TKtLiam+kBJCZ0kaSv63ejPbFlIDBmRnWxq5 13mrYbRRUPrRB/w7KYe1/45Kod/0DVw6kYu7K5gA9Ogq0rTwu58I/rui95/dJKUhErMsjadjWw9T yA5gxC8vEgbNg0BZb5ZJHuXTKLwE8n6ajYuIY3eWtddNPpQadWWsK2jmNueLYSdQTnjopfl5Ddl5 iwRSEpp//Ti9MoGZoGWBrgpMEeW13Chrs1HvKfAJFzeynLyaVuttjduzIZHAoA9uVn9perZnCE5P BPeMeBcm6yHSplGVIP/ZmAnKbOHUxan81ls9NJ6mSLdBYehMeUJDWIUkdl6FVG9L+QPJd8AI2Q4J nPXlAMoy2k/NfPEmUyjh/VDgwQ38+biS9hJaDBp6qgvM+jqpAE2CaHP9NyKIuGr6gpPLEhNPT2Dp b5uRuoNS9jwAEEJBlvI8j6mVFNBe3rWmZ4HH9de5JKV46+ZE0T0+V7sdFPBcVEQde3HKna5q4Def WXIuY4D7xYyEGu9SxZz9CgtyntBDRlUZAAburKeQCgl0D0WN8wSTLd2kczVxLOaDUodlPr2rpvtI 6oYgw18Js4+f0jZjMi785nQtKBhCjfV2JQDC37fwL0UWzLJ0lG9K/FVH4cm7Lg2GboW9DinAslA+ RFeeVlok2a3V0C4ApSzCZgAuSiPvd0n0eNcsGmmqL9rsOsXZnjlU0KCM5gXYwzkEeN81+dyVWaFM ie9jrShWEZucd+PBC2JZktbBhcc5zH5KelNu7GA/w7Jeo6sBNekksmAM5WNU+kg6FYTkGPUkGfpa qu4vfE5vx2TsFpOeedLPSfWG/IRn7ueQkhxGAYeejBHdpH8gIZTQd04tPWADIjtHxhyH7zi7GRaq 0J2b02mu2nEpMoXzcEdSxLFdw61CjqpULKPsw2ZoqJd11qdWmKrpecumPUM2rRwM1K59VWqAlOkl d0r8lHkd605E4wP2TjmYMkMfGewCt5NjXebDq+dWaDK1tNZ5D33IhOtPnKtFisx1RHADAsFAfC4t ojtzVNe1L6XqfkYDH66wY/FM72Yaf5vk25j8aWZTGhttL3DtzkPGskBar1kz9Fem54qszZFu8lHc 6bcKSlmiIpd+aRelNvJ+11TYVMh6uJwqgE/iZLgN8CQtDV+9Ku/dAwvNG8FGsD3dbF6TgxKnShjF DYzlkAdzeCCR1fXVTmlCsQ3u4WLy3S9sO6RCIhuRT+xJR5nkd+JX65QWBG1B9fLglFwLCh69QMl+ eUNNLP+Lvoh9rENLe3hVu+A5IAJoCnZCO0H++2mqlmSHhOZMN/8dDUyymg2VTobjXGZnROV6LAB2 q76ylgZ+501OuxsS9z2AH9qiGqsCljj1z2zRTuXs89DaIwyjfAqrXmozOz+hk+rvq9nmrezg9V42 vUM3Lg2C3voFf9AXrHC1hdTRxUuUE3JDRI4yEf6+NWy1Jzd0gX9NMP/zbw3hG6CmIFkDBtKpDvQs Y0X9bflZ5nLV97DNGsA7y0kXd8y96laqj9JGlPJvpHLUTIssNJZmQRSEtKK0La4wbG41BhuAvB+6 g2a36nn3zBnbxiMKFMbeGJjLFrjoTC5FOT87tGc/xT5VZGkhqUsvjzBIdQKXreHMk7vI2j1MIqyu XwdTWJnhREAYv9Mw1ugvzQ4zktXXupeoyNiIAhgSnikB/wxfJZmhiJqV+uNXSLG+p9FQdW0aryWq eXG41PcjJkAmE2sYqO7TdPB7cilDtdUJZtFWb/HeF8QPsYo4yk2EHC1nt/COM0Q9ct0ChoUCsgDq Bt7XZR/0hSoGeh1AGeCkvsTedoIaKr6/DXvu7A1CtBaVIlJeMhCr+iqnOjC+9Bw/pS1J6qpsUmI1 Nz/OrwztLDgEcU8kM83l91t2/1WErqpmMpGHOhAr5udvYLQL1LL082iQVYd257BprLp5l9JbGBMZ 4UyYNeXduijaSD512J2u0Cb0gKW7xFSnbrHTMJjgsD7d6HoQUAZGYZA9miwUUukxm1ZnyAG8bsk6 SXKZtdt+WwymolxGpgHQmGpWbRi89qU1X9lQnj6QfxDpiZRQuQ9kUDsBR7rtsav5JPFwMZVwRLvt Q53OyGMbje1APaoYsyGNKiWaZSygjwy/+WyQ+uVlKEe1ktp6VLqstjIaEobE/gia7T3iw9dfh0Fm mnc9nVEO2qZPSq2lOOsY1Y0M9NtqehBTdMvoBhHc+giTf0DFYtkOxrmxx/TpPRow9r1FLVzCnu2I Fu3CYjvRHx+e+Ny4OSAhNuURboZWvkfZjN50rkldsjCsab2mauYeq6AwiR5lF/SRPg65wK+mVDnK oQ55iVIWjMqImNCqXJMKobrqmSf7fkSgpyBYezWk/AsxXD8XBJPJuBD5wGFT5vwYHoNunkslaPSh 9xAgxUWLxLQoOtwOd/ve6PA4fvpcWIXYFy+ydt/zvyTqfxYxVS1TJ81JALtNAUc+OTJ6K9Ga2bI8 HScadhfkGHq0RfAo7BdI0/gaoTLgnFKWzDxHTdwBP9oA7S9wpd9QDUpqrqZ0kEAOAmJOep4rjZnw ssuLJW/vvkAKWyiZtiJj0yurxSuQcUnIy1yv3ZSiRR/PEODmGUuJYBARN8RFbvzqGD+SPHBNvCHF bDLfqsnQ5y97qIaBZH+KrfTNpR/zvviNxXwEZyns21gCXw7EcORWQLF/2iqqzH7/VnUsDJgizkPA dTqCTbHzt2lzKAljUp5/H96pgGSyzS+NZTUst0b5RquxpciLnlV05DZfcuF6dY5vaaiyIiymb8Os 2y5hH5QAgvQufnVlbZpwRnjuJD6q045+vqsOdHLR30l2eedyBvOuMDCSeLGsZKsVX2k/V6kLY+4X vEB6wHay9OimR+saQMwxxo4tUmrkm+1Yxt9TRuIqoWcNPtbyyiHxq7OsfqhO6PcubKdA9aduwM7f qhMPgoLj5kfl2kj2Sw8MCLk4tKXOUi4GBDW6KwemdvcuogCa4HbaZLyBmXO79r7CrbUJhIiq/VSL AbNuhj5rZoxTD/LTGUVXgcYqfTTOM+oS4xxOGGVnjmFk5pUtVEKftKdGZWSDeJX1McdyQSj+Bsat 2EjUTzNwDEgThqdPKltl/l6HFTjp6J9FeYlgkPOh2Zd2YGNDGXr8Ia2kXKir9GHWl0n+bcOcMQyw GXZZGw/nd4PmS8D5ZRWxvxCLZjf7Aux9KStiaPMRA5cbOOfsmRemWCLUGI3ZAeGF3tLmbscAtzxF mLWRzd9UxChztpoi3+fAM4LceEQKzWXIrL7JGuBlsRYKZ/ICAYu19TPYDoCF7xatsDUFYYomIbnl VsFOYEKzWMqmWHbbdDFFFd62CiZ3Ycvt2PXAL78cptxrS0npKNXJ7bAPowhjKQh1555owA7Ovuo/ tihwMeD0M+EVq+o2aL7ZTH+HryQJ3sKgilNeF9b6wgIJRCeyD9Oe0+LKxOjzk0JO8Y3yBzlkdkjJ cU1QqMhOeIF9Ms4fG+zMPGzgMOOVZQRxxBnGpT28/+UNcAQ5a1+H9mfSwyLXBppmfk0tlbLji4Op 3g963F3jgGHe3QuPHu4u/rN8jgy/DFp9FXrkts/Bp3/oKCpG9TJNudCFxQGhiGMp3SAx9P6OSlNY gUixqXBxCmVcE916+mp7Y6lTW00qqhAcH1j4a+8lMKEiAEeovNcALXT3jVjjvauIJ+QwlrTskFFx cIc14D2qPYc6VCURmt2UTt3+nJaShClqLzq8sLBz0N+IC/l6NSe/gL9Fe/HPS2nDtIFb4k+I1IrC fZQVfOlT02W77NF7OpbMZmevmQEB1KWw19bJ+k5UsaXp5GzOXR2ozJLEF1nAbsWv2sT2hVRd2+F1 OxYGzEJ4g0k/BZBOqYHyvrhsDZ6WKiUWusbAKwsqfGvro0S4ONPDrIYB39X8y0S34TWLrDDKaIgR GZMeUTCFyil3fIofUw7ah0RborOyuaAX0IWyYT5khDSroqf8Girn9ulzkO3JL1hYnWLC1yUfSe9T ANaHw1E7TmpZQTpYSUiFXQz7WDni0NtzX/B0a5NpxjHM8JNkvy/1mnDHHqkhoWrnd0TIbjRyEkwp MivhUnxnfgThEwck1e1m7vWqZbMYzAMmFt9pGahj6bND5xfGdWbf2j4z3FKmJ1Ynti/sERY2X0cD v9uXwJOORgvAjBYE3pehQ7hYWl8Oqpud5CIpfZadtp5ulremkYFkETMSwbQKOx6mCjZqDPNJszuW PUYuelwzItIUkJbUlNHF3evuF3XdpHLaYWk33DWJ1RnJjHlPO7RpHL4IFCur9Jr3zGZpKshgNf3Y rS8/TpQAO3XBaayyZTr43E5AJvZMWoXNcNgEhOCc5ny8gQi0LSNnZSuoa/8vgScyrmBI1HA8GAe1 zqtvaUiUqT2ouxPhMITQULhtBcdpWswODYDA6EWi3N1CbrKnMOITjRJLgx4eB9bHV1jy5xHPS0kD bsDimNrHfU/zfgfdoeQ4q9vFOh3jQPrTgLpUb+XFSpPL89HBv4XnSWpHZ3A= `protect end_protected
gpl-2.0
7919e8a9bfcb8ecb3e3f3c4dc028fdf2
0.9306
1.898861
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/multi_fft/synth/multi_fft.vhd
3
5,622
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0; USE mult_gen_v12_0.mult_gen_v12_0; ENTITY multi_fft IS PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END multi_fft; ARCHITECTURE multi_fft_arch OF multi_fft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END COMPONENT mult_gen_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF multi_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2014.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF multi_fft_arch : ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF multi_fft_arch: ARCHITECTURE IS "multi_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=29,C_A_TYPE=0,C_B_WIDTH=29,C_B_TYPE=0,C_OUT_HIGH=57,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "zynq", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 5, C_A_WIDTH => 29, C_A_TYPE => 0, C_B_WIDTH => 29, C_B_TYPE => 0, C_OUT_HIGH => 57, C_OUT_LOW => 0, C_MULT_TYPE => 0, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => CLK, A => A, B => B, CE => '1', SCLR => '0', P => P ); END multi_fft_arch;
gpl-2.0
d04864c13359c11b221c3e8cd864aa56
0.678406
3.399033
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_pe.vhd
2
99,571
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JRQEKiprm0xX5cfgDL3NsNTZ9GaY8AO6pBqVS82OwuJTstuZAjvx8OQ3/ANbBQoDtiLp46u0NAV4 Z5hYStuTcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hDwJfmWfBHNOhjEWb8EetalGuQCxdjdcKGyTfm1A8s7nkmvVO4jI8Ry+ea1EsoNTK/aCKxtQiKfk cxliIdur60FjQqbkWhsD3DxqzEw1FFn6LF0EQAePMinW1Zlzuw8I9XRb6Iytha254WVIhZCVgsi4 piaZmI4WvOSZl4vSXwo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block yT4yfLipycLI6j/JwAH0DtxL1JGw0EliTiA0fUB/pDUzOx8XbLdiNMZn1jI+VlUOPKbd6amWHwQI OLoM0wqBkAi6K7aI5zVTSEHJTb0I94vP2NEQcr+AglLBex0VSKYh7OqeRQEAFvG6CHvVfpJbarDV hNjZWGB811RmFmJZidvxqKM2s2UsNhJzShHVjUfa+Wx+UZc5Qr1kDUPYqM1m36bKNdWIxxofmtmx lfetT5QQTrbXR72Xl4Nv/scKaZjlvzq7sa/R8Equ6/I+8mmpNJcmbLptpHC/w9huf7yhJc8nQWPY +z9OtVvUYtY45BLxpzUs4QrXSoz71Nz5yVfMeA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rj1OnSMwR0VRSIiKHT8zVNj/Y1WE6D67fvboOUQPFsylBLV1udG+z4ZasDDlolel2m1NS2k07Aoj Gj+768rEtjegTvxUbL3soAdCjNwfn8iprsVYWwQoQxGFl+R1cIdlAJXm94j1k2Qo5bilduL87n61 mSDy6sHaVvWdAhFbvdw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oBzNy4BKarHMlW71Qd4MIThUtAt1/aP8zhHZb+RjDSwwxUNmWGUAUcfniZgOBgHPnNVaTK01yuSw LuXCXAfEaisJvjUfKkIL4wSLXUYD3xStdy8h0yGvcL7jMxGD82i2mz7mjJnQydWn5Ai2nFoGThCJ wa9yLJyZYfBOHC1lbVSzSKTA1JBIDiloFFiz6zX08m6uWX/4GT1R7/m4u/kbG27A97ZSqCLqbOyA vZ44pD48UZURBssLMp8G8vYnlshccBSxwX85u3TQo3/1MXpGta7+5APh28F7qdzv9MCLfeYJdqm4 o/l6CjfVIrg4wC7VsWEjdJE6ZGWMVMBNGxzqBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71968) `protect data_block p0TVJgHtRc1xI037s9dTrPr+KtkYBbBjFDFuhpYxV34ELKXPuPY1YgE76q81XZApFuxeevLREg2m RO4wj6TQTQeJ1qgTfAHnpIJ7I7td2MkG28koOLqte3TDXlphxPLMZntSGw6VFuk+EG+IB5cDB83G yLPKKXSUWildycaOgqMcu88OqFMbw/a+9bpzBVE2bI5BnOg3iDdJ+xn6BuxTXmyXe7BUIWimEGvi pM/FD+e+H9X7/jmw+8AOfAPjLX50LUa1nNyRJOdG2NlXR+IAFCQ2gKr20xIuFb8YLmjxgK11VjTc 4cHSwD437McFxaZjGGZ0m40vxAZhhJndy54PifIWy7yPyR73rwyugmo3NzmMJgXerW/bTUzIpZ4H /xq3JNoT2oBF395wNEe4QhoS9Otkx6f4fhzPYCUvZMnfJR2yFeeHi2nx7E1BGqCwZXQu9KgeUzj2 nT+CuMufJOZ4YhVqF4Ls5lmjgej2KlLViC2r5HcrnXFSW48BLvnfITr2qRr2/hkinbteDoZZTJT2 f2ci9LZKTPdwbEoAr0Fr9bRKk/zzjJ5bNaj/EAB7ceoag/+g8O010Fip9D1yWb5nEiYjJntK3f0u Gpvvrf197/z6+UNVbAGuziCVfCZgPXcoKbyPG0Oh9wafay31ReeRScIHvlYRYC5L1b28WAUDYsj5 6Yw3ucLqJzJexeZUxd79ZfiHBY/ve0sthI5o/4Hh8kXKojQaf8MuS99GAxfEcsC3Ve/G4BC7Q+ID 1d6HLI4LHuG1AoJTqSf1rWGZJ+gLAq0w1L6/2T8/OQ+Wo6Ygi2vpVscseSkZgklfc41U2IRFUAkE 5yPUcUt31HbCnTsUwDSL1FA5To46IdqKrqWCHJKkV07lk/eRex2j16tkHuosemfFlD0nFRGOz9ej PHrFoI73LiEfRpDgZfbslnbkbbDH92+AzVrZ2hihuuROGd4b8u6TRA/vQq/UCx3Lfga8LvmftIKN nmIVbhHws43DOjf+rcOdg/Js0eDro7Ry5hlRMvygIkJv42XCs+p1XmYyOSHFiaAyZN9PCH+AOsTi 8L2szJrfRHzDRpI5ihvN5dLcKQMHjipgx7R1pC7Rbe0drGN8lNcqAU0QDMmZLpyXnuA2xjBlI4Ns JCVoV2XCupMoLFiKbtu4ZVyufJKstT4bgtTmmMK7qDKSuAtUCKJRjqRZey/QMbzsiuwYSJoRWA3b BZtkVVqqQ+T7/MfCSQs2lhUJAOyMdpTabURfwp6i6J/r2yBDhHzOf6TVMOabqGpJAKImZP4kO2qI 7xBoOfVVECNepPJUxtE75Cqx1/42bSJooJxQDMF8zYlJAPOjbsufCguukknpjwOK1+zSdgx/MdPa t8y8lREb7qxn2Rl9R5L/qQG/2q2+q7iQF3z8t3b13IRH83l67VxiH4Sr6cJ4byMVPz4TWFB787Fk RC1vydY5Dgwc+F6QvNeilc/J2eTRqWojMeK92HCWlPsHGn5N+RuHMJML+fr3gws17SDxyq8xD+KX gYyWvTaLFFYGA3UqeZ1QP87g5Y2VZ7U52PDYKcSs7+7INJXgHU1XR7ACvCx4Twu2pZaC1aTdJn1d RgxsvK3Rbr0rlxE4pYbClrnpvh2AS1fwP2FcMACL3zQDFfxY49cAjuOcgYG9/DY+Se6hRYiFpr/h h+8VJdr0uVrYqyKcAFYdlVNQmhbRFoMZfrSoz2VqM06WNNZODzvPfo/qUvAvkxUwLIlv+Ew2ffiU 74H4pvT0wxrMUE1mMUA8RynqztHLaYP39RROBVMJjuku7ol/UCqR9176LfHJfT2gKvd10fvO4nA5 VQ8oU9hcAVL6LKyTWjqs+a3vsc2Z60Wrs9DnXql7lTkX7OtVUL06XzJVEu6YdZIr0kzuyl1H5/bB 2YmVRS0NtmoiZdKADql5YorjU9LXtxozTBYbD6K+K/YQhYtGmZw0rsKQiWV7McIiXr5OQYYkNxcK +dK7knFmhlpd+9eVQKEtpAO+6jUBty8vb4ukGYFj5ne/+1kyjcBBaUcEQ4TxseoeoJzVV8C46wRb xs5fRFwvCbrc/HG51iBJoObqBGqWzIEJ8zoOXMteR62bXFnYd9175bsA+AuB/eN0dfF2lwjVgHH8 JGHkxEiRlQcol1CNxbPui+FG55whg95FNT8POLUxgVQL8zsOjtGBOl50Rdkn8m1/64YpqSmqSfiq oT/Tc0SjUbE+I7/ohpN3eYmKSvhuHOeeQtwS6EmWHKDHeDYGtEtlKNZObLEQDaRlDOGrp/2UZAQ2 9AG2i4DQvALyHj99syXTq9i5AcdaTZxuPgbLREGGFgCLG+CTRPWHYRaAWW6+TuK2Qer7WnXgZJhj IEN70EQtao1BiMNkHS43OHoPnSWvhCZH0UOhv+ui72M8BzQu+juOX9Mvcuc+ikXaVASH3PtkO4Dt e+jTjyYAdFU6D88jECttsaFPH4Gdn0aWMLCvPEKf6uvluSfDqqVE47xoQW/Ci0pMzmaSTTF1vSZN zoXRJZyiozs6Rha8HpDBe/W2xP3Pbxj3Uzg3UQ7tzdWvm5eIjCT7tUP231U3jinEXTqHXScGcx9U XeAXatGkCrEOslXUQ2J0lKU0bboUEPf+MB+UoQP0V4Vg70mB8AelvsFHjVW5+uy7RchAn6ZHKP18 EegFcIJ2st5pFXC30k9KCehmq3FCF5uyLBgtQjo8KUobHqmMfP/TUQk5VkxOoFTiBEc5RocqFsoj o7cOxd3chritjGaWw/6NMHblkKPOG7OOcC/N8ZvIGVt2vxeeoLADmz0BshDYRRsnJBG1WPQeavlg 2ifprpLqSNA/wT85J5Ut15Sj6TY9rgcM3BZ/saXLTiXE5+0pg0Wfw5yHEMnk9UqYBjGyoI8Z355b NWFVNU7/YXBloSzAJskSWn/78tyYqu6X9xqULuZ2JETlclyDK1krpWnxdztgt857NmACYUbW+Rg/ F1ALjI40CUzZnlzQEoQTEV01nCAkpq++nwzkQjRkWuKIP3ozqNooktnIkk3fSo7CxjJhiTUV7TV5 NEevyXKAGpD7fmITCmm3fAcuGe+TIb5iv0+bLusY3R/DPujc06ROqUnnO04h88hDOvfLX4/9yQR3 R6N5OMiIr9ayDkELrUOdF2cpdoJ/TMGeFo84Sv8plNtOyj1cePb8kJbLg9nOc0o/umW8Tyk7dSv1 iQuiV/uFo/gJP68q2/qtlbD8mbI+4Z4QNwYPRmyu20/pCMwT6PlZxt1AS2EJi8KYMRALyjGrXEDx 2PqwtTXm4k7BCmW5m+lVqkBcc/aBD82/2DtTt56nfNuIwnxHRqdbEcP4nTlGUqknE+pMaBJDWf7b jdSQNrg0Tcj1CxsxtBjYVl0NdJE1wTggRy8VGmqQYwkQsU8PBfh+3wyifuX4696qeESCZk1QGMcF Scxnm0rc0AGgqjLkScU6P0b3QpSJJ7RTQGOvPBCn0Y34ILBv69/R0zBemVRQ4tKNhSFeSnInlu7U lmUL+4FwV/Mq7fpSGyMcTZlA5H9tT3pRlMvRngJsqRIfnvAIzQlCIyaE3/g38EcuVDiJHn9kvkYB x/JolgRPJez0KG6I8QhN7t0bz57mhwaqf5o8tor5zyQvjhejKAXmurMB5GQRX4jIO9m7cuDcwSjf gxhyoc0HFepyZ1oSq/mtm0UTW+UlE0vQ3C6H9p99qd/50KMX4wagYNGfPlVa5g6utFIZm84ofldu Z6bRN/3iSnwcux4BTnFaFwBsi/oAqDhwg8shX7iTG+if5TFTlduHrYiJM/C7hSS0mOLfFWsmCdDR QBb1W43Ag1JLqivftSlAol7ZxI6+QeGGAKx1S/DOnq0UKKRovY7N9OAtWASl5llJgzLguEMSk1UP M7nLgKQl/UmZ/ppEh++YpUqahOQ9TG97DgLKv/ikc3Whlf4KoG6fZ0F/ZDo8MWopAFC/SQOvYDuq hldBUueZZu9HacFfXHKVs88NAb2UhrDckpAI5eQEdnbfHF9IvP2sMZp7puSsO4Qnditwb4osLEWq Q8kwJwc15iO0HbrX5V6YCN+r8G6SRMjtYaokMnXsEeyKrFTekFJm9qRnBDr/+yb1sOqWBRSh0CA5 Os+6XuZptL3gCW5xo4vFlDtnjYnu3r0y9kU1lws3TGugFup0Umbsm+hHL9HGib+drawzQBzcUdUs bvpDkkSNV4pjBgkghR2/thSjQIATVwyMXagTjk/pEACW9NRA1ahH4sPpJwx2MWxBIlbRbdD0EVoI 4629mJcw75fdPXdbbNgG2UtVHWpTi6WNeFOfA4ZV3mdgwNNfKNdt+0FDQgEqYZ3OWiEDF1bq89A/ XxnVvAJkYRyd64CPvretJTnm1Erm6bs7gdX14QtKPfh6zYziPwLIQ58DzzKlout3PZIbVmjiGGMg 8MmVNkN4zCKqr4tSBGzre0FXTM2f0+t6W+8JyDs30fkcoI7GF23kEEAIT7zyLbZo3qM1p7MM0lED hUftGqOt7TS0UIoGIj1gJaKJ6Kqw3IV3pqKRwixYL45ukA1fevpCcjmUqnC5dIIVVUR/kOvr9k6/ wkVlwqXucQEty5/N0KTGXi7d0u98xWB5y32wutpGcnXqsw47nq3oFBFUpvLXD/RY2p5O/fdG/qGq hgb6M5/WX2Rgz3AVszfwQ6l6M480Xpsx3lCYxQbDYqeiEE7yRdCxRFSPPCu3S6WTcXNUfOi54m1I ZtDsR5+6HECT0O5g2nctuAa9YOkqCvusizxZXtVDcuUqbhJw67NmgIMeGqfM1g8iMwIkCcaJydfV NkxoPmvukeOs9ByT0e2uwcT3QRfjkG+Kl6Ai+UbQB4SgAf6LWNWa/hBPn5M1ahs4ykw+UmKX3XyZ Gtz7ZkjzQvIQJj8p2I277Q1Ff5MZphgygxY7IerPbh2v0f8HuKqT4WMbFY745fLfUQIEVBHA+f9w HAOY/FU7l8KEGyRDIl3wkwxM6pwsFU6WHR0kxo8JwhRGrX3fdvpY5D2Xtiw42Izg/eLdnoJNtRc7 UrO4CDF19Nj/ULGXLH0kzXg4QKv5KthjwCqqkipMHnvHrPJ0118tQVw/N3KoQCVFiIgDJqxi/pCf W+A7IXojRGes16Xp9WzpdSL3lk5gW1p9W/eXMA83LfHi4ZIlNswIv7XWjenZq+4FdHCB9aD2Qvpn X6Xz4XrT4OJkH8wxBNUVGqqeWd8JMQTaJidEXXppAxj92S2aqF6gh7n3PrGmTfZQr8qeI2S1+q+4 euFC/iIcUtMsP3pn4qKAIQOCJml+hckDq6+UwLxh2TBVtuQi+BV3Azlgn1Jb+dxzeBZ4w4IAmRrE RgRYh22aNaUtHbIFRQ8LJ4Jrdmg+fdUGW7d1w6Hoe4cWjJ54hud99nhCpU1NGV4hh+fGTVxrj4Qu AcGWbTufl9JOzZYlQH2yskZzov6VhHbSnNS/uYiLX6ZHzWyxOx43vw7WeLF8NT8h2ZRMb52dOUTQ 8zKuFegRYwmlPfAHyk1kQqBoUcdE7HDG6h49cCyYz556jbXDkf4jmrE6fHaFXGp0QHb4XO9edmOK gc/h6v5nHL1iTTXgTb7qRRc1XyNPyOKPg6NkwzGSN63F5IwSXrrrScEoGKRHWTw0A/5AyztQVZd7 PrWL0C5usALPqNh8oYNbE/XS5Y4pWYwaCSFt91bCd7lhjD66sv9Ortg2+5hKKtH1IcA0mkQ2o6qB xvLeBFr7KA+AmHk3P+b7baWditRoLprlPFVUm/uyLilkXVCtOOqCxAZPrj2KAaClbdszhU65j4zU YWkm3kwa7ZDVIr9YxMSRlpzK2bGzApnhAAYBKGjTRbblpsvx/So4zGaykN8AwM5Hm/6pyCsaWdKm sH/nMbkLbuHHq89bNMUo00cVq/2KGLKh7xGmYZ1VEOlHNNUGQOzWVUJqkk8horLya+YW9Cno6dYJ bHglN7eZZt3cKKF4LeL5QUmjlFA49cf2d157bkfhO3aEkhNpGaDa1G+neTxFeZyCPYvTh79ztHup kNLphBCRqYxqkyf5dVytvPpKwZ2QOGGTLrbCe2gJ0vk/9N1ocJWWF0S2fwDzhR1/9UhVgRBqhc98 KdstxXLmX5P1qnNvJ+AC8A//8K2RuLYrITwiq/l12GPp6lJp1kV5/Q9mSCJBtAoZkYW2Xr+7tRid Jnp0KqvajlGvpDg41oJkBZrEVnOR3n4+EUJZaIEC+PX05YKdMQxyQNbhWAmlh2y7YAOXTOyGy7V5 XBp/otKrzR+rXsnvPdzMaWLVgGLFUjchtWHDbjn5WVqIl98bChAfraY9vPIWvvMiH9KGF+qZr7E2 GStKuHxsDwuKH7Ol2hZTMXMxIXxZuN3hKo+XbPuRNd/ypJFeVQl3dpW8wpmq57d4+FmH/O1dW05t 7apJcuOq0EEJlD5TEeZW6eYYEx6kB/qPzcb7VRi4prpa0YPikjqZm6Owbb5tsjDHZvo57F6AeKRI kouWIeL16xIw6dBs6DrgosyH+cKHp5oTQX1mywngpEf+Df+imo/65tXA6fYnFo8zlWibO55gw6JQ bPw+rspx+60V1z/tPakMkDNEwR+xOfcBhhdg46hg72QEd9gM9PWF42OsR3O4psUrzFdOR/DXx8q4 lb9LyAIu2tmVab15wMZSWd6+zBVONGamFtZZ75yV863mrdU5paxUWf9AG0DLPvg6f7Ay+rTrwDY2 6tEBXwXd7p2nnodnR8Amq14mpkUzX3NumZk0Nb5LFErbORNmOTqO3AvElna/OVtULBRa1rh5dXYP CLgOiSK5aOoPwsTCtMtmFxjk6kiK6GGNrexd+SEIvK/hORGqseLu+tyS21j4JtcnAmOsfjD10eez wIQGNwl5DB/cXH44bHZXqwHytxn4L4A7hcrwu9kDmp9AOSngydvkppyRoUv733p5RQfwEiAR6SLh dE7c629cfRJ2GMKTcl/m/sv6gLnJaCC96/k+B0LNh+R1vRc1UiOBfY8dewgzRws4R2P4Toxq8dDc nxo7SHmB8I8TOvWgu+60jN41bTSaTrnUy4DtKjUIARlb/h26sYLA3T4NThbJ01k28jC9BEOnVOeC WwZvc9KufbCY6o2bEfYshCZUgdad/CQJ1P9FhHg+qSJJEw6+xloVnK3Ht4bi/GZBx+U+PM5gM5OP YKirm8RxrwG4JeluVmdT2pGPf7HqHiGqhf9n//THIgP6w0WoXN8C9Cz657fAqKyDZ3rTF6xuRXRc bAEHGMWcO8dHqxeBLz03zheUXeD6dEhG6gPeq7FijuIpmKAQmSfTeFZH/KIEmqGFF3rgLukTZ/B6 bO3ijw5Hvhl17byuK4tPLRqkZ9l0diLoKHBzBCb9dj4U58Psvx/nk0vdI36uPRoWOlCzN8KJeKHw RABn01Q/h/fqIctP2hjKCJPyjGnItSH7k6zPUSjRnjF6Si6ZoALLeDYzfG94WQK0Ngird/XIyW9P 5tOjdLzimrj8FziRvSFOUBf2cKpqZCjtmGfjLMdbr/oxQXGg3DMVTT1fvoMvABoKM17h83z0+quY h+gChUJJbjcXzvRcHLcBTbPUkp7E3CTpojDWDXc8A5W9eLA1PTLX2sLzfgRB4B3dzdSmneNHZ7WN 241Xbq7oV7dtQ+y4H+HXQoVWQ0dbdt5agFo+drSiHaBQVt/ZORvztavUhlrFy/qC0Ur6ONQSMcsS FZ/2/fjLv3CDqSsTv3gkASg6Xmuj1c8Kci2zqQCzHl8lwtRxSKwIZ8xK04YVHqbEGJV7vxgWbtD0 pCx/vwwbLgw7LCi8yK2/MUypS7/+WyQ30JBNHE1VIRG4hRID7ug0QTqJGdzcR7mY9m2wOIdcfWpT x2QwEs2EtEFjZdehQnqKqnGl1oz+4dLqHOI+ggbFmolQYt/Vdm4kj2dZopbGD7+KBoYVm6MK8hWr qFmRsALVzS6z/Dz9cLYQgZAFdOZFB2PVJgfkGh2x+4wxQWaNZXyjpT/73BpRhnUHNlfvIv8GJvdv bCm7O8kPgaIK2ZXT90D5YGpI6YcXkfQPZBhBb/ihQyJ70dUb2Lmv1pC8gHNiE0KeZUy7FRq+n2Ex o0o3Fslj/3LiRuejpxT+WxdaUnOEzoaLEc3gKHitsQ6dq3lDGdkRcOg+gju52t/S//ER1uRH0R7k noVLdGiC3UVgdxrIyJgrTDaQQoAX29CZgOQkFrKGl6UtGl1U93BKe6G0ql5adfbCoWV2eZB8myCi 53Y5JQETx67fDtNOYlowaYKgSB9ppXnhk0wZ86XxROFqdLIkVjM0XTeLcwOWYvJocBlaoAPbMmvF xHxqYu8JB+Sri4TcDYETJPl66HsH69iSXBdEI3bORufQjzetsCp/ox+lZaZJ0vwElRqXWjSJgU3i m8jNJb4L781/a/+LHBKUOh3IGCU9tujSBpEzQ7+bpAPbnhEYtJY7zpg6GBcbNb9f/e7ded/ZJIvm qyqxwy69nYK9lli+p8HZjq+01xJZIxAo4g065pDcYfUcMIzoqVpIyWVU33E1OilPaajlsKHbzOPR 3FMqGmT1NuPuP0iKQIZXuRT7JXzWfBti/PFuHrCWKCy+lqgsO63HXRdGG94f9w6u5hkhR7RV8WoM wYv35QOF5yVZA7XYyrmHrzGzN6VZfUYS+L3Ii4GRukB+0e8ALM7jhmTN/Vt7ywpoJ4lhB3m32yox Gn+R5DjN3/5AJujHmmxV1Ni0PBTjEa3T2KrEHaA9UGAhPpxBVCH4502csIPzWN1LzDxh6Z95XmPG uJpV1jyhzZnHgoaDhCe9lsaO1o/sPJeb3w4V9BEcLi7qPTMuVw4lzBtKq/hAiafGd39yfmXjslZc GuvPrhsen+AqTIxrJvYEDduZLAnGmbaL2Ad6f7vogM5ApV81mqMYyoNqyS+B+/cNUDjtX0nMXDey e9yGwWpK3aH5e+a/qm1NW+bEBWrjJbEwO8F/pZmiDGgieWiAWx/HMERKnQaN+Ers3kQvPTEaaQin WDf8KEZ2oYN3WEOFFIEmPzGKnNKCVI3rYohn5gaDFtOGbaSyTxED/nMTCyAgKMR9rxRFPYKpmrLU L8UaSIcaM9QgkpDKrkgObUmTQmF+btlA07utcE/LD9xJN7B/ywJQXrKp9GyR173IkINkSae4FMKA KrhDTN1aahLr9uWZTm7MjezTKznMOdr38CR7JT8jIByG6EwckSGYLx9pHNl4optF+6FN0SthhOLj 6BmeAbhKw9HlgMrvsXBe+Ie82dAzgO3NsFS9s1d4SRz+LjblMyVNpkRxLZIt4ymALN8O2gSjPq78 0+o+X2bpVeGoy5xdr/8faxl7X75d9ydDAUtOy3IAkSU1Qmrer7ZP7uKeOuNnKxyHOKviAMdlvkz1 6fG3I6Ub2GQhw7wJELqTTD7MZxaiu9bDdNR0q1sGspVRb7I8dbZN1Yzv68iZzQlCoLPj56l1lSF/ /P5DlhKDRsQ3zVu5oMTVo89TRaTeleGkVLRe1xsmrRUI60fiAZV8D+1+Sed3nZa4I8dxeTcXlxa5 tWfh/J/T6rGvJk3oICJVRkHbQSiLxehDwueTvHQew32QEbw2ZtshyzwMWSPN/su77IB7L+0cBeZ2 aOjUAeiEsM0jK1JJQGoXYIEDZRl3YQm+gilpQzzX+7cypSkpD2bGkTpfaJP4F51U+txT1H+E5c5x QT33a8u7d5K9sQpcUgXaKm9Qv3P1r2XqW0RA19HcZXJLoVHJkY4Pvl/iRsHNNdfkOJktf2RN5SGu tAcHNpWRWQ8Q3LujcnnF9vAkf398823zct8uirShWhsF4DQR7/U9Cw4lF6QeaFLmbci8udD1P+o3 f7BE2u7UBHWlTjxm5pJ2vBnMPkP4sSj/CGsJPGRPijUbwwHf8gnKoQY1IgaAOOHzVYsm1kefj4b0 70sBHdEvFpn1xEpzFSv35qExENwgXx98T7GLXFtkMOo4SL5hAeb6vnnW+WNW5gkcf0xoOQ6AzHNH p4dRUFRsyrLq8MzJzerTmbEB8q28UcW4dVBk3nXbvxzFBcuIw+dJnSr4WDY9adKDdTDo98++Vrsc 2hyYpvCCEQ2LX9kqXtLbJZNQn5T8JvBMIcVTJvK4Um9zd/jKNrq4cGjILRMOYH0/HxIu1PhhBtmh QtHEhf0+5//cCGaectJUpiJA1wG+HlQe9G5iOXfG3M0mdUYR3zNzgrNfMZ07/T8XaEJ6L1rr2yQv MJU4VCiRSGJaV/hdN6o2qb4uxCbeuMw5E9NwIJWU18K4F6xh0kAtYYu+4dd54UjwBEP8WvuAsDNV c+b0grCMOrJkWhDzx1lSwSRkXXlgXfB7D+CR+fNy7fzULoGoiuEVXCqQwrCTTrvoy4Hf7qRuqB7f UHNP0m1EatufliCFzASu1DArjvZd/R/vIMvktvUVFE8ILMxaeciPCnK0fpwIE+1pfdh327uqMQVF zYe/BHEMzLZEy+QKRC5jSzpUAvrs2dktytXhaV/Nld3G7cgHm+dlMFwMzBr5NIkYv3qxjugYIpmo Hz6ad3YpF2kNqYkVu2HKSr47R1Yyth09DDTehqBaqqjRkqzG8lwQzP37hbQheUrFT2BoSLPqdwuD DrKvA0WQw54klDJ+jk07NA+oDmzyimxCVy5Lesc1AzSyR941oMeF5vVDjCODn6tD7weQgP7cQjh5 9r0oZjGw2QWpi6aFWS1G75OGh5Lfi55juddYCwGQKG0zlISbjivdDhvY9p+QO7t4TCPofuIGqY6U tKZxMP89j6HqFh7Q5AJpmIVf/Hh3tP2MEKllb82TrY+BceUPmEbfxpJ1bdhlmadYdcCqZgjSKKzd js6GbegxgRRQVFrfqv2HhWfznXtFHOBGAZALW66CZtg//+2m7RBoRpqYLUx/4D0qZN94UmeuLp61 oW1WZcyr23ijl+N9EB2EEz4ngfecG1gPmlcvRX+S83A5fwIVf0XPnJfOXfrNOosPm3KqO9cIyRCb MR8ZoXhgLGiOhcdbkRMhHlsbYpZVelKgv181DKyWiJP8AEF+ofLrmLAfjUbl0FAQGjpTyOX8lMs2 D6eUeUpn3hdXMzpWMGIB+Ui9MR71uugePs5/BbfK6u53CNwxTws1us2NWQKtIhBjkWmad+uFlsKB HG89yWIYQguIi/XcVRRADN0X3/ZT/AdXe6aPWOzMbDRpJpQkecIv2CHijXD/PGF+RVjttP8d2cVN CaIKJEkI808QuMquW88/BjNPGcWkb3Z/sulz7BYontKzRFHJMjDSWsXYgRz1cYJj1H8Bts82b6tS BCzzjnJ7wu2rwXDDhLUqkbWvAdflP0FwP1eCDpi9gx7SLTqVad/72uYXL2aZbuyDGpY/YfIz9Jat XCq3dZ0W5FeRcRBJbg3XNNiMUx9bv6+L77rUzG2pxXhC879jXpOOhf/z9ZEgVwZm0ciTzRJpw4S6 vQ3xMh8iYG0tSoMcjmisnpiEe31VjshLcQt4QKXrHVsYDnlEEkZjz2xHyfmTWjao2S8cOW7Vz6Iw LKkJc+mPUhO4T7bJbhnlQ63pXNyzkB2VmWwOLs9NFhM09K45SgacaKfuS/OittB71Ejba0q3AEVb ICPwvDD9cD6pFmhJ9tMpCSAbikbLeYBKtLV5i21KiKz9ZRQUHhyuNaHZ0QN1dff5/K2y/KyVSrRa stxT2JsRxboyafooNSh9qITbl1bzXtqjGHRhGUD1Y43lbbhdoBI/NutjJddwDbTjt5eyJanCrMRo MiTtYG+1x3n7i6ETO+i63sCpjwXhA1KH5nkD8+d8PHo0IrpBizP7jUbhV2V+Eia7SJ1xdiVH9gvn UCLi+wStR6e/qfkkWMJCWONqugjEoQF/K7u40A/I4DRnZIrtRPbrSghhVmw8TH/8P7iaEYgTgWEI PbmAhDe7N1kmHbqgXPnp8kqEobhQwF3kzIuN/tprEZTzBxNXJoFAyVmnScvJEWeUqwQv0KbNn1Xz CNnEf14ciyuyxla/MOve/RyZAhyOmKbT7Oj5+fXLQKqAJA684trfVQz2QwnsJ0Kw2mnM5QM1vPR3 ST161VA65xK34Ol/yu3rYiiqujzTItCJt0D3ClyG9d5i7aV5IBjXSC7cRvO/qdexZWu6R5kKLont cqvchspE5FoGxvb7Q/EL7+iOlj3ghxFFyb44lKnYoQ++6wB0jpx1ABvCMcsvpOR8iP/YuceHHKsE fivPYpw618YKX8dpshN0UT/B+OR2ILL6kGqTleFynbiKb/eoVhsAnkwXfGWPMYa7NSZ8wUCpeGLq 2dQnL3ItLtTCFY0UpyhVJyREcCraTbJgCOcmOTv0pFt6CqDZ5X3zZNnRPy+LnX/podlhjJRYqA0R TbZjRhLZgUgNVhUQ9YTLry/iKsjFsuW2raf0SC0t2QjiCPnNUBeOCPRyU0Iu0XpPA6FQ3NF9VjcX gvb0zyipkOaWjxfv7oI9kYhikkDuVPi1WJRmhpwPF9E2eWO4Xrvx2JQn2nUcLzJmEzLq5uYYo3Xk 2hlI9WbrReYDY8qyMZoLwnf3WWo/5xibQh2x8j53c9hUfcRiblFMlIER6cdE+S+sYgfE86OOFAUz ln3rIhLCxSP94P9ilO8vFCiZQSm7Y87dkCDqeBqk70elbaWX/zTzYPPRoJz4MsjiwMtxGUiCzorK igsrrg3Ue8Yqk6Le+wX22/yX7VOSh7n6B0sVXVkGfRcCbXK6ZYTVKnT8IlDctgpT6jgiSRAI9n6G GO4FZISSXvSrgVhL8BP9gk/TsQ0BFmYvnCT1iD759LyomtCvBB7iF9a0Yp9ugxssVtYiry/FB4vp gIqF27MvIbm9yyPpwOEm5DMt4oJ5mYn1YuuDAec518kjaTMHwt+u1/CNpG0+6ux4htaT+oQj6+qM GuWBu6dm5YZlpCPO9XoV8AuN16VcvvgyQWV/sZkHzRfWsvOA4JzNgslSM4glH+5rgPAgy3LUSCS0 YaCXDfxuH5aC2CCqncF0K7euMNPrUyewWGVhXvIgNL8nlEOmVCbGyg4khefYLjoCQjGV60hInpI5 cKZ1jJ42ab06nD9ZNS9dqTA7Ku159+vxgcDmuTozgOfUe2cIKxiiOlYPEX17PYiUZGhMhfS9gfql BllEjjyW+xqzkzzn559QiupcuJ2/Y6uIhiMhWvHDiqEB/7EKabc9YHx5XQ4nzB/IpDsx0bQnMjnX /xCVoqoW1JV6KQwXr14MYHgZUlB4F87xlYpxSHCBqKmg9plYg47AbRVx4ZD5vdClPGxjpGeXUZzr lkUwo33AN0xjHZVtCLaUSqtihhdN4zC4olgVL81sTD+8z1EW3GfUKdESlZToKTyYL3f2Ckj+BtTP r/RVbsBV4wnhwgcNY0JHCdwdyscfWqDfUup+jjrgN89HvVqm/I702ZEc/Y5XeftAdCQvpf9Xe5eK scZ0ZipLlAX+MEe/BNBDxLZ1RUA6PICsKlIIfKFYqy9KNwB0pN1Ogxhz/l4wolA4c7G/sbE0uzv3 ISHa3V2DopF3SdV8/YSHwkRC8kX0QmN+dyhRSrw6qREqyENzg2pSBynvDNjwwyNbJ23McNIJkXL9 igXNPOdprGEJVM8qkketbVo1HtpwZAyj853InVo06DDeDmH+zcw3vcY12Hd3+yGxOK7L2st+zeuf jizpBPiSMGhKhB1EJw0/qU2mqcfvFAqWJzZgk6jILuGt682+hh1OeEMcM+tzlgWNbDoayWozHB5X jsEVrrBWe8MJJn4vrcQjGEd97KpN8V413mTINaftwvkZs5ybPNAUls2Qtkfi69xAxACwqDiKH+ye 8fdwQ2vBlJJPgHwAbv+BMCafFg0FXuwEqTpstVjtKVFvhstwV5yVnYVWP9dkaK32sX3E8qZNdVYI YrL25WUg3srlJ71JD3YQKLDI7juMIzfro++1xNg4WPrjB8ShxkEGYx95AM4UhRTHQzvQucR5j51S ZWplYAD1ZMXCaMcl/nUESsyC2PA52oyUlSdeeEUw/IYBajpfvE9JXwB5EaqRsy16Jv9QUk9E0Mfi c/jloyj4vPksn2YCylMiDkBdbzxtd4nyiAc6e0MDz+J4pFsc1kZUuRDRt2RVeJIHPsi3B+0eYpXn lsIRL3CMc/4/M3ogBRr37g16KpYcrzAJ9brSH0SYsUg5Czy2xKNG3EWvm5e/8tDdLRIu42pIAKee VwnccQja/5kZD5tF8vQMbjSuIJwXmVkueXH9WSUfucbCumhq1x4dKuXA7xp4ffZnytF7PCxyOVki gMUrh4YllfrI/ak4xCfgaGN0Ei3W/VYAQ18nl6s7Cn6MVPGMe6b8GpfhtLp5Ojv7IjX3BUG7AG05 3j9q8j3DJtcqD+NhoQmgbqfTl5XUpuguX3yX+2LQ3Ype2jLy6Yr3EK1A4w1tOe8/6nJPs1YNX0TI mQiIc9MZsDByz4TfVblfxz0syf5sRQFT/8+Hm1hzTEC516bNOhsy6IvBNMpxUJFtUrwZjUvQw8Hb EJw3+uGc2rAU5TqIZIFkMiMugrscfHwigPC6HLwFmlbpuvgQeXsj4061UGFVl985qJmWSyMfj4T7 FnAAs4YGkvTVSQwuDeQlu688LfdE8npaZFmD/ik7dSFF8GAL/BzNiBjCwouiQGe1zMV4uOcRZiPU I1ziC08q+XMNoMIuZFa7nma2Jt/LLh3GD2eWHXxyPGsghEMxZ2/R7i8/H4ovaL3tuSygsJJiiSdx KeOPpVk3VbLe1MeoHlrxhL1CliTsjvqV3dliGfXprtmFJX+YQcSsl7AsIP/4weOVoLb0oY7qBTeK 2+DU8zAxKRR/R6d2m5EB0S2evk+DyL5I55hLLSA0mKLEN1E9jp4NwRn5AUsoDylfAfD9n3OuPVLS qqtTBCEI6nuqGVc6uqnJ3Ixr62qzAtfXdvqcqtk1fqvvUbR2nKg63BdiMFVA0tXs4Wp3sUr94iJz TS6g4NcsS3D09lG2pZTD/PNXllZ3X7DrfrwOFAfmRoQ7SkA0N1Qb6bJIQjTfSoBjwOFMR1E6eZ+i bTCWl0kmVQT7bRPMqHSlIbKpOpx4Ex+O/duWGCjl3BzAmnTmnwFS84kVwa123/ZuwylwOCbSR9BF 8I20yGSL0mvdJLQmISMfE5vCYwPe9zmYdI5fsO19fH69GdfTBPEu6ux5F1DMa7sq0cD90mEeurPA 1iTaP4xa68AVSgkI2ejZSVZHxrRkVptDXBEJvc1lq/7kYenIJhIA/SLllh/5dMILrVJNFdj93uaG VjgeRQqTyFKSjW9kVkiq6DvLaRkDK3+HhoFm5G8dt8iBDsx8ydSgaUVinm6+Zb6hKI9Rts2EO7gT BJ37IsGpQQo4sWX4Cd/9uzOc12QpIQI66Jgo4rUw50XC3LwKJZZOVaLwsd8TD4zp0y21VKL3N4wZ gfo//f7petVrHFKLpTfawzgpqNiZsBw2i2fJHx6gppsnM0z7AnhzsFL1k5+Iy/6WzJFNsKychOB4 uiT2Mly3AvxJXow6t0A2Pk0eX+y8WXLU/2hkZhQ7Qrii64l6h0gi9Kj+tnLz2FWSfX1FB2QeOuMg vdbs+gLbJMsjZ0zq8ba5mSN3p8eUTnGWxM2Jp0LM22N+v1URI9GF6Wz0Uvcud8ZLJcGqaiOgsx9D lIVrjX/k9Ty0Xf2isp1D0aq2WhF9AioUVFF8TZh+FjP/eQ1jS37tl+HNU/6g5f2URLzW/v12HRur Ye9b/myykwdO+IylYTXDvSviKaNeeCc5r+gEpyQmwFzd5ys/ry+GoHt6r8eVCAnv9lGDT7pTxgPS rEXGt7zjHV4H01yMgHhCzd84lwDQgaSsFpuuIBTtcJ7g+9YSU0FKXjtmd6zG5KeT2yp+3dE8dGj+ W2DUBg1ZyrtQMScoLLFt4odMtskGC+gd7VoWSUdfX4VyX8eKWtdEE2yu8DJiSpjZzIWaLpcKk86G 0qC4ZqSMo/lLFL0yVAWbanUvZOJW5hFdN7U6Troah6qWasZWblrPTETKO8T/5EkwA3cVt2/tTwvy EV/Uye3qtfC1jSu1a1h+eCIBldVIumaJEWoM7W7BxeARAPbfKSAzJobwDIwT+8ul5RrlaPJJUazu 7erN2gXLPaFzI4y9X+3POMxOUehTuNEcK5bP/gN+B2+zVTCaYZvK2QMGxhHlAub8QFyZFxhOOM08 hCn2X8C/upFsTcrDWNqVM8NC+ODWwZyxvEOO2834Vmgrp1b6bGkGsx79rDLoxaiTuKYsnDfmDSME J3fHYZmsJLdXOcAPxOg4ZOI2eCAsicMNzEjLXHji2N62ucqJa6I+8OFIS91nhJvrnVln4KFq/6uK PdkBJL+gCKbLJmfyyM/jUgFwi2XgSw8r4Nl368qRHgF1it4LdR30cOfkWCqClBxXJyS8sPWv6yBR i9awWbrP9U32hF0eTt8sjJ2+CzRDVO7VPUzeUY0U1rMpXxqmdZ8lgh8ksKCghd4d03eEDAL5DUuc nxvEbuH8rjtK6K7E2OR7DQM0Rh6UJfGCzknBTPw9H2sq96hLkZWrd+atHTkXvryH4PEJXYa2A8QN InWYZ5BlCsIxpKx761I21pF56nTxmitdQOWpflyJJYHJyiYRArKOMLVrbcD9UQ5793CDg/09vEZB w/5fNDDRxs5UdDpFaS2H1PBKViL7P/D6vERq/eiR+E1QHbFilRr+BvlEoYQo/p8eBmHiTsSA2Xx0 9sGWzXJkJP+Kem5Bw2laX6yjJhDTAhlapvu9gGKZbRU6OrtCaS/qupnBAyDKvQSlxFhKKaGZo4qR 3PHSM3DlbE/P4dG5va/ZMbYLW45geT6wySOeVsSQUpfM7S7TGSRpmeljw/hTKKvaUGHr86N+MsyP j5nW89GU73ryYELSpY3WKSDpQHwRr5+tDDUO4KUaXV9l96XGf64RnSmzCwKqnBtAfXJ1d69CDSam 8UWQNlsFpOdxOGzaiFzI4Jd3AxrRyU3bssjfSUTxQmect99rK+Ejod3vDvAMqbC9xxVJdGRETKw+ Vb5BbnfjnYtMwW8xQXk+pGk+/vrIOekmnUg158//MLVfxy3dbVNK39tJJ4Kz4lWkDLU17SPE0Tv1 C+oV2+TTgvbNYuRvlleG0WaCgI0vLShziEeCE8eQ6q3aw9nI2K+UmXTUf+yNXPTE60+62JXaKp1s pAPOkN9SNrzxkgpqNC9b7VXigtTMCZ9LDB7aXiZcr3DMB0Sa6wkF6yBnB5G4Y1O5x5oqkseqa4VV +Pv6/yasX9j7GbrN8HgCtNdIVXQOPScJuOjUnz1NkWBmyCXcgxOEbbBK2XZyO2Pa9ZhR6uVmaR95 Wz0DsT3GKPK+x0KnJgd5DZEVRdqx1USDP+lQv5hVFEnAb65rYyC/5o745cz9EMsHibfrle2x4Q6o IAJYCtyBTBGlZWTrZop4TjXu1C0lAbCPqlBchKdQsXsxXDThrGM34+4ihcV20u9Zbk34/Mw4gZKz au8Dbv5My5XwOoQNcRshzUjkTQdbTYXDqPMX08LEdF81AdDAYGNG56eDlEnfbrH3j2Ca7YaDxQF+ y06F6Xo3T9WRAeyBKq7lbiie7qml7Pf5mvrssSKUqWLuJex84QhUbEOamW7B6UR4I0BPMIBHTkye dTKNTFa/CkKNcPRUV4DtSASeoty0a70yS1agaeaqHUkr54YhabFzVO+nNpWG1lo5jSM6fQMd7ykz ZsRWilBUu5f6rv3ggf5nGyoD+X4gn8bV00q2P37AOqAzYMzKufZ5d+qQsgUAZ3c6apwULOT35GAm cKsrAPalYK2JQ7vH5tElaFb558yfcjBF7/BqBdv0OHjYo7apg2Tc+82OqeTKC8vEG1KRZZTAsL65 jF5bgEU3ShTw8wPBvYysep+xcu5/jDo5if/FpULbnJrXqUTLwWwutDOza0W8aSHAErXwBQdjjTpE kPGWGmmf6gCm+aGoffiJqI2SoF/ZEM2i3C0tPVYpKEFj9dYSmfU3Ms43QkgDf/k2AH5Lv8/TJt/7 vzNEPZxgSxYUJOmOEH7U1/N4OhmxXkjUWmyh0f5qvIg6ashZfS6Jz9WimE5e5mpCSEPfmg2aK38e dYjtBMGVf+Z1utph5MIZE9VeUiIbmzGlglo3SWwLVInfui/tDM4YA6l3ZBxXrqZpTO0FrwOI9pbH Adyg9emnsWtrXe3BfO/7NVPtMrTNKKoau5dfJqhmacWEdW2577ua/Ji8eOypdIzPyAO7Yim7NOPA Xr4AFd/XIZeO8rs63aJfCjn4Y6BMXCj5yw5z/hRNJgALtwpHrXTAcaa2gdMNIgYwXVBIAvXzs2Uj I7TbVmh6jxUlRGgCn3ZN+AW27nOrkvENlJqL6iNNDPKP3ZuyYREmihTLtbxXV/dkMfQeAsXOBCAu eIsdrbghJHgI6VHFaVj2VOP29qn31LorEpZ6s5wlTcwCaghK/+fi6sj6ibl1zCFBkvKiAdUg7xoZ wHWN7As9cFtU7X9VWpTqoVH7vG7GA1Q15u8AKvRVe5xR110ro1HRVml9AvxSD6fDrVQTQXCaR7gG rxzFyhtibCk5weuFUcwEs2lbF9S4rzGyRtmZf+D34xQlQsIqa5wA3+G4L443BYZRNq3OyRx8m/vo n1aEMQLe706h/tVyqVvfRt/sDmsOgH7jaV8R2LcdhXK2A9leVVzOykSkLfQX7QIOxRqBeGd51aAE WoVpdhMV97uddJhobpyWlH88VLMJovev+5hqsDZzC2/hqiEuzClxdjTdpcsMe0UDKYrgA1vzeDtB OXIvR4BZHvv0LarvuaJ5nwqnsSgKI0WEgtmj1huPTJDvQiIGb8cPcBjN0dc4VoO4CEchguQNbbia VyFPEuwpWraIzAobC2rzxFwogBjmk+ZISTYwQGQiZzw/BwI0NqHrPdkBYoosdpX27fjHQAKvsm0l wmTsn98QzV40hSScE4XdrN8+ptyibs5ajQ9M7UgzFlo4ehe8dwu2GiwtYWTnXYVnbHNXua+wA3uS tZchJfzGU3gZdnJDkNpxhf+trly0Th2WIwNf1oAnF7TuL56i5M7jHv+OmZnjbfAACnwWZPYAS0gA fBRWElChMuWuPvHQC0QvkIr9E1oWQmukPnGd9g+1NJrgPXKvEQD9uiJICP2MfxQylRlfC/PnIUJE SNEOw6bFb0YfnCO5Z1UZdUG/XhlGvNXtas4S5ZULRu79EvhQArhN38Y8tHf7w67q4LklpRmhRrbi KeVCLNQltts9tQt97X6Ws6zKMxa0kXzncqbEZO7yK9ljxg7x+tv+jZbprfHEdMYtQx6hoQUshEMK eCqgCF4RuIZms1YkTTY7g2ynIEAjVcsoRI8ggSCDH5lebj+YqjMIqcMvLFx4LhkgdXWf9kpfPHPX tPdV1XexxCwIkWuAgyUIoxDekccfIPfAHzdetTA2Kx1OgE29Fueq4LSwgL0nO8xJdV7kU1vGX3e0 TvphKB06hLcqLSTG0lrBex2QlXQ9ASJ7uzeK8JFkQ0p5R4j/WnRRKKHEu9TAYFSAexg4q/TRSG7O 5fhdhBdxra7VdnD9GEYDqF5CVnvBiRtmrHFzVkn3fhosW2RXT4tkFSUqSqKJR1zFpQWpCgvL8Knx CJzFheNVOx+4SN14Yabp5ZXErySKMN8TeWhXPh2xjDOFWeGCloTw76G3PbBfpSBkMip5t2pW6Ywe 6g3KIGDUCubXj+wqYPBNOF9JuYjhe1njVwcTnh2FFn9Q/cB2Iqg4WBIWGO562RmxUUNk1yjdvfPJ vkU7NXHk738Qx0Tb2Nbp8bdhRik/tPSDFsbcmyofUE5k8cij+yLjBXmJ4VYZmWQn1aeiPMX42uya QeyDzYZDrarwrgF6D9caXaCDbr6vQ/ODkmH6eUrMMGpp7sNKtAbQ7cYbIiWrS03d/+h4w6LuC6D7 QC18iuJnfamYac4z3L81QJrsjEkLRTGi87GC9WPY0vTJJF5A9dbZwb5mV1hokfUW+gQSCwmYACos QFwuMjnHcgNuxJ1wzWnJng8EvHXUohFmdvElJv6j7eOqk131cTgxOQwhwtL4O40t7wG3tF8+ToPJ BWC8OCKyk7WjTGdVrXaKyW4Am4nKdgqsnWJ7nPAeoz78KTvrV8E61lLspfY1FXdWXV75HaET9Dux hqrbqN8+kiZP/0Txwv3Dw3LhAGplo+flUNFaESkclpfQn7sjj2T181uFTBI5FzNvnolnrshfTDEp Sx2LhJVswSkPiSrcpzLLF3kQZ/Ck0I/Se7wvbrVUtAWyc+qjxRFCO8zeLvkwrz/Pt99MmL6oemPa HXPZAQG6jYikcLU/mWoCw8HDUZXf9479VyL9zm7SCoS8EZcmYbzwQBPx1cu/ZjFa4cWXkW1UmKwU uR7Cwe6n0yJ+uy/TyRDB6HfQf7dmYALuXyL4t6sF9Sb70L9emwIf0zPScDh4VtcSSj7fz2ylAsQU DmiqvipXDEQxN6R4Dy8salJs1WSDE5O2lHkjpNn9pSbaSAjqViuDoW5pqNlOnYPvIFl9bE99bGXB ynj8CC7ZMw05VffwoMtKY+4i3jE8/ZuqgIbRD/WdhbW4L6unVFvKBg9P7yX5iewMJNh4buX9WvqJ fVX20mtFV1NFu0/9NSF/FRYA7PpmL8oxriCpjuPgbAy2Dk/XtMUTVGhTBcCf+gQ5IOPsuDNS8p3v v4Vc/ZwkuyPjLMnqlkI6S6kB8ngVMMvGxLLWWTvVi+FTzKzZJ+xsQ573x9TSYxLUsiXIGVflPuC2 kZKIRpT7lH1cZP97nNVDTNoI/RzXRJBt1+yw9+93oYgXRKQEAwAsRYyexZcE/De4TvVn7V5dqsLK ZszHcGDd0TOT6tcUUvWm9FGq9yWVD4YKyIkZ7IUW6QicM7wYf4ge6ylHEDW3pN6eqP8arHQIzxPZ Zm7U75vpzF3DvCqRrqauin5+GeqW2mUgArZ9qM/xdATNx4LjjwYqCRwt1ErXyPMEl6YVKuk0CWy1 MptxPFf/OThIz5+xRJIIh7Cidphq9/PHRQtEAjyrTpg6RoNMAGwZu9ksWDHUv0MMRrsZgcX+YKxO 34/fidAeekMnUWusfXf9CE59k+SvLPfvY+eLOKpo06D/xMDr48OcYcHSV/PvcDen+RFNGobZl8/g +Gw099rQjQssWVcASpMRWA7p1aE11GPiVgpL+8S2X+EK/8ZiwvFHrAyx0QqdIzc/efQ9Eky43EQW AW/WbXTOtNbAD8ATMhQkT0tLupUW/tu4vBsfuP+sp8TENx/NS440M6jBZ/vzMzRcfzOj97A9/BpF PUZAJfyQSBh6uOyzVpi2i0T5Qb3ZxfjzA9E+kv3tCUuwwvEZjkJTxkKThsu8Qe4mWmUe1t8lH9C7 SWKhB4UBJivbL9EKybTK/MmioLw8Cpin2R1VZtY4yeyXTLhW0UYTKU2FwTdCXtaVoRlKmx1V3FiA 7Xf/PKdVSNYLm4A/6QF7QRwRW0bGv6YRNvp1OJK5Riv24e9STz9VieohQSMX3SryxAeyYpHJj196 wUrUXx7w64ZYBSR22zDD2K3bEVzTkZRvsh4L760K2eEQORCuvFGENAnsThkG73pbmggQBW3ytwcg hhs2vLAlkbbgnKNHrGnL+9EVKeuZvUpP9tl0ZGHObRxfn/XJV+XZiWt7rlg3700DkwMrbtL1IFqc dKC9syCs8gBOZ0KyCtorKX4xXxsCDtt0nMbp8dqoi7Nk6xklCofqFPeD93CZy2GwuKPS1ZvfQMvr vmiX+Q+KzMKmA0HFxq6xqzbuOh6GZw/JzVLuXlkAreNQlY+XBtXOBKLgyjfqEJOXK6812oWDUaip LB3G2hIFabcXv0rjA73Mr5kQyDaHyc8R43TmmZ8CwsPoyc18cqstRRvrPLEEJt7chjB+1MmW4txY PkTFSdkM2979KLnTDcbY/QhvbNWamqEfTDPO0+bu8I2z08m9groYzbbT5ELPp8bsQe5rE58dL+Py kj6eyKX0pCBx+qkQDFkez7HUmLm2PUsDdsja/FiUjk37kvCz3gjk/4PZOGuDd/jKiSCl7AfrQek2 gHZHxbbkca4AI992IsR1b2EIK+Nip6CFszaYNBU4ZZ7TNxp8aRUQfFysH7nQxfisEaQqP8QdAHdf ajJyVbfuvOI9+PLPDmUNK6brTkZ0Tr/XFwPMrVo6SE7abRUghqq/FMDkrLa24cfQ6dmpX34ICwwD Bqfyu/2hHGVIcvGzysXqcbWzG5Ppede3qGWeAXq7/LTIEke6BCRZ9vbQLIv65ZiC8zHxHpX9k+7s bJV7AH7cwrgiZdgUBXKoGp6YmAOsAfk3+frr67pCrZpMPOCpiqZEUjmdCWHycBMkeqRr4uK79Ar5 UXz6phITA8JenCjlQlRT4oioCsDrnaGUDdpLxgf9Jm/UPqmsBbncuavgO3KCXOV4sJNkwSMWFqWh bbU0Eqk2SrCMOu9i1+Q4q1IlrTUYhV5OYPlKf82aDNHypGmt/xPUBoLPQH3wCdnYT2MussBQGee9 COL37JXSTdH7XfXq8jPA20LQfzYdWv2oAeG+y2GKoLdrbM5HGPPHmRLyDQAAR2Ghyz7E+eX7+Ia+ 1EFh3V/Q+0SKvdFI5p/+Wh8IuWpNqgdQnLjmLsiDCQANCHm2Lf0KY9qan4bxDGhGrebO1MVtp6Vx VhX4ay9/yl5Pib6wWf4GoHgOQhPRs4/eQAhaByJgpDksmG9BJINIXcb0jDZ0bQbV7/gocIbUVoW0 K0+UrO7y1yDAGAYgUI78bP8jIM2pH+gu8VdHuLbpeN7O0fsq+9WV6jqTW4TaYkDKZ7Jg+QjZP8oo bp1OGg1F8eIFi3KChdcIUSxMJbxwnfZrEcQgyn4xBWtp21iuYbbZKPBJZBWt3h9O86yK2ZOV4LTR IhIi/qRJiBPXNX7cZ8S3bBOSt1ZSaz0tN+4EbxkDk10F/MSu0z21M/s3Ix3kbkXN11wNpku2zQhY n0O7zbY+QduWW0JkKyEjEJK7uMP0pXHSDitOPq/Rt7F/M2rJznv/W0nzRfhzYwYJ+rKJktCkSm27 60s+zK1l8gdRisUQ8jMR4ITx2/iVn2wjxHc+gdWP4ChTqI69oeFF35D9IhEmm2vlWq5kHTzvKtNJ vrLC6LFOVuqBleGqdKIGKmySvmbRi5AKP+9F6OM3H1JrmuQEbnugH9LvCHTRVslWaPvXqQDkHV0P ytCgD6bfYELT8rVXjbh3Jq6ePOsOVJGickSO+u+ma/duPzkDDNSojE8xoQfWIrLMFMhF95Z2XrtB SgYfbEnCtJKLWShKbp23TIrEbmox6P/ZMmRJP9sEFQz+nejBzk17HLh5hxHV+P+UUQSeQOkWIo0l YZSPDjjIgQEhe1+2TjETQ/E+Xwctb0a7gpoya3eNQZoCcrs5ByttDaCFSaqLngc4eim1pEWxJzVu vL5x8UtILjsfowSH/qM4/i20xMRUmYN4hpqQbRbemnKKtonNRikZw6yfh8UnL3V77z4VmYN8FvrU bMZpWXFUG9bgnt9OU7yvIC98TOrS/DXLC+Z9KbjQ8tO+2g7WLzXEIh3L4c6hDlv6qFCo1vdHnglS xPrSgg+q94h4IJI/MlGXzEB1pYlQyPj9QR62CWJfNDZhOFF0g138ugDl1oHrbxrh/VF6TlTCRFUf LPbPeRvfx9qmD/oQDSjx/2falscI+fIfbrkMikDDEEwt9l18CWKtQ8fp6gtjgiBfkHUpmaGnphhT ocNw7PqcxWkECO9oPxzmODO9EMSWPiEw0dudUyFcM7UlZG0AikaHGXPs3Yvv2S6SPYha9EOfMnYv N7v6pSaRfu35Iz+Bitgyw/WT2lfmqHUZPOnz4fzoxOkrkr/e8DOje6EbVCjJb2Mk3fEFsy/P5mHi Aodhl09oR8vDwSspHrkLCV7ugRIJFa3HbpZXe4AlJMHpXVGxc6676UdygZfkOKTtReKvvDp4eA9R /ybWPAbhVViWYKjIS1pk8f1SUql4RlobEhTnPG2Bx2Jl/iatQvhr4IesqLGdwrUWQS544ImKoMht 9HMoljXLETbvb1aB01X+7LW9dt3wh9NKCJOiP7GbGPhlbMUn8cHQxKPhk7CL1dFppknsmB98k3Vj BrhH01m6T9aLNoDzuilA5N8nl1rRwW4WyBlwI+oOfqDHtyjul52UuA2WCpVhyxmzTDRPaklGCC2v 4uhoqbgBmpRGKnAi59tDYtP29fPjYNyyynQv2mpK+4j/5YyuxFIXvIVHqpBy6lNHYjW/MlKpcfRh 7L+5x+yY4MF2uM5041roVcE80AvKvAQZRB2//4ly8lKCR2Evu43pk4eMWappHnwkpjQUO7QRNdw3 IBLsiKFb67r8ov0QcXfIssUTzRYiu2UNlYea3lRsnbpddwZkOdtrBx+XvHWf/ePoBrVVrg/kRKuB JCElR0UEKTPrHUiMDvfseSgAwAucczQejqAV1bU0bKUCcnltrriZb9p3xz+xnImexpsP2RCl+vty sT0OPwHeyuxxVfZFkZkc3+gpUsjxI+z+fJ/7g28GPh8DzMid1T3Y8MNa97RLDGCmjpqzhLE5RWcc Op4Trl97PTcxno6q56U4ExTD5LCNvXvrxmSEENuiHnKHHDoycFfDnJ5vaGjTflJlHLZ03fRSbv9B CcDNbsT3c5aVGE8XLH2WYjdQq2ed1isl7XsVEKY0sJt4bzfX0vEHVFMdHWmyNdHpEAsYJwmDci6d dRE02Ub+L5IWPreeMElCWFdV+CHbLRU27+c7X1bl0OTNyZyCZYnf2nOs5QiAGZ/++thNm+SxfcNi pBYZoiJGlFN01m3tkDZ60pxqaoevSywx6cjbRpxvsL9xwHPCGm8N5ZPxGG2YyTUdDN7jeCxPfgiX j53BpR/xNJJZWybj87asyMSHE4TyS+Q188Be0oJIvPER4X9qQSy8FKzJXPE3Wkp6PTdVYCcHwy7X 5EW7k30TNfXYeEy/HzmzPCU4/+QN9ufkMhTlkWTcJGk0aMdt+2Pd9Cb0Mjf4mWErn+ABSHHJxxnv dhbPmdt2tFAE5xsfhKOA6tnOtipgDDtHoUkQXikrvkl4hTzJZecWpxYEcruYpRoDVF1wU0vtMT5l vK8xeq8TTmeh6uOTY7ONGai5vqYvgd9ShBhgpXOMRW6Sqc+mePWVnOSybJCJr4QgYE6CWp6inH63 EN1nezO5FcLeapBODjbU3Jmo6dUvYsVDsjWaWR5esSAqs5/N2QbS0+OryR5E6q7+ZSjPOHae6Pij 4Tp5e/MdBhKgBKlyMi14RJVNfynuEOiNehn+BvXTP9VTqvP7ThHv2Av+x2rM3dTF9yfPvuwPlWBj FGRyB+rP024MeMgzQiQE3J3nzOR9KlKmoubr/XmWXyupqQvu5lxsBtAa9ZVbmH7Sn0qYhLcMIjJa g0FbjmHkFsRuNwB/Iw+np25WxGqi2QSuBfEJJjaiK9daB2hDccpyG7aWiDpFmjBXTFZXdH4Ks96A NPA9ud+/TB2TW6RB360L8KpoHAMz7WMrUth5SUDTxwMhlETmHTChJDbsGwgVcHB6YvlCxJ8XfS/D InZUF80w0YRDgbZqpypAtc2D9haDX+GpIaiMdRffQVxYO/UI5rz0j1wlzduDXYgiKEd/wG7JG76y M54BaaqE/cG8qJgx8lSo/xXKHeAVma21ShwzdJ2L6pIb6ye2nXRn1v1v7+qqr4cXD/iRPcPAAASx Wjh9xIb6GMqN5ik6un5BE76r1NomsutcUn/hq9v7UtupEYGR1umYWpBVyWEe3FnLHvEoxGYF4hlQ XoS+jYxi4kXUnh01694SYocEwHAQWTBxHt1r9nl6nRex01jPKu8yRRqWlNtxVgJpREqslezDamYr 9uncAsVtg4onrXQIxbxiAornKwmOOB92KAbxX07wfVf+1+hyCDWea37qZvw+V1YoJMqNJmfSX0xV kmQq4sBFiOBMTuzypcgUYbHzUPITDh95rYL0x2VnhZG6iqDEYnROA/BdIAprQ5OR/Wz5L/IMc2P1 EK0fvB130JbuMPz6WT5R3e3WhEPJheXaGBbu/2VY8KkHo9sWT3QH6tPuWNOzMjBOk501zp4yjdR7 6dhz9HeuOykGH5jZpWa+Tla2SSTiKUBPIt3/wDzZd28y49DP8my+2np6O4cjG1mE3RxipG+nlJDS C3Uw8QiukqnTVIDyvLj8QXvyy4KXBK6y+SLCRnW4v/bvQ4CYc44hfNBhneSudHES997B7jNkFCQd TsBu49M1If9V1zNLQa3wnooedI5Sik2/KG9HeGt1PkzCzAebh67mHj6e/SltjEs80O1CohdNcaZz xoVuwEmBZDgEmQ1A/Aflj2IBO+DLjVOePXL3Ql/sPjmJO0nKUmw9/H6WOlvoO3feWeoLaUX9N9Y2 Abkm5jXpp33UnQ1en9ZvKQAC1omp7dIT4IKOOOEqm1zXMYeJNC+ZMYrF/618V7hxcGchMKrqBUZC Ae+n0kYuQRazrxec9iv7V9PpB9ToLXo35ahgUIebfEG/+LZ00flX+BKTRkAgqZ7Uu8qaN8LndZgp Z9d0rxaWGVa9W4hGaLFOnDYJ5cT/f8B3MJ22T6yk6Wl9xdmhx0pdYZGAwOpVBMpbTcYwHOmcFkGm uf4iU+mcdo/CqnvY8T+iBVUe5f4TMynYxx1gHhmY0EzcHRGxw765qAb7IEfOaxs9SibP5SZrhhkR 9Ghv8Y4AmyMhcUMCakt9w/eQJI3l1vJFJ4FtK6p6q2kilqW51qPziXzXcq37br7Sw93lEfug1Udg rdzEs35D60IhMyCdv8C53ruefRhqkd3YT0Iuuk1kmuZMGyTccMIeffX0eYWkSsJeFPQv+VHXMxDo pEY6b5xKCCdYAgMVkxSVKd8QKNV2MkjlTkTCN2mSvO/retg3ybVJ9bfik9t4SICWBR165Y7iVEi8 dl/yJaj3CFbrGPgiqLMka/8VeqgSAlVOMekpOFhyljqaRxHHF5PI/JsUzZVk0ABHE4DDMuG5NwKp bs9ZJdFfMbddEOojaSsE6sx3hRuv1/Lz8P4mPRcFT3JWBv7oGyTcDsqBZdFSkR5SEby21Y1mcdFz 06dVyHK34m2wMhUdRG/YfazENwI19QNR/B2SOkn/+RLy7gD/bi3h9OYsvQKxXKXa/tjeGNmEuDVY mNNjm+TRsu+bb77GAq4bpoP/4UA/rIrFlptnMFeTYMlnY3/LbLuPCT5wCHvlGbKjDDorrvdBuPvC CJidlF6MmqDvdIji1NAFCZXjLYOIn6qSAM8LqLDNu8vQ4jULSjURuqKNrcCARX67ddnaTF7FeJto LShzBFsS8lEbkk/3fvRy65JHyVT+OIktQKb+5inButTIs28dkWGHTWiv7AykDoO4ai1lqsmiJgzu li88iB5Z87ZoGRTLyrmCVpJMJqQrcEvEqWkgmV9hrMDfuxWDt4uF3EmCK9SWGard69Llg4U8pneg kKu1P+zJtzp5oaOvYO7aRUW65XseqSZ8Xl3PykKSuVQrmgv2dneAsSk5iz8W8j/zH32tf+czGa5m kPsxvXdVVXk8reCc0di3ziEJbrVoQk3SW8MyaLjwmmK6tzKWXfovu0eQGTUnUoE5ylNpO3A7R5d6 a4z36CJrjRTxHjpYqH3Pz7jL2/HmNxro2vNUaYRvW0qjjqTj2J9mUlVSdxMQYnwuQLA0jv930hvE KqHTT6pyho+sPAwVu+ZyvfCkyxSuBJXpShlZFrf6rooVFly/ithBE2H7U0M0m5LEr83KpGE+ZzyG 5tpLBf7SCWSyuBdEqjFmLzQ8acmR+5q3EHzHTwbXxaDh8W/MMPurYw36KsFzR4eF9CXkaf6H5Ydh Zm1tiSlHQzE/pX5VDU3GSowSR0cdUu5lur7Gy/Bogb7NHJZ/fbjntsNgTFXRh0kiySwbnwu/CmLM mKm59C808lwfnpbsyj2JvbsQ1tlwxQdg42iTI8rY5lYmyMo4ddQGprrtXqFd/z/V2UCHAIqIctzD GBQkfOzb0Y4/3MkFbGZyZH3Q8UDq3pxudW2R2VvuX9GXBOl8rnD6w1GGOmWA32TufaYN0dDM9Gqg 5/tIHGV8/+mSvbgA9esB/X21+7dzpau4iIlGel3XGXkbfFYNYCpdBTRPyhH4DZ7VMsxmcP8K0P1a LMss5bnnhib0Qvj9VdUIA+lDXOkgSQtIIi5j8VokxmER/mz90cZHX1qjNn8N7c/+ESJDCniDV+YI AWKsIlj+ISJyuddzJ7lLKZZ3/BD7gXLJmdN6kpZ1LwKPe7zQhW4vb2oWtCVW0cYGnT69RU804dIt PRSblo09LQrKHkySaoRL2awWTuwfimP3ghFEvRPjT6Zw4RThTy0+0Jk5FLWaZr1VRMoTu3c5mgmF afyOBQ9NwbMLnfgrUs/T5/9TP/zSzyRlxM+orp2bE5PTudWbxnPQByGk56LiFndZK7+y/308TZNr dyLVxs0GKKNoXpCTW1vRG0poJ72LgkFHV4skvwGbazclGPZrT8ZJ4gKB2IqrIOF1OCeLco9PuXoJ H1yhjnaeZfNk1tWO98Ff5HBQNxoSYh8f8OkFGToeqim2nUX5gvg42/eclX66uO7uoQ9WCd1PxkgP Xve44RLcAqzwQZ4SdvP+FWDMYePixMH5qhp01UjwfZjCuHcqJ23+SCcPljMOipQgv9ryyNEk/+nS ftJdzq8eaSxCou5e8Bya/88NUpBv7vOPjbAXI7/qD3+l2LQqfSS+L9ta92olQTPgHiIEaZRKtDDT h/fWV0ACIlABZWpnS8F+CU6vk4oqU3QZMw/98BVo7St5Dd3x5oeExNGcOCLRpgNm7XI/DKE7c0yf K03EOpYCpgX/MUcr3vD2ypPutmTFGIY+FB2we5HhHcEn5tPiGNLBbWEM5CLgoGARA18s69PIKQly kTdt/cUDGPVbM+bHRGSamKmktICNlWHkViI038VbnJAWur+LGGer5lK1sjDSAndyvb5SUlVEodSS K2WqTkz8Q98cdDdcO4ZimWjmb91ZVSQnfjRQsMQ1v6Tl4huVPBUj3ckJFEz5Pk2+8p+ukthdpy8K 0poyac1SByTBH27K4NLtSeclVCrZctqwEF7VrIb7WZ1P+jf5NcbM9ks1iT6iAdNhy02P6smXnehQ PKPij2wX7V85BHnpnJUKh1+GVhsGjge9Y8Q+/yzRXL6idzTUyFl3I6C3eklln3myhAVewKCLCo4t BPIyUQz71kpRhF8zzSEhLLEA6n48yrl22nhuHBbhBqreF94S/nBSe7G9/4lU0ZbqouJdCWVGRW/x uJb1ux9kP/ekOFOwHkeHcGRovgKPuYoUQ1EusXVOVpAdHkXtfX+WIFSDx7uO0ng752GAlJXlR3Lg oNiq5QQC8Ge9y6NkNs1tsIAIH2oSPz+OnXGEIEipcTrrxj+44Q+rXjyVmV4r9HOBxqbasLgsxcc5 BDeY6baA5Wt2Lp8Brk8Qjho3VeOri9izXUzHc13iG+12d+qQbSu0lJhiqg4Y0nD0TvyAyjUAJVZa V8f5gstuJ+cGEgb7dmbZ3PsBR+pzJv3GguLTkO35Uzah40Fz9Tioq+Y7JLLtcu+HH03HLtucqCdc 29Q5ntag0QOwV5zPdSkb3QhTk0uHswuM7RZbXDfiupHD+2s0J6Ot9u5Y7Ptznwp1RdPPyHCIbMb0 M8NphEVP+Poj09d7lkmCJ3pDzXxZxbhK4aAxqBsssFW3U3gEqnhFzYEZpGWiti6fUBrL6QNJEtaf FXHyg0RQWIoJUlaXwPjl+0c9AgdQRBWsznnaP27mC4/uPNTrv8GYqFiH+WcmUOp85tWTLylo3wHN 71hleK/b6J4Zweii4fkNB7td+JuA7rI70lh5zTkNeKxrO7n4n7dBQ6j//hE8M+1X8UDIJupZLYUU 1WY5H07M1LiVp8v0TOx07AAYL+ipeLsTKbZhodJSCZVKk0LW0V7/7Zos62TnO5WqIMC4WVlrnrj1 nk2Z2uvobrPWM6HMaHoqZ2XIn5tdNUw0P+kruEeQ1e54+loopxyHdsjPmSubhHUm/QGLaDEZAY7p oAP0fBzqvnptQPLBJVz0yuYMX60RbFl5E5OpVQtsp7kBz4M/scfFtIvD5Q4sTgPNyAu8h1YzK1q9 MXOPOyNsYXadU83v26Px0QykfYLfp+sT89R+IpqIXkGW0I/Z6YC2UrRdxbA1ktk/nMXU79hGVZl+ 2q21+FpCIsY4hfVc52eb5HxzKZZgjR8qbAOehRP8Wt5HDjtcUTnQEmgBIugSIcEBpC3XdpLvUw3l tsPexKKdvNgpuftiJoSF8w8Uco2TJga8V1ceAy82MrHbv632arUia5rcLpKK7StS0OojtcJ4EWIU aaTFWqR+4NCpdezM3PJTysDJi6NTn73eBX/PZ3rvKFgDWmpaA5y142gVzkaUcbhAEgT1uEGnYxH8 WloY8D0ntI40Kos0EzeyamTq4WF+nIMZYwGmXA1s9McHneJtVF6RJmzMb0BxF81oM4uwSABcoLrk 7TvhxDAGFi8j67t/7kMjCtEQ2kYZEDscR0WQyVBEZM93ppHI4/W5RcjrdKtPbLW//nilnYiaHFz8 0JdmoIdg+lyAGWKvWJbhQu25I6v0tKMzA1BdOXHeZOjWkwhn90dHt1NmbVjXqUkfk5zJmECfkUv/ K+4st1UJsPUxq5NTrmzpDexoipF5dxFBNN3IPDF54hv6sBcw83xBz77/foeLoug9tu3X+GxfTKeJ 8Y37CQSO4pPTwLXxcnaMqOiv4HxW7bBuSvS/YjtG4FAloeo7IpEHYkzoUoZkgbNd+6hp0lu9iPvs 6l4dpBzFpjODXoIJJKFEZyTF4FCfPxYDo5rNOErNFFDTI4cFrrjvj9g8X12XkQKOkexC/RCwJJxm 0t4sRU/ZXvn514j7Ezz40KJSrh05VsBhhiz+2mc8usL117NJPmlm+3jXMubIj0UoclIa2NqdnA+6 M6G6Y16/kofl1kCvV82Os0HyYzxL8gRoO4JveliiaYYZmM00pDB0/M/fqL8cjz61YKO77u07rxdX rWdZjA+SEMceN/+ci4K5hfDZs2TmtmFpXFNi78tqGeX0o9ARvmPjYq4J01Uux6yWqX5x+V6bD6hl 0rhOJZId6VsqYrHKeATB8b56nlNFg2TUGfe8ur/bx7vD8GndoKGsbnTfpZtdIY5F7gTbMYtezL19 3xLxF+Wwu1JTHGu00RrEe3+CwJZK4lHAuRD2aB22MCsV26kJj0uFbJPcujI3oduzm5a5lVYMgyf/ DJL64ZlDdeCqsq/0g+FqnjG9jETWAg4EDvp/dkPdzWmoiTvOLDHDIexAZpz3Qlme8ZPrFg7Ns4Mq J/5LBO2BNl9DkiIUd7bKSgSBADIaC8xeWfARJFcAjIQb/BmbsFEG2wUDBXDfEDG3YbKdSNVrDbg1 WCofVlIcMPOHCMJTsKpuoJNzw7HBp47SJ3en9Fda2ex3c7VfxxEHswtewmF4Mi4+Yg70FfFb6XCt s/dFQSbmMMYV6q/lULUzyqcGA0H8MQPcNEh5m9C3lV1NpA53TcdjmOvVx0Hamko6P1dNizAyEGpa X2LFPxQRwP45zihbEDRym7ekcMeIv6aR3Y3PHcKXXKHjwKSR4bTKq1/3foX2ka1D2ejtGrM5qF3m kRnYOOCCVcT4G0MEAKOyZxSpc8yxnDR6qnV1Dzp58pK6igf+ZJ75FO8n+zej/qE6//7zKp8XR+bg eIqytUC+IzQhq6aonVPz3zz+CE2Zo8rsxP08I5mTW/c/RQ7mojxen8gB64EigcLmYT6s1OQhY/7n XHoqHZQrzxACpHhGAxdokD0ETELtLobhsrx9gKf2DGa1RgBmQD/xALqEQ+5c5aUt5M4s9zQARriT f31jDOuxfyHnE+4KyYGE8H8F4y+lUbH9UMByR1t7t63BjncLGMGbhKelQAz7h9lZCyWrKY3YvkPd xvAB6xMj1S9SoO7G7Pyr2RSGfvv9XZTw4EUkJCJE855JMX5FL6KveXGtDVkS1wnBXYVv5yg93c0h a7E4bsw/xbpy5pMSeaFj20tAgecxLzo7v7s3sZIv8Q+LJXhzNcHikgtOwFS2P7bLDTB+RMwP/I/j Igk9RuE4q6k2msNoix92/NhnRj+ZTCSpyc20VdT9RQya6rijUFBpUTupaGyAl+epjHfbgqydfrHD 96nm2wds8WCGw8Epu1gCV2nsUr3qxro0kegYrzX8peoQzCpnqVFCqpGZqDNWlHMnwNwoqASPFs2H Tdcn8X6uMyEXU30Ec3JGhqmppd//R9okzoMx36n4VjSodGQG9EsiXE2VpnAvFx2wcUkU6405yKzY uopkQQ6B5ATV011IKLWQ2fr2BtEdcxd2uFBFPpl5sf9ZZ+jlmogXIS7XuvHjz+dFKRqRqTzGtxx0 0yR/lQtqdxazM7ulN/pnxekHohQYIc/kWNHCVN4ETkjaMxRhxwZPVbd4ZIo5A2gkY4VJp31n9J33 xX9+Am+xNcMDaBNwxodH0rPUn0nJ6ush6Qo5r1s/V0a4kouOUziMLIK2mIroZUlK7rhPNuWnHImH LLiCyHK1m/2UXIEta/Yz5WI7RcZAIMZArLS9LfvZT8tW+ga54XxaPkBC1zqTlIFbHL68r/VmeAOO Rkp/+oI4xW/W31zlAVG5GMaVARw0o1o4se7+0ocHwPufjEWu1rJgKOM2dWYfvyYKmhUncYv6cWTc 6QRtYLD2PUTwp1zddAG2IyL4r1OyJcYd3m+CFmWNg9M9PL6XU8CNn83Nn3SYv4LEAjhZLataUFds X4Yf/NbZAWW/nV9V0ur+zjfoRicJ0lTKbd5zroPG7wcvQ/9wSUreeAlozeRUfNHqauThx/J75a7A 2LfS0/RUZ0uUC+Hi6222qzF4aUtDfpeLuD4BO2pcyDPKQrlxCfWnkw3X8vowHtsN0uUIq2xvj6rh mj3xTVMUcWUNf4GYMiTPSG0OvQsfH/hIS4Auu9ztqUVdDo0ZIYiK3D7tN+GPy61Q0FDiqg43Ajyh k5fRj+Zy4w/0QoJTRxwNraTbmQjH4bU6PsiccF9OOnAuHavh2MMeFpg7o3DcskpZN/J/weaJ/Jmz 5dGhQayrFOdnkXr8qWomLcjx6zGMYODCghATHvK3Xq1BqonXA/6VA2V7ZpP3h8D37agOf04nuKYc 6UXXvf48iDuPHqMvM4VSteBbp3waKXZs54K0OZY6ddXr0OoZcV9ITvHKTpzUn9DKR18MZsGgUJ0G zjYNheSfb8IXW1Jx7DoffUPHRr5ERyzUqcNpElVtKbOdqtC6QOCeVFpZm5Utg3OE16DQOgwMAo96 PyV71Ka3VqS1UrSUNiDn2wO6yApcYTETdpN7qqL4f1jy1SOaw5zk5uL61LH0NLLAZ64E2Xj4iI3n CELpTAzjPwYZ3j8kE9YxJHg9x08i2729E6GIoHnnqQzP1+sHorWHFj660o7GG33Ves2Eo0tRoe/m a0GtbQm0cLjFdsPdtrBrK3TcQ5Um+qLloSpFv0ssCuYEuDJBZ3hMLJA8gFKg50F7Bgph2yxWmyf5 YIJoBsQJsuRSRwsKjFjx5IE3N8m0BHSLtgujHimXvNiYtr0OMCqddiD7ihasWUTrA2rfgvYM+f+K cwQg5iIdTYHluaDnOqDjgkLNqjeCr4Q3oNRlEgwuByHzDgo+5/PZICrsr0guME+rf56nI6gym0n/ pPSGeoSoG+TuanPJpjMqhZvQAw0F8b8Lgdq00vkQZ7rqPDF7nIEYQabv46xF0sMyB6pZYtvYDxAu 5Y1sBPTA1qHiDfUTTXPRO8x6f+Lm8BNwAzKzCyZrH/ks/2V0FlYbbLBAQwTwGFLnBhyx4aV9bUVR 2tIHk7O9F4n6DE+2HEfH5xUBFMtPJ1AFg2CBg0ztsPoFSlQyjdIo81j56LSY2+OtohnWojiurwDg QhY752mcKs/PPGZSlpnNG2czQa0of85dKPqWQWA7UwJPuxqmT9NcXfQPkj3Ciukrw6Yfm+kfnaUI dRyXB0PketghwTeQdnczlnP/GGngh9ytVC6OxMjCG3BQa0AMxX7MHzYRdh53Z7kyczdmJdE57Jhw Bhf5oQSxDWNQtgRqiyI7Mj+SSuD7B9ZwIZWj1VCQyiXJFzYG3xEt50SzQy5Qy8HD5rYAyRP8C6r6 LlPN+LgOirNLpeupNtKAXe6Ipys2+QDLrfY3oLlgP4SX9mC8QtOUNwfxqE3EyWPNMFuCFuHoOzLh M68k+ueMcG8x5JtY4R0QGo1Cyp+aQZvGEQYPY5Lot8rxcd1htJ8HQvifGjxkD9Z7WiryxFj25SBg HFuTuNAJirf7GFcbCJoQg7Ll8q9l6tXd3QRHZVnexusJ20J4BVkZrLtTW40XaLHJi4AtA881qjAR goILKQNn8HSv4RmJUPE0Bdrm+AVNM9Fpba+jIpHYOD5mHQiOzV8xziaxNLeYpYEJatgIoVu7A1uU tRySIvCDiBdxIYKhbX8vbhXzhQ3sdXVQ6PP3n01VOlEPLC8Gl4Dyn/HYq2O7felW3q10hL1wPsKO 0Wu/XST3vY0cv1Lqycpuj3KSffVmDBciz8apVbpTFjy5weDwXuxnT1hP6k2QpX4jnI2paeh8keLf 9y/Eoj2bNfsAwYkCdw6rUg20Aqmq05VD3T08MGqC4PNHW4yBysRToD3UPFOr/jMRyzaA64EEHcET DCPe9rK4e2LydKjkZ/g9QeyfUEa7igyGcF86qqf1aIPjXRNtixFJ+3i+X5KPALjvpObzodTCYe1Z PiO7QYWzfcQmmuyLQhQytB7TAcZAojvoLBUwUKJNLffNhp3Hl2fxg4Jjq541GlE6D6EqDeEkYJFf MzOo+ZKZQzgcTCYf9bFR8MelpIb/nuNhFW2bdsq5AiGWlz2hvmAn5HT2XJYUsD3QEKEjVQj1qeyi n9BD12z+c5ap6Sg1LMMBS+M2pD16C/yTSlYF/y0SwczDFbqb3enchO8M8C0I843xVVBZ8PXqjkaY MZWCL4z5SRA1wfMo9eykG7wFmPkBMrop3XMH9MJP7WtvVHy2nSX/zun8rCbkVOZkLRCKECSkNL6X KZ0Tu5LdXyaOpU7Z1mj2atkvQqw4+AQHAwkZuIMN/Hf9PbZp6LQy9JgdPUiq+6ukbchWhJTIhLYf Ttzd9nICWbDeWttbmJvSrxrdt4cthHYNRnwaXS8AREdKXI+rM6FDwmsC8pQUQaqIQywOKC+x/v9S AHIPYfsWhj5pggN8s1pyyRYzOX0O3lzTSszJJeCGk8G1MWBdjl/W5iEijE0MmOf99hx4fKUpe9j/ diOQzPqRpHOiXRbZn6BHCMraWY/7dA8xyuvQFJMShV98E05MvlDWoBcSTkFqSrQIBYwvl4oCKoJx bWJRgrNpZdb+CiCWzdXie9ZkOdI9shi1k6o+249Ik5I+FDdEDgIw0A+/5HKuFQr5J+zp+x1m5SVc udL/UiKV7adLf19LaZ1JtOyN5f5SzwjO2XbdVnwd9aojpjF0UtPpAoe/PDIyXpaBWVoxfZxbBqOb UDAnXcX3lP4RZgEY8sgj9eOV3hCxJmaAw6JFjVN2D08xI6HqV/GA+e2Lp4mFMWDuRtrL1S+TCI1T QGSD8OCfS31HG/SDxdiXmRoQ2r0Hn8ClPTktvDLsouG7lewFCwpHFtCjt2YLjf+/Tie8PkR2hMHP yUkFSdrZVW4c35+ZQVR0Us3wvlw3R4VeZoM1EZejIsG/li7KnytSd+kSO8oAF3UioZNuLYhdn6sj JXpm959E0HH66etK04kLGYq4s5jesb7w6o2BvGZimusg2fpIdAzU8XfSZ6ojFMC6fPwYgVJx1/aj K8lVqsaJstdngI+8KXgDUMQbocQfZ9w9jmIJaVfJVOcFCy9LgmXFRx4sY1cv3WPkv2aMlAy3GaAN xmixLeIdkgruCBjqMv7V8tVJxKcA8XzbTq5RyEPJimSB6H46eydVZTLnsTkuXTLp3hfHKdmTcP+m gV4pg3BXUNH0nHDE2LZAnLb5yxX1figSVwe8gcRF47W7rItSTEH52sibvmwSATBRmdFrmpWpN4QC 4fJOq3svPNUQvzJXVg/5DcV7c0fJj8U0IBpr6DEsFENuXER3t545mmGYxLuzSITsOilZYANlBJk9 jwL69MOgxtJfIqb0Fuy1eg5s9CZmGmzhapUVE1J5e8T8QUPNjma74SIXC7ueAy7UrEcUiw8RHQ95 69XjN9J1xZZxhXsgi0er+u9regvAWvoNRUBKH5CDlLhPWCEO2R1ArreIjKM1PyNNsRF5wtkGh70z h7Ktmi9WCRgpP6MiL6YASIWiQOKAdlfvBptdLhyJwt+j1di8UfqNWKTjF/J2jbd8DNglgoY/Z96O yrPhrnOMO5wSa/6ICXqa0hT+apL7yedRO+N6uRBJCSKMugFELwMymnPbD+9O4P8BD275LnSbMXc5 xA2ScoMeKHX2FV49UezDvk3ZpxwEKPfymiiUxBWrXz4qd3kLgxLfzkAKR+yqJ0I4HXw3CwauiuMn 9A3WivPorHCbx9NSTTlPa8ZWIS8LNbsL60YzLov9ZT9TWfBdITXpYPF9sIFms8nDbBdmArChFcHh fUi/C+D31JYwphKjkQstcWyBEUDojgrFRQl0ZlPQS7SvxLAjLj3nPPlf23JyPuSQSwPLokpktR3E t3xmao1FIhFZCjps4w9lTOVXXirwxVifUs+HpcFZJXusVe6vebpX64sEtKKkxtGfgAcwcqef+B5v 230qToUStt/sKwLtcXZzNOAEmQgFjtUUe2gXlJZZSjECHyV9jcLNNX8AtUcp1OyUAWZtt+gAF9L6 e3qVa+gpg0M2MFX678erRSiK6XJbjKs+wERsx98hlFDXQkvJzLK11IL2alJ/+gwpyindFbg+gNSc /z8D9oCRuKbozzBzcGy46uJ7v54jM/9FzSKpb/apSGh8k1dxTkqACD5+5QYkNGUPQopEHBnYHGZD vYnBElwIUAwh7a3WYuQTwI627uirY8fU03Yxql/HS1aI54/b2tr1+woakQA6NHc4WLXYdm1U+RlX DaW0ipJVccjE09Sztf9NAvDkYjeEdl9CltA8ueBFcSxIgj7qwA3Bsmq0VDeyo8Fgi/AMM/62qD4T 3TqtOnAOlgIVOGeRTnh0KB3k6d+jQBckGLZU8w2ahs3GsvJ9p3lh7/3BbBM5v9eMOMuk/K9tq4A7 hdKgz7g13M9NvxSlnMmelPgfkJBn5tZ4S65Ilfm06QnX76VSaeOmsGJPplWGB9nhCuDHhTF6gsCE 1WAB2jjR9MUfEQWk2qXrU5ExWFl7LL8DelkA457ZfXs/zWNwZRIvUDkaq5AKhkW9+xDKsoKETWt3 H+mC4RIpMLf86Rin9t4EsRFZPflNoz8t9cZDQ/HQRSsIdANJNPuoSoGu6bdG3cgmn5H+dLPIkC8H u+kASi/Bx9QEN6VeCuOfH6YyN/Wl+5e04L7OoSAV/M+U0D/RDQdIayx9T7x4boF0WB0W1FUGSKSF Bi+v8vaJZC27acoCqSUqMB22WxMbtirz7q6H55KhoMVC8vh4au3VicVbsaGeiD+7nXGxrlN2hn0R kXUez4Rw/oreBuS5n23dzz1BOczp6lSaXuFXowAwtSFhfN96MYKn86eS4xv2fAe/8+8OkAS6OhHM iOlTLukdbNoYRe8pe5LQRCwE81kh46i0lTkV8qzyX2VTEyIlUqRwndMMCB2x9p+ybvQQII84jpO8 xgOFqYbihwmA99jLAoQEbtoLWEqV8vlMwXUgyDUJbSNx3kV02DLiU8uY1xEuBmKGcQtylIZMruli /fGJ/OUjQW+j1i4ajrqs+4HWL6/AXtBPIJfWr1nQajFEasPCfiB37EPRKJTKiKKzbo6hXlBJ2A4B SDLOfKd8InfamsCiVodkkoxFaMHfD1BCWLyPhOxsdRx5w2ddtmALuuIU1pxEi5DeMK3DYC00uvIx YlnUFH4E8Y/YwNF8EJ3lsnnFPYhZ55RlhXOgHXxH38mIArI/BHQZAUKK8MVyEPZrrTGS5r/yW8lF FOw9WquGBczRUZIqdLTlRz1TvhBHkvjFpDr2bAwkLndsNRLpC/tH5+Y/8sHPtNYxB2y+RdEwnUyK CURVmizM+abkpfI6dnqAQUYY9w0M5QcgVeV3lWYAwCgE5XDKXGD7u4FY7zGRVNXX1gTipCNDyzTe 2Kv7pJWEF0L8ST5hE2K0zoOs4vhnCALxu6mgZLVmnAq7Yl+P5qQi3yg5d9Qpr9gq3XmNpYYy1F4X HDPh3EeSIc8z3PwQpBVxA9PTqzRJXxU7Wx6X44qEcL6f5bhqEAl5QfSiOLNcRCmigJo2ePSQs0Hj 2zpMYxwjn4/plx8YYeK23c2h7JPkfIp4yjPkGo4dpBGYWq3OERqLACyfdWzu9xGgF86yYBVqzxJX H+T6HGnLU3akHZdH4FIHWRd71ojMYyghU6jGa+Z6JN5zml7+vLEEZYK87cRSHM4r4x+37Dy0orUJ PySQyzzyO60Vyc+wnUUW4EdtuRYwQlnKbkD1r5Wq7fdXXHmQ1ITV8XtDHi9kRot5Xs0OW7CBmnSa h84zDoaBsEOpQbA3gqKGE3YPPSYEbIj1HzEJy2NImG5eeXGYwWn3qkGzGZ6CqrF7xcIMYmf2dV1g 8O0RVglDk9DMYjq+2ifKSDuXBrp02UOxqUgF6uFByMmuR4XprTAW6lNUIf5P7X7GHLG5x72v4dqK GYL9hjD5yFKRg189MQtEFgdtukCn9Y8ew/RIxLlzE3tnPSGAbMlcK0jj3dtXuWWjAFmAKGr8myHi TrDhxsNSFkxS5/azxncvr3TIPTcXX4LJMGyIZge5enHt28E697iIVO/IzZE9GoMVe2QpOqBJ9A1z 1tziaV0A3fsBE/t4VIm+KoBBObisBBUkWH9YgNEkWOFkr/rz+mSRaecxNRmEl1eMn5DqMgICspL3 RIabPkpws1HodP3QHvXnYgd2Hnba2TDsmYFpI0XJSO0yl6dv8nO5UKVxI2HDw88W8NNVb1helzlG RevwlaPyZeneGSjFbkHKz9tBJG9jw8WaTM0ljKludoQaffWOh4hlsJTZqfICyB+o/spuEVPYqdEu BLeUofJVseKAJWcRkUDEZEy+SThQytF3vGF21My4MjewdOrCkjmF/Gx/llgJG3l0lefe5dKlN5Uq GWq1Vb0jJ1J11gpfsWvEqTPq22wJkbYt9orRTMEuRhJw1nQOP0hmeVZOkVvgz4ILB4NTYzzvGj+y 12HRWHKdy5W/9701ny4H9IPUkBacH+vfbKysEL+rI7lgBoTNeHbAMXluDFDh7odQLhEc8QvsBoQB yiN0UId6DcPEu8406f8VeZ4NyYKS4zOatTqSmz4XbAkB7/PWK+CYvtQSuvJL/U6bp2GBe0PF7trs 7zfH5RfnVfFig/0HfH7hHo58kBX+hONcA5yl11MpjbXYc8SQqDoyZio2b4ZK8xF2OfnNFBw3wDkl Ubha/pDLGZJIUdHahebk6Q5wtOYmN2bge5Zbf79+WDL2PQGD9Od4fn2GHUXKIUXYtLx7aUT06j7N n9cRb3Fzb9bnvpqyQTeVvXDrby9S/h1dLCwF34o/CnaE+ioFBm4/sIW3v3YkIk4FIucTjpqfJpsY 9bBPdYUkJyc9yYKWIvt9RnqBauexOzOUNmthJ7H9MH8drY7z6GqJN+E5eu7YiOk3KcAhQRHxg/B0 u0K5kUb16FlAVHS5p/Ory6A74avgOZh53+0kVWdkWcsonZV1hHXRMMVBtOmlFv6dFj4LJZGpQc2l 2C2F4kFgli86KKezl8hLxZ04BRT289qMfNiU3wXf8XNvVjCbggNdgf+DLTanseDQU6erDYw4Xeql IaOm8TMqu7yfBdXovSXFg1n9ElOPqTGcxfwsbJshU8BGfU5iULBx3zEdpkeF4OhGOv0cXt1SyMR4 cOC1GKf13pd9ADsGpGjI4P25dSE6CSgTdUU+UQjKqubzVkqrtP8EfnUuqcK9JJlwpxByWZGoZuNn PVXTFyGJqumLhDXtEIN0MTmayKptfmLI4oEGHqlD7P4SIkGLMKoVPpLdRKohC8b9ZhZJQNoHe3Zn 76H8i08JWcKr/hW4xeyExIabrclKbrzbI77jmDVZ2jYBL7QyuXNZxJjG+eZPGH7DzamEPjsZ0wAT VZh9rkgG+oMLQm3JTQMmYaV+Piiz6hxIAL3e0LaxMiD0oZD/60+YkvC/6k7S0sTxmemMtet/Adff reykIbJcJJhCUpGQGkl6sOX+hp94X1hJXMj5uomDQJCNLLajBLqc3VvxdowI7J0or7x9HLp+N3Y2 u27eCUTrogLe8/i7aT/v1dfphb5+Fro4BP8dtP6rNxLYaA4SdbGs45E8L8KXZV+bssiihHhzTUs7 hc8etLpGzi60U5hBKzOVGFXdhrQSKKJb2FzV9ET8ner++Bukf5gcqooWaQfFcRbVUrBjK7G3ig0I JGRpNympDJqFsCMNVYoZnnR0Vmm52/8NupASbtK/uGlpoE3gcMfT+gcxYqBGx5FxIRwZDBRGjQ2J +XCHJcFrfuFUvPszbKWO9HPZaLOXReKj6TFQmAqQHGASZ9JwHYRL8d7UO702Zz5ih3YaiU2Gbxzl bDmBq9MoYu8Ig571o+HUfB+teJqSMFT5o3jghkk8hvbR0N3VQ29ENm6HcqJ9RAufp+tFAvY193+6 8LSPqslztTTuqjv6mioGpkSx6XkUNhkm36p5Qu84Enuha9XopH+V9HetQ/HnU2pO8cZ7Lflcs2VA IthvUqmeZYWQvdZr7gJYkGNIbuynxpLL1PveEs0Bff/C7XdjHmd25gikQa/kjDMqOyf5gAI0sE16 q+H4/NSaKJoE6pihUXowEffQajnU/5IJDkO8+h/2XFdAi+GAsjipgnqgvgXBHx6QDlN+sywU5B6x Jb1F+CK8GsjZcUplgdVze2o/1n/LMSKlFQ5y6/huHYVhHnaKt8rQp8WkLTa+mdwoEAcDKOfuNTwT xlUL/qjKvIm947Fqxx709ELRe6it7n0jxOvv3yTVtRWJeSHyqQ9W3HxbSb61LomNC/Y/LExLaGPu A/gbWhqC73Wv1dnQSSHgdz0eAiGnCfn5j2BLwZgL3EdA9cms8R+qKj6drFtewBtGoBJaMg0arlND 7yJwGmqMuQwGg8dt6dGlqfaCc9jc2GKPYk/w104me5Fa0UqK8Zx3nVmC1yY+rEyDWiaAEtJmbd9y XaNXmSo/OJxncC6yhUAQZyhdh38RHq4ZzuJCErIZ24mTtc2Y7bhymAwyIirok4hTYko7pLYw0ZFq mjYDU10BAT/Asl6da8wdaFaFtt3Ah2Y3lwrX7Iig8esBn/bQM9c0v778lfN3yhGYiLAA6OOwPbmf 7Mb8BvVAJsmwCbosNSKYrWXQ0Xl00p26dBTdyBtGzUdEiK9qdZeXK/rYMvikHFpVIyYyNqbghAHq s/PtjJ5cq8VG5kMY/QkiIpR+hW13yUOXot6YwFDfthlH/EgsKERTQNcR6A6mINW9DjmnsSebyIzz it5gz93WT648Ms9jjjwCit8ZqmTd0ylOROgRrmc3AuCD+1zZty9rvB0plILd4ssWzCq6Hnm1ji8W IvKmdhktxsG2LvZM4eMA7SdIUHdsJgTwrvdZaweI1wg/Y42KlPTVY/6O0rUOmJ/oxbDTbLjJjvsO PQrwY3N7mJ6lB+GhiLYCUb1IvNNQ7NXftjexqkERkfqdttZe9+7u49QAnbNxpWOVk9v5mR9IH+ZC qGZdN9afp16wOu49FWfjvTEYXYjulXH/daOxrUmZlIOSua2FYng0b3bE9FSWVzZsZ+pCXnuz4+Cg HqZf8H6ulr5316qF+m99nnPz/vTi93y0JAz1KZ3QW1C9DtNQ2nsWLWLTBLEk8f5MY2dBDfJs09p6 PhLrslNHhOSXx0o8ZDDM5RN6Mfb7cex7/E1UhEiotnjwFGuBs/ziXg/8W64q9OCtr15yp8cA4BQi l7/X69qW6u9kYozXDNp5YAQNrB9MgiCC3nMl3agMchgZ5D67TRt7A6Te6Ph/TN+PC6H75vX0RNLH bgfbhdcvstZ4y50OWqZtKetKoG7QdbfkGrRTMn/It3ShxNIWwJf7yQAU46xO7E2wgRph98BhE/pY UolFxpvtMFGadnILsz/X5omFHw6ZWs9eje5MRkxb6b9WNgV3xdAJFkUilnLxrlQia5JeasEp+b4v ELifl+xJf+wRd6zPhpqtJtRvCmwuQdKjR9zjgiJ70D65oaNV3LlEr20a0Kg8PcPq+iEqKKigoUEz sHb7/bSj+6q3+H6IROVSduGyiqwtA9R3f2E4l1YbeERASYqAcDjcieb0F+X9sjKO/U8gzO+ndIPZ 4hlePurhmJxIcu00JwgfU1PRSAln3HviuQv28X5AALyE7LBhRIvS4l6numbqJpAhfwZtYpXvLGfb TJnVmAiAF8cBnx/COVsh8Pm40TfcCMKSYoo6RjAFFtW5AdLv5jblTmxQqpGGVccFFg6r/oxaTwTo wBNBAW3rGabpmgK+1+z0ecDxme0HVfq2mPbmCqlLRE49qb+mWr5zRy0pavO1b5COn2x2ghfFYZ+P AV8BjiqqvX3dU+uqLwFJb0kzKO20q+thlSjEXYzbkdBEWoYf2qSJV9yzxEoBetVAM55Mg+So6I8h 7BiaBOf8LNl4im9s0qxZ4j4VLDzqdiIm6eDF0XV30eOIN6rcBRVkOi1yqtOVLUSUTCZhpiquWVco kqO2r1no3XmDarD9y3CMx7y+Ec/WJYtfF+dHgtZEtxmUjLXTLuKG5Bihehza/hDGBYjWis+ydHRe +Eegn4IPiS+v4/Nr5UFOheDMeXjFe/0dBS7tinsAc/amlJSJI+rP/6uzQ5wyZY5aFl0saafXLfU4 YQytqyelg0Ry4x8eLeYbqGqVbn4V6QR2gY1NtVKApsE0Tt9IAvbWdWCVgNfygVdpofvxoqFz+F22 G8ZAWgXxu7nRPdL7JrmU8jhRvBkMkaPjnW/D3ujHp67rRMmig1tQYNZOPxAylVUApNz8ZnSb7WlX jW0v1jqXG5/ky/bI4phZbA4O57qr+OETBW+R3WfhDHjE7jm6565OA+rOdCbjiqcUNDnEzpqhxDrH EaOw56nFLVdgQEnVMUEbDukLsrVf2ZGTvGcsScKm8MjR6NgFmVw1CuhOdNcG7NOcfkiB+IxeXuPh NU+S8X30GiPid3Sj/fTKZhSUwigMFWKdd65zJSZMjrgRemNOO6OKPcJNh23pEjuh9Cv5nzUT6fya vg18SHHpN8zJfdFkV75rmmVV9jhQe06fEWaOCUb6ZrkpRMnLHcd65XekVJ+d7hlfNiYKOvhe3ToJ jIOncE4ju3pRVgJr7kLIxbI+kQmzgsNhvrArw1fJrhUEO2ZTdrhjQ8NjvThCpcWaxaMwAm18DnxQ cNC47/jbN7EZvQ8FFGJB3NuQsYwXLEhj4MoZ2n/FFYgu0v7xQX/GJwsFC5OFMLW97hLaiEBYagMM bp+e/XXJd7rj7mIH0d2FnP2TNtg0nMXx/VMABXEvZPUhUC+Of4jkp4Tg+qit+nh+xu3LUSIWBv3g We8rv8D/dkMW75DHsIxUPJd6AL0yffsXyRTDbvpiVVTx0bXI8CBn0b8MGlRy3fFXQJlQxlpPngK4 SpHwtMb16gI5xxDsSEkcBC33YwrpPgpK77Hgf1p15V0Q9z1yg+KJWorOtM+YFZSOMVVX1owhdMzg j6giM89427yZzpoh44Nv9mo0ybxw5URnCvX9UcUTzGLGKUFIBYvz2EA1ChLPs7x5bT/Wv5FGHhEl oPRLl7fI7BIOnU8mro1CsbVvUz4iSTY2WwjBHW7NKWUOhAmF6gJiEPjA12f3ZdL/CRqfy/S3YXIC xd7975whWAqB7NzRttp6GhcCWccyJc0KPibiRS+nSYr50YCrxIL1UfhaDgrVTrGQhZh0efyU49p6 5GZ/jmdu1jnDnlwUQVlzP5rKTSbueKFHm0xREHjVBJhaZa6/ejg7XniBHMOejzYIgNU7uncSAUd3 xQezeXZnCJSEtqJbCJu0dHvZmDtVjR2vgOb0txCZQkY+gt/ZfDnAQinDcdfeiwkdhiKkvkQ3hKOo Xse9uFmJZSlrDx4d9grXCck+OsD3fEpeTFI6RgCgPkmqYxiskxe11Q2XJeG4uL3nO/LqxsshbKWO PeXYWklU704el5bjRMJRW4Ukhcl5+RRxm+c9FEhtj7jCcjnPzXffjfg0NWCRftSyk/qZpQPzC3da 7JcsEXw8XgqlkAJAARfbwLB4rat60tj2zTTEipnchhKlrarASAaOF08zDa3EurhJvrZ6/Wk/UL31 LUexW697rNdK/YMFL8ZUlhfSf66xQMdVDZAg9Kw/GSpEMg5/FZVMaBbDBmIT52Hfv/5OIDrQ8cAF Scq2Ok6bZJfjj3v5CiavE2luH4twsctIlRewXIY4IfnBl/1P/NyM2+s8y3aCRF7KVZfv7mWgKlJ6 QBx+qpv0TK+YyNFUKNqJD8NlTGS2K0zZJKLGmLzV6vNp1ZD7aMy4ByOnj1IGHQwG7kk04p5Y0var Jf1gT/7RVbDzFeXfOr2xqQBU3dsfO2X5CD2w6rRVPwnTtkFioBJF2DTGGzHb1mWwXJ/7sCfyEopd nd79Su62tAZ4RpuZ7DiBVN5nYhXVTqgtPVOnZ66reTJQFZPXm4bJWDjS/fTnvXHZjaASMrEAkkKf wTY43Z2os7+RzrPiULfyq06uiCi7b7h2IjmSz0knwjoxPsuwk5IeKAopfVSmAaWjevZZMy5laG/x h/TycYydeDObMAXYYllweATT8FzuOyJHaFxqUMF4KBcGFXPrxDvkzgwyo3XgxwmVVZ/GhCYKrba9 ClhUk+PX4C+8FddBEgHMvVExGTqPw7j3Fv6azapiHnBCk0zMr+BSmqiiwW2/BBOAn+gFee5N5n5K WL2sRA834l2Lz3y22uX5ymg8sUu956PORvCVSSy4LYXV/IFBEDorWZ05o2Sjyk3Ue848JfrYqMLu 4bRInSCA3XRTg3FykxFFbAW4YcQvPClwNaVjgMYWq6XTu4k9Fsi2EYGFBll0Zmcwmfts/sxGGQgh kGiSbLqMcVdQ4WHI23S7FGgJtUE+ydkW6t2gkk1+G0FIAUyB/IysBymolKETsAZRd2fw3UidglQ3 rhXiDa2W5L4yelug3DMreNazDYTo/cicd4xj1/hnTWbTWSbb4ZYLYafjwIblWN2p7JGpGX1U8nBV Q7rvQ1cNwksr5uFqylntPAnO+IvWIXuM/wdQkEUemCp3iLPCCOn3ctEjL0UF/tBxlKzfdobYN714 Vi/Q5qNPzzw8TYuVXhwKwbzS/QGWDZ9vwJg7BnADTTzuFX2fMoOMc6FQyPjVqh0VqNWq9pYUrhI7 lbPW+m9ItCFPC9SoR0ieM8Bq9lfMJOe1dpjFZXIKGmz0nMypFVX7gI2aEgnWPc3JaEcWEgYRvT+U TFUls5odbckGdRDmO6YUbLokn1O/jFJovjvie7R7QY22CFUBP34b6ZCp0FOT3qq7SsK5rpknLcv7 fyK2soDIzO47vApn0ySd2EUpCc9YJfuvkE9WXn1W4ZZQimRoqj0HAL4srAcNud42Dh+0aeM8Mt8U iC23vpW9zwHEBI54/uTdOSaFm3oAB5jYwDyYFSAeuXhntEssQDgFBux8tL8oXz5eBKKzH4kiFK/G XvHSqgZo038JXYcfxvfDQXBgGaSNG0sKkXuSo9ewc/yLS7NUd9atH2EmIiMzBHgRxzhT6HszQcDd 7Rj07PaGEkjclabE3mbyFcXErrUCari687nEePRNOlmOHMdoLS8I5eS9kbqwxE6fP6PJHNbFpiin ALb5hHPOBAy+HL4YxMu6sTbUqNlde4Oh3EfP/4oiATJlwkHI3hHlc1kG2B/saAFxW7umA0pCg8z+ nEvawlm/ZbwimBEjGzMM36sxzskDHLbL80wRgehosG9auS4A84k+innAXMZIzU271Kpwgxqv6waU v4H2eyrjMZcPKWtvXvuPf/omiidEvFKlMd3KdY0pQcknzdRNm6oMse13Ciimckp9xsGTlVQIYSA2 vo+lePeUp/iSs/evCS+9XmaeoOSbV0T885OHmJ/fQsum/GMaOEuLuB+Xvy9oXOnjavH7wnUJYAuk IQkHXneWZ0sMcotsiZYzPwY5BsXxvgde39ZmVXRwDfVFlv8L1C2fx26cMvhouU/AWCyvNnum8s2+ 9gYusP/Sn+AozBPvnkcy3UFl5g/nz6swcvbX0VNVfwvwkgMHWJeDCr+8/CihUxpWdmE5eusfYWz1 rWQIOV7XT0zq7dHz+oGKVp0UhTUzkt69r0xbVlKC4S/QVdLbXHhki8uqKXz/Tc+1Tqr2AjajeBIU 3EiQkz1I2xyCUCC9HeU6J8d2b2NWl0xNMRqcj2mrKma4Z5rV5Dz7Ds5rpX3/IvbPJzuXVn6bG07F nTZpoKcothBCsQSZLwjTK2uEruWiNkB/Osqx6dvIGRHvK3K/zCmgO3I7yk5iIofuBS2UTSVJB2dt 3C+DCdcUfLmIW9Vk7AG6hiCeXLMzTjOcKihXuyLz7t4ZFfmISXwjHk2n8c6tP4lxO+ciMh7GATw4 HcizCfNtmgxQTCCNtTeUzN0omocej/sTTd88QMQOz4mmQLV4qDnVhnkeLCrJcvVyj7KxBYwgmPuC Tfu+PGLT9U3htBdI0UR5RP3W20L1w5iu3XqSHPgAwdZ0M0NAtcCJS7NUXkCbDAwkXBxE6g7i5H7U lhWc+L1/1u7RRhSVl/9pH2QpDFiM/mDH/rUeqFSwJ51XHHVb1g0dJysEdoEBsMpo1TzFhquPLCOk rue6HRhgDwc6VA7rr7DSELxSCNACK5N6zqNjH4YsBUWvXQqBB2mE5xzgUScid30GbCLKCS7hJ+mP K89/agWmeuExPKFzx01hOm06rqhF8JCBVLLN9gb+pmqd+azidunNBFPZu7FJSR4qfVxoptTeL9ZX Npm1cDO9wjd5GPTJ9hdiL0bmCVuwrUiQzxYjEv7cvfq4Crk2qveTDiwsBIxE9O5ta/ieiwISu8at Tl04GhncS2Hr9MR9sOPz/Gp+EFRzkUGk+ftClrH0sAMZ0UtIdBHtyEvHGIVgt0c7AcHxAGWHf+Ea EuY5jQu+OuDFO+Y4UQ2Ez5PkPNIDwU8w58YuSsXe8p2mVtdD9v4Qo4qVdhm+3oRAHceOhTP1OQIw 5N0mlw4CematYeiYwtOrGrfW/xFJcXVVAY4yl4Qjzk1GxHHBhairjJgfFC05B8vdZHlGsjwHsPzx 0yHdbzek7DOQtCjrWueTz+k02xkVujIPMPFYDPWXcyquwjBAPlU7EHjA30UrNVZI3jVcsZa+3VWM 3c058/jbkYZyUdx7hr0bfYKgE4xM/1BmHVV9kwWjJG7l8FnFpeDcvKWocEe4+txVWzvW9dN+ozSu LHTyj/PAdLhlTHtykbp9R0ECbS2sC+MZeKMr0Eg+mwxkzbbHAACQN24YY8Q25ZLIqPlkrwOKLBrJ Mt1i2T90uCHahsKg2MJXZbBL8W1rg0mz9JmBm2Qre7KLPYrruMIIThl8P4P661aorYyF+l3g2jVl RQHpVyd/lSKw+2CaxvQ9ky0OewaqASUgNGy7XKY64tPcyWM+/8maoXwTQ69lHvv6V29jW6QPjmhN 4fqT3wwI3DRSO2dNz4jLowFsgQKRE6+tOSM2PgswYvK/vsbIimB7HbNeYTfGPC+bdtk/3uof+ONC vkh3WUv6P/qhcstc2Lu1xOQBiuKynpmRSVkKTCc4OoBxqrNyGcBTyzY1Dj6MPjfw+cWC8W6jShLG 0aOxccdy+oDk31tpN1oWkcSbguB9c8lWFwrENjV2wVOsKF0gi4N1Nmik8fHBU82afjH38DzVaRA9 G/ZCaBytL/0+KDtMOZzuTOXoAwz0ie7LCju7MS3EWq8OCm2wZtH1/RpfaXVltlpQKMv4KhTHPR6D B7keOgn6FwzTOARkClYLCfg/x94vIBdFisIi2yhkF0Yi+qa6gr7bH1vNdr+m1lbRk0fg4Jq6lkqy 67dmOoCIZqBYLMmAmkapD2cjly8ga7CUJB+1lUOb56zdnlDohgN0s1KxaX8AQC4NaSt9joa3+j0V VcD9Ndlc+1yiduz4XOOvizpS9gmuEK3X3Th7ImRM0Mi3QUaRhW2KWDhnRYr6//ayiQxmHbh3wFxa oCjfAkeq0dFW3CFZy/Vsx61ymGe0RI12mO55D/Sbs0SGHXbsvZzPF4/nv3pFqJhvm8u9PxqK/0wc pFadtgDKyi6Q6J7ysoU0qe9W8zphmuJkhDMxofk73V3o5QjcFFBE364ZKBWlrzDojXmQWCdrlfzf XVGFwDO9kN6VtZSBEJutTMSdu8DnBbr9zS2m3iMSmhzT/bvYFbHz3S1q/6JtagUh0sD7imPkwWuE ypyQfeHxgkG+F1CFPbT/L9YK7yqfh4DrcW6VOy9RI6E2r50gATjvtiFhkSQY5ZHS/ph4wh0PPVQm njBlChO+ldwleJq2kyFoItXx4EMT3im/DLfiI6a+Rn7dWhs86mHLfWneId8QNDBzNAFuPCUFGumD 45vKkJ1WNdIJFhEO1l9+fpMPsWn4ssotKlxT2NC23jCD8T8RRq2ktQihfHDo3kzMefRGMVw2PCHC m3TFqLT1M6oBJp/r69p4QPCGAs61jLuDGOuMCRYFxWLvFoza7EYjrEszoL7vBAZFLHA/Nl43GXg/ iOsgj3HszvTa5sVGVT8XRnP8tpa2tHErmuoOFs8GM8ELjwOizQvbuhZm5NBUzTvQdWUJUw4GkTDP zatOHnkrP5YCGJvOUhMdVAvvvP1bmwUbPeP/uiO6KkhmTWU2UiT4zPCNKEBauMcu6g2Ke8rFef5O u7+aeI/m4UATJn9lIHHL3XqrrEn/TYQU9wBXTv5kKTzrIsVJwMA6XlXkqwQjjiBmQtpI5fSoE/ue HXiFJO3l0iMbe2AioIB0CyXxGHDZv6Zglf3/oLGb6mmFdPfmvFRWCOL4ODa43JUda7trAQLNO+Mh JEX17+Xdbl/SzZTo3DKKx79HmeHsK99ytPgzERT4fNFfLl2HvT5tPUVk3gx5d/pT5C6aP8zmP3AH aC8R+sgbDLoT5XcWv5KYB5c54aBYZmKdStYCvnS6qEpBZvTNZgNwaPnlBPgJO5qNvVwLBiP2FwWy Mngfrqx7az43Qzgp5rV8zLk4eUJH43of+dQmy+jsmOGzqi4kOfLZFe1FZSJbMA4tkUSF7+UFibtz bZk+MTYJLuTh4YC/1lBtGmM1durgzq4Jz4rdU+tPl54dz1MbQM/UZAkc6a3PEZy5jash1giBPaiv 0WfltBc6ZjITD2mpeya+4U7+D1WcYZCLG+4uDNgkrD7E6zuVuqQk0+w7MU44T5YRyhHXyyIFJZe/ 5c2si3De8MPvPDv5/dn7WohuWkxlvWadDwBqqG5G+GhJpnMxFtpH8PVN0mf0dzkQLjd1o98tGm9/ uiHF1PdUJSAiBYAR509oPAv3BuA7WD2gpNB2Bg8idut2yjETVeNCN0mxTFTotu6pcWtXtPo3Dfo+ IHCNxCPamW/o52iEWO6bKg3FUgAYNqTcXg5wuYaWg7MocUEo4kzIpXsYripwjghFlEF3dK2rdPWB c+/QBmw+rx9Llx/bGksBQ298rNTJWEjbsLoPto/Dp7Rbmn4QUHJJOaJjLzHy+IqYl4I8wPEY6CyX 1fFaiX7KNuBoaM/HiQDPY5HdNEwEVoJXUlF1/dlsWrEpwRUy5L21w/RkHcDMmKT1PA5Oef0GmGd/ DniUyEGuxn7mJJtVXLDA0oM5Q7Skb33pMJUO+ngQM/eBAHyxeL93e03OkaGtDeN6Ezv3bBWsfrLF YujfNq0ouATq4BiD3s47wuJ50XS+EIB/RfOo1KCKEJtxzqoF8fPVfqKcSZ5MvRoGtBwanBxWfB3G PiULTwVaSRkv3PK5dW3iVrIPnHbCwVTrfvWni/dAQz4mKz9h8Xu+wCWm1LjLgD2Lu+/GGEGt0bqx 2mLQyVx6vrMDj333jwlprre6AFCCk9P4mgYmGbI39lx3ze7rAjEen+Pya0N3d9pS0FNzzZO0W+Kk ia/i6niakvp4f6H9S3HUGnBXA7cVzjt70RYW1fHvLE1VQWedltISnaQFk5h2e3/KzMw4UonNc4S8 5WU3OtHBJ7aiz8Y5bqR0kjGyqucjTOCz3O8lLcC/UjdzCXNiZz6IAGFFKxyhzRFEP2e1Q7jMVroH 1FXHelt+mqqx16gXYQwYgARndwiDxe0lm1f1v9aWASeTO1RgObtP3i6AietVajlRHXTBjL+B9mj2 6jxiGS+cDEylbi9t5NYMEDgWivmRcH/PcLlTH6yA1FS0mYrEsBsBBJWtx8tMh0M7Op1TbYXTPY4G WfqyCXeUSi4dNsZEPeO/Ie3G3Y7zInrTsIMErCPMB3nzoay/t0vKiEptMzQz6Wc8cAtA6HlI82Cr L0s9vEJH55TS2K9qpW/Rt3GPpIlYXtDt32wSJhKO4P3Ru5nFa21HR4xhtakSkyNqZkGw/YnwaH1z m0PlDB/Mnr2amoM1vWO/b1PuLh3SO/BdH+hz0tUE+xcGty4qjpYFC7IC65d5YWTy1ELQhdIths5S EWAIZTkQTzDzS5+GeaLiR5cwiGEOr89OuUeXYuiBX9nhRwfgilMj52klFVpJaCa2aQnRq9ttR/8f Jw7Xp8s1J+D6UCM5H4FzcGkWCX/sLUUGEEk3l8OFMd5TcU6uuNEdxRojN1j4MQ1HLU2LnKvWd2ij 4eJ5A8HdDkueCTb4v50KYoLJEmBBLfpDteSpgkSSbKLGnYNHu4qf1kTGrXw0xDTDXEY2ezdhyYbW z/iQDcD+rIiyLEFBBxoj56dm/3qQQld54egcotiR7coNCqAFqQnaU/I7d2ITjNqOHWCJGu+xAKZJ 66c1NrfrNhnVBYnsix3+IZ1e7KgdXilvhQ23VUcFDatdW2KbY90+yxf37wtT64VkGFpo1NQyvUSM lIRh0zMZeEOAxjjYBlBSFXl1ZBjAPIyGPgLTbq8sxhNg/c45FGZfGvUPvn2YUEm1KQlUPbcy1vJ1 u9uxA3s0EchBiXzIMWEKdcKO5rq8SBCudrR3X2ZpCrJxdzvAOzlKm64kHI8FgH4td12Az1cQV8je kEdRTVovQD5/kBNij0ol4djWm6UgkgbFbHCPHK0BkZnj45bPaMkInLqEPFFCSE3h1QoxotH0/+dx vc7Tb1VdoWijptCu5hCvEYTaNjxC1WCdZhtWNs7sfKiMWzGlz9Y0rbZKDXovlhUJK2BHSLKqRkCz 9xnnE87fmFc/cRxRFO4dnkm/Gk880AtB2BAxgCZ6QiFQWUH1NHa0yd3BIJtc3b6JZ+2lLzBl60lA /I3pA5+ArihZBXnAb9sFG+csMugFIIGocvBtoDc5Tr2hDYljD23Kj0IjfS2sBA/Y0ZbEguy0KfyC wBCDshaEV1idK5+wGFf7eE47/kRhdWObRQDeIdLEtmiyZZyeyaCf+NtRW74ACFwAfvHLfnBq0nFE ySpK5A9j3P0Joz+Tw2kbN1BfjK24G+KuSfKTm5ebddAJcSRXoWTANmASIEARa/BeoHFN28iyNCLb OcZuJiXMdGLfhocg1nmUWSuZE3X/1hhZelv/SgkGskCVq4P/2hnP9Qp11fmlMFOexTongFBJ9qmR iD8ETji7fyKauujUEyEtpmliizyV5JTf2700WDhLsHJlZ9jcEzH3MhbOSqTcryameZ76s4bsZ5jE 957atRj0ew+E6CgcMqeCos0HQAY740/MFa/uInul5+Ttd+ahGf26AP1ufTQCiEnkR4BddTb13U/S gQcHwkU3dJn4i0Mu5UGCVeoLNESxz9vFcry0lk9GndEMZQcJilMPgbsg77ApQFng7T7jzT75CzZA N80WSmfBdV6tNXDX12CA+RautLY2RMwj1agOPWugkNGMJjvxdZvisA+Cvxv7/Zbktul0c1/hZ85m XqzsAsUiZGnnfHl+fUJpt7uCuYzD+Tie5WD5EXPlgWEFPoSZsA/qvcoeCE8k8gYGXqzMHJvLZJxo PWczZmQbl+RWAcSOQRB1/J5xpwu8IYPyTt/LFoxGQbYxqWFwE2oOonfIo71ZcLmljbWNOxR9gvgo KGdZ+6H02y+iaJTndSv2GXMGBsHCRLeVXcr5/fXmhimkYLlrIa/v2yX1hR1m4XcYDqvBBK5GmlZc ZcFsQ3nAoaiAJpGIPGaGzkZRIf+v5I2yMUipEusv9RxwUBWlt8mhyPjMoXRY0Q8u7OmGVQnNPOQY gvJ5CDdV8UFfDE580v8LufvbMk2DbqBkZkFLLmmMX3DNtaJUoL/lrbaVyI97SmmSOF9vtCCoK4N/ wBhsVDcN70ogYvlGfBVpOZ2bHHN3nDq2rRbnXfvbp//eZbC6oGaRCWKYw9EglyPsaSAPLBwUBvVQ Y+Pww98U1D2YwaskMV/w+EW0aSLyA4IrrgCeHaEvFXqpMldWag+Ex4f4EVND+6PedggtJm5xsOEk AzdjnoGM0rJRolo3wq0Kx6Snr42zKNOTo03UXPAO1waGj+uvyoqABDoInl6LslnUCVcDN0GLdpNU xg50CP1hfMGJiPgoH2vtjPq26ZsrCow/IVBZ+6ceboeOkKKBBZRGmgQZ+HiWqSAfbBtuS/aoJ+dr F8U5zoM4dqnd+WTjWyStQnwCmXf5xIf+3xYCevlqQifqe5FvZs2xfsWVw5AJNWEXL/ulJqM+Bin9 3amD/KP3ocOmuveisXSa9Z84dav+5TPA4k1wl33kdoR/c+/ALqHF8A06PLZVHcngu/2y3L3xVkWa 1B5tESMxPlLuIDqdHnM6eTjcF0wkseAk3hpqBq7SPhEcUaR4VE4wvrnGjkM/GwChigMDtHZfGj+g clP1Ql8srCqIubgC//qZJlBTH3a/4TnN3yjvTnpW+CIRa2Mf3pxOxTT9FsHv65suDBmJRe1DlkC3 4/8xzslc02/zrjsYh9V1oQG8j/wKcXq82TylRyF1Ie/rVYx3PQ8a0kYJclF1iPytiZqMoFq3UEZD g2iwsacmIj8IwMVSFpSG4xw9CmvcNkhQNp33kmZun/In6KPvi5pkCh1KcTJ0XUSkoIN0WcGIbeht 4q/m6w6DWPung0izjpc03gSNvod/tpi4CdfrN9+ZcHj6a3rXmEnlGvRvA0Qc1VxvgAfqc0dZDHiP dtyYXkZ/l4DOSXj+XdJj3OAbPtlUG8dy9SVVYpYl4MRW9TxC8pPWloXlouWTwWOCWAl4nnID0QDd +6tKhF4DngYp/q0hyh0VbiUJVD2+bHWCeEDM6jitGgeVD2C4+0pI8n3m0OYBSUvls4/QxSLLpsn2 HEAW+vtPDQbY6raHcq8z/jQbKPsTPi6GuPra1M8anUjHkqHhnikt1Z9aZe9i+/+JdNn1wJku4EOd 9rtuNTU9sMivQ7wRtVzBF1K62oZA0o+zmmx9IW0vt6AsCwPoG0V0I1d+MRVJzo50ftxd0M3Ks9iT GYvtref1NBbFnb6avNQz+PlTg8DtWn/WJNmy+MqTP0kSdxWb39apQppCKjQUYf9Dqf56USyl5dzK Yd7Xf/EiI0EKhQTfdvX2u+x5A3JmtYNzejfdx18tNF7y/1JtsLDnaYgwRUyv0tMGfP3iZs8jUI81 T4kua/mRhkcNRu9xxajNvJ5Ut8kz8V/d14afWW7XDP7VAL12kOmz29txpj5ExIrRKfZ999tL8IDy vYlBInB5due7VSrfEVBU5CqNEQj+sx9bzMiFjYFsfcV96b9RAEvmmmyFkM7nAS3bg1zxnt0xDPgb 6AoffrxoaxDIzIrAC1HqOuuipynSLGKEabctLkLKx1MLSWux7b0Y0Sp3uypPMZUeEwzve1wOgufC 3psYmorK23oCzXqObVzJP+Wi7nT4ajC1WQ/xseWSUpyK+zkfOqVDDjeIzVVBMF+y68kABt582Qe8 pxptRIuOANbmzr7IGGvQIA40qZ+6j9ez+GvIyZh18LFkSqjk3IM6y2mBID5EVAYQFCgCaC0lKHWU tBhQH2XB49XIaY1sFdJ7ecyu1XZlGrvikD1M0CoaSsfWlZZl1D8OkoT32E++y/RgF4mR8D//1laZ DGYNSZ/ZizTh5R1WmnPisXoILGTepdlYZAA+omLAKRU4Kwxoag2yovKBoT4pjlDsFuxu8XFh+aev YrA+rRq62t5a2N54SyRNeSbzZhMGietywDztFL8Hd+unTPiAfEBPc+cJrHJeW/rn2H7QC/cfsou6 9029tkwQqXJo8zPuiHwh1/8SY9QpH0NcUNKs36PPoEoY3wMDjegiqSbeIUTnA4rD/Yv4AUTqxkMa iENyu/Cd2cGh40sSjzWOaP81+Bh+8AhYLtHk+8szjyKs8WLJfdqsRUVyq7e2lfJyE6+x51OQaL1h U4uEMTDQBHZrI5KxK8fdrq75OhUZLtWIA3en7vqlTRwTglEt2koqUay8qR2WnG3bjszB2/3TXuv2 Nl3LKXAwvFLRvx2tTRoV0i3gxIPfeVQ+Oc3n7GZKIZ8gBkkIrEerKOE6Rk1bVpI+Y9zEpYzCzEOx W64EH6V6vywQ/V+40TywCaqgWrzNqWzWVwgW9T69Zn6niukggvDGgfysy7pFSK9U2mm5XrP6V1T1 z0mr0Avm6mdKs7jTSAEei+a9Ska1d9NNvUrCIykuz1e4iEYPHs09jr8FCuPeciDpWQVDt0bIn5u/ w5bjcAY9jpcywN1c+BSph1uDXv9ySgn+eTo86xOeJpVIwXq2xrUOBaQE+WTrd3WXDe5PyntMtYqo w7+nHJ4RMrNwT+8Q4RQ4O+hdhepEZdtUwjPkH8/TdrljrsJO7LwDnl0I0IR1aBNtl7Yba+1xvtp4 YIYhvuGzH09QbQvBbAHP2WWHOSEsNJoCA7R+d9d7vtvkTW3Z0b9xuZPjo303Z3XCErHsQ9DsKxQA Q0d0zrAwIlvVf104YyanvjM726alKucJA9pF12iJKbhTQa5KskRVw80+5OzJDfGpzNDsme7U9fYf IDEHDFaLkKvNZs1ZCHmsQCEmKf/jru7F5Hq4/9HPFEgORD8zOOmRw9AtC2GJrZdGtYn0wWS01Lq8 GD5iuMZFmiybNQMM8TbCUK7I/jzuOGeeMKVsYMjZLhaVx1JBIAXJ3t/CsfkcxI938PD8CGmJ47XZ o6vmm0Qu80gOYwLeEi3z+4lqCVZpJnWNJ7RiPDtrkIoWmrf88CTwdS0Pp5aog42ppSNXesVQiiLU SfSdwFIbgDw4AUqhKwjqk2zdv1Y8SUvtKlaPN0JP8PBRiVpfZ5FFJxJ7aMGCKuhav0B42XIPzdRe KRUq6qUbDMrVUFjrp3e2KvS6f15XLOdRrX09kKE4ZBUZj+kGzfdy8os+28aDaWO5wMyFdr1SYkDB 5iC2bw0poqmiBYl1BgOJi4N8YHwA7tkeXb+ug3s6tD8X9knKy4iangMGwb3yfT0bi/L24wmU42tJ ozaqDgMdb88KzYUXmXBEn3nnmujIM7PaJS8M9UVKlSlAc8rJTcqWuEO45BBxD4lgrxo4T4VKnGsq V1gvdrSgoxeuKQuv+sL1Z0zD8Mu5cOjOcIIEcEEHOWDNlG2XoUxUrxqRJQgjZhxCnRSZBWD5auFn Nqi9syHI1HSVFkPI7copkGfXZpNx9NKZcmfj3u7WtPenA1E03Dr2tiWxu8SOYHoGb2UmSMCBrjnk jPG/7j0ry7vbI43U381X2aOiXglNb0mKpude+CmkH2lzdxC4fhElAp55EMcjuouYjWaSI2we04W2 vgcFN1xyEgpEcJ4oYN2Wn4kw5DrdstWM5Nt579vyeFXIGWpO22RkqcWO2UJqXJ2mr5aB+xfC+pjV pRcUL2LCAroctce614D/EIbAZ8IHepNPPnsZ3Tka1wR/ed/FiUaHhkc5UUPX/1p6+NJhb7xxCk4R 7tSEkAg+aotM6g+t6+AwH/woLVtNiZtzUGqKaMJrA2iLWN3OhPdEt9+COuK6ianXAoLF77zwxTbd +xevXYwDmNKSH+q7vgffDBcNW8LYsALIpQm9BDB7CiDMMSGFXt1t0KyXtlAvDgkaFsyHVbWcqFsN 1Zl4c5OwFNzb7ZfixhbGAbalzTy1Lg4JFcsrttHIaExTkwVP5FTwd6YxrDYYpw/NiTnzIICV7wgM 9gbe4tZ0ZZAG5c5lH7aiJRhtjc7+nbAw2s69v/ox6oVeHfl0i6ZHQuU1A6Wj3MEm3AGJnNHtCdam kXhgArorbx3UKx8Xa1DiMg818uWe7CbZ0nzQWcVtlEaRTRGobqS7DrZDYP1Hf4q4+X0jL7TlPSDa uREoG3yNl4xTQIhn1RXOEJhlwPNgAIprq3q6/2EwJdWPc2xt4bweUylHY8WLbgR7hzUzGka3ugHI hUiL7QgMR0ZAQ9kEBDpm+0CBNe1AVN1XtUGtLGaN/7gx6rdqpRSWacQ61Dlgvzldc8lJSV0K3FD1 jAjT940kZ0whZaQKnwJqQog1NDVYrB+1ZCgH5xuE8IeAUZmuhTf6cv+fVBRl+IeuU+YMsqLgP9HM D7vN5FijuJLaWQ+RZTbn1YzdiAKqNy3dQeu6MACOOO+FGNoxrJz0v3glsRGfr36KvI/mpfCORjbt 8u7ZwqHp1y0YuCI63UbzGLq0jizj8gFpMpnixZjPogX4uhSvPlMVopQBlNuaGOJZCkz4HxJvucPx 1j5wiF7oSFLiqs7VN7dFfqM6/eVbLRkTa/b6mZgGVFDg/RLi6YzcRwmiaHY43r1YezigHxeMicjJ xETeV33DBaSk4A2l1xLH5XX175ptiRrjSlODwXPOd+uKSOgameI+IMNEql1bOAnLWecSQUf3YRFD 3WBxgCjHipsxBbQolzjoHXjlsSxZxMEy46JzPIJLqvTDpuZGLm3dgCErzOw1RS82QLKqUPEGbzqk YtLA1F++RzuwXk9+ycbbGC5eUk1OJZWs/uK5rnfbquPjXw5pqoWNGpHJkSQJnkruOJYt7Vg+ZyiY 47fOM8bStIQK62MnkDfBfpnMv7N9QBO20fnWdwhP6xXCzILP8JWlpNDifde3B/HcIG9wDMjAZVK3 0QMZxdO3J+g2yGhwNuEQrc32vlMjEJAIUwVTA4C8paYqDCH2M4H7c2jTIfO3As99a9sRYhjnwHr9 11m4nMunXJCmGlL1uwBfeyjkuPvjsC58SS+ebpeOlAkivP6vgWI5Bxb0J/vKhJ7HbYqMcsLLXlbY djyyc6vA8R3AUWk+a6vmpqJVu3YakIThdHNXgb9uTINBdjrZ9/6qLAibhU6Kw/gnVjLyV+2UApXP W3QXMq+dadzgmFItNVjJBoMz4RKTg7z5UIpIlW3NlXn+jLqW1KMu7puUQ6QspSzpsKxoV6wYnjB3 3VqXkhaQNitVjqINKOSCwexlOl0diwuT7WtGmA2iovH2Av8PHKEf4o1cZBJPR2jyaBDCMfWTKKFo pYoSiNK90zxrhDCniEvO24ECIkPKVgecRwrquZ2q0/dbz3cGFQOtAAXGwwD1I1W3OMU8t3aKpzI7 PnYXdI4oUWR7JILIrd5pFCcxcFM0hD2AYxMg0rDs/U6niakmYZskZwT465X/0ZOVim/Ta48qoJfg swxOLsF/Liz475bYIZ+qQkf00oSqxeDMoDRHruknjIRLHh2ooS14q2jD141d6DdI4xOw0rHUASzE QOdGdnS4VpAparTyTLH2DU7yKU+H92TMqrSsExEqznTyGHEvcJAJmhIOaKZIPa4nkveapVOqxE1l 8FHLeP5Bz8Pg2lvyM9i4p8hG0rxPEpiGFcT8C1ajkNHYMrW3nSiAKYl7P7yYT7VRrriML/Vy97D1 43o9KgdhCIITelwFEWrdL/bIVdVldn5P6ZRdzveHA9gGudftiCaOEg0IHMe/llDSHMPI69iqZYSJ xRPiKupCEDtQ+yrLZwLEnSkpXtEHWmaurpywCqFghW3ZuB8V5pDoZKCT3Qfu6avGfeRENzXKCjxq 72nJpxjD9TOr3iRKRLCx/CLnz3GHT16HCEcFzCwouO6U40C1iQ9EZQK6K0VC0wtcM6fP4a8RWOB+ svUVQOiqAU2nGXy4Xakyx+GeNS8aHRcPclqeYjQl/NIYM5li7DZnLd9VuFfOVxZTkgDLayKfztXK D9a4bIGf2wuz+UoPitA5OYR0eaeqNWpADooZVrPln+uP+t2oneSGsNMmkwB6vpwZOXTCGTX+uTj+ QBGlGYV+9FOlf/ZtYaw2qVvkKxK2P2L5N+tm85sP7qZmoyyCvGZaTMk99R2Dd4yNEs9dxFv8Ma2o xtYEqS7XKcbdh87y9iKAFKYnrs0kh6iIpEFD7zXNXl5T6ayMqz2F7Uc4jfXpT07b3QO6fcg/WzkX cJAbfhY1tiqxUF8AbE68JGVLCvIpH7p7ocUSCp79Lglw09a+chIGQEAQUqxXQYxfG0ZBQ66wYQyR 3B/nOxbIZrIAGzdO5toKGxjeMj4ZWx59klN5j+45RwS19lfcN7nP+YWQN+euMzAJrqFO7ABDRBOB +7UMiINXMOHOAbK+6MdjDIzIsJgS+d/PXI8IQNGdOJuyKd9L+pXkTBru1pRjnkfPc1wAPC+SZC92 etSvZ+f2E3Fa47ccQdz9fQqn9CnZQVc/BkS7K2x5pteWIWXqFC+i/DitqKQd/UduipXuvJikad2P umJDqQb/MEVdz14DSLZOTR2qNJCYobH6ZT9GNPrPPRukhd6b1gx057mU1R57iDAAYo74Lw11BMpl 9VWnoL3CNNHXrTVApAY4WqJEOCIr4QDuqxx8iT/RLTB3Kj84vMvQBsV1iAfDceRkbcPHRwhvZob7 JidxBX99mH6xKpJ3okC8kwffdLQzm3YOT/idiRSVbBDFUMZ+Mc+RkAP74aKOw9LoDjG0pcx5Zp6N zohSheJQy17QAjJiEdw6MQ8eC3qsHcZcC2B1Jo1Pt9TeCiGmmmZlsQWgwIP6zU8DNRVUmHhh7KVL Jnh/F32nMUmmerYGj0+kFKVMfNozUt21RRigTfI0eax43isKzT4vrGvv1G67DMmdENApokAjAXea AuEzihZFfH9BsqsxttSv9ZfvSfzbQel39Rk13+s0uOBfxkoccwWzpCLBRojD/mvE+V0iA3LKCT8d HPQWnNyIEbFobYbbyAfXEe+BHLeRzCwPSnuhaIlKeFzsaZ1n1uB8aBWq8peRV2gW//+G1PMkgnPA hZ5JvB7xglWJzDYtgM4H7gDblAfchiYJ2FxX1ctKVHtvgPm0MA/KLWOOgH78Yk5O3lI7mqmnDn8C jr5Lr0DOWwbqFojC9va/xnEses6o+7tceulMwOhYDf7oOsgr+AVR/+O+GJEBZcBHdOlmr//iwmUX adiFoxxHTJixoZaGHwXTU8yo/Ik8CJKaeR0xeDIVUmpYEFtbFqsnkw80Hr9FT1vgbW8DrnOIPkMv VBSOY3FywMJrsBTzpAWGnLu4ZBV4azoNsqzgHvmDEoZxG6SE9GpB0fzC5JwEOqruesVPsLIACybv kMFrRXE94VCGuWO9qQYgWVWj9vJqsiKAjjmWqqXqbh8+YtRPQznXOSj0mOUaMgg5LVcfsgFxdBZC MkinYO4PCqgxDAjZW3vhNzzPTTa+73ZQDaKimvFB68it+8nJk/1zk3U7ip9s0vxkBQ887H6WZk2y oqzTiU5SWPbzmBIYTj6os/zkOY02ypWtTr65O98BXTjRSuSRLoLLvKSpvMYiB9NvB4QjGLeop3el lia8hKLorOMGJf+9iU7boGfZGx2pCp+NbrxOWftGxw6t3QQEr017McPEbso1x+v19TRH2ZHtLlKz KgIKKlD4l2EBqpEYyjvS9A913zQp/UWq+hbUK71O0vi4pui6ju/hR7kVKF4qq4812Na69oL/AT/V u2uHdmgifYvdhk1JqlQaPIB10/wshhaZNLKknYu6nMdHv03+cPsNl7BaSc7UxCdrPBCI7ZxkKUcE BK9XYzgLlCIb0Zuq05SKbAnrRe2YMkeMlFsUgWeQ0wK6WV9hT8U/uOKmOjd54IViVN51wU9oHv5a HRJr+Bywf5LXO7pQ97o/KLOH7apOgIPsexKkJ/OSJu+MNdnOdaKn59ChB4AJmNHScH2cIlsFHgQX RZSfjFfXb3/6CsMSL92cRsqieDfE+UF76iAuC8tAL5iRD5NWtExHB9hYvPPmDE5M1oPM2BvxlsTj WrWo3HhgOO1HXpdWP2Mn2Y/hxuIOKeFB2FURDejzrsdobLcGdAN4ECkIHBSNzNJd/us1qayGLJIu eRVS96rsGdzsTG/UbMDuXVK0juSLkk4c45rg0GFD0qRvjoashXW4eEWuSXi7Gq2/trPqZrG7Wd0M zV6lK7204iKf+CCfgbxnJd3K1N/NF5ZA747WYfb7EC6R5x0qHjr5rPTFRlkzFsnqLfZY9xEevkBZ LjOXPkI/Z64Wmsuvh3r74LMgrM7kDgSJYC9/psXuWWW/PU2Joo+e0LII/Rp19QyCUOrpJq0gittk LVRYGAfuNzz65HcTu0asZb0JaoD/NeP8IwgftCcrsH2PWjAYsncrB/z7z1nFx7a7YELAk8E08jYR vlhiFrzN9F62jI4EwtqKExz/wLn7g7W7wabA/f6bhBCIJ5clZcBJssgZJjBX50LdDdcB7B4jXZSM Jxc9fJcYvkAhaMprfjGFxqbf9oQDUjpX4BkAJH6Btta//ic7rRJPL9yzp9aGbkXUSVFO75aCmYRa KHKr65vyjS6Bj60TfCe0a/TruWebrDVZlYQcDhGVELRcz1EyXp5VxGD7SZ58+otC8l11Fxmbaa0h AjsgnVOSZvwkG5vGFUKncpSk/3Jmw2oBo/naxTqU4lobZc4pVue/RkUTBia5gIXOwlrZtW+6uKAj MtpgryJF11qDbSl9BpcyePbdBNsYc+7i79n6UgFhOUFgTuIis3KSwWZKlm4gqOQU8V7Ka4U9bAlv lCKrqdRcyi3Esck5UyKNth3FmmKJLdcn9DnnVR4UNlzrFIStDpbZS4HKK79fa5YtWxfMn28wduCT PUyuo7Bo5/HfpHJtBHGUhY3uRwFczECx3XE+ghIk3SXBXdvZ6bpz7LkgZrzzSEtGpmcRiu5FwHTK 4muGxKAaI0fLBgokrTrkV1poiSx0oce7Tg4JqlCXnGEUMDAB8qs6NaGyw4+qJz5SgpPqoMHK+QVW Ps8UQSFOvP4CT3q6oPetzwUuvk0ePQwCRGKeDDu81UUtHLMNbgrocYcLo8NQawrFhzT3m1xMroPq ZFdVsXR+nOYLEVwnwzZTlDx2SufjBxOTI+7yoHbwKgm+c2P0jkegVsNa4cj6KiZuZ1v6WjO7ifUI YX1ffzf9gdicF2r/yenDPRqMyGFp6nArxKC7xhhLjr/MBL05OQiK8sqFpUChBQkTwI7Jp7Aa7cgU iyIj17rJc2KuZePp9MuOfvwT7djY+lAunk446l+MA+kVbqHL2U/5H5HdnSBseRTlMC6yesQCKrQB SFo+vUORL3HQbwZyitwGnEiNXoLdBjYNZhLddFCiOgMVFHUGxDzM9ydYXgk4zJ3LI7hsLF7dxctI rIYqPzFG8suHpec9cDaA4vEAeDQuDWB1YOYI+//RcbkzkATHjDgk2GgjHstcFpClVk6Jx51A3hN9 b0uGHXbNozT3vrk/TtMVIEOWYo5aVv2iKyi2bBqn/n1SysYP5HwOYShTc5mSMjpZrXsIOpeUuJxm WXrwsdd82ujuztx7Owk+MjsgcNW8LCmHMR8VTqsfs0Se0ctYw+Gtonoj1P2ZURYlkrfIEoh+rfSu TlpUFcI+gYUeS9jYGtTwCvUPjCrxZmTr3iyK4KQzm1+HabF7lTZoNK5SP/S2hV/qvkBxTb2XUaCE AHGLIuW4i8xqoa5MgOf+VtWP1P9IuBxg04VIyo65P+TuN+5moWk7hjXS12fN/lSzGaJcEk139kOk rWg6zvXaQ/Sr4x9LW+M5aGIMQeInoLBamwfJ3LvuGYQu227Uqg6SdmsrKEx6bBVciYCE50nm2K5R 5aXOwaf00Yt8Szowflz/qQohsHsbTygApgCWwvFvsRvduT1unFwTs6khpTiy4wECff8s5bigTO+S bui9VyPIax/2L/ZChg4pkDnJPUcnvMvyqFDZfxW3gBN9qXTaJc7cBjamojZxqtsTUplhDd5dVlTn lS2GquvBhj0tXJ0eAktQR7g42B85wI3dQjpHjiqb7IHHrVoKOr5lXwtJpm4qX2j3sfvLLu4G0pjU IiMYdzFj5oV4qX1Bnv9v5oYbcTlB8TGLfjm+lcql55ncmC71FZihMna15kWv4fscg3b35VLATfXi N4NQ0CDUz4p+ljON2oLwKl1nRc0efN5SSt3RqT6oNxV60kV8nZprTeqIIm4ZuBj/Rn8TQdKr6Lp2 HTE9Vw6F38fK6ym2MlJbS2eCHfPj4LbCmCdwDIsngo6s+ozH445yn7K9v2tfmNaO3VvB7PX4yDBH Pc58/4dU/53RG67tkTpF1/NGD03dccIJ61IkemRfPE4I6yLD1e7Om3pMoaXbOXW3JgOpi8YAe/zV qW29OfP9nqKQZndr6EPkeSYZVbcxXnP9PMugYxFNb/QGeXFVc27+IbVb2jnOsWrgj18EgDltyTk9 lssAu1cEB2lrsxyoXz0O89cVFalXCObCQxjPMQjGhJd6XmzAtb2iIx3T2I2ficGOu/eBo690+A7S Rgrv3S1T9WbS1+NVWElwHcTYGC+hxRQyWcKs9Khhty5DvCY6ma7XM4J9U1p5OH6EKXLzoydTJDDK hM7PggCRfCqf8Ww2TGbY6ayZ/ROdRYdhzcw33wWLVPQrIZasacpRLhxD/zozJDk+lVnVW1gFEvc3 aUVTJM1G9qffJ4Edzj90nvr/dR3VkScjKySj2oQlskGZLC5sw9H8PkLukj/mQGMLquO6hxkI2vfG VOeA98ZRri1DcB+pTS9sHo8e7N+MWnD5PZ8O60Ecwwpmr4xXs+qSZ3w4pXXJQ9V6UZBDKgX3GIty YtY3R1Pn11zi59FX7Ra0sMdA57rfvf7cR0I4VTSqpRP+prk0AOLaXaIozNYOhm03DolwHliIr4Zh 5DHN2XS0hwYtPJci63cCG616D6Z4dze/laUs+XXkDXhghQ8wucDby3AFK2s5AeB8prMp9KZfhDKi dfZtRieYGqN4D2ilhZLowDRLN+yUz0NoZLRX8k4C78fY8WKgP4cqkiKwGV1j4mzS4MQdaesQ5pSj W69hukKlKr0lhQtlOSL/UJ546OkQH+xjYRG+CMoib7ba648N58b1lqoWUJCVViRQeV9zdypfpnSw CLd+Z7+e7RHFFt+ZzQpydQUtX+1P2jH41EK1U5qnymZu9DIOsVDBBVG2APqfZysw3Y/+OyR+Zq3R W9f02D6FXGw1ZdPIB56TtPzvaNqrSTpLY4Zq7nf9Y08LkcxjScan55dWhyLGWj3O2R35VXK8Rqdo qDsX+l9r99N2K9qyYC0sNog0gdTninTLNiDXFTM8ilclpb6e5uUCRI9b8RxQ13/TP2YIR9D6/01y qNEjrdckjaTB/6pThRcx3KGDNqYgE0RvAo1K3FtRRgk5sEDLg3kjCj0JXnA2CAQzDWz0D5BPYMVW 1DtPVqrqdg/AyS13RVYIihHmeLxqyY1dTpMEbnfZZjcxPIAuZ0wA6MT0Jm7YQI6WcJcOE6G3OP6n 82epZwpJfUg9JBqRPHkw5E60/4QlmVetbibAABQCQaEffIfz9kyNWBjcPc393tII4Nhz0Md3tsv8 snz732RQXUZs9oBCflxVCA7pmCC3Vcu92ng9if5bZ9BzKkmmbvBfiTIMTAHngB0DcpDQEINyYYEK L4m3jtkQa/M8cWqrT+XC/gMNq0Q+WmCgXIEAHaCnInE8h0zH5ACBgOOw+um1IEBkkE0wkUzeEVI0 VCDcZpNPYTuqw9jyN/w3aqSZR+OGODUKx7p0APYJ8DYV+eZ8ZMC4fQdwnXWgG13KXnKtWeN0MG5H gEjwLxRi+iMERjWp1zANf0k8C9XPkGeY+/yySj7tXYJ4noMY+DHbevOQLWnQimv7DuZqzlqgLiOw 6QsJ0V7ZvmM5RGrNfQoP9q+PaU4dGHLwdVxJ82gv0Q21kEVwNzpaROPyV57aXIOf9D+znQQj5k+A 13iZnTJFkcIvwqMVEFFnz76rN2P1c/iez/QKAmRX/IF5dd0DLXoErOc1eNsiel7tg5oUoLxHO0EB NLT/9CLfEEdbu9ppno9I9ppqMUa9gRbzDPOuLTK2Pfo+qNn9wbkQsv3tuZH4MxRQKloGnB6zu4wO ssH6eN0sx566+0RWX4wNRXSvWhAJ4iz+efc3v/CEmIXaTlnCLN3h1fvsM50NSmSwQYAiTnw4bDP3 o6KbZ0H85F1/hcKZUehRZeRjjwJFuZ36IwqGzRvfReErkUYqf34wd7ptjRsjjtrCSrhy8YXejjAr TKL/lQ5Irs31YHY+oYj2CQfNicn1GARR/FazHSaz18QvKo82MD6E/8k0QBPqr0eEkolqHr9LXHww F+S6pxPB1QB2tqhwpQuw57OeyiEjPdk9/gupwF9dpy59QRtItRW0jq6bZu/IdXThiMTMh96ta2hJ +OqBmQ+xot7z0vuzdkFq44RT92iZtyF2DHmwtAWXKVU8fT7zNbXTPPH5DzwQbcJC5KNfaBcvkCOF Unvt7eAOjaTec+NNhNQNKAzjVFQeHVWfkV811t4bDw5jMZ8Fj5tGmGYrJBcjLo3qupdpAkO6C5/G qzGS2FMSoR5mp0HQ4Qc2+AimbZbj6owuOyuUUgzMw/OYJLNk53tp2bYwOattw+kPxYWmpG+9IY0j pY9lAxCaxNNHI7XQQE2q43+HiPzcee3n7UyMgReXG+k9eKNdWYoboYIZxEqVOQKAmiyEwbLdPbR1 gmenthPsInrzRSbNA7ReoRQpccS1B1AUd5kq4cvdbWZwOGRMdqRt/0ppR2juVyRZEjXSCJw3HPcG V9dQW0QYnhZiCFMqokFiKise3tW+CeNWuBhH8NAyW9sh765TiStmiJ1eJu9YAQKDTyLuAAlf4ePj cEBZqKvmin6RPC0LDYiSMOhCZIKlMXQn8SyG6MvGCB5eK7eQ+JT9UznZGG19Rre8igH/CfJKIjJ6 qcMJShQD7fONIB62qj8Kj06/CWibLX8MKz2f2tPp+EySBnAu2wHISVlnXbihhIRUTz8sJX5lAoWz ukViQW8OJVj/IPUKa3R8Gqwn+GhF7K9IyYMcmz++u6dBgu17vhiipblhWUro+6V7ywsswZdWm4nD TsxAJgIqlK5PiSOPIRbyyxWsOAJlfX/AdpYXtvezdUi9T9ybdKZYsp/nXACSM8hNPwcM1dTDEYtY 5Cgo8oHmNXU6rsUvGf17wbxTeODGzIKebDmzNyx52bNWit1+jWTliiI552PMk5Proyj0OPU2Cjer w6Y208f3umnAJ8Lrga0gjqVeZRGOINDT9hk7riO0Ik68MG0HHxBqY78/tfyQuRGeAsXpPKmE0/Qi weIEeP5ATJfd3wf+p1lOffaRE35RCKPvm5mKjDEcl6tZRbgfJ7EMnhsrev/DifoUb4Ax2+bas5TE Bdv5LQ0ZvaTDA4GtxdEejz5nu/c2j4AUFHodfPv4ZrM92ulTF0bEDU1ngSkpA/wP5cQS6p6dNgfS 0c1Ro4b92MWRdmsgb3qkVSkzkXvOtjgs6W7NqUhI0Xf32J2LqEdPyRqLvxdoQOEvRL4LOF5Gv2Qt ahZQ2OsE4Zey4oM+Vk57CXVNpI54dXPgKHyUOjImdxLg7r8cpHLPv/OFZwhRgGOKF+61J9QQTTlZ PMdOe9GLeO8SWQeg4aMAQ+GNZC+5ODXNVfFymlgbFJ8wLRgsCAGS1WkZWfzn04sFMfi6ITIhT/ex bRqwhaqDTPHfTIN85EQ330UuncLQTxG7qMXaSUTO0z1lhUUt6XEB63Rsy+M5jlp2za7SyxiIaxWD ydthuyVuI8m7rBzE9dJi4ZRbCXcbQ3LLx6+fLIps9mQ9U8t0/fxVbKAi+5Hn9Sgm/8tSqojPGD1E tDzqMhl2CXRbPTjFRx2tMRaP1ta3+DaxKa65zSJazkUA6RvlAJM6F+K1c5uaawEIDhz1mXClAKlE ecDn5fgM03Z1uO22RSy1sO5S03MoZrpBGz6+oCzOSipsZUA8jYiKZA0hsPvOMYCNUWYGrrYuiKH7 ilXPNMOw3tczMHDeY2Pwq9WZSxZ1OE639xapwYRcoemp6ta5d2CXdwtANkyn6RoPEzUa1Nm2piJv 77kJ73HWbrzvMZ/xjYkELd7zGlqEbAN3tuu/BjeYX3j8xAJwTyuyiZGTVEcl9tiP1BsFw6z3TKf9 OjubSfif0cQpS+Bs5QadIajJI9eBmxj539G+KDa73MVJDBjn3Iaa1TwuMBlbALfgwx8+/gF611EQ UCQxhGlNzKLdz6n5lTobUKqagFBvLOld4COr4kyAsmHt99nEeT8Mi4TbiRDsO8jQ76FoL643dW7I NwdyRJfdVVIv0gSS+/6wPxiwnVpyHHoTYYTkE+7c4c053KzonEKQz+nxLPhl62WS8aHdFpZtmSSP Sh0OAMuvgjhEDIWlluSEen0WCADlVuM7UprTCc4MlWgvvAOuTL1OOi1RH7SdmAfGSFCH1MSYGlRk VW0nPybpK6e0T5reDKnbbA8Hig/V+FGsRYhKtHzGlA0vCntKRRJWiT+3yEOGy/5Bpo7rTd+y+7WQ g+59v6upwJ/rCb5SDlp7nH1bg4DkkFD9B8QtKf3Z+nzY+Tj4+C9HIGpueba/0ZHrTs5ngVdqP1Gn C3tRrNQqgOyFbnIhZ9SUUa1x3QXtp7VVoFJVssohNLEia/h7AyM2f476LG85ewjiPIYKI0jzqvmg h8Wwn0N9OZSWjyIECNUBVDEQGfbdNEa4+P4uXFcJzEyuHHtoeFggIyiXWz3kRRQ6wsyHCUr4rM6l vnaTFRRoaeX+s152SRIKt9HOk81kaJDNKhiugdus0QDi4/7fthUE3CWE3OMTxFOUU+QPWtbiHcQG 0Sq9fMBIWJG93MtItsHp0xW1ZpO8Kg48ev5JM9CesjTcABRPFugQ8cgMsiKiAwCQCDNzmSGHk2wz WtCpWlS/GXc2OKK3auZsM9JWS9m6Xf2Wi4lENIiD6096FF5xq2EG/lKaXexoD2OcssNNrOCwp1Dj wgHLzlv4Evk1ChITyrccyMdJgyr2CvRidfOWgJcy3Y4MYfXDUIjHnPWxgVy4mUdK0TRcHacZiV3c tSCjYOni9pVM0pVbq2iTub6xZ3g3UvtOKmLHqRChCj9jbqQ1Qv4OPGunTpFy7P/j5rwj8iA+Z57I fpoAJVonxM+6tOaAhrR8/FAEmXB8fl/2/tvser+ckPW5pYMHWMAp1iDZ4LMT2zuZE5Gq6gKUsto/ Cxd7kO+VkwCo/uKnnNumkoKXYm2AYYYO5Y96qP92CNYRQzBrSaGTigr9COiZJXBNHqGiNEAWrvRu y7EhYBocAENkhUtJrI4W3jvbLpipSWxGYGfGtzL/2V+WSNJ3/u8GqeTDoQFx8XNJ/n7khO02W2pP o5WULJL0a6xBSmzeFLE28jpxGEu/VfSzlzaZQunPv09cY9bDRMytCqZi6XaXNtwm9j+iZ9D42djw EjR+FCbh/ZQLf7osPy0hmzKIA3CV+DdR+GMvkhXzFcgAFWC1gU74AkP806DbYIH2Glv+iG0woz/g WCwrQrasuJjlt84sCzFYBYkNLHRc6CmxSjKWWx9wlPVd8Zz8MXUxLvuMSM3kOi/2DDyuC9MDCu3P /z/I7Oyoa7/y18OWO4OG3MHH8eS6WMQxkwVpqFtUFLF3Z9Ma9Z2ca18LvxXHx3e4XgjqetIVlDOg g8LNFUg8+/4Hkt07BVxZ7I92idi8+uyNzB+faujhN1KVAPFNQfmStZqrN3U8A+DdD8gRr0h4xCHZ FcUpo4iFCtsInuq/mDUnkO8sJvrtgPSO1WZ7M0Jh/zy9xb8vYwQoQiBX15mTrii9Zrxw0uBbc7gP CGtFZsohOB86954ZJiTQDqBXew1/8J4TCQa/Gfq6txaLqHgBH4dWlqgxqqog3oQTJulIcYKn69hO hH+4UTvuMCGZkjfVIegZ3acbJ35B0LO+npBMoEtc+iR/mYwCRTYfPOwoSOvpYAh+m+oPKIcT5CXU aCqZh7Z2qxfTiXiraImqyih79Ho0oeAVVfp7plqpMo1ThZ/iZ926uFztrEsSNKP1HQvc2AiHq6Ik iEZ8UBtfNV+wt8o4k1i+vuFSLeZqim4DKtpGt8Mdicoehk7YCVuZw7X1m6JE5Mim4LvQ6QW5S8wE PEzvcwetUmirzrVrdeVA6/BL6d5Rr6bTG/oyh6D0gui4Wfoo3jp8pCPlcjgp965AKK0/aE0czbbe sVzD7m0vAbkcpsuB5LklkmsDnbAJSBLxIWvnNju+q8f/jJKAxGrcjyMZ+vxc/LGuONSZBgacgFwe D7Pf+CpWW2FuSyFNB0OhbLJA2yZg8Y948P978k3tz+ANqfFhJzUtwviw3HP2dzmF4L3rWrxOd9mc WDiE5IAdiI/HhjvGcVWhJLwjNf2kuGbaRWNFW7ygeMxfPozl+CK5NmhzX0JfXb96h1zGA8hf7SKX A6h1sZ84EYcKua01XC1BkZNFbcA+5aB7sYtZYOPvS0wN27rNui5xu7CFiGb7Z6u5yCnFHPhZw63g /mvoiQ4ZdBfcbfzDM0uAM9tIIWuX0DPDT1yjtOxBxSOcfrXH0FGp9zUyp5RcgSmIKYSpckTkXKJp xdLmmMyMqEKXaOITAnQKxgOfAjgYD7fI3AloGD4YuW9V33PUckFtnvAkp1fXb0s45OPpirXs4ogL W9TdVWCcasYXDWjTuXxqY5XVH3waVDn6e/O3ykwpYs8FAZcMT9PHGfVMk4NjsIbPc+Jvlwmhxg/a yYUeK6K9a8fwZQdRqVQoC2pbtfobeywbrad3RGputacx2U9sJ+zY1r/PojTMA0b1D4/v3AJOuGSt 76aDz9YzFbro54SGRYf0L6gB8UX8eI2jCrG2fTka93wyXPVXHAG7pPPtgIkwUPdEHlSp0p9++MiX axIeEeBPTrKpeJ00iyUmy1ZtfGwP1KGz574QunK9uB8w4g/iJsgzHBzzW0xsN2rzAhmydwQE7jSw 8Z6/2m3RR6NLfbtTX3cVleTDFbV0gee79n/hbRADYcVCs+KKNT3q/cEsyxCgcLQEH+e5PTAsmohk Cid9UPygcdsAtSCE3Jq8JM/UzFn+ijC+Nw1tccV0WK+346X2vU1Y4KmOJ3GAEiBzYrCLqN0ELXMT EFPzHd6mFBZj9TNZlbRFBlPP2M8320MLTHHNoE5lhhtI8WJfpn1r7A6rKDlRTPXvVc5RoU5vpMMl YCX31xmLe3Lav2fwQdXWcuR+W1+nPxMaWpFKGY3LLIIBw2ei3nakJz8tWRXNPDvcIciAaf72kk+l 7zFX4fcih+/PVZFAYF1JenZegPDicEkJDVjvau6s81oB2P9AuDlVR6quxlwBFNNun5eaBwgDgP2/ qjuDwle0umSYKy/pdrqIN7/+jnKTv5m4YztdBgfIHpba5DvS9z1kpD3ATxy+0hPkZE0wRgy73EtY 8XegtvzmCTYhMJ3izLC2HboHSphVCLZRrFo7l7gYUU6PMk9Emus56DY+d6qOzYAhvmbypVXxb+93 cHFduk8GPoK+areiGhZC759EcVvh/wDwyW+nTRMgCSWoPg3bs8UU9HGRf080WHZV4G1WwhVkncsW hyvOPhkCCmJAizb7iaLNXhIf2lgxd2yqq3eXbgTyA4pM2TPmnA1cKmCO5F+5Q6cl0mVKmpuLxaM1 RuDeu4yhgQ5kYIIgqVJ2pa045N1utesWcnhXDe3gysyX1l3y4SX7HwYR3ZlTDxHP5eThYUbMwNhY UhK/HF6zg/Oxgc7ODsufLf2nJ8uG6VrRhlXhwQT0+mZBSAzUji++JnxEki3OQ5478urd0QJ5+9wL 2l9lcR4heRmyuxKL8sGpSMKL+eBTSJcONvVZkyhEVvqiZhI+OLB/cmy6yRVf5sIVftMqYMlU5AFk k6uhMvU91MGCC5B/dRd8ULD5Ho5BF0WtIP6mYc/e06dJwRwQ7qrEIeNp8UlJCXhfb7t2ysnZI5kQ CjbWHyapWHsQhoKA69GNj5vuCUUDOrlip3fc7xCtZwRdWRoPn6Ejfg5rRu9p8A2IdOBIEYQ+dfAY F4+7gCHePkDK6u/uglcMkLJ68Ojua4/06sswnWgTjjjmYnkL2IWnimmOjTZeBOjgBLWjANLbs0y0 TLPHp3/xZRvZtkEBwAAgNBd6J5EFxrlhiioLZrYwED30OdQ1g/fGuS5QiZ51yXwMhA0d6LpNm/ux 5/Ey7q1WZn8M+U15fPby87X3SoAoKnZd/VzVSv+6KCxMo3zxCsJhIH94UHIqEecuFo/BE8DhHRQC TdCYx/hVdPNiD8byhpWLGJuXVrlVgGHFPxqHjCBN5+5DzhJJx14wPtj24Wgin1r+avBtjaZTsYvJ w+vNiIae8vHUc/CcppAbyDPblCM7xG2lOu83M88DpQxQY181T7ioAsoxDbTkTxLXpX17T/zZOg5d 9iyxR7+tkE32KhxMAQQQGzCePzYJofKQHBMYDCW5DwnbaD5YM8Dat6YXfEjjYinzRs+bIkedlq1N k4tyQls3NA/ZoMKAngdar5z0YB3tTr6cuYKAgGhn9uzukJqek5muN4vzwnB0/AUOsD3QyBdPHh0x jTZdB65W8/smPJc3FzFnRomsWc6gD2eCAtCkwVkceZ5fUfm5IDqupw/OVJ9xWmOzHELagbUXfVnu Ya4EWnmhj+ZbedKoh40Xn07vS6ZXDMKRECUdrDoajkKhShkWvNsxFg7ROYtDmFMJv2/ft7BPjh5W r6PLCVUKJXDmQfoatGMOFbTf2sI56PO21eEKP3ltBB6KvhZh/odsmq+ZQJEs1jWFIpz/JtFINKzS YUGMq2pMbHxENOT9Eo8WVjTgMhsgtaFEklUe1a2NY5nsAsj/wHQzU/ov9ktUT6I0o6wprf7u/Cq3 cVyRf7YvoHD6ETCFF5OrUSlPZ4yCNOtkmDZdII8ljkT+cQl8NlMzM+8dr4+RDdriMjHlpiGUnEda cjEwmVKaD9vrWDFB+HwIdMLIbn0+sLn2VZ3f36BWnWOXBsYsq2hKL4sTDEOrMexW+IV6Os+E09Ip yjrhBrKut8WOQHHzx6TbDZAXZRGQyPp6v5P5AaaDElEDxvXzZ1UtHlI0mQobxA1lyOsjvAR9l4y/ PENdzCasz+ONByCmAcMNZBCWVk6QjvUKgfm/468Xv6zaGuJOhY1vpQqXhMI3b13ij6DPQ0Z/NHgq kZgEVres5SMY5+Wld+vZgn26TrSRCkVabnAnllob81pcRanTu25ZgU6+C54vAL3VhZVSfZwdOk6n xZsw/6PaHcngD56Su88wdIZavMDNVyV3ttx+YiInscRCNFgNOzx5kFwDweoHc1hUbxbKi0qbeUMj 14G2/dJaizm2P5yKUeiEXlkl2OYiRCvS46v1aLJpoSkLZTajZPAr+ulwkdN12Sf7alTrNfLtckI/ 5HIqYu3vszO42HZGEQWjD4kFNoohmM0A7HV3Yqwy9ww91v0TE/tVsDaf1sXMTppSNMCaZ6Ztb6bw /CQzec1Zqzc3tPCuD5tp3pRnRbl6pZXWlD+8tyMi6RNEohC7imGW0l7LXVNOTcv/kc6PSRypm10V r6HJIC1j0/Hgk8FzeINMHCK/S3FKUmjwi55tyDvrPVH1SOlsZxz+noZrJWP1bFkYxn7P2ECbJrgO yEk5vBdjpFAegj4Ro18XA2OytaHIiWwvQCTOy2FEcuIBLfFx+ESjbnIp9lMKk6SA24vP9RhqY3Ml 9PHRxviXoOE/59y5FSVRSGdGTgcD0VLDrOSxAOR+9SucDhOrHIHISDEMAtUpEfkpD03dYH1/GRI3 QjIof5LlwEVq1UeNgNVfAuZvMq/SP3RUIRSNIP7eLXxMgJSxZSacnAU46WNO5+cGSOPWisn0toXs urLpFoNDbPcM5dbIKOJaz9CAOyUXWTmpdhWqRnaD48ILbK6ZUAPi0A284+xOHaTSPOEiww9n4R1T q6k9sCx0zqmX6gUDU5pj9y25+PEh+dpQY4AmYwIvyYWiDEcCKa2vs+u3/byIzF+jAJZjrlEcXbPx 9lGZ0LHG1gdUpH/HvlvBameNPey59EQgqOdVLdJvxVbm4F3vB9rW9dypuiFKL6DHHie2sRoyxlet w3FbbMW2cBVSlGP0cAC1dD3i6CCfvbY41af+JEHDm9R5VEgsuLK7Cd/GxakIFh/ag7SpeVu+UW10 FsZswQBBwBr+ETlEvZirxw4Ffpyb1sNpF1rU0e8IkyAolNCwYhG0E45I1vlVHIBFDmDgtPZUZrd+ RhhWrWapUUi+cfoQCXnrDL0FdvJyr0CioAT6m/UyzkQIwo+HQI9VKoX6w5l6a2RAZVwfPr/QsXFW YsW6PMTdqrJ/Vjcr9RDvzQcTBJy/t7HzEGqZ1mP5mbCfh73JlMuvUauRsnIh9NcukjjegNbLPd6F sV4Z/HUWfE9cgt4hrIF9eIMavYVwwZsjxfRm9qJHIYZJ3sOzNMRsM6amLni1DKrKEi0fWDWakgrI fVV4nPqLFVXqeFBqJ9/b4vaV3ldP+54oSjYJbPvUn4qyi9SEZvopqg/dIc5UsPwhLg/xtxerZEfa oFc8DQ3uDx2K1/Z8owuFFIFdf+gVIjq/yudxuS9RJo9DWczjMxtGECSIrNX3N3nDYm2LXfR62YuM OlaDyZF4Dqb65CoW5nNibnL7Cyyel8wHxAnselk8mKlDagU8Z+8BouRJ6y5OTx1ecNDnCkgHQ0C7 ipCRUlrKc/9wec/ewvsC6y75z435aQSByqlYtvDvsw9w8gkronAyW6fZkGPjcNod8OS/7cwbExqM NtGNdwXIdrkuIFT7n1eANMq3w/anzekqtC17ysiVwXYghHbo0ZLmM2EXyFOhGurGpD2lJxlNboCO vI+4eBIgnfw/jHgeNtIBi6fDwGrEXpT7gQz+9yoYw0sqSc9mpXpUhdppYtJVMmFORpAT+6znitZD hpD3+Epweoi4rEJEQr1CQgsSnrX6la9hs+m8LUkXp6hyOC22L+jAbx2aJuMzCprUOgjO5fT14SD8 666FrQFxn/EJQw3F4X4q30anegughL25P6Z8z9ZJZ12LoHo+Vlj5Lh8ZwjSBjtcDp2rV8bfKSOSD skxG1rYqJLYdiRYkpOXQz5ZZVStJhIVydKHXBkAKTTZ6oVEJTJV92eNXaB/FWwWUJsioKISbPahT hNmx4pklGhjmILL+SEZF7g0TtIAHxRoSe4/RY+KzN9lCCzkx48Ka24FSqAZ3Db0aeFIYRFaKJPQC DVf7V+S63fWlrsHQdTdS1cvVjbXot7Cx66VHczqcAwGch7UWYkQbQynQ/rON//7bEihWCRKc18ep 6A/M4MqvaSLwe782FNCCotkT6t45LSMUd3ppg5pjIPnYJwMVMz0FjXIlCUxi4UpEmA2lBep9gZXm mDWYkqfO0rgn5kcgqhVgXZIzWEXQv4wF/FWYtrZ7YRE9wwPCjcWjx2pedOQweaxkWtmqnx163kIK y3EAvDBdQcqEv/ugmZ34OGtChiTi6QxZKss9FSngbATk/vOT8hk6dnJrO7XF+e8EruXkiWLJSIHD 6mrM4z9j0nEFhhaL9+Z8qA5nj72vlkvZgsyWsAcE0BZZWHeVd4CAWVGKoFrM1bQMr+rcAgMYdc4u RxMACJrHTSmNkdFR27Z+14knXb17gz03wT3SfUxijzO9PLhgWDvKYppj6TkVu93vIZtpItYN2CGS jT0/VS5VvPpHoO2zHIWYiq0lizfFl+FoCDmbVFBnN/TrvGwT6XULdx7KEA5qR44HqH6dNyj91gx5 nwk5cLjBcMx/OJQGjGPAS5TxLwvrRCkSbK7dK47xFX1zDB4V+0/PqUc3ar5BdAWxuRbgA6aFntDF EaUiIBJV48VMO8dxOer4NEqXILL1dqlaQf4bFHsT7jiXv19sE1BEej2TijlzsGQjMtM/SEBgwDj0 Hduo0AdyGUkTBA/HKfRUr1WaDucZoW7ZRNAyGl8VKMCAR73xJpZATRYvGRBNgPrBiqXKgZpGWE2G PGNKE+rQjM1htMdEmnPoUxyFisXX23+75BUiET96piPEQVQSCj24dIiB1Uktj6A/HtzrEFfk0k37 JiwRrVnHdvFZ20uIhdN/Y+bV9ie/EZtQ0eogufnmfKlQIQ6BSZw9CfBemDN2HBkb+WwQiXsPMsU6 3TtoZeS4HcuRyGmAgkLiBJbqkrKEPdRW/FicTX6soe//uVTFWRImHWzZha+WQ/fGwIhQrz0C3R8c ZhCuE5XBRt3lXDpe2OJx8wR5l9YNAyAHjNUTwJi5OrMq6gHfwQz8jGmKYAdcnKXpCYv/uHaJQHhv nGVTfy9Sep7PXhCKcWW/c3+xjVyDHzQ070spIDmdf4sNzvoS7SQ1yOdmHGRyn9mvEvdx3IEULgq+ UjzAVrjvjRB24IYqoEsfQz1NnEgqJUkqkre5DVF2ohR5uNClr1sO3ItmfSzOZxEitzbjbYjXcMDp j/CLkpzTCyxHYr5JrvuCcGqZD8b7oQOCimjoFSXcE7DrtlY8jfiQgKoU0fxg3xR83SZ1+PZ/suea /GxZgOb99xSbm1A/VpqqDVP+90P7dp9A+N5pjCljdcJXjEG0hPe6eUSjo6wUu8iV1aJZ182oPi/C v7cFwzWCdfjHcuqyON5dgr8DS4D3T2fdbUVDXjm5y80YLb8IdXikO5P0s7srpyH0LfOsEnISwCXL +2nM0m2SLJ7n4g3/6rtFNus6jItSyHwXzRlJvBtERnx1jboD/JZrN6o5linKSdwLLT8BK/fvqf6E hU4o92HMYTxIursXM2g+8QrdoNZXntNx/6YhYnqRdj5uRyelh5K1staJLqUYenqrQcO2scQ7Z+ne 0786JYMTEF1pjxWBQtLj8uLCh3cIoQii+eqJXss71rQHnbv1wi7gkeNzFk1eek7VukWNAyD4/qRO rbTvs0t3FBgI1KrE46f4LhyXTjB4p7N9GiYSazJCHJMZtPX6r1h0bE3Yh0+WpptMoTmi1hpH8AvV Y6JpZAOfQWQ54XgBSoQ8ZxuUY4rTs40WQt/osM8nfFNgciyN4BBdwoSNbl5FPn+kEyO7KBSupLbS eFFOR72vYC+UA0Fy3Idw+aEPYSG9/91jOiMapk9YsxRkbXtdt48Ky//l+7DxQCSYB+kLrTriGMME VaBISMfQKJ1WZs1NyrjcCJDJZr7iJKpE8nlX72R0VmCU5Cg9JxcPtg8W68mFzuemvVvmI0G/D7E4 /ryxcZQKk+FlRyeiupwSqQucIRtMRcZ7QLk+2N0PEZKZkIzUMjPGC9ob0HG9s/B9Eaif49Va4RT9 TyQIixIYISYeWcihLZ//J44WMV4rOvPwoNe3Tk02nglvOqJjHslsox7jYfTY07foDDpsvx7t3oZ8 TPW1wHdgE0y+y1HWyINKQKFy3XbwSEaY79BLFwJQhJkb3nYEubWfatq+29ZVfk2vj5+KBfxCzgfx fv5lcML3mwsL8llfARLEnZaFQXN6uUVUjjzSdMT+e9K2aKGWsGeYM1GEZlWtLSVmU/HcXRdtuIBv DPsPa23amFaaXhH9re11OmfU9pg6lINzRuezCeG+4JmXzE7elHcjQot04RsoHvPYDVd6yyL2L4Vq G1ssS4V+6gM5Cj38GRplh4J34Id8RnBNjHAFHJYQev5QQMipEP3RxljyhhM7E+dcYM7bqjzAINo+ aQCZo/884RgWYLdMWGZZzIsFP65Ze2Ycwf0X1MMxrd+QYHyETppvD3FrfMcAlE84wFAkeO1V1hNU l5Ng0isQ2QrYcEz+Uxr0PAtNWHChKRMdm5w2nCJYITd2K8Au4KA+anlj0VvCuJV2pDUVy/K0+H23 KcphrijTMoWSQn8+TvAEEcvQaWNcCix2NGc64XYB8oanY7Zwka7IPrCvGUPMzFyW8Pq8U5ZgJMzh Ats/wwpdnxzjTHkc2R68dGbW/+SG3TdsCWLwVo9UDkPdEO5N8wwWhtkkiDivdBRHuM+L226X9uq5 sQKQxzH/QdqSJBrhkD/KLVdQjgQR54DeexXcoVbRQzIJKPc+eZkIvcooAWN27hpNjJg1ye9HhqCZ CQT2zwtgWb9gIMyu2/1qZFuR7wpjwuEHBFCavYh22McJF6pDzW83ylhaxy+SkmxYZ8rr9E4uLKGX 9uGdmQLbXq4U3oexox1B1YqfEHrYP9Jya9FCfXIkCpItJB2fxZYBMNHxsY5vDmhxTikNrDYcujrv MwOl3g7a44Z3HbRr08ZYUUp6exgjypTcb3T0hVvnORNu3qMTTShg2sBRwgLjCIv9aFi4Gclwld7y 57Ayr9g7UVRi48nuSIqQGGxBMfeMbjewBp7wrUXjbZwyu8NkB7i6uvtfIr5PywuTRnIjfHn/BZKA uKzKOu+yvxDJ93iOHeIGJPRT/kOxCYQzte5xdHW0jxZNKjYNYtDY665NYs5xWEn4oLiCOKXguuXw xVIKLYK91ns347F9vzFbQyZi1rJCB0kS4KHD6fG6Jw91GG9vqKne9+HALlzvI1N+X99JjMUK249F wRWba10n0aWGKZCN2Mgqpg79fSrjI+9aTv4rcqnP8KxTrVU+xhNLA8sHsrR2m+XyIt3Wur5h/K4b eKaO/ZV0+KQ+4XChV47PikecWBm5tcP98gWrjW58hFvErq5rB2bm8LvEFFfflW00bjic7kHdZgDF Fw7oFLBkBMP+i1fQT2mPblyYdy+GIQsX0DvMRtnol9CrPgXxe0VAB/mS3Sf8FidB3nNMY7jN352O m/U9WKBQWZnfm3+BjtipbVbB/kgbslzkIs3TgmYbhkpAqlIRRHKiKJ1VqWwR6LtfrEzC4mlGRxUc 3QmiF6LpOUDWJgEW1tbTOYG+xneXnPx9eI0oX5+kPM2J1O2i3wfgFRI1hszMMruNwtPc4n1ENVKJ oLMK522+PErqcglwII6ypr6tNPmTbKgEtxnjve+Fzi5nUto5sK6zRi0qr6i7kZcXakfSbmLjJKp/ sR2S0LfijB+fB18TxNcQMkXXj+yYZcfhSeeJdhyHclN9S5EsC1UkAI0rTtCrQQEJJ/yTpt5zINNH 1GiJq1hfT6U2gKuyvQIQE9zDnuZtm36VP/wDlLBBUtKXO4y9SXJlG9IuL3uZu6+R6HtyoGgRWDKB luIjukn6bLUuVCkrEigCQWgB4MhfvL2GzUBavUagN0RLKO62w4qorC+hTyGw30VbmNVs8iRpbFuR s+ldFHx5n86e+ZZEJz6Nn3eER/vC/M/oEyV2UD7/LWcFMCjCTsIRQOmRJg/2WcRN+Xr8nEVfCoh/ I5/jo7sKAMv8FJDCfoAutP53m/W3XpdomCyyP9CDNrwolYerSZlS0FQJtPJp0vCOhNo6tfX8WsTL K3dxDEiHmM4waf9L8SwfDM7MNpRJvrcgp1ZJmxNI8CkQr8n8TFB3bKGp8DnHQaUJF8rqpo2YVPLH gJ9h29IMUPxIB/kj4UNCGGV+dv+/bfc20HjPCE+MP0C990du6FP6Ml9CAWDME/j9mYXeIwMWV7Xv 2n7bq8mzK4B6lQzmCbpsNW/zYmK0mN/L3uxG6wGyaNr8BQkOL10R4kR7qKZ9t1pkN/j4AN6arU4n EeDQGNzNBXi7dgs5k/pO+Y/9Scgm5JViPGm4ARhPr/piWXtuCiLOjn+eNeXN3CwfHW9Rf6ikaDYv pw7fQK5g/bbpucfLKIbjrphdP61hFf9DSQDYuZvSBKr06VKaXWymgdC3QKJXIBO8WBcywVJnd2xB kB0KhEjn8f4adT5VXQs2AAYTjxUmmeVjPH6TpVF5Q9cthZzxP2H43nMlu20QnhCTH/NGhy2GKyan Zt0uIOJXnqNlfWvgbcWbb+fLqjY01iT5/S7TI9q9PGbwYAmDESW+lKdpb57FgJvHxgo0WHPJn0DH 10C1ZnGBWMpPbFDzaRky7ym8+EUECEQPrDk/V4hFIb0MWIR7/Ukz5FLLFOtvGIqHaPbVLiWd0RKJ 1/rmgbSg8N9ZAMqyFZUDEPNMTgiREvHlS2bCr2ZkoBi/3TvRhxX2afraNcKOXDe/ttrncOH4MASb BTIc6VD8vva6ZA1QyDUkxyeVNRNVs8kZpd7yf15KGghtwlRn7MMFlLB/UXmVDV53Dft8vbTCL+gE tTvXjuLljLHyoOxXL3CATgIQQsMnqvDFzULkeiQ2fpHvCjSBbuCbVJ853hcUIiVuEHRT8CwA4PGB Mih2qhWNdzbVXmEyC7FNe22MGiKLwbneDIWDmQmn7fHaYiM0TZXZaN0B62YCKOWBfvdl0J6r9dOx TocHStcPvq63aahBjMByrCpTGd3dCBV6XyQJBNmVAhSUxicFdHlU+OPNu4gltnQGdC4SkHoWAIse OhtRQsxI85L29AsVwCmPdNwsfzIFIM3O/7OSj0P4I36owsNxlJR5TtcBYr3bKHSgWBKrXZFQqezB lqKtbeMHBfGeJ8AD95l42oJWpndqTNeYCQqJi23IIKi54Fj0Trpi1bMKHYb1Hj6dpKbzTS++zM8/ YpiPCLJcASHKKaDuG6pfpq+zLAxnuaPQ7KU3s+9vv0xKmpEEC/WQOYcUKnvwfxCe124ilPzWRWHF 3kDZWi3G1Jo/NGVAEBi4x78Xw6ujbu0Mi3h3V+CkjkJeFEL05DUCbYK7WuSpv1GBc/ClEQckPOB2 3YJuK96p3QelK2H8lecrOXNtFK1BiTom1E7qSO+62JpV70/KBrHJnlQSw5YnW6Dk8VUcYEzHIzC0 rCyrLi+XmuFUu7sTWgDNsYUbvVnhTIeyN9lFtC7j01/b34FYtOGrw+5SLnr3dJ0+T/mQ/JGV6WO1 eQ9CpNzht4laJ5MKnFarr0wosTuUjla+BLzlXYONUCZ7Ls0zdwOtOJYt1W9csU3WP9Ihbu/mxST6 BPiGCT/r/85UvmH6kMLptDGx3dj3NQ29TsoKkmJyIQALv2xXC7iFbzLEdgTtK8UkUu5fWxtgC4ys i088DAT2RibuQengJCRLoNMkhShu6sANqRhZwAL+EYIP/bXxhlVXneOVexurYEVMXSHqZv1eO+tc UvykVGPCvkZOZ7FT9BkjGrtxScX8nPbp95ChA75uVJAmxsiJGCjtC4xf6VETvRiwVsl6b7awUNf0 3bNVlAaXrP4OuRXk9zo2HBXW2MR55m6misM5jgPnWU3zizyEfz6ehHeKXUvYysCW8LVSavfVHt5j 2W+SKrm217YW2gWUE1UyZu3tSSOr5LdYpG23eagScS4SKoeBwnvCKepk/HoWo9Kb84DTJm5oB/QP sU0B5L0s3vm2YA4dq7QCM46RchgmtX+XioN7tJ86BCESX5ZCanOUOFEWaFRTwB3MUF1Kci2dHtN3 XHEv8R0xe37MqeQWnIweDbkxp5865GgpAChJxngXyfdSV06UZyOqE4LO2fYyv/nCK7WmetBhV28L hTX3JjvO4jnBVdgH5HezqyNbaaRKQa9LVIgtDC1eI2ljtg82DjeL7npukBD73AV/MnjbxkhqgZay hUSzUSpVz4J1rgJlEp6vf8R7AUBDJeYF0iafepSsJGnsnino9wHJwF6iQdR50NCzXzMau4Im0b7r 7/1xQqRCyJMKVIEqjADDNTp8KgdxKtt5O8WZ3UF8aDW7ToirZ4VDbA85E6Qyw4ihfeGqJR9ld7/h uD76eq/oN7SOmAfOr9870iduWYZOK0l7/anAgxfZNq4lJQmo0/LV0y6zqdCYAahQFbpB0NWDT6sM 83vCdtzYlu9BcpY9j/juKTcJ0lD3/aqfoKd/gIQ89uvPFeKAG+0Z1nA0lPL6TuidBZK6kJA0Xn8C baciGmD7AI3DUDprk6HwTfl3UWbXAXABlkMY85CpYE8aaaTndzftS1iCc4Nn8T23oboORvubfgX4 mi5nzEuKMwxpAu+TFkLD2lEY6OWxrappBLfjUhKK8puiOlM0jvTE2+J1EQTtfGXeeXClfhjwxiui qG8ktvSX+3/48EdJfdnmJ5h184eg5KV/hgTxcJASLk80pOwG3+wD6Wz/Nbdes+fogmQ41ktNlyLs 5Xww9C3kVIfkBV5TCsBMLhbQQf5hCjUBw+LWmCfUE/gVYHBYM0lJL+LHd1ocrGn2WJmU5xB77QnP eBNMw7Bj871QiydrPbWLmSPqEfyDOEonZnt+h9/P3U0geQH6kijqzq+0EPeQWUd1/XGzlo1jMTot uRqsZqXlIc8jh6Ig/ib5PpOl0+07UucJ2j5S0x8WDZVm8JurOWAdjjmtdDl3/vcJYnFFXHv9vMr8 mYiHbiLcAID11yPg6zA3eZJnlojs8gOCmb406Jv4FTBzChd3WY+y9RTYji2080cLvUfxFoR7j3gc iIJrSnzRGFy3nQLS2sU0SlIiCQitPSFbTmDmHDNGsQg+iKuS8SCRLTsY324dq7/4hkx2vAiJXWZx 7Dth0kw4VkHAc9zF8JdtOb5eYMfzrAd/06vmvoP6QO2eNBqLXjaENJ1OtL5zrhwtyRcC03E206f7 Yz4qs9w2/HTcfBD1q5eHmjrNUQ5W4TkLeNJs+kVd8ALzWwMzper+69Sj7LDRSrh42mLFMLlC1Mlf L4b1eMI/pjqaBbDDR34A51YO2CbNraEGqKg/LfDn8xho9X3T0s52r06vzrm5MU7Js1TXZ9hIiOu8 8iMhk6rW5Md8HsCySJ3R99b1g9bEoQ1mfxEpip+PstprfvH1IkOpbbL6aiNdbSSX0IRc/ERGJv1W ni41qlYG3NiZGo4O+eG93sZJDdUxOkuNLVoOZQGI7Exesy02FOi5NT3X2D8LbFcxKaxaSFBW9GSS ROPPjbsn5Q+R2A0TLkDYvSe4ll+Y3Qs03ZTKKbr8nU+RNNeqfS+xPELZlNecA6eHahzwdEEuG84A E4cHdHWTpjkJ+Uc/HqNibtb1EkVVz2PnMpmhC6xX/nO/DGcfOgHZie0yIBu9kCtUmDH4rB4vgAOX cB4oHjzh0+7BYWQ6WEd9U8V6d0Vq6DmrzxvjswQ4jE5UiYpXmqmfHFJEjpQnB+McBSgOitHtBrS6 2uMDXuYpyDLEWJTvbiyCbLkKI74gisSRmSmdS0mW4ymxwuY6NALCirshY70dZRnVRwf7QA+VLmCF KwytFlv5eay5ng8U0/XXNiH57kI9dHj19vUQ4B2eIV2TelrzqGEp8hEiKZ+Q6esGdOL2kgBESFfa 640LQ3zLZJcuLgAZ/DqfW2s3Nrj/NtFz/y7fpFmoEBx8LlNP3ZFSjv2p5jfjXicr1bWVT8Sqba1k kpGRgGqvRF1c99I7KNb77KehE8j+gtEG4n26WuXeP0Lurkr0xxvuG/UfubO4ECOF+a/ecFia7Rfs NWvzxuGo2IeyTdEk3pqzuhjSlaTusi3FwIzmp5uX0VrCEMtKGutdrrQ9+dGBldBL4Su1g4+jUCPi nVzoSlLkvtdgYXPVzwiN/sqjMKck33ZZYygDI4FD7LBpEOZA+uWOKHNUfDSBLVQUYDtIXccGuB3S ESYWyHtekFjsNCYm8oPRrb2Qv2l/EFn/EYQix+Jp2XtuFoA3Hws1BguTtcaYrGVKlEqR1tELHVQE X/r0yjXqMASjYXS77OvqpG6BNX1/sIlUFfqiF6tijotpyniHQUssHJgavDvv6uIDonpOm5R4U+hA 2afOfQdht1ckv4VzreyfMxcpJt8PcUFNx7y2/Vr93IFCPZZKcTO3KYVhdw357jfhYkxmhpvXogdp bR+qwxrP2VkiAjFt34ZkLlKwgews7nAtnjJ87yhRkBZoePrQsQnbutkp/VH2VSdZ8YGzu6hsScVK xNfmlPbipQf37p97QGmxLgsS9FSABAMWRkv8+9CloNTXp3KB8QbvpzRl7Al36QAowbDgcgVdCueg qv4Mm8PKtiwbZXNos41Z9fnzYIiiQFMc1/z+0zlir/D2mqUKFKxCUhOSR3TUeQfNEyRcCBuhhg0a xwc45gPou5iVX6hKRYzGGuA2YDJNT0S49nbMYVS265daoYE6TIqPeJi217+Mc9FYThk4rqK8uHQx O1BzCm+AOLS8VGM+igtyIR0QYHD+dEqB0AHn1jyqqvPpEBb5C05K2gAMAEW4PfJB7RhAciXBsYij iqCfy85kM1ov/4ukA8rZR82vkl4u6sWPmGaQ09gSblmCv9atLDJrBZ1BeEft+x4uUQXkIAOQQrHc V8feh0UVld1OuWhGqaaezEp/NOaXHUFA8vaiJb5ZqsgyCK8ucnMlp+maFslXb6VM53uDyWYU0dlS BUNIfLOG1dNm28oyzYbmG8qNt1ZlBevNecITdteYtuiC8VxbAPySom5J+qMK+Skl5o8PTeJh+OtJ 2wFKX7ClJ6r7IZ9CLw580Cor2Nl2bRPzY3RG/o+qC8QS1NzaLnjCDXa8ALApm1Lsqhr9tsRWPwlt 3AcXCHqh5moNNqW5NMxhW4ubVXjqgDyj8I052s0bJqSSrCQn13NsnsWVbDtIBb8kkSwnjcdzVRuG guWqOf1PJY+iwTi20aYfWfU5yHdNOLDzUrrx45dSgS3N4801PYsVdDn5tHc2hgNN5NDl6tyoEfNq W5NPqGoXfKKr+c5bNqEY/OipsmQU0Nv36Hic1wcsuUHCBu8lXfpurSEoTbQZcHJ0WrrPFU6747TI Nq3BqMXTCMCJioOrp8MkLwZCalSeWtRN7UKYnm/KZRcHlEWV+fLYuM/dcAuuBIdLN+xCITPrKdRP anjih9xlAfVMPqutU81z/LXyowsHTHo5KRrDtqbI6vRvPdydREpO/VxNCFNNe+gh5w7kQd7wUAQp nH+DrsExQXcGFQnM1cGoW/3NGeVKWD7T0RPtVtdCMrYKvhGQEoX5WJ8M6g7/KjKHyO6VjIPiOmMn SQx4Io3V6lPUWWCkWjW50wtXmZilSEVaxl1WPf4xHGDAyMcLdem2B89paoEu4iulbj0MSMAeRids gAp/bbHmGoAupsV3LOK7it7YBRxeq2I8PqbkjufM63SGslRyH38ZI9sQvhsthu62xT+sIU2KdTym rEkygM/nOmlerRc+v9tLqvuHrX2+KO8xtqV6ed1vmPUY/TkRe5cDua+cHHPxgyBGYK0UHucAGGdR AkZFLGCd/45afKN06hQwvu/drXshYwdKqAe538A6kMctCHBeLysHXAflFAE38Getx9OtVYopKbqh oNyIPaZCyXP4ssD4qBNLgpL+3CdKCdfDcLRbA9slXDV0aOYZcsFD92kUStGWCdtznD9SbppdUKx7 RhlG67ZEif/5Tww/ELiB3Q76mUwavM4XBr6sLRLENCJJ96tyakZoVmJmEKNYXqJYHLSdv0cwH8eu rRYFWe3m8JYc/Oiy4fcCxBcudgMDSVv5JWvOJqt/MHf44o8SwRzRfcdApvWVNpw1mjRBCVJJkOXH MbAYRT/+va4qfzXSks9RPRrEjUj2n1yDrMVFlBlO6Fir1/xUXXcZ84/XFzweFbrb0uREcno3g0A7 eWLFx56Va/6G7WiD9D3OKBajrQevkJWMG1IdgxE0i0x+SgL9fIQETjztQ8ACzq0Ug8GI+syh375y K5xihfdOFZvmtNabH0/WkuT4KV59d6JjpEk4wvWTyAV7MboOt5WyX0oShmcsvA56d2Nn93kDuzNp HVhpzHTOcN/psWd9CUtudoV0JDL5EV6ZI1oenT346WjojZGk0DarF/B1To1HQ2YpRT5gLsKFuNgs hZpuF39LIRgxkp8rHu9jAFsqg3pL1DtTDMa+3GkQLEGIZC5ybL7eND2EQi+ikseNSihzE7sl0TyO GzfuPqz/HOIVitjMJ+f89JFbOmqW9VhkOs3l1jyOzNXqe7YUq2hqOr8/KWUWJzk8tCxSv8tVhYR1 EjAdrdwBdoYhnoeMVEo7eOulduxHZgcOh8IdGfjeCjlKMQkiBS8sQ4uuai1/ogkexpKgUlVISnsS giR5a6JxPov51acYnr27xyAUJzcM8hOxpE9Y4TTLHbjSm8tsh8eXG9zkydKVlfTufrKeqKQpJg01 1oEmG2S21gXZInRu6FxUFzlgHdETerxLIrG20YK3a8aKpMQzLb+cRxa9MCf/ByTY+yUvUDMq+XqO Lt7kA2htDiK07HYL8i6g8epkC+4CtHKUelmfIhwZ9zIQSFE2PaqFJ62iqOaD0w9HlAGNyGtlph3R MAofd/MMwxFjWhKlaiczSys6saGubDReFzmtr8f5NSmF6YAUPAcvliCGmLW65YTzoVxwU2tN+GsK pPfqCFOzA+FSkgWBxm4sHmtJdFJtJ6VGhTVnRJWJjYfRvKZvBWr5YdgoWcqYSJdyk0y7Z8plf942 LltOZoKRDF8u2hSS8Ni4tbDUNQVQOSmCxPuoFnk9VTiLpIU5lXQiOa0riqwwjlSagrVmHCCuqJUK z4e1UCUJl1Uro3nAmjPVcVpjEqjkWkAWkIGs9ApA+Z0L1h6jEevUUkVXK7ujI9Ft4B9VXH9xmh5n 0B/mmBbjuOD8UOgh7WaptskFM4a6bi5hODenYc+IRV0RzdE2PoXXFf1MVviz4ApewcuRuviaAOeY oM97x3gV+6/xg2rR9oSdMyxjtgaQPHSSawDNyOEV3uoy2/aB9aOWz9o3QzbpezameZr1O7m3fMOJ QY4igIal/dQZGHmErrQYG3y+olyrbUHj9f9crkDNljDGsFXG8bD/uKAAGwii97yssYKy6ZCLuoNH patiOQTJDUHRvCvC7z3Bl0x8n7DmSGV92tOfc5ncqm/Xw7tTpklZzSID6UJlhquN5cJR7+CQ7Q87 71FBvOqBTHxJNWFE5I7rvEJ7ajQPRtmxhAIm1M2UxsCyTkPu9YHQqmni8Fvufyb1gzmvXg7kTYoI 2K7I+QkDvBkLldILrvkEHjJ08+bJ7hOKb75fomm4+jqjlP7aR3oOyXlz32gb15a2dtTKZk/7CF4Z jNrKI37ls2mJQhJskntJdyafXuGnJ2uZawcCzFv6+Y9uSFk/KgUPEYBOiv2FT44FEEBNxBbC9xdE z7BZ6sUf+V1wPsfee8UG/6Qq8w9JA1SllWw1vuUzVNw/vndtWu4HsBPZFDuR+ZpAatBUu2x6mnbm eUKBahYufCAjbV7LUfO852hztiFBWOWYim5XSupSauP1SbtYvKy+FdJRQDZHXTQyRuDq6tJ93e+e p/0VbxzSwBomiwonKJ+aNsVXg1ED2Himc2ysswLr5CW7vxfOwlejYWAq+Z+UfBLWBf+lSt4UNvzf ChgdHLXqUhcntHDpc4mQsXPm251LFQ285mPFjCdA1PyYXs01uZqBpgL3d7UyY/F947WK/uzMx4Zd bTK4f0AySET+gT6drphtTOdoiFeuMo7DFycNm08nYCLLYXqKtrLOFRP4TNGcXDI+gNMNy8R1yLMW Hb2gYSwKPQEadCL+wBVlXoGunpTu6ybdjc0eD/3q4n+zdFc9oY7NC8FEJKdEqotXZwdBFC6fY1oq XLoYx9OyrH/OpPbRwSqOm6kwyb0DdVgqJFQHxH0+FOK3E3jMxQm4ak7vTXZaxa1+eE9SCdxkTfUw ohC/icMI6cNxH/2IS5la/k7/r3/MhK7SZErDT5qMjbDby4omjI9a1dXTKN6DkktWKA0Z50rWJ2vX OT74Vqcu99aRIpC63LfRwwDBWXL91BJSp13FLHX7akJlOQR60JUzRtMy0pq04FO9LuHKZTePQqxV tKopX9eRGhNzVDMUIFdado3XUvjNHEKVAAmEDx+0z+2Sp4a2w7eg0J9Z9dm6oZAH+vIRlord+/aG rGc6ImS9bQfh2wwOZpd3RTp5M7yIKLDtLNf3drw3QGUPhoaU7NSwpcDLHKv995+vSNFogoGx4H9/ Y7ODrlaxSWvvIv2AJ2oSzyXMQUMFb9WSSc/NrtQwopNMBxxD3sammNjx9ROSFevi7zsbKnCJNi4+ mvthB6SozvmsXT2WGiqbpoYwLVvedesOuFEjEol/PqMhA41medH8A3YpNwpQsdz7TVLfubbaGvSx JXHvw7oLI/ENTtH8mW6X4a2Nnhg5xfyPqpf73uU8H44H8ZuT5FfqYUmXtBaDEwMhbt6QlZJwbR4/ 2mlST+ql7C+2zudXaWzIFXNFafVCM5HrCgsVx9DOSeX0ki1tr8UtkA1OSXS6X5kl8ahStmLnx4+c a3x5jy/nIDPUWU0C1vF8bNJ/M3EIKqx46fbh8JI8eoaS69wWvyt5pQpKn7uLi+ixwbJHgoMorIYG U+ekUFdPjQbYjPF6wnDyCHKOhE7rHUSEUNspb+92rXYxbIW/K6aXoQFIjJQrExVodSAAY752+mFf /+dTul3utzTiuxxtUYViC8napoTBFzh1QvzCLJzDqhHjp2V+eSpXqW8Bm2VVOFYO5XB85EYgLPiB o7p9e5UlFGpDpMczF8a3DqV7U9Y/jwwWEyvgvGbe+Jjz64i0uUHdcz0eOzv1XVHoStz5Ez1AP67r aFtPvvae3D+xoMQAJLD+6g8vdSTkSxksj6wu1ozVEoATSLK3pWjjIbRCKQ+cgJBSFtv1d7jC29DP +rz6VP9eoyG+48x7Z1j3B2vgGbVr9GCqTYH8FLzGwxFe8QzePofn+J0BGMWPjRQZWSsAFVK9WpAq Bkvz6T4jAWpcvEWeej59lMzkYPXcpJauRLEioNirRn3KRr1zYVvVW1fIDW/k+81BsmtmjFk6SQIL kI90SmIBbPjW1tWUJdOE6CaV7AA8b59x+d5v04Lh1949biCc4iKZI95FyPQmD/1Nqjmzv22zJfKc jWJ+rUbX9PW2EAN5MDciDMIbz6xSV952Bc2zE56StZi/pyma/oO5MdOx5x8tkC7cQUbk9IhI/4/Z 6AZrozdGuevdfV9Jc0m6mSzdgUAlTxVWpYZKIKC5IpsekBJqBrf6PqOmT4ySFf3JRQVEhHsTIT00 dCnkUyuTB8RQ462L9ZwHmRAaEQz/TU+JO3/0SSC8umDXijuSIZBKau+rRYRrHxJ3Abw2RSGZYgCj T0qMcpFN4W+XpTpDeexUMCPNijyx5uWPiu83hHsD7nG3wcV3XYuOqjER+76BODQ02O98I2iVfFG6 sKb229zOeWS9khaQzqZ3/MzmD8ik+LI8dXyOBu3lqglf6AvLiD3Xzqn1uPZU/13COernB9OSc234 CKEbbLViCQqYOtlktt23KebBkBkflyZDodqdlOq+qdwPuOOWLwE0PQzMjvEFBDI0gjkycPGcPdth r7xsqEbz12eFO0WTivQURLEbITnfxLqzq8aXMyb+BrqB/yJ2AnMw7/llJXFiOKTtM0RdH7mLSKl8 kC5SPj8UR8gbKuvuduJS5TLmU0hUh/vGWG9yrIe+xkHa+qGuSGSBXMiAadWBRH8GxkKtdbn1NLpr IgjAfDBTq2j0Wi5kHy6VQuwmVOr5Dk27f9raqn4hiu/usSmRY3bTT2ozSPCA32e5MvYLzKyHz7NE e/Tto7BcproX0Rr3PrFfRhFq89Q7De7vyYyTe9E7baAx7EIl3Rr4bLMjnEMY4u1+/DD8KB6PGoNA nXKuKleyXFnACVmzgCnuREZln8GI86SlkCP6f0wxLhgNTLuN7wSolw7+bFtWtnGjUjOsE+wLIS9r BYP+7rB39yN3tubXnuCvt+LgBaPek2xEfC4L2H97aLnAxqFJWpuH6pghHmTFqNhyPsR95FDOmQDx UbAy82NqwcPoy9xjLSJx4/07zwQuLJY+xm4/YUgi5DOEP/DwkVAnY6w5Ank1Dre88JFWXw0JnOnr kURvJALPjd0+0MSFpn4cj1MEliu+uQd4dMHU30jBdQYE3gNHiTXCL18Gucm9bBrUmrScvDBj/0PK XIbdwLACY9K7Z3ky4euHSXrs2WJJN2O4b/vivQX15KjNssXmYx9083lpKCHhKC6OauowIC1IMaUm G+zHIl2zisVAVcoVn8luGMEhoNqhn57jM5+JG90JwIH3DKukXwaZUAKaOfWb5ka/iCkVhT5n/7et J6F8MDRpdFhwSN05jilZhoaZ+/sWXZu/ExE+7paJh046VPLIq7rueSNxu73fQWKvqWtELCVT84SX JZYTDaOfvI4WOAAEptGgHY7P3BgCcp3OOtCY0+SU/mS2S8VcshpGw31QgnCAQpw29n826b+F2Q4L WeESFHMX8QRI2L2X5y8j56wRddQCGcOHNKqik15XUJs9UiwHxD21BZNU/6nqoA+CQK++ip+9oSIz EEGreURXtjsVjMHZkeBBxE1fBydT5CwdvaeDDhrwq3eeAZJXNaii3CW8Th8tdE6w1Trd19AiPw2w 0pR77zHUlQm2xxzS8MdvSMasatrhpn5b0p9jZ8s+mHf4RUiwi4Jvfk23MiuAw7kq4edVENHf9Idy Tufa950Z+dBRtZgfinFTr61bIY8tfpQJyQ1Y53SSIsiBdj9khrdaTlRHk6DVMxuwRT65Vkohlsiy eb4tHVNDhbmwN6jmO2UNPdl/+wsOnUzRt+ZEYfsdcmyMsvMUaOPzgW9fQ4OI/4Q8etWcp+dGrpFG hRaIZ2UtJF3QVYqWbb8PwMvi075tgUv7uusnuFoOA0sp/3am8+3PD8YkjM6kPM1wmuVw4UC1gMhG FnnWCtbtuOpQq2PMuIoNRwgHs9vnj0yZyNAGWI5ClnT0x9xHW0mwKy6G7fFTXpQKvAt/M/8gU5LL KBPCc87hf53ruhRDws5KClk8Rc5ZZ+nEDvUW6+XcLPskr4pe4RRJ09U46Fz/5riqNh5L9CzNK4BU bizLBYcIvcCbbeNbhikg0AIFLaZsUZmpWq2V/Ct1EcU9/S4YHpCgXpH0/+Cft0WZA830OBB4BDAy w6nWgG5FUyGTsuPG7LWEanrTZyp725UbEFlImGVgi38WlxvDLq88yKaGEvJJE+A6hh9TQtmpA+62 P3kSvLqYRBqHFt8mCm7vsGQCHq+4pckCzv3dyJC6furjcoWeep1fqeI7ri68+38Z/bdWEDteoFeY t7xa7/Iv67kAI9ALopbSo2/Rcr/55rIpSttDZ78JLYs7QanWBaJzDpY8IvElK4iiaVFIcKLc96Ej fMuYs28fYwvo8Hk8F2+kRzxASyrbnrlXwVyNMorMgXS/UVtvI8ouTfZ0VkT2sXipsab/Ta5FMQkr NPVlQ1LGA0Jsf9JNpp51BZVGG0Khx5J5KBXRHWb5G/ly57YzpE/skzMBRzpX717m/sA0YE0ZR/JK 4M1CCJ+OAntxt40Qp4DmAnbhFP3ewaZ60aemVWUbgWyBq9RFRFZVQzuoB37l79xe7U8xs2N8uxE9 jfQtEPN79FIlAmK3kCNyPw1pVRKGN+NFbENgEWIlJtLdaLaPTyKVOtY7v/piQXBaxJ3iiDFA29Ue G0//jdR79A2Bjem/9sbcZN2bZhR6oP9jMQGr5N3AnQvanmae6Ojh3NMnWPdtT4I7nL27blkc0igz KFnMwZZduMx5vMnhJIgIa9n8Q35FFdoSyQ1vy3Hbw/AXeM8EugQaBBynLfHlYawWYzj8LlAS1rNW 2SnIk/UdnCmA21QbmY3s/jTYuD83lZ1+Riza4xEMcfPR3lBEOiuUS7aW0jE03iN8jr0BDOpglLjS Gf9t0VhYBz7m+6RQztm9mD6vUciMsiua74Wo3X5hif3hnd75477CklAkn0UgRjrDKgGgRoMdKOKh VCrNBbM3c4qz4P3nwIrQdLOX6AR8+kbUm8ZjL/WCikRPGJ1dLoMn4KMdcwxsg9LdougQfBFmedkv LP4Jcx6MaD9vjCa+Gd9stV4312dddPJq3ew/3LILKtR+hksL2ScjtOqTpM3HPQL3HLKBaUwjAf3j FXJFsOekI8RVolr5J68vM2pvduK4N4arGjBSLywM6U+Rz07EYmI2zDhZtD2JwQL+tOk2M/iUl5rJ bX6jHReiaaeP/umC8AjvyM37Pcc5QKKePtawCaBFnm/U2VuHL+LSPQBKhRBpH6y8ss3z9P0CcGLg slM2mgA8UbXVJNeSAEvDCQObuSRSp+dba/ZVDtwYM1YANVYTJLebkIXxA3A6qPL3enobmBb/vvl5 5pZqaekXPBvrBKjnWHai89uT3Bgc90R1W77VqKGjW5E9Qn2VRjA2N3FSNdT6dQDX2yJoeNySCmPI bPPhHhM2vdhccxd9fQF3hHe0Q6+1TAG6b3yc5hn/Mio2GGIAqMJyd0QW5Z9Xv0Ax7Y10m4G5tRN6 OtxTZrLcoT+p1lJ/ITm0htyqI7Ax52BrSmUeWg2TqATnU/5z7eLlflg67j8EBdQ/QrYbywQ1EJLG sLRClj321lUjDBYrG+GTsbKrrRbv5P0BWMQrYUWYOpemzXgsYVQLuCzCLO2AXfoquqRGDyox2jT1 pk6idfOK+qxh6IMPXODkX7f7vcddGfvl1ITP1YvXHM55jlWPkymP2P92O0p1cE2dQX7jcNIXmTEX eu3GrB1F/IlY6BeQpIz9lNOq/T73Evxu6X6puSmZpKT3q6JGhkBWj87kInG3D54AOjW3pVQ9qJQO pcb7+LYp+EQ1d6PCoqBdkR3p1l5z9F1LNYuniBAFEC6ZmBTnoDL9xnIpi2WXDvhkKbQSTVHo0r1X twFTiALO33VfThNPYOzBcEufhRatxEBtfoqMSJHp1OInOdhaFjKYrDyiTB6c3S8ctaxyG4Kj6fVe EG5uXhHsBrKZO/xGS09t/MHFXgZHK/Hm+e5n5QWWIoF+1rkCehjl4x+B/ZREfwHzbh5vgR+wHCjX dp9Umk53dWJEDdbzOypV3PwFwW+UoJh3zUw++x86hVu7JzxMCM4VAAMr8pBkco0eUrFgzYsYJEAD FviooD/6q4hN4g8JPtoxxEvCJVnm0b2FEmWNrMoOVS2c+vQuHDTXXtdCpF+dUROZmv0MiUZExpGn EtNInNDU78kPJukgpYNanN/iFcQ1EKR7zAvyU74hbTg+ULvqvisKzUA0YCAzldj8dO7zIVwJhfB1 Wn/zRBfkJME4DlAq4O1Gfvq2jV4Hvl3ySPi/JkdpGzFqg0u5pxrK506sGRc8EeOgTnanEBytl6I0 3ZZjwlz4Lcqj3SGnWot2oCdwBsoYGMl68BkKkopVkVJc8sseG4dtFzZa3wWw635DBsKEqun2hKaT 1r4G/i/tTYK5MuQiCHGcXZ8axR5pdFsSVD5KAqluBGVg6QIDe8tM4CB2jrH5vRGF1oHyeUry12HC 8YDW9Vffv3c/jy/xhgIznVN52n/UfLWxWvMzH6jXcidFfhVglRCmtHEYgafPTqM9x3iA5bNvHhtq EpV3jSx0a4PtGJiGtvDJ4+MBIJRqCRKu42ExEbH98wHwlz3Ik1uOcYyrcdarF4TCnOVHNVTeA5or yOQzt7Wt6bgahKk4UVqYzh+5QAaS2UDEgeW/YBqsIwg7tSJNAckfGIOmCABPD+kd8HzH0OIATeaE yKUNZ1ZUlU/ZvIJ4GoV9jnhbuKLs+2fSBZ/hE6RC/95H0HvzM5TWKiAtRDjhjtgoQnNX1CCPB0RE vSzl1aM4hZnRYhQ7ih3djfhSgNjlbiEm7Tp6K42gxFmRYsA2tNl8X30I4cZDRg8mI9t/emDFcYcj jbH/xWbLMpyZXWKb+xWEJvllvJi32bP6O2aH6X31i4xhgEJSm3RZ5CNq+Pliw5lvUG8//WP6r2BE dsuL4i18k4sjBMypIudrxxRKBazVp7j+DnGvaZX9EOAeezMA/6sTcOwcBCKNIwe+3OGed1T5/s1W YsOp2N7sLHmqQPveazdkStHyBhW96Zhbku9YJ05OK6QQUIXaHJYZIu3Phqq0cdcTt6G2+ebiyKcT aczD0+v7SmjO8C3DrV6JrbIl0nRgN4ZCnWxvXNDhMYLPLcUInrZac+kYspE02ibd/vEoU3xb3gZo LSpCpuyBXExxeIBlInm6ScPMNybjJ4JA+goSwwWtc9+akWr1kHd3NaGk+ZkrWgDdGCSu9ZmtU3eG Sp3SEZ4atG1YWT7vHperFYtSDEBoqorgtY/SjA5aME7pk/FaY9krFmJ/2kDDY4heDOmDSl1ppLot FRZ8Te+i6Lh/rKmV9/Js8lRnBqLhTtFJ3RQW3b7WJo4bBCIrWp8RHnFjr6IaLDSKlhX/41Lo5mJA NB/bgECYBLnT9VBCBw6fr2P+JniP5i6I7yDBUk5N7qgY32VvKs7CGPzk+jNvaNZkKdmt2H+4Gzx5 7NIUZdSbWjs84V+oWDoVjqIXp9+tULkE5XdfnbC/uBGQf5qAvpNmwq2SZYN2FVIlsy0U+MnFyqDO igfeyEY7Kq3QhO5AQqFZsKd/OnE+9RrbEFSPJel5/oQP6JI/9l3JY/T3UeT5NGxc3meLERSfhBn+ Z4Qv1HBgOOEjsvK1TYO8nIlhAIKcsxdxGlV/thcMPAgkcKP7YA2ZlFShEHPtHfcLUHI+RFqxk+Tt yY+vgCH07h955V8pHfrcLiAtUd6wapRt2CtXFmolx8ka/G4a5H9v7HTRcDjI57GS/2KJOnr0PdX5 ZupEXPYGdOHLWXLwl+GxqYYr7/aeq02z9W+ZKvZusrS91COvhqP4ONlQBHhoLkr3ljMFID/jeMMU Q9pC0pCzv4iYXZs1u4ljLsOx+SFCDWIsyfyzRNdixEM22w+M8cNIzTe6fyriqn/hdEgnuLNrKvp1 bovEd3U6LfkQK+Sj1xMst2hMOKtsZuaukBBkdOVed60Dx8TozXkH/Jqu+xGZjPcjMMFMDyzrhX6D CyDz5Jb0xHUI+XYB1WFznB0ROCFjYo4AtK5diDOCHO1A/TenQsoA10No2BDagjUSnk/pUD3LwHCN WIDlsucC9P/BGqlj4eR09+EfgzY7YaxL11ZTukzCZCapqAHPiXD08o0KFhKvBRZNZ0upiJV6Wk5f y5ObDljHCI1R2xV2SXSTONG2aeos+g7sPUFrBdAION68UvWFJ1HXlGSR5Y0QdAKlGk7OI5S8ea6Z fe1cPzxTd+ZkbwMNEYWDZTtWU4XYVTrZRqkImrCUMjISiBXfrxy/FqmM44S06sVFA97t7DKqWv1U 0lwr+4yQseFyAB5/eAMUCZP0U3R7z21I0HcOBZvhfyDBClzqErOznxO67pW62tD2bT+RCCcK+umX 5J/nC30cnYO91sMG+kAop8TFlvPzJ0KcrnycgEHCbmGGAdUUKp/BEh9uoZAPa+K8LpWPqBxIibKs KE7Jq4zL1oHl+oKwfBbZ6qmh5qZbbWqLwJwekGzjD4GA+Q5l/6yIl1MAo1drxNtYMkW01J2Njaz1 fPELBmHcX3VzWkSXPYCFPOpC4OcMnNgXBYjpocYowwbpibckhLlH9PezVJTxnlcyRtp/WAEnC2Hp XJ5xnErYnBtCKY5mTiz741gcGEJierEMdRZ2l1cr3iWMa2zzXT9kqfhJugAd4KA4pXoU0ya8kW3P g48qXQYoRxXM8Ud5bu7rdKu1z1R0eFfVdOEe6Dm+sVjDX4xTvv437warOCrYtjvEK5NDXE3ZCDfQ rgqtOefA1u1cZ6ytkcRraURklRZAp07xwQjqAljBgx/Ei6rGBHLI/ThAIN7RcHPA+9ndppsvezog sVFg8UbmFBy3zjXnALwIB7hkWWFZ+G8uiLBEyZu7yCGNS57+5JWmr9TlVG3hzdio3M0mcDsa1HZ3 Gb+MyAfT3f6ctzh/CjW+oEzS+X98cDLdN2fxJ//D8DxKUg/Hd5ULM2D/9oeJeWIRicQdRFzQbmEM U8HAJY+71yIEIg5q4GP1jsRlw1FoSrouqkm80erURQ3lJvC5+bKRsIDMzUw7MmVmUT2Kw9/npjLV C9llyyaJjviM7F5Z7DcROA4daMPuYCyhFKy4W0ib81f7TVpW+8K8pWgMBC9NXj5IW8pfPyAGLh02 TLb95GJiAMZeOhe5KF4zXiIcDrDiTkDkQBRex8gqOm0wmg0H4xUM4INU9fPD3mNdHv6VQ7IxXblm TEkzJMhupOwj7NqEKhCOBUekoBiFpK5+yse1e/7bCCL5dlyKu4unoArPdBj+WfQZhL3wrBtbmWXn sje17rHpr5J/ztNr9ESWiLar9sIXYHyPUnAKnG8tW2+1lPnS1EKq4gzpCbCbCLiS8mx7kTADXLbl 6xQD+cK2zDNm8fopzq+EFZBZKCXPki4bFjlv0/ipeWsrEOXEc3I/NkvqyuaWw/g2uNn8ZjxgaDKM 4IO/ncOtb8syxI58LpMLfKydLUKzcdmbAMKWB8Z7cC9nBrjHi95maELEZ2mDpT6eDVTNf/q0J7ex T1t8Hmhu3PQlldHTPqzX2MbxUhfsdv5v43WwAEAXH1n+Fquo8BvvTlkUv59WNG/IixB6VU9h2pLa I2vJQgz2pMeUpecUNwJ0out4BXjqYETOsnzMhNnw9EUQ9Toy7hxicNWAWXhLYsZCWUIQIPsIF7hq 1e/8ltcMHpMg7d9sY1ckjPDCwZuTVxUC7SpC1YpDErCOD1Gu2fG8HMkFqeAAjc/QehJ4wzJL+dQ3 HMHiI22tR5gPC2MNjWecU3MxooBxjdARP62GTXB7SVZYvD4n0l4BUvDAhfYGSllqBlRyZmnX3lHM a0XV22QiAzdrAJxv9gg4r+xqYkSM/2oHLc2uRaDCl2MlIvO12826ZfR1lUCG5o6/ac6WpuVTuj33 Jgdg26LGiZzS3yrMDinjCx55gC50mXDDClV4SZV3kk1inbPn+8e/wTgk/14nBrGBFJBzck3ufJoH t72kA1hT4rdpsAdzRa+F1tQWavK5pLl6kWGWWGvoQSjkL0LYM15pNYeOEzeFKKAQltvwvnFjMm+0 hEKwXcmQmzuXILvb14xneZHoubeANRUTnI6+CKe/VAuW/wTSXNsMpLoondyWkF3sd7XM/T/dATy/ ChgCg77XDZJ0x+TlWtPLA9h4i5Tru4SQr9WYWs+4bRgdV8P0NBanZVr5gk7mFS0dSoHHtvQ1oiQE 2qqRV5T2P1XXOBP/K4mamDGMPudKr0FsthwH4flWYCkBda8JXqWDOpdcX/mFRxodCZH9OWdl/HvQ sTcWiobeixgcQriwvMPDQFxkXALbaHmOvQByYNv/uYhaJEai79Nl+0IoC3X2aEx45RuqX581y+72 wFfr4XEc+12bDRUNZ7pOnmyE49gRovaYjr/PknzACJ4scM9aYfyleGe8eToe+rs2I1BrUGMKy6/q h0Iizs9GbWSu0BAFd8RwmEoeyr8uLia4O3tjeTwbfE2VyiWG33leoyfV2g1PehFXyOezeeavZ03E 3bHR7YQvHeAaL0DG4eVXCeK67wy10TkTTnECke120X8j9NbfCDptNFa//Zf5DGSHC4796HT+YEE0 hQaxQ1z4t6iVK8wyVDrelr7pgaZMMksWYGJ+zS1Cu59VR9470DtD+eLDDp+Ttb/rQaR/iAyIfwqj mjj/TLC8dMhcEQyKWTK9EkZMKIoiLQc9dkxr8/4eCoxmSgcCYuPD725rgO4WQGR17AmQ4NN8nU40 1ohU2YdSWxva8B9fvE7YgH4SsqLd5d8aY0MSBxLKUryYChQ5ynIBV2n87OUEuz+eNgEd9zWpOPWZ WnR26O3thrlf8XgkWTgRX8qu61ZcHmfXYq24bDSTaU02QoHMIQZ/+z8/6DYCdQVVpFbbhmvNjwW5 UOIbPqpMCIVgOpcpylqMbyQcC00XoCjEQxfAoIg0oUrlkxIzVGMnXjCxs113vzf5cUTO7dg1mvIp OiqQfHRUvMo9yvLgLB9dX7d5RadFdClgwT1jfbdNdtY6CatV0EDtRXnJmyjZLToRbjydpFcn7Jng 7iSY4i/GOCrSKdESecbN5IryBv0OAIK0DZqDHaEex5S5AYMSl1AytqcmQ+gCuHEZEeqLMGo/5FU/ p3DTfS5ryG5IzWGpYAcSmJ06uFrDn1p12RtrrPAMRJeQrP0SWtfAksld479SiLJPMgsQhfypQqYh qLRavHXkNHJlm4qzX5n+2DVZgLNEF0qeXqTTBJ5dgVqB6Q== `protect end_protected
gpl-2.0
c14f5b247e02c6cbc2443cf84cd4352e
0.952767
1.816923
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/Project1/ProjLab01.vhd
1
13,101
---------------------------------------------------------------------------------- -- Company: -- Engineer: Rob Mushrall -- Timothy Doucette Jr -- Christopher Parks -- -- Create Date: 15:43:26 03/25/2016 -- Design Name: -- Module Name: ProjLab01 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity ProjLab01 is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --instruction : in STD_LOGIC_VECTOR (15 downto 0); ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); DST_ADR : out STD_LOGIC_VECTOR (15 downto 0); STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0); DEBUG_OUT : out STD_LOGIC_VECTOR (15 downto 0)); end ProjLab01; architecture Structural of ProjLab01 is signal OP1, OP2, OP3, OP4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RA1, RA2, RA3 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RA4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); signal RB1, RB2, RB3, RB4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal PC0, PC1, PC2, PC3, PC4 : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); signal IMM1, IMM2, IMM3 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal GLOBAL_EN : STD_LOGIC := '1'; -- Determines whether things are enabled (allowed to operate) signal IMM_SEL : STD_LOGIC := '0'; -- Determines selection between immediate data and RB signal PC_EN, PC_INC : STD_LOGIC := '1'; -- Program counter enable signal PC_RST : STD_LOGIC := '0'; signal INST_EN : STD_LOGIC := '1'; -- Enables instruction memory signal RD_EN, WR_EN : STD_LOGIC := '0'; -- Enables the register bank to read, write signal OPR1, OPR2, OPRB :STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- From reg bank to RA and RB data registers signal OPIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RAIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RBIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal IMMIN : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal IMSEL : STD_LOGIC := '0'; signal OP1_SEL, OP2_SEL : STD_LOGIC_VECTOR (1 downto 0):= (OTHERS => '0'); -- Selector for data contention signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Latched Result of ALU signal ALU_VAL : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Result direct from ALU signal ALU_OUT_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- flags output from ALU signal ALU_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- latched flags from ALU signal RA_IN, RB_IN : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values to go to DC Muxes signal RA_OUT, RB_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values from DC muxes to ALU signal ALU_DC1, ALU_DC2: STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Data contention ALU values signal RA_DC1, RA_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RA values signal RB_DC1, RB_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RB values signal DATARD_EN, DATAWR_EN: STD_LOGIC := '0'; -- Enable reading or writing to/from Data Memory begin ALU_OUT <= ALU_RESULT; CCR <= ALU_FLAGS; DST_ADR <= "00000000000" & PC4; DEBUG_OUT <= OPIN & RAIN & IMMIN; -------- Debugging I/O -------- --------------------------------- --ALU_OUT <= "000" & RA4 & RB4 & PC4; --ALU_RESULT; --STORE_DATA <= "000" & IMSEL & OP4 & IMM3; --OPIN <= instruction(15 downto 12); --RAIN <= instruction(11 downto 8); --RBIN <= instruction(7 downto 4); --IMMIN <= instruction (7 downto 0); -------- ALU -------- ----------------------- ALU_UNIT : entity work.ALU_Toplevel port map(RA => RA_OUT, RB => RB_OUT, OP => OP3, CLK => CLK, ALU_OUT => ALU_VAL, SREG => ALU_OUT_FLAGS, LDST_DAT => STORE_DATA); --LDST_ADR => DST_ADR); -------- Fetch -------- ------------------------- Fetch_UNIT : entity work.Instruction_Memory_TL port map( CLK => CLK, RST => RST, RA => RAIN, RB => RBIN, OP => OPIN, IMM => IMMIN); -------- Control Units -------- --------------------------------- -- DISPTCH : entity work.Dispatch port map(CLK => CLK, -- (in) -- OPC => OP2, -- (in) -- RA => RA2, -- (in) -- RB => RB2, -- (in) -- RA4 => RA4, -- (in) -- IMM_SEL => IMM_SEL, -- (out) -- DC1 => DC2_1, -- (out) -- DC2 => DC2_2); -- Dispatch control unit (out) -- FETCH : entity work.Fetch_CTL port map(CLK => CLK, -- (in) -- EN => GLOBAL_EN, -- (in) -- RST => PC_RST, -- (out) -- INC => PC_INC, -- (out) -- PC_EN => PC_EN, -- (out) -- INST_EN => INST_EN); -- Fetch control unit (out) REGCTL : entity work.REG_CTL port map(CLK => CLK, -- (in) OPC => OP1, -- (in) OPC4 => OP4, -- (in) RD_EN => RD_EN, -- (out) WR_EN => WR_EN); -- Register control unit (out) DCCTL : entity work.DC_CTL port map(CLK => CLK, -- (in) RA => RA3, -- (in) RB => RB3, RA0 => RA4, -- RB0 => RB4, RA1 => RA_DC1, RA2 => RA_DC2, -- RB1 => RB_DC1, -- RB2 => RB_DC2, OPC => OP3, -- (in) OP1_SEL => OP1_SEL, -- (out) OP2_SEL => OP2_SEL); -- Data contention (out) DATA_CTL : entity work.DATA_CTL port map(CLK => CLK, EN => GLOBAL_EN, OP => OP3, RD_EN => DATARD_EN, WR_EN => DATAWR_EN); IMSELECT : entity work.IMSEL port map(OP => OP2, SEL_IM => IMSEL); -------- Pipeline Registers -------- -------------------------------------- ----> Stage One <---- OP1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPIN, Dout => OP1); RA1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RAIN, Dout => RA1); RB1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RBIN, Dout => RB1); IMM1_Reg: entity work.PipelineRegisters generic map( dataWidth => 8) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => IMMIN, Dout => IMM1); PC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC0, Dout => PC1); ----> Stage Two <---- OP2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP1, Dout => OP2); RA2ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA1, Dout => RA2); RB2ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB1, Dout => RB2); OPR0_Reg: entity work.PipelineRegisters generic map( dataWidth => 8) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => IMM1, Dout => IMM2); -- OPR1_Reg: entity work.PipelineRegisters -- generic map( dataWidth => 16) -- port map( Clk => CLK, -- Ena => GLOBAL_EN, -- Rst => RST, -- Din => F2OPR1, -- Dout => S3OPR1); -- OPR2_Reg: entity work.PipelineRegisters -- generic map( dataWidth => 16) -- port map( Clk => CLK, -- Ena => GLOBAL_EN, -- Rst => RST, -- Din => F2OPR2, -- Dout => S3OPR2); PC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC1, Dout => PC2); ----> Stage Three <---- RA3ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA2, Dout => RA3); RB3ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB2, Dout => RB3); PC3_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC2, Dout => PC3); OP3_Reg: entity work.PipelineRegisters generic map( datawidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP2, Dout => OP3); RA_DATA: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPR1, Dout => RA_IN); RB_DATA: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPRB, Dout => RB_IN); ----> Stage Four <---- RA4ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA3, Dout => RA4); RB4ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB3, Dout => RB4); PC4_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC3, Dout => PC4); ALU_OUT_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_VAL, Dout => ALU_RESULT); ALU_FLAGS_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_OUT_FLAGS, Dout => ALU_FLAGS); OP4_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP3, Dout => OP4); ----> DC Stage 1 <---- ALU_OUT1_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_RESULT, Dout => ALU_DC1); RA_DC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA4, Dout => RA_DC1); RB_DC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB4, Dout => RB_DC1); ----> DC Stage 2 <---- ALU_OUT2_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_DC1, Dout => ALU_DC2); RA_DC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA_DC1, Dout => RA_DC2); RB_DC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB_DC1, Dout => RB_DC2); -------- Immediate Select Mux -------- ---------------------------------------- with IMSEL select OPRB <= x"00" & IMM2 when '1', OPR2 when OTHERS; -------- Memory Entities -------- ----------------------------------- ProgCounter: entity work.programCounter generic map(PCWIDTH => 5) port map( CLK => CLK, EN => PC_EN, RST => RST, INSADR => PC0); RegisterBank_Unit: entity work.RegisterBank port map( RST => RST, RAddr => RA1, RBddr => RB1, RWddr => RA4, DATAIN => ALU_RESULT, clk => CLK, R => RD_EN, W => WR_EN, RAout => OPR1, RBout => OPR2); -------- Data Contention Handler -------- ------------------------------------------- with OP1_SEL select RA_OUT <= ALU_RESULT when "01", ALU_DC1 when "10", ALU_DC2 when "11", RA_IN when OTHERS; with OP2_SEL select RB_OUT <= ALU_RESUlt when "01", ALU_DC1 when "10", ALU_DC2 when "11", RB_IN when OTHERS; end Structural;
gpl-3.0
5185a20b28ee965b915e70f3db02960e
0.524616
2.847424
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
Lab4/VGADebug/VGADebug/ps2_driver.vhd
8
17,903
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: PS/2 Driver -- Project Name: Keyboard Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Driver for the PS/2 line -- 17 State Finite State Machine -- -- Notes: -- Bi-directional setup based on (c)Digilent Nexys 2 PS2Interface Example -- digilentinc.com/Products/Detail.cfm?Prod=NEXYS2 -- More information about PS/2 protocol: -- http://www.computer-engineering.org/ps2protocol/ -- --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PS2_DRIVER is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; PS2_CLK : inout STD_LOGIC; PS2_DATA : inout STD_LOGIC; TX_DATA : in STD_LOGIC_VECTOR (7 downto 0); --Transmit line WR : in STD_LOGIC; --Write Flag RX_DATA : out STD_LOGIC_VECTOR (7 downto 0); --Receive line RD : out STD_LOGIC; --Read Flag BS : out STD_LOGIC; --Busy Flag ER : out STD_LOGIC);--Error Flag end PS2_DRIVER; architecture Behavioral of PS2_DRIVER is --------------------------------------------------- -- Constants --------------------------------------------------- constant DELAY_100US : STD_LOGIC_VECTOR(12 downto 0) := "1001110001000"; -- 5_000 in binary constant DELAY_20US : STD_LOGIC_VECTOR(9 downto 0) := "1111101000"; -- 2_000 in binary constant DELAY_31CLK : STD_LOGIC_VECTOR(4 downto 0) := "11111"; -- 31 clock cycles constant PS2_DEBOUNCE : STD_LOGIC_VECTOR(3 downto 0) := "1111"; -- Delay from debouncing constant NUMBITS : STD_LOGIC_VECTOR(3 downto 0) := "1011"; -- Bits per frame constant PARITY_BIT : POSITIVE := 9; -- Parity loc in frame type ROM is array(0 to 255) of STD_LOGIC; constant PARITY_ROM : ROM := ( '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1'); --------------------------------------------------- -- Signals --------------------------------------------------- -- Delay Counters signal delay_100us_count : std_logic_vector(12 downto 0) := (others => '0'); signal delay_20us_count : std_logic_vector(9 downto 0) := (others => '0'); signal delay_31CLK_count : std_logic_vector(4 downto 0) := (others => '0'); -- Counter Finish signal delay_100us_done : std_logic; signal delay_20us_done : std_logic; signal delay_31clk_done : std_logic; -- Enable Counters signal delay_100us_counter_enable : std_logic := '0'; signal delay_20us_counter_enable : std_logic := '0'; signal delay_31CLK_counter_enable : std_logic := '0'; -- Sync Inputs signal ps2_clk_sync : std_logic := '1'; signal ps2_data_sync : std_logic := '1'; -- Control PS2 Output (1 Set To High Impedance) signal ps2_clk_h : std_logic := '1'; signal ps2_data_h : std_logic := '1'; -- PS2 Debounce Signals signal ps2_clk_clean : std_logic := '1'; signal ps2_data_clean : std_logic := '1'; signal clk_count : std_logic_vector(3 downto 0); signal data_count : std_logic_vector(3 downto 0); -- Last Value on PS2 Lines signal clk_inter : std_logic := '1'; signal data_inter : std_logic := '1'; -- Finite State Machine Setup type fsm_state is ( idle,rx_clk_h,rx_down_edge,rx_clk_l,rx_error_parity,rx_data_ready, tx_force_clk_l,tx_bring_data_down,tx_release_clk, tx_first_wait_down_edge,tx_clk_l,tx_wait_up_edge,tx_clk_h, tx_wait_up_edge_before_ack,tx_wait_ack,tx_received_ack, tx_error_no_ack ); signal state: fsm_state := idle; -- set FSM init state -- Register Frame Handler Signals signal frame : std_logic_vector(10 downto 0) := (others => '0'); signal bit_count : std_logic_vector(3 downto 0) := (others => '0'); signal reset_bit_count : std_logic := '0'; signal shift_frame : std_logic := '0'; -- Parity Signals signal rx_parity : std_logic := '0'; signal tx_parity : std_logic := '0'; -- Load Data signal load_tx_data : std_logic := '0'; signal load_rx_data : std_logic := '0'; begin --------------------------------------------------- -- PS2 CLK And DATA Debounce --------------------------------------------------- debounce_ps2_clk_signal: process(CLK) begin if(rising_edge(CLK)) then if(ps2_clk /= clk_inter) then -- Reset counter if current and last CLK not equal clk_inter <= ps2_clk; clk_count <= (others => '0'); elsif(clk_count = PS2_DEBOUNCE) then -- Signal is clean - debounced ps2_clk_clean <= clk_inter; else clk_count <= clk_count + 1; -- Nothing changed, increment counter end if; end if; end process debounce_ps2_clk_signal; debounce_ps2_data_signal: process(CLK) begin if(rising_edge(CLK)) then if(ps2_data /= data_inter) then -- Reset counter if current and last data not equal data_inter <= ps2_data; data_count <= (others => '0'); elsif(data_count = PS2_DEBOUNCE) then -- Signal is clean - debounced ps2_data_clean <= data_inter; else data_count <= data_count + 1; -- Nothing changed, increment counter end if; end if; end process debounce_ps2_data_signal; --------------------------------------------------- -- FLAGS --------------------------------------------------- -- Sync The CLK and DATA lines ps2_clk_sync <= ps2_clk_clean when rising_edge(CLK); ps2_data_sync <= ps2_data_clean when rising_edge(CLK); -- Parity Line rx_parity <= PARITY_ROM(conv_integer(frame(8 downto 1))) when rising_edge(CLK); tx_parity <= PARITY_ROM(conv_integer(tx_data)) when rising_edge(CLK); -- Set Lines High Impediance when 1 PS2_CLK <= 'Z' when ps2_clk_h = '1'; PS2_DATA <= 'Z' when ps2_data_h = '1'; -- Indicate line is busy when not idle BS <= '0' when state = idle else '1'; -- Reset counters when Idle reset_bit_count <= '1' when state = idle else '0'; shift_frame <= '1' when state = rx_down_edge or state = tx_clk_l else '0'; --------------------------------------------------- -- DELAY COUNTERS --------------------------------------------------- -- 100US Delay Counter delay_100us_counter_enable <= '1' when state = tx_force_CLK_l else '0'; delay_100us_counter: process(CLK) begin if(rising_edge(CLK)) then if(delay_100us_counter_enable = '1') then if(delay_100us_count = (DELAY_100US)) then delay_100us_count <= delay_100us_count; delay_100us_done <= '1'; else delay_100us_count <= delay_100us_count + 1; delay_100us_done <= '0'; end if; else delay_100us_count <= (others => '0'); delay_100us_done <= '0'; end if; end if; end process delay_100us_counter; -- 20US Delay Counter delay_20us_counter_enable <= '1' when state = tx_bring_data_down else '0'; delay_20us_counter: process(CLK) begin if(rising_edge(CLK)) then if(delay_20us_counter_enable = '1') then if(delay_20us_count = (DELAY_20US)) then delay_20us_count <= delay_20us_count; delay_20us_done <= '1'; else delay_20us_count <= delay_20us_count + 1; delay_20us_done <= '0'; end if; else delay_20us_count <= (others => '0'); delay_20us_done <= '0'; end if; end if; end process delay_20us_counter; -- 31CLK Delay Counter delay_31CLK_counter_enable <= '1' when state = tx_first_wait_down_edge else '0'; delay_31CLK_counter: process(CLK) begin if(rising_edge(CLK)) then if(delay_31CLK_counter_enable = '1') then if(delay_31CLK_count = (DELAY_31CLK)) then delay_31CLK_count <= delay_31CLK_count; delay_31clk_done <= '1'; else delay_31CLK_count <= delay_31CLK_count + 1; delay_31clk_done <= '0'; end if; else delay_31CLK_count <= (others => '0'); delay_31clk_done <= '0'; end if; end if; end process delay_31CLK_counter; --------------------------------------------------- -- BIT COUNTER AND FRAME SHIFTING LOGIC --------------------------------------------------- bit_counter: process(CLK) begin if(rising_edge(CLK)) then if(reset_bit_count = '1') then bit_count <= (others => '0'); elsif(shift_frame = '1') then bit_count <= bit_count + 1; end if; end if; end process bit_counter; -- shifts frame with one bit to right when shift_frame is acitve -- and loads data into frame from tx_data then load_tx_data is high load_tx_data_into_frame: process(CLK) begin if(rising_edge(CLK)) then if(load_tx_data = '1') then frame(0) <= '0'; -- start bit frame(8 downto 1) <= tx_data; -- byte to send frame(9) <= tx_parity; -- parity bit frame(10) <= '1'; -- stop bit elsif(shift_frame = '1') then frame(9 downto 0) <= frame(10 downto 1); -- shift right 1 bit frame(10) <= ps2_data_sync; -- shift in from the ps2_data line end if; end if; end process load_tx_data_into_frame; -- Loads data from frame into rx_data output when data is ready do_load_rx_data: process(CLK) begin if(rising_edge(CLK)) then if(load_rx_data = '1') then rx_data <= frame(8 downto 1); end if; end if; end process do_load_rx_data; --------------------------------------------------- -- FINITE STATE MACHINE --------------------------------------------------- ps2_fsm: process( CLK,rst,state,ps2_clk_sync,ps2_data_sync,WR,tx_data, bit_count,rx_parity,frame,delay_100us_done, delay_20us_done,delay_31clk_done) begin if(rst = '1') then -- Reset state, goto idle mode state <= idle; elsif(rising_edge(CLK)) then -- Process through States every rising edge of the clock -- Reset Values since no longer applied ps2_clk_h <= '1'; ps2_data_h <= '1'; load_tx_data <= '0'; load_rx_data <= '0'; RD <= '0'; ER <= '0'; --State Case - process through each state case state is -- IDLE State : Waiting for activity when idle => if(ps2_clk_sync = '0') then state <= rx_down_edge; -- goto rx_down_edge state elsif(WR = '1') then state <= tx_force_clk_l; -- goto tx_force_clk_l state else state <= idle; end if; when rx_clk_h => if(bit_count = NUMBITS) then if(not (rx_parity = frame(PARITY_BIT))) then state <= rx_error_parity; else load_rx_data <= '1'; state <= rx_data_ready; end if; elsif(ps2_clk_sync = '0') then state <= rx_down_edge; else state <= rx_clk_h; end if; when rx_down_edge => state <= rx_clk_l; when rx_clk_l => if(ps2_clk_sync = '1') then state <= rx_clk_h; else state <= rx_clk_l; end if; when rx_error_parity => ER <= '1'; state <= idle; when rx_data_ready => RD <= '1'; state <= idle; when tx_force_clk_l => load_tx_data <= '1'; ps2_clk_h <= '0'; if(delay_100us_done = '1') then state <= tx_bring_data_down; else state <= tx_force_clk_l; end if; when tx_bring_data_down => ps2_clk_h <= '0'; ps2_data_h <= '0'; if(delay_20us_done = '1') then state <= tx_release_clk; else state <= tx_bring_data_down; end if; when tx_release_clk => ps2_clk_h <= '1'; ps2_data_h <= '0'; state <= tx_first_wait_down_edge; when tx_first_wait_down_edge => ps2_data_h <= '0'; if(delay_31clk_done = '1') then if(ps2_clk_sync = '0') then state <= tx_clk_l; else state <= tx_first_wait_down_edge; end if; else state <= tx_first_wait_down_edge; end if; when tx_clk_l => ps2_data_h <= frame(0); state <= tx_wait_up_edge; when tx_wait_up_edge => ps2_data_h <= frame(0); if(bit_count = NUMBITS-1) then ps2_data_h <= '1'; state <= tx_wait_up_edge_before_ack; elsif(ps2_clk_sync = '1') then state <= tx_clk_h; else state <= tx_wait_up_edge; end if; when tx_clk_h => ps2_data_h <= frame(0); if(ps2_clk_sync = '0') then state <= tx_clk_l; else state <= tx_clk_h; end if; when tx_wait_up_edge_before_ack => ps2_data_h <= '1'; if(ps2_clk_sync = '1') then state <= tx_wait_ack; else state <= tx_wait_up_edge_before_ack; end if; when tx_wait_ack => if(ps2_clk_sync = '0') then if(ps2_data_sync = '0') then state <= tx_received_ack; -- acknowledge received else state <= tx_error_no_ack; -- acknowledge not received end if; else state <= tx_wait_ack; end if; when tx_received_ack => if(ps2_clk_sync = '1' and ps2_data_sync = '1') then state <= idle; else state <= tx_received_ack; end if; when tx_error_no_ack => if(ps2_clk_sync = '1' and ps2_data_sync = '1') then ER <= '1'; state <= idle; else state <= tx_error_no_ack; end if; when others => -- Invalid transition, signal error, going back to idle ER <= '1'; state <= idle; end case; end if; end process ps2_fsm; end Behavioral;
gpl-3.0
80f956a4a2199f9381f69e74bb51cf1e
0.429928
3.870919
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/cro.vhdl
2
8,361
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: cro - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity cro is generic( vga_width:integer := 1280; vga_height:integer := 1024 ); Port ( clk_100MHz : in STD_LOGIC; ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); ch1_update: in STD_LOGIC; ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); ch2_update: in STD_LOGIC; vline: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); vline_enb: in std_logic; str: in std_logic_vector(8*50-1 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC ); end cro; architecture Behavioral of cro is constant xwidth : integer := log2(vga_width); constant ywidth : integer := log2(vga_height); constant grid_x : integer := 15; constant grid_y : integer := 10; signal clk_vid: std_logic; --video signal hscnt: std_logic_vector(11 downto 0); signal vscnt: std_logic_vector(11 downto 0); signal data: std_logic_vector(11 downto 0); signal fpulse: std_logic; signal ch1_x_o: STD_LOGIC_VECTOR(xwidth-1 DOWNTO 0); signal ch1_y_o: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0); signal ch2_x_o: STD_LOGIC_VECTOR(xwidth-1 DOWNTO 0); signal ch2_y_o: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0); signal ch2_y_o_avg: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0); -- signal vline_buf: STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); signal vline_enb_buf: std_logic; signal y: signed (ywidth-1 downto 0); signal x: unsigned (xwidth-1 downto 0); signal vline_signed: signed (ywidth-1 downto 0); signal ch1_signed: signed(ywidth-1 downto 0); signal ch1_last: signed(ywidth-1 downto 0); signal ch2_signed: signed(ywidth-1 downto 0); signal ch2_last: signed(ywidth-1 downto 0); signal str_buf: std_logic_vector(8*6*50-1 downto 0); signal ascii_input:std_logic_vector(7 downto 0); signal ascii_output:std_logic_vector(39 downto 0); signal i: integer; signal j: integer; component clk_193MHz is port ( clk_100MHz : in STD_LOGIC; clk_193MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; component clk_108MHz is port ( clk_100MHz : in STD_LOGIC; clk_108MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; COMPONENT bram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; begin -- generate VGA driver for 1920 display -- vga_gen1: if ( vga_width = 1920 ) GENERATE assert (true) report "generating 1920" severity note; clk_video1: clk_193MHz port map(clk_100MHz, clk_vid, open); vga1: vga generic map( Hsync=> 208, Hact=> 1920, Hfp=>128, Hbp=>336, Vsync=>3, Vact=> 1200, Vfp=> 1, Vbp=> 38) port map( clk_vid, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,fpulse); END GENERATE vga_gen1; -- generate VGA driver for 1280 display -- vga_gen2: if ( vga_width = 1280 ) GENERATE assert (true) report "generating 1280" severity note; clk_video1: clk_108MHz port map(clk_100MHz, clk_vid, open); vga1: vga generic map( Hsync=> 112, Hact=> 1280, Hfp=>48, Hbp=>248, Vsync=>3, Vact=> 1024, Vfp=> 1, Vbp=> 38) port map( clk_vid, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,fpulse); END GENERATE vga_gen2; bram_disp_ch1: bram PORT MAP ( clka => clk_100MHz, wea(0) => ch1_update, addra => ch1_x, dina(15 downto 11) => (others=>'0'), dina(10 downto 0) => ch1_y, clkb => clk_vid, addrb(10 downto 0) => ch1_x_o, doutb(15 downto ywidth)=>open, doutb(ywidth-1 downto 0) => ch1_y_o ); bram_disp_ch2: bram PORT MAP ( clka => clk_100MHz, wea(0) => ch2_update, addra => ch2_x, dina(15 downto 11) => (others=>'0'), dina(10 downto 0) => ch2_y, clkb => clk_vid, addrb(10 downto 0) => ch2_x_o, doutb(15 downto ywidth)=>open, doutb(ywidth-1 downto 0) => ch2_y_o ); ascii_table1: ascii_table port map( ascii_input ,ascii_output); runningavg1: running_avg generic map( size=> ywidth ) port map( clk=>clk_100MHz, input=>ch2_y_o, output=>ch2_y_o_avg ); --i = character_index; --j = character_x process(clk_vid) begin if(clk_vid'event and clk_vid='1')then str_buf(7+j*8+i*8*6 downto j*8+i*8*6) <= ascii_output(j*8+7 downto j*8); if(j < 5)then j <= j+1; else j <= 0; if(i < 49)then i <= i + 1; else i <= 0; end if; ascii_input <= str(i*8+7 downto i*8); end if; end if; end process; process(clk_vid) begin if(clk_vid'event and clk_vid='1')then y <= (vga_height/2 - 1)-signed(vscnt(ywidth-1 downto 0) ); x <= unsigned(hscnt(xwidth-1 downto 0)); end if; end process; process(clk_vid) begin if(clk_vid'event and clk_vid='1')then ch1_signed <= signed(ch1_y_o); --if(signed(ch2_y_o) > vga_height/2)then ch2_signed <= signed(ch2_y_o_avg)-vga_height/2; --else --ch2_signed <= to_signed(vga_height/2-1,ywidth); --end if; vline_signed <= signed(vline); --cosine_signed <= signed(cosine); end if; end process; process(clk_vid) begin if(clk_vid'event and clk_vid='1')then ch1_x_o <= hscnt(xwidth-1 downto 0); ch2_x_o <= hscnt(xwidth-1 downto 0); end if; end process; process(clk_vid) begin if(clk_vid'event and clk_vid='1')then vline_enb_buf <= vline_enb; if( hscnt < vga_width and vscnt < vga_height)then VGA_DATA <= data; else VGA_DATA <= (others=>'0'); end if; if(vscnt < 8 and hscnt < 5*49)then if(str_buf(to_integer(unsigned(hscnt))*8 + to_integer(unsigned(vscnt))) = '1')then data <= X"FFF"; else data <= X"000"; end if; elsif(vline_signed = y and vline_enb_buf = '1' ) then data <= X"FFF"; elsif (vscnt = 600 or hscnt = 0)then data <= X"07F"; elsif( (hscnt = 128) or (hscnt = 256) or (hscnt = 384) or (hscnt = 512) or (hscnt = 640) or (hscnt = 768) or (hscnt = 896) or (hscnt = 1024) or (hscnt = 1152) or (hscnt = 1280) or (hscnt = 1408) or (hscnt = 1536) or (hscnt = 1664) or (hscnt = 1792) or (hscnt = 1920-1)) then data <= X"0F0"; elsif((vscnt = 0) or (vscnt = 120) or (vscnt = 120*2) or (vscnt = 120*3) or (vscnt = 120*4) or (vscnt = 120*5) or (vscnt = 120*6) or (vscnt = 120*7) or (vscnt = 120*8) or (vscnt = 120*9) or (vscnt = 1200-1)) then data <= X"0F0"; elsif( y = ch1_signed or (ch1_signed > ch1_last and y > ch1_last and y < ch1_signed) or ch1_signed = y or (ch1_signed < ch1_last and y < ch1_last and y > ch1_signed) )then data <= X"0FF"; elsif( y = ch2_signed or (ch2_signed > ch2_last and y > ch2_last and y < ch2_signed) or ch2_signed = y or (ch2_signed < ch2_last and y < ch2_last and y > ch2_signed) )then data <= X"F70"; else data <= X"000"; end if; ch1_last <= ch1_signed; ch2_last <= ch2_signed; end if; end process; end Behavioral;
gpl-2.0
1ea4c0990fae79f282a334ed85079880
0.5601
2.929573
false
false
false
false
amerryfellow/dlx
basics/srf.vhd
1
1,276
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use WORK.constants.all; entity SRF is generic( NBIT: integer := numBit; NREG: natural := NREGISTER ); port ( CLK: IN std_logic; RESET: IN std_logic; ENABLE: IN std_logic; RNOTW: IN std_logic; -- Read not Write ADDR: IN std_logic_vector(LOG(NREG)-1 downto 0); -- Read Address DIN: IN std_logic_vector(NBIT-1 downto 0); -- Write data DOUT: OUT std_logic_vector(NBIT-1 downto 0); -- Read data ); end SRF; -- Architectures architecture behavioral of SRF is -- Suggested structures subtype REG_ADDR is natural range 0 to NREG-1; -- using natural type type REG_ARRAY is array(REG_ADDR) of std_logic_vector(NBIT-1 downto 0); -- Signal instantiation signal REGISTERS : REG_ARRAY; begin PROCESS_WORKER: process(CLK) begin -- Synchronous if CLK'event and CLK = '1' then -- If 'reset' if (RESET = '1') then DOUT <= (others=> '0'); -- Elsewise else if (ENABLE = '1') then -- If Read if RNOTW = '1' then DOUT <= REGISTERS(conv_integer(ADDR)); else REGISTERS(conv_integer(ADDR)) <= DIN; end if; end if; end if; end if; end process PROCESS_WORKER; end behavioral;
gpl-3.0
b3eaf800a9a667cd954eef5aa053d4d1
0.644984
2.829268
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/op_resize.vhd
12
9,440
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kHwt7saYGlXQCYfx7zNBKQMcu0muyMYj7eYlnIZ9GFbMNFaUqoFVkIrE0/fh3/gbM4/erXE8aBW6 jzaqCqMmvA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n2YWwWK9C49w9QMtHshqJcoxnJaTNTSDk57vp69IKBB2GWZ4gVNLaOn81anVa61EciEKCD2ETXSD GzN0gKoSRuSxLzOI0eZv89Q7NIDvDDaOkxWv4kPUID8wzNSzB9s3M+FHQEyvfgEYFnyhpTtitsZh lpoRj0I2WbAsS2rNjoM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ytM7iD5kqXlqI/qvjYzN7uHRb9YBSzmp7l6skWH2C+QqE74mOAk6mH3I2ow8pv0uEW6RkQTmNWbf z1zCKCwITn6aEt3IkRhXyW8e9R0ZVctF/n/kdk2DA2960gvVLwGLXpFQw7FJxC/THlhKj20J7nt7 ODTURZ/DfJqWVfJxvAJ9QeNXanMNqzJeRBzz/paI1N1dgmND98IX/TndpnhS//anxgsjk6tTr9f+ MQgyN4sfSUfx0qBaiKl8QUDE6bzb8/xrADB/m57eTxWIqraF1qk1f7SQGd9wrQQlZe0SZrpt/1O+ UMqnts2f5z9BirPqEVvG1tYeoleJ1353IxUxDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zo1YvHa8zYjMINYzyzAWEmTbEVoPtdgaUCG2W8Tz8TpXLZlX8ohsA33aH3MPP3Ark+vsCoqP4t4k ZiLHaa2falDym2bkB6X/TP7l3Ya6+U1fSRCBhJPASmoTOQe76ixGVzSiaCLAK+9/w/6t0/HlWdR4 tDVia2recFcVoPWMeb0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tH54zWiDPBSj3ILe+EfBHvu5HiG55r2ommN9wiuYSzRYk70tRtPT9cfgBQPrYMUEGO0MLCPYkOBR 47ck+MI0CvHn0Gqu6rFV6Pl/B1llp2v/BDD+zeUxNpcn5O1PHT+rOnkMuMk4a4/7MZ1F8lRCA4w9 fSuHabgZKyhjRpTP2qwAu7+6uH0XlwSH+hEssr26BvihMXdCfiYgh/XW6KQwuBx3MAGJreeLFYfk 4rZJG3i12m9plvrXrrAv7/UuZVdBNvWigwwDz7YP47iiBAHfWhyw6sFSNQ9JUk5SFzv6BQFvIEFt zjHEfeJ/Km2jKCGwe37SxYGh3IDpJ5WW5Huuug== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5248) `protect data_block UelCXPtUZ4bA+eDAwWd5+9XpPt2lKpsrR7/d3z+Zc9/z0Rf5bkpcyAx2LMsdk2FBx0nzfMcNKGPU 4kE3wYaB3x5Wvoc0bdPLObluy2UYmCyqD0q2L3t7zmIzNfwgNltFcug/MVzzz08Frxffdk/I/obR EdcWZwgzKY5ADnegIOhslL4F5pvuR+6Wftz1y9SF/Z+aWhb8vHOEQpq+UyZOiDGUQa91IVdHqeqD QjyLHPiqr/Bce6IatyxepgrXY6gM1j43PXdRry3LYVoH8UdP9nQeE7k3urXGxBBxXRZeqCr3KTCK IkeHVAlCUiH9lUHmeR3GcOQIYimvy5r3ndSKT5W8EvR3FkTuj2/4gp0yQloVVerDmLZgzkLzf3SV HmNCFjS+xSkGtFQkSO6cGR8tvHzYPltVWtbvp10goDPVdi3PKQfTqA0GCm+HG8AA4q910uXUKuim AtkcShJDIRRlaoo6anYqQDPYcRqfrXps3IZpLrkUl9SxcMyst4NInbdXquBAUciJgbpwp/VhK6vC ZfdIgqiiu5yXcCtH25QxydDY3j1/zIEg7lOTxXfyY+kIGdAQh3t3gscQAZJ6JlaCK8FNKSBG7Wcg JB4dntwv10Bfkzv76OjC2h5xPwcviPAD27up5bdysJqjBwScOfscA1Y1Isx3GGMoRPlUwrDWieOJ mCXqnFDnKzURWqIjPsCRnTcuizJT+StsWL4iBf+ICl5cVUj1kel5MYQ+JcGxawlYRYlBK6qMzz1V Iakv3cerWI/PBk8C8bAENv6hzX1962hXQ84f5D97kOUhoJ8drNEf3hyekdWPEa4Bo7vMaiw00Y1J 2+qRmMtCWaduBX4ZuIg6JGtjvcxBX8+24/jbe5raVZuSE29QOFUOh7BP22hXd+iNhQ6wLKXZ2sds GDeIm3aPDg3WGCVjsDcK5XGXaCuoyBtt1X72tCARgdt/9aAN34pZeO50mE2AicjXysghrzz7je0A pN47avI7tS/3vHXpHX4Fn0WO/58KH6N8BdpNc6sUojCD0CKPYvZR8uqVDSicWGvYSvpqEZUCojye EwVPCLGJYY5HLV04vFhd5YC9g1Dsu7dLkpwysjUU2+RP98cWy1LAALLMH/h4sR0lhGjbX6l6OiyT M/4p762Lf8KiuObTuu+KmoeayuB04nuoDkY3DwVYUUbXs/BH2RU2o3Z8yvAyoEXGXrWXvZUDTweJ Re38rk8IM7CE7WoN9+KrrRIAXW2xiBnsLMxAYwUyhfJZelKW3S7cYBeMNvxvbhk0oh6JPAujfU7T AevdWU4WrmZfFbsjRyYcG0NFW1dJE/y6ZySbwSil5WIw7GJJ3xFuWcfJIHBFxA1QuTmqfr5plpaV J0URjBQ/y5a1MMd7dHZQh43nCPYuPKoP/kip9LiBh2MwdVj7xvBGbbrM6xjrPaWzF44U4YmS2rSw XAO1gALKE3bMtyPKEUftzheGbH7T8YXGS5SoAH29iTITQMSV9xf2Z4/pe5Fq+3yzpN97ACFa4Hcm G/IS8NWWwLnezOPoCj+9iLAPdFr3e1gpoCsiR6EOJS4JgFIDBZeb4obQoT6tPlmKTRIwce0G6a6A nVkDKezGa1o3s+5b6PcNjHa7SBoPn1kljf5D0+NE39hB1NoD3IQ/JHUEurztT/3RpPSCkei9o0Bp QtcjosXmCuwbIHye2J48qo5rVYASXxZwcZmqJD0kXba0SOqGpVkRseFY/CpqraT1+dVmIHzxDYL/ i3n1kNBRH2vWg68AJi7bzIHQ937GOZyyFXdNudD+JDNtxwmJHOvRFIk1IeNwvr7VCJVJVKCVgsd9 RYt77hvKZCWQbG/Y52VqUjdIcPWrax+9zaeaU9tiL0/+4Ujx6NlIyCx9cwL4ppsubAZHU/fhXlrL irRWDZuMEenUbUvdoZUtAA0U1MggkoTCYl60QCXaC8K0g5xJ5+d8PlPTlJWSzSE7ytUvpEC0U0kB JEDUiEw++jSzeeyvCOY+d3BtfpgSVbbM5L8/HtmxPL2t/EPgsLyd8qb93tekSjm3izU8P4PCswh6 QWrFhUqBJQVwlNuHoX1O1x6BvNTk11xIh11sNZDx9ysN7icpXjIx46wbmhn4iXH/7tCQPTcEdit9 21Vu2cNBvKnOcM8eZWBkmfcLBGxpej/CpQO2ab7fI8UgBcDi/mJaJ1AR2zVy8oxWpO2LZawL3MG0 g/s4y8gXuBiusyJyU5CkKyhf7T1Bt2/Npvvj10c+vA0IHU6ekvNzgIgYaXngG4nyjt4GGgCqnAzP MnyuL4ypfLiYNajBat06HNZSWctKh+UUy2bP3X1LSvyVydD71ZpyUI42weVK8MsK8Q9sRhkWrdMG S1Zya0G3l7Hzq6QfY6SYDvbrkbdADBzMaSgO4DYlEwQVUuGv9hBAM0RUTOwmyKl5PbLg47K3iMHu 4a8d3Pn8wKEettX5Rm6w6/BFG9tt1JHOi4kJnSVhWsViYNvSfoWNccUTe8YQNqFW+ww1aHSe6Wta 2nAJfhQkOPBSSxecRhD7nBARIoeiiNt0ApHWy/XtAdYtpNFTfwmE8/NiaWPPWloezAWQIBwJOlZ3 15M2kWRLmA/WXhgUo5SSbPX8/QYpn7KVZMOI/KQ0/+6J0a29yNQRhJQTisP556fs7R3gbcLaqhGc 0/PaYzylIdY5QxLFMhHZdZ4+UhQPMMMpSFd0OQrgvayK3gZ+Xpei4mPtYmxs4uU/yUJi2oj/WFiq CvcTQgqDZU6rZJwN7g2hc6MutMKONqJXcez+23Wre8YKcJomczjyBEA5gSDsEVhS/BPHAodasHKQ IYguQyDyzodNd7puXFAcb/24x6VgniHq5AXSNItaAPdPg5B2XJ0yMKe6XBZ2P98IYUrQqSs97HKF wPUPJ6re7fnaKjTzjY6aZK6EBgDEKoCrLxES47Q+tjQRf1uaYmlgKhtaQ7shJNI9At1zqmSAxTBF wbfdmbJeujPpnrpgxinAEVBwzJRgn7H7wWNfZ4atJbG3F8f4csNKx/LZZrCtkysrdwyIwt7/wkUI eAQ5B283qYuClvjVHToC2/HevXNbyiSuHfdb4oR9l/BNt6EeLrBlzuR+owGaRsqKkM5y08T6GOUP cuSuNbnlaPmeCIR1KN6LciNvU6QZvzyjQHoTTR3HlzhfwQ6G9nNKZKFPWW7nkniXAZtoF8IxrlWG qGre/yPlLuADOnfBzDaaZFZHkZRnJgzSaeaDRu7Zc0uac0/90Ujzv7REem+PzGCYBCOgyWiv26CF 4hQXhDyfRNoiGyKSjQ+B3EkN0XKJ8mJUlDbzVCcN7s2h79RpKjrb019c28seRR+douAb7nKRII37 7Qftt2Uh2OtGIUjQIuLM8t2SdUYyyow1P38Ss6RG4jGNEtocp+WyUeR1QeYSWgcWUSK5D/55V+p3 gIYfOhdT2q7bZPD7usYxEpuBoyvo5x0fHAwrIS0OIyK7HcNrTKu7wQIr82abz9RGhz7dwDFjqb5J 2aDdE9oRQR+joLjcbgHdUYjC93gYGw2f2uQ16B5bZS3j1I4gNOm6vkMvIFWIr9lYy5YOzlKWfbO6 Hz3txTS2xijPNCioKTyE+06y7AVsJ7LxAIUw7xOizytmiiYVj2/S/9ppAfD/aN0Ld1hYd9rbC3/l PC9TCjuCKuHOVzM41Dn7QdqXEtVMqJ0wnXA4LEWHNfPRqIxF04euwEzySBhtUGQqqIxqA1m5iu/j TqmqoPTzMsOswBB+/RNI0EBi/XzVSlU/1o48M2cNadt4KBJbb80Yx7B1OGVnNt2YHGRMseAzQZo8 q6I+LUohreY6xMJsK/yyoX6439pPtHlmBLFnOTlvRj7loWfGzQjU9Amdh0PYn0pClB+vn/hr8sGz O3S/00WzBllhKPNDNAHxfNrTfyf2QfdUCjpBWMIMvKlUyNPpwaS+k+ODOErr+pymiGruwaaH+gkF ielH/zf6WDw4YqzEi6XGCmKSy4LKNqE1cARsKknk9OZ0Rg+hmSDpZRUdad/475afNRX76y81z/M/ DDAEVb6w1th6v3BRX3iyNWC6a5ywpmBCgwWkWGJX7vrMuRrzbNcPS6sq7wRJfxQ2AgxqPg6Z7mKX XirRp6I9LLxth/zYXq5pUktu+OiMtb6TwPjOqYGjoxX72nTQldJJIKw5SIKXxiPsks0+7nnOM+EO qieOHREC96Fn5UiLZzNGjGuavdZYXCuTHft6WYKdMvZP4T2hc4sFGAecRTLbGisyw5Dc15Fpij5m m/xq1IZMqyTZYyrhLVvyOto2jCRqE/r/OXCqAGXdxImlZ7qB3vwF7avtN7FjVO0u8x9cqT3h6dzb 1A/AEO+smwRbbwcft2yrvF93JjpK9ZwHTmFscEJDhm2Tnp7hn0kZ2VgBO0Y3etfJpYblkIO748HE FZ/31VJhOr4ubjXUe7i4/72paVuB0/DGgr9qNwUN9eL+5loyVSfPJWaYaDaoOmMwERLiMUXrDzqz Mn8uJrKSOWzCVqnE9cL2UM0wVW8SEBYPYUsXX8ZbjZs/RhM/uyW0LPD63pRZM3bf3+5cDBW0vidv Aa+dNiItmN58m9fD5aTOWyQz57lgf0wQgMSUbpOWoYyy6xhP5RSVbNCVIP3ehtkVpCHkVfFvlE1Y qsXOyf2+R5ZGHTWiWP2S/6tYiOl6D3n6sirALUCGSD0xonoRBiZXULz+jRirMIGC+Wgsjs6WDv1j SeXmJoD3rydGV5drJ09uHc8gyULWKfGoe0+UlSGlcI/UzeFDXix+Mlsg5G0Xh+Qgqa2uWmuZQOiz zRTu+BWv8/4U06yK3kBhhlRHQJQJrAWw6J4CBZccpGiy6u3//AOpCUNHCWRUZx5B83U97TTODpX6 Ih9BgrrrOtgXDwbYKFxX1LaIFtRabt/6hppMXcpM9RIK5y935McQKdj8cfn1oR8oq4QIYzrx9lep r5patd4tj3vKL2ri4dt0L7pO2g2Pkz3cqwGQhVJjYuseBaL7/nc6VhHGq9Fg6oE8gi+vGRBUKS3l 5XDUM19Wg7o9bmHRsl+GAFTMZfAQZUA8QbDXXoju4erDy7FJtB+8yzhbioTRUmDiNEJH/2npODhK AdysOBZiDfZW8YflxuUgImjLeEXajmYOwAkjdTPTAANvpDxdL/5ebwy0EJrKh4Nx8abyTfOZcOEy QJXN7Se4k/GC3fSeHnNh7dszpursg6FsMo2p8jYnR+V0qvZFjQlS8pY/IUO+o89cajUlBzDiMiF1 U4Rdbaap3JNNKpQmpulwGtMcwSFKbWm+C2vYylXh+sQGKQrDnuKgonqm8XpYBpUbdaclxe5iHi8q llsQeearGJvcrxBdzGW+CzDqksiBtdt9kOT0pVtp6IxVfFYbcQ2STCP3F3b7dl5UdbJopsgsTatz nIfvGoxiEcXy6FT4ileoEsKBJfNMMBvT2JYv/981wvyJT5sZKe6M/AZZZ/DAotc5/8DVp0CmTmiY jDzDSE/gOVlDq7kGNa5vQtImTs1SAYteYSZBu/pV/qxh/Yaszx5oAKmB4H4KjPCQJxUVsLLc8KRu 0rSBYVl2H3oCYuiKyu9D9OVsRu32ieTPb2zVPHtrkAMW1EdGrGQbmokO6rtsk6HadlPdJviHTmWy kDVqnlG84ardklayP99ZJJbX/UbTaIAGIu0WgtH4LuEuTyxumwlSdauzaLAH4eOUe4CSa3XcCKZF fM+BITFsAvD/wbpELDHMGeIKLKcbvf68UNMrpXa+XGihS3Fn03/TtbNYke+xD1d2OvdiJw/FTij2 lsvKSv0e0R+tD2JGUzjAdE75i869gHl/n/knetgopp4lpkGpC0lYx+8Z70LNiNiFxKG8T63o3/QJ lejYVF1z40Y5A4MqM6HCw9tWqmBg0HtkEFAzsyPH3/yZmCy4AdAXz1mkggA9cy7LtXk1W8Q+Qun7 QD/BEiUMkidiaXadlE/RmJIBvNxNzfieGiDjGrU9SEbtGUTcu2trIU8RwCDxnng0m3GoC22KV2uH 3uvd3nUP+KUYx4egJ6/BdgTe9dHkusqpJw1tO/uihpy9+XMpW47g7R12I+WnIiW8q/Ueq2B/g1mT 1OQLTyC0g25zVd3eEl40/Em4pQ0o1djD+KTJYpOD+gpyL3DKV5u6Hvv4NCaOv+r6GstPUmJg/cpo ATb5Z2pjJ+iHSSzVWU6cqc7XrOqdNaEv/UrIgtrw6LU+Cs352GaueaTXP+195W8W7jYvMetCqqcn fCpb6+/gNWVt6jGVRYIg8gSPf8u9gdKqQmpSZhn3JnlDLnsfOsNZ1oZ5g45sBUUnNv7vZdm64x/a BEWeCrw78XE5fdsWXcnnJkBrp6reIFXw1RMT8WY8b1R5pcFmhz595Ma91oTvLtheUAiLDjVin+g/ 69VP3tGk1CgNdYcuvc9tp+yRDXSwnh921q4+swdG/1pkqmQ602Yp0CtwTNZL1GsQwBwtCVJxInde 4uRUGL/AFzx+he+na8ezP7Wbow7Qmajq26kPnAvzdyMkYL2r7FxidXlF3+dEDXoHs5RiuZ6pBCQa wj2/7qCjipzZB0XRS0dIThT+3DCja4QL1xk2+ZQgru7WJuFlEbfFQfXQL/DHxdk3/QdDXJGRb46J CEmIv8kDdnoWVmi7CbSk7lhuU25ZRu+RDWgtVC7HiNnrgHHZMlro/dYKPR2SpcPEUOby4g+6I/vo Ljytjhv7jd45lNHO86JrSGxriTTWnZ3dYPuAWWxUn+U4P3RExlDF8SuM9fPBKTL260+ASYLb9+eB sF7R1d+fp8MxXGVb6nrrIg4FcjEZ5uvPDSAL2VITyi7T/M9PQwH061hIupPTVR7bf1WsSFuqdse1 EGIpwdHcwyYG5glAz4S9N5HrrS4CY1gQvzYFBoDKQ2UjnuIb5kzHLH1a3h+GhuXmeCYQWhhSm4wk QL0HhlTjMS8TMFyTKvpvk7uEuOLUWpIcvJ9f8iXsq8R4Mm7mZvbT1lgRPbL1BKG+03ETXN8sWPEY HL8AYQ== `protect end_protected
gpl-2.0
d768db110fe3ff2cfee4325e348bf22d
0.920445
1.907842
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0_viv.vhd
2
21,542
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MjV1PYJ5F3MeRxsCMqkYmuzuOWGiaWOevBa11V0hywnJUteeCGUsTm2XKLNbmCMdaGTrrycs6rxB BldNJSGjTg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DQ3dnBbg/yVK7U6gi+Hyqp+l6JtGYtGbFws5ICYcvyvUR7vqjjs1ZxIdaQkVcwORH1uGqhVBGPXm Ppxr9YrerzRY3pQ+udKT114hjrfPDjOOpCqNcKGgaK45z5dQkFuA4sOMuHQgBnXif6rw8TzK39Ie NJ4RwssZpojjtAI3Rvs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GeJrertVbIWcsHtrGIApglNpu257LUyjQmYO8/nQs/DLAKjokjPbX435y2msSUKG+LA0wcReNVig JwLMBhvNwfowtM14TALxN2UV22BVNPJlGlpCVtz2Y/MJq+20v8gnJIqqkJnLtU203uZHNWSlPt0B +Yt58ZNFb4tu+1OMx+VyKWgZLvJXIIfeqrBTHDtfu3JiiRLKE4/EbRpwzBk3anqlZkIhJsz3G36G UjRwDosAtzMCbv4f9pMw1dJgQOzCst2yxbIRZnUeFMcS9SUGJw6BjxV91eS+a3kEtwpgv9wwCx3k BdcUy2epwWI1Uq8eKmY+sEMzyWT7UngOVGrxiw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block F/yx85VLIQcRJtZEWquIlxeREQrg7n5HPDpxiV5PuLYwPCYsBz2HnXBBEWR7o5tWwRZjkT4m6ohQ vrxzRo5XlqJzLcq30tIJ2ZEZHLh1F4N/ZoiEearvMdh49nqsjG4aXf+EQ7AcXaJeLoU4GFHrDHV2 chfDZmdvshC3Mo6AbJA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block flreCcpNTdHrPtelXJc7vYTdS5GF7IRkrJOPS1rvt+vQFezlpNL+cn7z1UG+8XFg/bWYT3SINYCE /Ge1WHM6I0lxbsC4tnLmM9kNXbj/kyoZxqvGN+vtKGVozIp//gu6jMkFZpx0yos0c2Q2unbN4sIM 1ikKDOPdmlQT+juGPcsCD7r2pWvh8nvjcWX4OWOuF4vgTb4XAB7AatFTsMBfrSZhMAHrlaUViTLi h6EtFMtDFFRt+wIndsg8knykG77cXzTizRanvVl1nfIrkJsLXq1QcGwCf4jMSPB0+hvdvJyFQkpM 7f5w02j2+FzvzW+rwW+1Xp6oCWOxZWtSxBOxTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14208) `protect data_block IfsWUChtFpycVHejwMuzIRMsGtkKj5WLybxtxXCB0tvLJC5pi4nuSmv5a3ozdtXSHEEEflJP4HUW JMin2t7rwXzxnlpb5ZlT3YGvbTiAJq2eB/lIvJjYwmrtfWp9adiBHvi472wZwk9IZ2kmdZ73OuHt ePBdTsBUW3xbIlVpIwI1qTYqMeElUp5EormNMP/LZZ5Gn9R4hi7/NBjmNAjVa0aknp+6rCmfx+dG udqxC5maiE+DAqIlll7WUY1otVHnq6Eop4raZF+PqgTXvzm+G6igZWEctiv5voZ1Fa9BBAUgPyGZ x1yM4QW070QwW/HFGgoDXXvlxbMkaTshSQm3CEiNP/neD2SAU+EWyy9GRCihJStwGxPreQCLeesq QNCQkK49VThyfrAD8btYwj6RdCh8tYJbVtL0MKvmk00y+zL3KBoXYFIS8gtJHgyXJfiJ0cGapWAm YN6qSchOIyn1kkdXXBj/COB0YdjBSalCxdxpJJoM0u+re6WkGfgZ7Nh6rVbbhUUz4YrOGaAZqq6G zhQMHxzjNg7Q/C3LgDw72fStGyPj4o9rul7sOsCIH0xV4liZNOotkEeQHd94suxSSfDqju/MQGmB 1h6hLwArnPo2rYFbIQurnXxO9r8j15Qv4lVUZoOUxzCSEoNDdA2sThCS0atvYuGIXXvTQnQT2SiE qfwHLaweC1lKpD+sQek3iabkz8uTRcMhBszN5dAzrvilwIGKwvgZF7wyJ++IgMsJj2v7VXTcW7Kh FO6DDzXOe8ZwEixHnLpUtfvvN1dg5VWaap4i4jkHwaLobyhR59LidiiD1Jq7HEjZJECZ9aYcJfI+ /Z5Nv4cPDIDUQrZecKy6dPlrfWsflDGPtkegLxsCGUjGGz7o2bOyefM8/p2FBktEOwcrbUW4aDSy hGe/9HPZrSgt/kBwdYVvqZLK2JTeOxBtAV5royUOK6KHm3VUJ+Rr8LWsEj0Qkt/TVhaZxYKsie4r I7hq2ZpnXTCEPVWdmyNG1vZoqg4rH1F2DnI+N/vovrVbFJ/tO+edF7OAKSQFDpeOlzvYDopsTGis WxDljORhwajseILkakfWCuYxXmr9FtW10jS0lXFLCNci4FG4IeQhKPUeWNz2HCG3hA7O9zI3Aec6 dMjJXTqQVaD6T95R/xdzNWHHsTq3vg6ej8JMtTXnapvZ7MMXkg9nVwPXf8JQ82Zr9+2YwMGjZ21y o9lhRW6agIesCigEVvbs6SbhMmFCMwtv6MoYj2r3Tt9yUVFhCEtT0m4a1Go7nLCnoElkqkRDl/Wq Yr+H8mYNfjnst23agWFIjmu2T4dLHY+zrSrbtRVw5w8yjrzkxZBzeBxsT+iXf8N6RZtQikHvL17Q yLFlXBIGmCYPtfSayF+DKujriwCILIXLcrxJhPC2/xYcX0js7EBTaXFBp3nNIOFWZn6qiqwwWAbi hP5DxKBUj+lsWQC8Nu1/itZVDYjpAtlV5/3Iufdytb78qVl1LAPNM3iaewxAETaLmYb2GTBfcOd/ BUISUiDcU0GHhHAT+IMeDZaau0tFZc1m/lnN2wIdeF2D96iiuYJ77YL52uhQEiuUx3DBuRGCSfsA gldkOoAltyHefvNiY0GpEp4zG2Vt5DAVsV39NgQCDEB29PBz2CJfwdNhPTt1YhmGTXvAj0FzgSIk SDpehyuc0XYUrNzJKSUDRQe/rharnP/XAJv2eRXNcY6LNeKNP/YJugtPjICGmgkiqx8GiQXx/2lj Z2kN/DiN616teQMW//e+X+DmCRzteH4ruU3Hzc7aNfqzJlvsiZb2SJ6dirEW/poB7QBWNNBIn09k ODGzAKQXz/+fx0rcb6INbbkZBJlay9d67AVSzANqwqONWCXQ/3sCFjpY3fqXyJgoMEn/3dzQ3JZ1 QsM+SyA6bAmts4duAMPc1chcDeRVWLJhmItJeKNUICGLTgmehDxqqwCrov7T4gNErDaupjatLNAH mqHojHlWNzOQnkEJtgFMraTi+ucan/vYRN96VwUc3j/cOWmQb7o+gy2bC/twcxzzbu16fqDwrlu5 X2JqsvbGMGKpHbHGf5YzPEK5eYzDXjAee11ddyqVq+eieudv30ysPD/Bsu1OtLPSEUY/HFHAe/WA BuDTRPL6icWsMiR5aySrtl3VcGsaGdqtFo6nOTkCNFazNv4036EdBRPYw8gMclu1nWMP9v8jiQwg TlJE4EhSTG1nVOeInnzBXCEMDGPLEW+S0zp4Wgt1pQ5JlBqZ7+KIt7/okiYml5+aXqpOUk3sMEjE p7Fcqv42wyqT1vgAru+n6nownOwlnNJIDjOAsUuDrKGhuCxVkaCYT92Q7PGHECqlsGCkDpknt5JJ aQGC8D8jNWpOqOXnxNFVoGaSKEkTqlQPyww0n7BK61BNtCXOjjjrE/3F5lsqnbSZxhq6VXCTrm/q hDScNZ7mte30puQ7djvcmNxBtiCrsGq8TJCPDaCEJUEpwboLgoL9gg/NFyRaNSKiyv1oWEqrOQmQ dmtFTn1aP+5sgQLQT88GZMQhtkvH48qJS2YpwJ2p+2mNGYwELl/6npmAWnlleV4AFVIyXOAbWK9v bm2zxCO8U9fe5Sb3VauebkuhZcqB2zOyikGy8byYUgLnT+bJCGT1xMDjwz+O5mOQ8upLRBkpS/Ik ehpYjNuVbakNXNkrha4u1hySe6oJo4ve6csmFzRZyXaNi5k41E3Y2d7dVqT8FhIDXnMt0BEfSmdQ E4kNeSHvqG1BscJ9OmdePoT7tNGK2S1BNslO1gpKVpcbhsQTu3WITmIqkvReFrUIs7cD3+nAk4I4 pdNb/qX7PzUGppriAUs+e32fk8M79FeN+c3e0tiYvoqeCf7wYYU8KY7rXeXWmSSEA9XVJ32nis/X eVsuu2mrU7SZXmOA23sfM/x0f1X5Q6AcTmetA6tdxpng9Jwkc69WkOTTUakP/R3MEnNH+PqD9EW9 QylDHO1LMg8a5LPeSsLZtfQSXOsH+bxKepVwRKgD/WMtyurOroxaz2X7dpyk7LtTckmTtBPfEToD sIowH9SakQap2lJRyV30j14rrMhxu5IxpaJqTqFfcNBHBcOJ9FPsY0d4+IFBjPB9L80QfWKrhD/n lklKqAo+hEdGp2HPpcT2UOllf9eQYdy1hCeOlys/8221gyQ0+T2GCRxxY0phtPlfAZ1JTLR/kT/k 5ayxaZVpCdibS+e3MBuzh2Fm47GX+hyfzXuJD4RCJ1/pchNyK8QXZBLa7vdgy1YSNwNHRNj913m/ mhHrno5HmQRLe7XSmrHeOIbNyyTWP+T7lH5BbW1haY8rSwwazwywpvvUAnOQ/4cJj4Op58kJ5eh7 fpaayK6JZH6qcS2aS7SGoOZzBaPAK+epDP6Nv5DXX2JL5KwQMTZmBcuU58B6VBHdIH3QAxY6F0yP 73BxGGimk7Ch6X5XzjoSwsiKbnV5knpsNj4zOXosPa8B47QTOORU1PhtK2r9e+JjbfxkaSCuk9xM fzfEQdMcqboXLOQ0JkxhnLhoHsLcoWvEfjx9eutWJXtoQSzcWl29ZFgpFu4Wqtf0LqHMNm3gx6db H4JKrouB6HoM/D8zTNiGZcSIlROrxNwDRPWWSz37mBcA7bKorfb85BG5KR3zHc5H6xKwLn1UTejo pPrc8bABVF7dP0GlHUTjYDmlRj8vulfPAaFZ8Xtwwag+I/U1bAdLRMTFlxn0ufd/RauriLH9ZED+ +vWbz0FEZRif66m4iZ4YPdinTbxiRev9MUAmjBisCWnUPnyZIEsNdZ8f8X6L/A9PCFscSQO+1xrR PHe2i69v8F0csoZqO7scHJ5WetMEWHVgW2Bg4WEXlJ5LMGzr/Py5hrpMBk9C2HhLfbrcniAEWpkY uM3hpBEea6PL25acKykSbrg/NBPDFUfqR+WFVejeEzQf98nhQ9JPCp9Jdac1pjPKUzVrpaa3gj/p ma84YKs38jp6IkfkRHr+DD5KP/RYqARryQRwVusnWnWWF7uP1ZxhpPx1RaiSFJP5dKSy5tbVXtpu BXHV2V0nu9X/sa6cIytljNZqFvD8ltEFmk/RR79acCMelA/3gj5ch90X49RLXExNHxgsGomuL9QV gUnYgkITOVw7vDorNzJMjyP7/RL0BXee+/QFsDVavZnH6zjiOBUWPjlUqo/XBovlKWt5Oci12xAs d+hpjfge5Oc8YkUxA5EqGoNZ6HqzJ9xfMIwbYOwc/YB5GPsjh4V3FEn5nMGuJeczqGRPmMvO7Dbi /k6kNlQgnWgRAtxI4/8YlxtjIU9OKY7gFmMLidWKGJziESAdaFva0/NCEHARNOd2u5Rb18NuC0bM 2MOefHFSP3tECZWJqnUhaLsSF3nP0V86klTIoc/drlo1jJtqfk245yjYlz8dnUGlPn+ykNAndOeZ 456xdA3QUpHQvuP3K7C00cBB6UbTlHLy1vaJNJnyIZmVS2V/J3kUkF7yhxdu0qQvamuohT452OuL P9Jv/2LauAkMgTdHosHysZ3ewAUy7R0b/dTaeJ5L6A13UVGwpoNlFwXbNolL1DKcEx2BV2uwsocM fcwY/GVJ8ptrANnEymMiACgDEUcVBolmNZ/ZFJb7sSxaPhthvXZuud/YooQOjHVR4RMO+Bk/oosi H345RTdlxrvEHMy7rWLTG7pob527cn/tUNhFOdwQJo6Mw/jnjX4AxaOlc8qzgm83wKi3v4njsaLq /l51HggEyWxJWRwSlnkzpTOa9bqiEHysL/VEXBBFP/z3slRyOO60y80va27o1e73JuG+l2gsiO5B NAn2QKhL1OI6v+/FQJz01+ymOgEsbPPNTfsY9eVkcK75acavakj9FxSLChOuDgmmnZgTqcHdbsad fREjB7hsENHW0inLW49glWXBdupDmM/LgTnpULhAeBbsQgrOcxvqFE6nXS1n3E5nBwLr228tOcoV 7VEJx7c5Z/cl2nTVlIigPui4zMTDL1ONIQSKwdXLMUH7mBCcfE4GV8UmQIcKfgsiudC/VIh0sNxU YNllfTIFl63rK5qYNcyFygZy2RY/o+GOVnvpXvbp2PKxhh7WXiv4eHDYCqw9wtvrndTzTFMxq6DY ZpBw8UPSEoJvd19x+NrjtEyFhTs+BaZnpfQvE36r6Lp09FmGMrgGbNjS5+RsoFGdqaiHxeC//DBi ZIBFt/7JSN/QizXw7pSsPLF0D44Dv2RSq6lmjmhdFmVCmZcHMW/ctKEI99PUlunTpwFvNuBT3cot mlGn3jaPyJiauRK9WhpI12N/l0Xl8UcCR/P0WYRrV8KvZ2SP0xOKrutVK2hbSlF6s8EHuKA0EoR3 ANPi4AiZibN7ottnHOaVfzj3Q6qmtXxNLmAx2DoBCMLAeiwdqOOnElH4je0oQSF+ZUvytONJ3HVV naNl7XFOKuka1OJJN2SzLobYAVRdqbLF6mlsZbvugwfgCZqab/OVaXPbn91FWpv7qUBGO+sV05Mm YzdHFnZ7IyeTKlcl/AUT4v00GURZRZ8EoIccMx30svbfz1n6RSyjRFSflN3dZmLGXw0OaX7qrAhw 5nSo+If0YQAYT7oFKzS9LKS/v2c45xPCjBy6tdmDRAIRGQc+ikBgt6/6YedNAUsr6/HwU52cU5gL dik/giIMeNxkOk6I1x1mSnbs4g9deXW+3SE+IbMVabE474MOCV3G49Z7zvFNPtxfba6g1+SQ3ulk NxZLrtWEDCPtd+rUplIXpYJ2659WTtwPhAewaOeooh54akkhrT8i8yDdqYJmsQR3SN9vQ4vdDP14 wVgswoMwUOLSb9N6/xsQFJGsOQNtVLBxlwmopZ/ro6Nn0zHMrBMJXE3H/6GvC2NlU4UU0GV8r5kk 1YHK9tUyQ58xpvDZV9aHvvG+Qlqw5Of1lA8uaRkdb/oPuyfiBbxsaPXPkv1NJ4N9mD4Cww76RvF7 RJFPefCpSVD5u9jfq7goexRj24dF17UkhEKeb6AlK/J7S7z+w/pCmN4MYFYMyLGIk3/I9yNCtp4d rfEQDC6lcTjinOFYSwMl3PLLVh2ho5sohDqj9P4NKUPk6RY4bai+5Uhqke4hl5TytpbWisUZUyfG ire6bWWBb25kfyLqLTZ4xkx6W7NhT99KmA39UjSYQ/7So2ksLf1ElWX9qK08S8NruH5PMXvEWK2/ v4agSIpU2IPVjNcDAjWKti9GWcleqzP+1yzs2U4ZcJxcPmAec0Eib73nMWhW9OOCR1K3AVvh2C59 UuetwdYlFuijv7P0lzZg9t+I69OYc9eYAs+C21VYR69ntaiBD3fAUwBH1u14qAlCoK+7mHbW9FOb qqoSFj2g3t6UevCzhSuTnkFMntZCjKQ2SmH0e/6KoB35Amjc5/oOje47v+BHgOrQUGMnZ/vRrR3I vWSODyxhi4wc3srxQlvIrIhcKOBq532gwPFaOvaaLoqjEN5/kFOMP37DOb0Z0NdvVqelbwT6Cx4f QSi2xnHvAI/vB9P9tgZbG49ovwK6IN6OV93wJluwWMMWVa8s44UesHmcQIRFszgIXQSGrdRkln3u fRimtZfOgwi8+AfpI9vDZplTR6r1RmOOnJFIy/FJChkMHsVBe+mT7yq3Wk40BAg5qOGXYlBGCnlO Aq/fD3pV6rVEpNU9/XcQad2uifgKFA+CMEVOHr47u6nWgKsMs4eKZjFd5UWSCk6+KEzaclbcM5lj O9z2O/KY5OtALq6tnfyyCnTZXu4PBzUMoj0KzuBEX0Qxet0e/AIedkdwUz4u6QDsfJgKoWiFS0LR RDs0jmA6qalui/ZtHos1jvY4vzfOlBx9W+yiuMRRwSCAILS0MwVSBXAedAHAqyJWZzrNxkBkMZHr G4xMgXP0nxcU4u0HMMWGuvl1Se/GijYdyaqfGkfnjskyK1FeM9MRjl7nvz8jnJ0H4SS4RZL2XK4R MS2R2Aaodnc6Rzj1ITPidqphpCFOzylmmsuQ92ErJ8bHDWrTegOpuQLuoVAulCCy1RZwA0YL1m6A OMVeUqg2+dCmRGoskyXHQQh/Yi7gV2YAx+Ha9y6AFVNpnEmOZHp/rzu1tJmSH9gqGKzXQ3ffmOi/ X6tZNMfdPzDxh72OYeeXKv+uGuEi3mt7VdLt0N8etMs8Mp5ZnO3pdqjIyRlF0kTKfFKlsYft5yUS hWrars0jf3RUSkgndKKO9SAbgCF7cheawDpPYQLYunyds9iQ7Bjr5P8Xkt10j88yZ0wFzlvK7dNg jG87R7351HsvXTUzJabDuHtd+7LNqM2CgzyIGIWWl8SKoR61pCs5hPX9x10KsSS2iDPNiMJM5qJU z6SjCO1NMTFqRi5DGFEuj5v0wxKPgXc7Gkv2UTSWCK3d06JgaW2QxExDdLsa4L8c77+7luaY7aDV ai1ORH2NJfEOMD7Ipdt8uavB3dCbr8j9/bSAFQzCpo2Cj/7sSgKWnDysMC1RtiSORb3uGpl+9fLE f6UpwulzXlyTMY4fsF/24+wBinH9Qxy1CknoHyrMPoJA+47zTrIc2B/sl8pzO9YUTSLLOevxZk0p W1Imr2+k5sPHNEb9NSHewEcnMmWXpLn8dKtjmddM02B61vNrVIojlP5DNKus2wjXZPy33VFz2ST9 oKJhHFGODKG9Dh9YH9bbLaraFMmxjKaY/5FossjljkpXNOTGUSeAELi4pi2c5NZffo975yZ+6HKJ 4hJiSpUak+Xrj9dNxT3Yj6X6bdw6NP8fiNBM7UmDpRdMx7MH9JX8QYpneJ/HXukkLXzzYqQZkh1H oL2mtqqZuPrHPuwDu1lNBxn9KdEv1S2xGp0tecPv86BGWtL0x4xe0bz7vJRZuGDGKqalJNueBGnx 1JgtQtGNgPr3+B2GmBZeBbZeySTELCEnGyxYy0GrbCaRyqFMVabFxFchk/dSMMK5jomjPMY6PKH8 N5wbCGKO2o540yfEja4ig8xcv5PxUp2N/ylcPkVdlC1dLg0qqtYTD+lHurpQoxeolzBtrbwMkgTa x3u4h+YnjZQgxcmznqG6AYyPrOnPXdPlcNymi1eSOGqlKXzUW0mlEs5Iwt6YYwsvTm37VfkHzTQc U9gyKYHV4YGb0X05cTw7CcVDxJ8+D5A2oFtmrXFtLutArqogtCul8jfc5xPuBfLIH+hTW+4hajzb DEE9u4mlfyLDjywZ+9gspOJeTjrkCMniw2KL1ZCKAnex8/j6C2IiimzuHPb5e3z1ozrLPWnFtsa2 N2YrcgLdaH5IDrJkDlVIFr73aWdQbOpa92T3AFPgX6UH1y2UHZ76zSXkZQN3OnNth4kSItJkGNGA UWIV6zwJg6dMisfiGKg6TVeur9J8UmzGpyD0dhcp6x/oatjWkqOlen/cGUmT4Dw1bZxv0dshHdbj RqF0Tu/zcCWwJhJ3igO6aVhD8/CLEnYYc9pyGygp09B7btAMdZVoKGLqtu+yYdx+hJw2V6qMqxUy 8Cbyww4MisbcilVsfogaq4rvrTXTv34hF8asPYqYyC7x4nB+68Tlz8x8APUIat12nuoBc/0uO+IM 6Zbw/yCY5j+F6gvitjazRo8HJ48Wsd61Yb1zJKcTyqwQso3bntAiBJTISqv9GNula5ve/XVt1zR0 zhuMLkyfMA4+xB+cRcN6X92eeo+8s+zUZH+M8uItTrCtqX//xEM605n5zJdkoE4co+ez3hf+O3UJ 496e7VQAFE7XPs8o/3LVTf5velrbMSU/1xhHzSU3NZbE2wylllXWoTrAqQJYmnUB2N3GiH87BIDI MoQbu7lO5aqxOwSS1wuE56eOFZIaonC3inSz0ZQ8EH59V3zc85+6M7cMy9I1/fdp+XLW0gWmLUw9 Z8nxU6jbEAu0mBcxb7BVX4+jKVj4mRe0wy+5K36suO6RFv3WQBY5Li5eqD3XwZiPbnZV/vWpW7Ct x/05zWN8BtBEI0d7JhpLbUGam5O4cvquYY8m/EoQQ+vLTzOvOmrzct5FTkLHXNHi4RfJC+U/NB1G PI0W+0Oh6X7RecFVXQuSJWusj82h5PlSJAZH16RPdHfsXYRVk49G/lF/sJcqm8owATxlT3OMAGll 6D6+xcq2GbuXU0lrbdDrnJxkq365OsIQYmaEUxC+XGhbNOG67p2Vd4eMk6hFrwtmj77r4hhkyc4i cgW1OCuI6wLpoN18cehxBP5dC6Yp8eu/RBy3i+yneNuxn6/pDvr8S5O0LIrIr8keCOJr0XJ7kHnX evE1OJwyUQHhTsEv54lfo0GPgGUT1O75MeG8l+CQjgzeks/FV407MEH2olk5Yczfy5FnkoR8TVNg //j+HyRDHBKHfx0u2Ls+mKT6ln/rP3QjnkO2dYyiayk+dR6eh17OIAOYnzdwoz8N78pNcPBV2SLN kxeTxT8jyy5cmrMYBEFZ8aCSOzEgc+BGQg71tlTs8wrWXbC612HZ4qNRsYOtmkzv7V0kIIm9SzRs saAP3Tmj5I1SeoSVnoxsm9iGc0DAryuu8EgdkRtnI1ViQrx0Wlva45TwXYlb+vGMErSsAUOtv8hI ISlibmGiwANs7tYxkUwPsEKUuMbhNXpDW2ubue7UX17fjjzbGzyn14DLNWE7Qlrjzm7Gv5b0tW87 HyXhjBMVIJIlk8nAQ89nBWXOk1MuLbAr8m/Ie3ZelIb6bz+hzrpopHa7+xNF8ZpMmIFdo4boPnzU +/x3G+CTLxzD5PejoXTMaFp97cwTkEeU0uHMsHTIc7xgSr+rhDdB/qxSQB9barvUxvWE6I8A6dAH WEnDxC6vVthDpKc178pZc6IrzySMPXBnENYihfGP2nt9BAklZuxZtjFS/M7II2HBjt30pevns6Br EZ1sfL9Yq+nK7vm/1m7p1BwJQI0KGw2CZZ2DmcgwnygswGKDBCAyqKOWSgmxj0lueno6UHVUb52U 6/JiRf/wp63NH6k7HbTeQ4C1iEWbSr1AS2q38fF8V6pUC2i1hja5QWiB6IjBK3YNvYPUUnL/qL9W OM6m36TBJuj3hmQ3pItb28+L2IYVdoGitNSIX+Tkb929FWgvcJR5l9zuecOxP8EpDU8pg9bTIGVH CAgEges34IMqEmKjPaRZwKwZuVISle7BzfvkUdCAMt31CG3thyGQLcbKHCn+bXmm+CgjhVy4kZQG WPQVVXky64AsWGUG4O7p22GMo3IVJNlMH8mS6vOBxoCuXzz+mFz5PUqQ/ZcBnOZ6X7gUVA/bncv3 JzAUxP7u7ZiSUpfaUJDDh6N1hu+iT4l5I1z/qvx9B130CuCwW21Klj85UMoIIIAnDDBICrbX+Gum iXdKjl/w6CeD/JjNXV1Y2eGVFZo14vfRHft8H6yxVMSZd5T1WJeIpw3lR8wgIPd9y3yloNUdLXeJ a+LVx2Wo/zpKGKiRsbhD2dfUWAqcGnI7KOTGYpz5beUbtH54RJcaxQqm0XDfEzpciUBN5053hF3q esAXobfagttQa7vZCAHve1kWNaFdGwYFLe3h6tjUkR9AURQGvGGBmMBAtLmmtO1NgBoXP48bWvwG 6251a9qOt1QQ1rJx35LMqX5cu4qhGqksPcjpj95aqn0TRldiqSAmzReUfrAuZ++11g0CwNYZZUQI cnfUpFj3DM/ZIvmf5nuGsaMEXuZq7FAiGZWBUIvw5RzWVdL0OZ2ZxnLozh2x/810fvxlJfiZfltN HvbFtVyTfaSuP6ak5zARaMT931HCuQRFgIok2EVHc1RdEt7uRhf+GviIR936g/5+Bh9xHS3E54Cf 1SDrE5EIVk01ddNEuJ6muwjgAp7ZiEx2mZIfdl/A3lfWpOEI6Ljsiusp3QruWhuBkb5X6anh8g+h EMtsdEAqIV2ontSOQXUoPrBzj1NJZjpHr/2AlajXn7CbxJOwepnO7rd9ke+hWvRxG+Sb8kyRyYDq q1lh4WUy8/E/Tg+BaUPsooyvTmPO/fOWCZfJZYifJXirJKlitAyg+7QSIUlIkguIIGa161VaOYx+ g1eZVRnWu0mZwRj1+uzy0WoK5WOhuEja1kvmEiQ6HJPvlpVJxr/iOij0aaqbrYYmocCjrAlTLTvL oCS5HMkTZMOum14QV7/Nm4khpyV6BfWk+z4OzOKM+/MRWYAzj0dLOtRI56GXGo7gwJbqg+Y8uHOS fGCRyDTV1vOmhNn4EaBgW1e7xCVrtyTi0lK9WSt+qlMa5ET2zSxDuiJFj4u4/jZKKusAaL6HbKnJ zc65kr8v5a2xe88WIp/+vleSeS0gp9dozA5KYu/NHa86u4L24SEUc7sCMJ4u+UxBEyDMf7jJ4cOy icLVgncukzU+c1/bBKSdn2X/0rTfoCMRxoqf9c60OxQ73e6O7Bt/0viHthWZ01xMaW3eZrI71p1y a3n4WQP8TeiJI2nzzWKrVBK7wfUwW5JyfVbJ3PIUSLqYBb+4Jb76UmOaqY78kmVIYjl2+iLcpgD1 RKB1k4PXCfij7W8Za/jge8efvwX3RzA/ewAxoUnuYcCHIlvFUTBjWeRl+WTfNxUBSEPg3FhrIMSd xGJzVcvJGhm15sqiCh0u9MmsWTISCR8N24OxYIH6W8Bi9CIXJrt/CCWN3hoV+BhvgJqFMgQwmVIA /Szf1FVdpWTiC1ctWp4eVVAFYeFDVXa6mQCGdmgBOq0amSe4hDQo0UYs51i0hmb7SBDkLrBr1dJb 3eX9UwgmyQmyGVSUh72oXr4jV3FyWC7H477mo/RusSZHXzDhujECCQmAITcB5OD3TEz2nR5z8lym mMI0ovbitHL6kcaxp8G1GcqzeLzbKZVxPru13t50KXCm6P6zu6ynrqhB3pbuhIcut9ubVTZ5ZHCF dkrYDOE42bXm8qtPhdQK2OC3vkKgJPMRDadDMzt4I6iWwGqioafTk59VtBvqci+W5b6bcUz53oqA tCH1xHoSAjpZ6ihFhTp5kNePbebTuZ3LNUcE/omcihIXIblW4z9qffikkXcbWMW3oa05XraYglcT rbYgaepAIlgcGHgB1G99syIE2uoZB9iNAmBsKu0+y1fy/0ci+EIZq3QR6TKWQD8Xf67Cwbtb2I04 X+l6iImwimFghj1zNF8W3Coxi28Os8pr4plw0V7glUQNO4eSP1BrG67h4MOOeWqp2g52/x6YN2dH ttR0A5lRMRBy0F75sZn2ObLAaQcvYd+hkwQDVtFb/Dfj0Fp4RgP674iHPdWvt94S9X1b2NCbcXOr 4GJ04fF21IoGmq16Ku+5Qa/e2LWHSMO3D0MpYHIiZYVnMu/pYYhS0D7zTtZGEFimjlQoOqbo0OPg U5xqRQQpa31VQUz+iUDXFhfzPPjlwrvUSv28fGA5+U1U1ZEyFNKBxQdLbeQP37/J9mNIsSZ9uxM7 dkjpsO47XFEf7XekKExlPQzv9lOhdbAd+FsaywgDVHC1+d1kYacEh1zCWrLhaaXzcUWbxrj1iW34 trgSfH0Ld3EVrIste0hsu7hDy3PtmLXwf2NO5XCOdqNKhLlzd5tCFF8d4mUD/Pgk3Xw4xKuuQxQA t7/RR9QO07IXP8UHsa0DER4EWZT+B2l/Tt5+jfACitR7NrtDQnxBSBVn/6jAIr1b5FrYgQGAOhV6 bIiO4aBBlkvAYEth9V2LfTLnQ9GgPPAGEv/X7vGOSkduTOObqhgyup4O6GuyNKlozqGcsSUV+VSy mHpdKOXypi20CeXtlrT0d/i+mVqh1L3yD1yXMhWLoCAmssnz9iOaoZPZ1sAfR/EXwwPx7I35J7ga QyWJ6fhLGnC6icKpvKjOM14EedOuGFHBrrHBqCbjOIWiRCXaEO90rLPx9TPCqcjbVDwGuq1DiOyt dFBzyU6slr0io1L27b06zQek2w40MKPlcmHnxpFp40KtCigrtlbPQY2pps2Flc2fwAyWLOSglK78 dQdg/jLV1AF2UEgu1+frDDnVJ4d5m0tgTGt/ga2SXWwLqTwMgZiXzZgkmj8GEnbjSxtHra6fiauv fxpakGCjEnYRemgYNEMGiRz+sdYd/tB8ttSPw/toh5gNtIrSfBcITUBssgpCgNFd1NVS4AL+I0rJ 39C92ydh4dUwy/LnGf71I6ojO1dsUymoarV7KusBH3rpypxOF98/AwNBr6xyBuuOyRBexqZAjk5K MteL6kd3zrzH+R8i5+oW7vlHrGkZKUXS2yfoOQ47Pn1L9JS8+zwineFwNPag48QV5PFjFcMsmfWc flbmHv5Ga+Bgtmv+O22tvTwStQsJ2NgYSVbc5chQCu7ZrLyac6uzPUAB44/iwCWoVhKRQxdZA+Q1 +zwtDHepEr1WAC7EBzkgRk7bk/oqZVe2qxHQFsvp2jTNj0GGwDaHUFfPqcJRsBT32QoMwH46wAW1 CnRkLQga6J2jEAK1ZNMhGJrKKXUbyOaRU/cAkYdidYwLpYYLxI9HDZctMJqzbDs3PywwwG1pLelj n66BaNNOwBIjJOsXOQAxg9O4rE80Rql2mBev6pjzEW39GNDJb6GQbH0egG4E6Ri2mYjz79h45htu dDzqwPTVoWtbDbEo/clG8RfZ8RJD1CL8sF6XjGIFJNo5l2VHfTY6RURvUlHUPEQUa8c079L4bvvF ExhnpjpUWHdEA0gmloyMQRBxCpMwm0nN7melLLaDwWw0NyisE5JCQFyvdpEGXhBtLcpzxSRAfeQ5 pkASh06JI3QXMiq1z1ObguO64B9KcUjkM5x8KJ1DERAjkTluyvwLWuVd8WoAqhNhBVBMEqVYlCXQ ewABnoW0c6IX5v8IIdZySfCXPbTk+4ZfMLCO06k9I2e6aYKct3OuPG+JVdScrpLciQRDjneFRjXg g0ukammJgz9hY2ojPIPVDDsRsy8hg0zSbelQ09nNSaoyvXYdQQP+b5EbM1uGvWstHZiVzY5AtpEH lhGcBQS2qWEKoCvqshwA8GRvMCZKwIIspY8et1+zexKo0AezsQ5/NtvpEBslEqPktzHfFBhz07VU QCUIV+aSLbfq6Zgvxn55OWEBouAIRibJXmUQE38euy3NQqcqcbL7gNcjNCJlkpblyVUtRQC2IyLU ykFgbsPynfsHCq6gIPIvRDXW82gPbt6JW0nh6yk6fuLsQHQ38HYw2E3VtceBGNst3rXdESpsflpz 4zfE6rApzXqVRNxIjAwIe2tyeuSyhux+Rxm5BgHsB5efyznmkm4zgz98xktwe8sTJLtmKGUqrmb6 DJBUaulbB0RP2eIC0cpcw8Xyyep9/U0exZJAROq50ujPJTkCcvbz0sTfqp9DS64Wyo97tC8O4qr7 MGVUtQTj90YigRo7Ow9IgXnWNySkM/vfbqDqgfazKSpejA/mbqCgMJJBrP3zKx1bhdLhEFK9YTMk Sg9tkgsSFt0l+ffUpXytccObdFfBSyyf8qwERuPmNsRuVRW2i6tlCoByg4H1MD8P3ZldA+ZPqREm yi98QjIU8aZfa8hGduZpm67fplUbleqRCt1e+2QTGhZ11IL4LzX72J16AmgwyZDQikuKxmYjYL4r mBBctW7rsZj+Y0W/H33JK09UZr2Vcp8WD+EqHzv/PJm/dDJDmIdFQce26Ribtlr2X9hshXh2vLAX y9xmEJo3kpN97J/z2Jcea9Y4f5iBU79ybhlR8x1SjeV9dpbSA2LTLBFR/hY2JIX1rVgleZ/ol5sK CWznGqdCtkHITQVIngpvpfYAq9AOoOTvBmT9nGrwvwwtKUccio/UGT7FrNJmg2Xk62+5qjNPm6OU XxQIsIdiDAH/taDfOOylSucoI+G4NrL5Ztvb5IIagm9/Gpkc1fL6bsPK2y7eZxm5Mec2JVK+COLn 8VmReIURtGglD7e5b7m8txJUFfKorK1bsv9I92MCsLPqQR3qpTv8lDkDu2M7QeUzo2DmeT42VPRE 1NUnJ5nVZ+pz2faSmyqEpiRbmiE+RPHG0Si2Hc18M23d/jv82m9FhfpQU811xJ0aMDy/a5G/gg8g oQ0bG0WvCd9ZWyRPGx6xm4rAEXxj230ssZLfa6Nxh2SUz+REeRH2DEYizUDKJ4UiwZqK3q938oYJ eAn+V1YC1cCN/Fzwq+y2gbeCSs8xtspVyYxRC/tGbKC/xjW4Z+QxpxvapD26ut48eHrKIt7+oefS D2u0U72eZknIDuS77PzwImDWHumk/zypKnTL4W38DN52fRAipbHwl6HhFzmaudCh/tmHbv5OJKRq q+LklgdHrIg5CvpRv/A+9RsTCX5oOTJOQpY60oLL0czwyeQ5xQYQSMAkKonflLJ53P+n8VWLiEyc eMaqc4tI0sw31WJ3Uu9Nnk2iU66e94WfSFVZaFrrwtaO+1gUPpznnFD297krdr4Srs4lf75SEw4E LzXDQREbyLOvazla7xZTbR323OAN4WT2BX2Q/+iT6wl+T5WMD7sNFZ+pBxpeGFtGYdXEWfDrdB2A YbBq7Q7bQuMLy0p4nx3E/XGknitPURP3v+xoQtJkwp8l2lppBYs59PYICIt0Qq4CF2p1lLaMbJq6 OieXMvY7lEIou+sX26xwy1zIXDSiCYrozwd1vc+AZSuXjs9MXYJK/W5GfLAWEg0XsM+oNN1qFNy3 silx4QNjtRRhXhGst9XPIbsvI+jTR95qpBwNAy4dXoO1APbWofziGtIlVO5S7L7xn8mIoZ4z0yKF r3dwJTYmWeWeqoSgTt38zxNxM+KTq9EoyOaY5z+0s/tmceUrrmICgdp41N193PCr2wxneOaVuGtn pXtZ2hRk3wNG2ZH2Oxy5ljR7we22k2A9Frx0i9OOqbpBshXVbi/zg+jCY4eqOnJXjhA0vwewspw3 MbUnjiMT1lFKsm7LqsHYAhRKd/pOfIuoId1vuObriEPI2wnSxoOwgUWlNsXSyIv1ALzQ1W2Xnp67 4mWL8PqtADr34VfVvjNxQK6GnNd6jNFCh2B1x7IPxGV2HtFUQO8FfMW/O1CmwzZHAKFK2VVBB0I/ D/dUddEDzRoT/M7Ogut6qgb9Z6boCAJ59vKbwO4hphdJAPfE8cK5/YvCoxrDhAtvFHHgNds3o8J8 dt5C4M2Eu2Kl/LSLYRf7ZqzaJM2o1QqBdGhYVTnyNoxJVn6cJkk/nEUOzqnQQ5kbAfz4GsxE8mdY gaoC8WI7nim0hQaxPX6IbZENz20XLW5wNxmhq+2hEPGHjzbAy6UzYeMkdcb2JhPOCNmViOUObnnx PsknCjxLLk97r9hfkqLeQBkQLvPWmVW+uKA3yBCyAFpc12HbtosU0KB0MU9IebhfiSLF202Q1XtS 5WnISEr3DV6UE/qqSZ+d8q7ILIKMkMSF1DWVVkvvgrdfyuI++ph0HaJVKldhxUElvUztC2+nAGTa jXeW9X9KipPh1tX21XDt2lC1b3tmQ/UIxaoCwVpGxOfakU8G85xTs4SL03f5yCuSR4LuepWOxHyj h4F4BES2zm/vExPRE/pnKl0/jzFKcIS+R7e2bv4XQI6BvXYvdkVN6PbpI3bNuuGca5UquwOcUQzT x7VKXrbFv6eXa9RUW10Ai4r4MLK9Wjh/+jN54Xp7fFGzyfupoOA26ISkhmOvB7LktaY6grkDLV4Y r+g+hnToWNAcQaV8e3wm+uuQMsyPIvlmO2LdNM4Tr80BIRE4kRoScE26BW3q/2SakQwyQspt3ok2 iSJ0TZQSdkSyrz1nbtlteA35ddc9pKoKRNl4JBYET9IwEIRls1Ic9i4wzhIF/JARaZOvi13BZ+0P uWkjoCYuBunQEe6wrPuYB4BI7BNuSY7aBArkpMQCzIfNpCE0D37uL8XTun1gr5iIrZcOE4ZO94Ks XDPFIMMXQ94jONDsFHAQHiLFY674wZ7c04YVEKXZr9PYOYvqf0E4aYApDzofa2auDUt1yIqVQj2d 7rwjrSRihY8OfvZu2fYmoXOJ8pb8Ulrlbv8hkzWHsmmTPSGkIxPqCTNudDat44fAGmyJYfRPCDoc K5v2EuSIs9kvTMKpv7P7n4LokKMMPbsGZX22tcpu8Cb6e7WCw7JzY+a7uglxPgvDXS69kxQqJ8eN QBaVuzNwhe1GFqW/bjIq2xhjI68lephCgy554mmCSXODOS0U8pjwpFSqpZqLiE8HsRL8vVPA3Nqc tZs674romJWPPN/DA40bo+hrLg+YwQ9sydxl6W0Ihs5zqwB0/X2TlRTprp/2pYMFk0XUDLdxvEqA gMIbD3S0gTb0SPMEtUKDr9Ao0XcsjLNNpGFfFgBTc5/UU4/D032wXIp0eJUDJ/9bZxKn9yJJ5qL5 UEmRMmjhoaZ5njdlePqwTBCSstg4Ite2LkwU5cTGdOa8Fdy3iClb7lBewLW5n6nz5rLiJitYsbLo 2+6aZEkSdJkB61zG/QWYhaRmORGGjiJI3bUZlYucKmpxyUCWWTbUwrAhJK2bTybY4VWIiQQzzJbO rUQURQDXRAAJndaKiIOA6dpZCf9qeYxvnO3BRmcXusj5SOo9xFuYnpoV1sx9T0LdV+opIBGVeNvr xobsDdf1c45KXcEcly3MD1W7gSgk15ffzOmFFMcqE27ZnVXP6XzXn0cAGs56fLSrAnwCeRu3Sg1m Yu2jPOxSxiVbeo5u19W961tM3J2EqxjhsOvH8rTG+ydWJ3bSCXxIaSUP/3bOVnT7Ke2FaskuXlnF yeQLGkROxyeOh/S214HyzB3I1MUKo3ckAUC61aHNts+hEf/Q3QD96JJACIuu+P3/P0ZRCDFSx3IQ lPx0j3XHLgzH2s5tDC9wV5ZFJKk+OSIX5QdgOVwGdJ6H321pnrpTsuNv2zQxuOchCreeDwOS+rZ4 ffF4KkBtL/Q8cWCzgwytbTHBne6PvNTcDyujcTRPih+2M16+3O9CcEghZEVyjj2Ahaa7uwMwK1mC S2blJcGm1HHUKrzL1sEOj79thL5rfuCv0gkJywKyo1oY63MYVYuwIDeMUHYhsUjMPeCxhf2ulEvA S+osykDW9W7ydN+SX/hsF4GJf4psmrO0gk4X9/pzgXGg0K5aqbirOLE9aPYXXIdbRV7jf/+FrpCT xhJ4Vuvf6PvoVfpjMj+pbgDEOGfTDpr+/2K0ewEnIERmq1i5rUOLOX3qe0GJwxHcJGZmmZPG6f2n Mg6RzgVkeS1mt2zPp7V3Yo5srt/trn57yPEo7kT1ALSQP5f2JoZ41daLszRxVvGU4QeAyKiy4urU ZjbYjCRE+UPGyvm5+rK0iXS6AtdVqYcpXFcHSIoevoiA0Vh6SQfXekcjwMOaPDgCPx04+X3P7Qiz KouB6VRAesOu7h44+wYGnNXd/lAVXjowhb2or6EOP3IaX9L3upDKGkRQ3msDqXz25qdpMkXYvzqf qMrN5dqKsiORcTXsRlcP9r1IK4URyhilc3senDTu+9fEFr5ne78ARK24Ua2dk6m85Dqq2Y5fo+at 7Y0ISqZRCyvBwrwX164s2gjrZRJtJdsXIvGba2ou4OcKgh/+fXSWwAMuk3Az3f04yZXicqHcuTsd DseSBBYVc4rMMWaSE0mkTlYa0SaGfgdoU8RY9I1wlO0TljN81ZxNoOQy5qqj28NXj8bTz2gy+vhI WK2+YQMOXhawnHuHpnKw4mNRIOqlVp34UeIDbYd/KbqbZu2xns3IDRk5aWcGf3g8e1JtiWB2n4w1 ugC/j/WgG5RMRRSpk/pDucd1X9Urqxa6yE+F+6P22gtI8UJtZddnqGln4nfgMifi+I4jGQsqB1LF WvxSR81IrX96P3MdN4+QAE6MMC5g1NP++gV/DqmmmGl0lUpWDLg9FUY0cjhqp8JFsDzz4MUHfHTn s7J6Ju//ieTnPZ0VmYfn0nmmLYdXuoy1yUHBO8Dk4887FJpCgLL43Z6G3uoEz12Pwn7bjwUxt0uy AG0SKHwUgn/aakGtXaE+1R7NJ8hybLfOni/SxgVrFsUncj+hXLatAOxJZ+FHsK6kage+1oYmNkJR bG1HmRjq8JUhNtJsUsNRvd5DxLaWBlNJ6AbAg5dX4co1JuWHZHqXFQsvZLKXvzwKOoG4gkSGE6Av NGwtAlLOVJjMtd2Xm+ca `protect end_protected
gpl-2.0
c614a8a5cccebfb9362dcf32b5d5e957
0.942484
1.85483
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_datapath.vhd
2
73,849
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WL/H5BVMBNohGqKJ8rfaLmHY3zW+/1wiAT5jpTnh2hRUG5N7f3J4KBvEgC4zzw2rsrU9FZwHa6nI 24cTGQsV6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WYcB61IxJibVjQ79qiGubSmZgykZ6Z0XkqBtxN8WSM2jL0cv2ZdKTwzinyXXhVDVf0Xb/GzIXtIr 0dyqI4E48x+trNneCe5KC1b1LV/5ze0PbBckmjmmTXNsXAJ4+FSUSvcTB2hJ6A5Rb2kEziUKBAZx iocXZrDRcy5X9hkdwMY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1ChKPrK2BEQzpDEmIqU0ugCPqjW5lWlvlE+ZpiJlJRFc9CE6fpqoRvcLIeTvAa3rTUkuE7ha9SwI oHHNZiuvOkNhR6i1Hc913wiGPlJZnfWQ/LLibdwzt/wyCgiz79nFC0Ty7SJZbfQ6fWay4c7AV1/Z 9sEpwL5RTkGGDc/ngxHqtoJLua9+NKQRlA3JpMrN9xecmYN3ewB98IWVG+ja3oH3A+3aowLpZCAS F6a30uI9M3Q9OlqVUbpym45TCk/ja655EvFN5lqd/IuE+2TDQZ1CqNvOGmaAmj4Cc+68WQa1n6Jx 9IELFyfXIcLtlqsvUgx8R8jsMoRQ7kIIwMxGsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DLRTYRKZ8S0qFYhtLDnIsVF6L+vgFFZqKKaVMgTd50ovsxgxvwQMmp9NpapO0x2EofiaSOnKeNnd bkJpu9JcsPR8AKMM/h/ntcJQFTJvs95elPfadAwB+qwjQ+zr9gXutwy92Gju7w1ZwvkkPJxgVe6h WffAZQd8PmBYZdiwgSA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block odwJbqmeCLxiofibznAdDd6WCO4VO+sogTQCYNYy6ZZZXNEE7lk98v6+r7sf9TdutX7cF5/PC7lC 9m+66ePVjwGOUU1gxw776OoemtHhNwUma2Azk2oE6Rlvp5L0wdfQVwNr2IQI5Y7qQ31v3g3Amtqd AZhowuXhY2t+SGasVna9p8KyBRiym3FvKuJlvb4jaViSfEai41KXs+xOpaJlGjNz1vsx8qDgAKQd EiuR5Fc8VE2OL+i0H35LiSvXslTFJNOiqYJbcxzm3cy9c5Q45g7eY/6eWVkYNMpoJFOWsNynSRyM FXejubeIAM9aLgsGtxGdQO9Zbyo730gRtk582A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52928) `protect data_block hkpY+5SbiBlsxU4dxOjNKc70dc/CS1CxMLURZA15e7Uje8tCFvZHB+4jW5MLh7w0K5pdHr0CK8gF wtz2cx67dxUwAowu1S9UXw0k1ULEahI9t8jh/19Kdu5ab9/Knpj0SWriiog/aAkz+opZYyiL3Z9d gl0f+iEUiQIZh6s63hhV5SnDWyyNmEAk7WmUTBmijRGrAURIG+6NMzOecHBROPdrxJZj9T3RU5/9 Yz0cK0GBzTUTg6qo8d3+4hNQ2lGHiT5/VEa4TScGJX4o0/Mbci7zJuDiT2M8/2tycEHq5nhbOYSA emxF0Jxo8yZ1VUwN8PawAJ0ttGcCnPxnBvBKpi+YEx4DsdFVP3AkmptIbjmdwGzCmPxXrEUabAOC Cadky6FpqipDxunKP+IQ5N+J4GHb+NlDWzGpHKPOvPb2dcbFP/5ew9VZAU2btVsJPISjqmFpa/zD mUDvP9jA7rOsKi5xV4eCrm+L48fVcYFl6ND8IylAHoQgyRZCtwcvLJd9yaGoDCzWj5rD2pQGiCrQ Ai6L/9K6uIanbzrPc9EDAjuPcCyuZKGN9C0nr+pQkpg4Vd+ribCsbPEDwCjERMjoyrmEs5p0PwBT f+aS/ueaSeRgukePTa+vaFiYttZ2wiE+CrqI0JxC2YoivtUrYkxjBdfKNdtwCTwOqKskaThxWfck xWBD/Hki1uqhuAqHApooRH6zQwNTr+svDN0y1ijKTN315C5iL/pXSKPkqM6O46X1e2nXYSpc+XZ8 i7uCkiqwLRB3PYBUt+/FndnT+FXiPXyZP/smNJA7AjbceWiBPLEn+b/lzBbDdqCnExgWjl1OboWM TQ5Z+xiJsdB5+PCbSnKqky/2N+0gJqosfRont8DWRA8KMvd+VxOzOVm5g88hZP/Kqb47Wecmt68n gQlad+96NNZV1vZQ86fk2q606LGviaX0xIrkaNwhUBF7GkodiJ84h4WUUVO0cmpgNvKmPdzDXbsq Cgr0juPWjO/eQq5TN2LGvvKeDYr4hnl4sADbhOfC5o9lV5od9saNgPbCMLbw6zqSw7uIcNsn5zcr 8UnIRBsIZllYNC/lRBGYltsy5irNcTk0T1+CMhjAYOL1kQXKxR+m1GAQmpkQGEZJ2+Sgwmyei2Or PIcK3I5Z4BKgxL5U7FmkEpEA5noaUpUSg22zPnHTBaYa7Di48YwFmjMAwdHMm/4Au5T+P6U2HV6Z TiYIjlrC9t4kimW0MObh9602GCUmloEX+gp9B+l97C5l38/v0UbaIjpNMiDkBxFIGihQEHMPjbTF QOXTkCr8dNkVLXdX5ms0SrCwLSZTPbfuIKOhVQ+mXCxaZGNMObRzrePfznoH0qWJSqTP/l6QViOH Alm92KDoanAqS5zvBetkxzH6/92xEUleaPNDoprG5sMN9cODBb/wywBXXoF45c9rkDfTFhybGQyw t57v9/ij+NV3nhVhwxO+PFhanD6AKrBoEFEOXocmFBe2Aleip5lRDsTRrfPdDnjDi3w0QZ9HFop9 yNt8ivDuPb8nR/G7bEjwwaSWVYW9fGUv+UpIuasgGTilzlKyIi79bDYjZfQO5nGoPYT59/3s4rGj PY0b2Cxk38FeFmU++0mJXwwcsgynr7NqyeH50O5cFxGiH83yiO1jMq9FSD82MA6c71RAjKmKmFp/ zrZLMq7dJBNnPQIxCd9RBOeNop+QF1wWjfo+qICLnKaFSVhh3zioYrV9Yw7e6dqWE7tGNDBHahjk qzKZR2pPwsVzeCm4ZYXdL4w6pxo9yyh9Spcusg2DMsF75oOO4aTiKxxg2bWQ4X4Kn3HRp3ZDO2E8 iygvKZ59JpLeBVPkLypCP0rLRGtDH30X+SRZ15Ets6mR1Xq0hkwaSAgw9e5qIrO2d3cUubH17PvL wbfCPhLBY00GVnFJhNsZbmP9S2hxS6wDx+TCnGX9EciIafTI6Aq1F9LvUNjM3OZXaikvCLnP2hvY Q1ptpZtNj/uX6EkVRFLZYojlmgzk2LDsZnTGlyctds3khYxX/+yrnG15/0uulR4/s5U32PtkXFES CfpPSsLiE/UVZlf4QtrS0L7EY8lth1eJK3QrfU/+NRO+Wf5dDG2/mbUqaODcKlKZx4WLIDzEYJRp 0GFk3/d3IaNfIyCPdCkmF9sQLh6k8rONitlGd631r+Siq9v4I6Sj+AAjMWBXgc7OOcgqxAtF7d9m qYnQ/x8uQY9F2I3VPWsNod7lux140jQh85g870OWRlZJF3ELZCdEkfha38rcCW6LrcZm8LXc2jLK Ceenc7NBhEBb31l4KMsu5F0IvBofYmdhY778UM7QEXlFKWni6KwHutVjmhwcUv/n1JVfyn+ybPPW SE3ma+KE97udZ3JR4Ogx9HKPHJwX5RDpYyxU5+giRiN3X1ZXdAF5gBApeLlUX8DDsw+ajWw9Bc/6 +8Aexb3x5z3AMFJukWDX1rAAFgj5vOvuqJNRNmaAAACVIbteYfD/PM8cxhqqFecQsiKCv2MxrCdf r4t+NjPdjRUNvVpo4m4NIZiyv+5N1yeea8S6VuxggBjYxjiY4xtewNNJTWt7gKrbfn6kCT9iIXHz 6TnsoQ4A6K08WarLCq+vwe2hGgyAoblnj4dGpOuoTdZyp3yz/Dv3XhQY0dViGmVrnDNK4AdhZ2jv P2APGrtD5Nf3xjD30UWi9SdCjA2MlKsSTcoFllt2ofgjtjbBQAKedYZVCIi3p5k1+TxHKRBJG2Rw 8KNObF89yUrRZeal+1LOr6L85A8eHKIyA1QjdEqbo8GFf3YUHqVp/C5SRaSMAWkolUVZtW4QWQAN sNV57b1weuvM5VVb7y9gD7ibtTxlN42yCWx/lgnCBPEu97HlgMX6360yemStzlTUMbi4GK5GCoOw rLZxV3AZy3vuCCpdq0oRCK41sWcQ7Pj/sZeda3fdjHjGtE/F0VJng6j8ZCYA7P5MNvoYAogDrLyA NEtLa6M6yUlTYGjGk6+8o2t191h6GXfjX5QnNdUWcLNpDaJDvPHdXynNkBRjJUlJI9nDPyYqLqWp c8IakPvntRjHWdUpfY0O4QVOxaZf4U8iOX6WKH0sgoEA+y1tkHRIz6/l8Zb1UKA/LuMRZC/aIMrj 3mygUJS3UzkrLTcmZXIHHCydYOpJjUwME5lyXbvO25i770qsP5Si8xGJlENiH4ZYeNzg+08lK0je 0hbHd7j7FHHIO4dfMw9+u1Dkj+RtgNbNUfzg18mDAWlNYCwzU7vK3UHfWai5/6kCZlnS6Gesj+za TEyzUOW0zBsODZf76FgKddOWHKL32KyEYn7q2HJKX7aN2pX+soWOhVquJQNlrIXvUfZ8jCGTHneZ vIiDj46QfGJ0tnXNB3f+KvUXiwQqoBBF0r4k2pGqFOhM15IRCAtPgo5IFo4tMjhSMIbjeHtjEULG jp3WDWzxZ9K0kWXueNiLe3ijPcNmt42XDkMjlvMCQc0TcP4Xu97gePANil97ai17voksHk/fpUFI RJ1hA1TEpEsGvUS6d2oGI1t2ydJpCM/GrrJQF2RpeNkNvnC0Zv8+VBpGJGZekmlfdHLCfzU7g7Gw w2NYQsLeLUs1ZOnuA2Jr94eFJSE6BE/f7dd68fgzNn+sigT1gLqlcLCnrvBfTuFqi757neOWz1J8 UI/M30Wi/H0nXsY9YXplNcldKd7ePlQvZMcO4sJgYch++4zy8w38dfJP9tfhoB1frMFSLFtLdgDv 5uxcGR9p42yw6hCJCx+9XbcB5jBft2lOSos3Sl+rGxyWQY0ce0chxHeRCZ5iZZFvj+I7RPSEpyOe Lfv9t4W+WCE22wqDrh23OumxT+hRmhMjPQmpDriEeoQc2KkyRf1T/WC3TXZ1FoE+4HX+wyIbuVot UgStESPqmHkr6vog7+Ck4c8uZOGmWfw/3vf8ztSWQJZ8ry3omGUtblXhiZiZD8oLBOhbU0IGHgDE TbkDd6w/YSdqcVnRsEvv+ka6uamM/6PA0RSPwSqJinTfnazo8hWAB/LBM8umogQFKLggY4OZSGwW PD5V1Z371Zveo9txK8NIh7G1qwNhfRdKOevNIxDm3WOZwINuN1r+xnSAY3jMNAL6ueQ0XLUMVnRd zzKVRuGHZvwAwONq/suGFJQueLnaTuwjZ4dugaX5EcAXVYdjJ2KaDqvxl36jw/koZ7jP7DtyicIo ZRNxKOzuVY3GftyZG3Ncb3CgA0rLkYKB/qsVFHLZdhG5dWdfNLi2/oJjeP9wlabEgxdyGgD7oqgu NKc4IFo9B9huMQzJGtGMiWPvA2YSzzOqptF+7xKmPk+iJeENsDTzIzN8uyO9LDas/yERdnpCHxRQ 2wL4dr9Izrv/oqQa/W4tB8ndPRgaa89poLEQwweTx7w82Esc4Aa8lQLgRzhAl7gR01stnRdrst/H BcFMc+XbGwFQqfebAr6NdM1utWIQ1Xu8PVfqb3Nu87W7XLwh6ETE4I6oalizim6V/S1cHyGUfZuU XH/yPMXN4Q/1l0oCSRmdOMl2lEGSVKNZca9y+nFLdpyGWb1/23Zq/V0jNOWiv3wqQbK0FFrZ25Xw 7L3GnHvL2x1YilwabBIFxt4KUDhUkcCLVzd2XSvxEdpF0oL9KiicjhXw8q07xwDZ8jfhwmFwEU1s JgwiLhFJsHyP6RxbPm+GF1q2eJz8rGtDNa60xcU6jViGDfDkW7N/92PqfOfeRatbzkSa04/3HfPh u4HXv+XWpTH1fOZzXuQ+m+tpgLPUdAVmXH7eCjS4X5fkkfoM5CFy85Uk9KLDpWnZR1u/POZ5dVv3 bLk1A71n/LiwSoUo64Hvk6ksHJzSJsPBKaP+tLcrEwW7JNem0/DtPQ4EW4aMcxoxNWkMG31XdOWz eB88JFmw2+pNYduhlvYHkgfyx3siRi6D9/WnqxA5+5u5zNWW47NOVbU+dPG7ho9OgZKjK2ANWI64 f1xA5IlZXFiclVzxt6P4uSvptn/hqmwuux1yD6irJ/kpyfFbrCfpdx1XAkB9l17IbPPveFE+N/Af H/Gt3EqQMkARhxglZqtOKMsfJmAFBNpZAMyUt1qIwuc/1EIH5M0wgEwUowylyoubA8iW8Tsg8yYb xYwtPsxCz4aap4aEyBwAYEIvXknUz1e1mFVekdZUcrCJmwBbA8NRVQVMRAFH2nWIGh17XDPVj33j +W0HMsoZJXV6ulJzpHQdRrH+yIpaW7cj2kvqiJr90FXpSedLmHqzfq4TO4r9iEzS+NBmxCxQXIg2 nS/BEtvEnHC0+iFrJwGzXebm9sTTlBv2jTbpXxTWlignmRXw+dA/zLZfdJ58QgzQKC0yVtSqqs95 N17O27MWhbbGa30mL33PrDuQG72wNTi8yx75UqGHC81L6/5hbbsRNR6Je9AaRE5IhAtE8kHMkbtB x6JItGrH/nWoqt7qfENqrumbiMgvfE3LHMChDH7q7NRBfF5HJ8YULZoE5SYg4kcFluj1Ev22ZHJI 8shLoLlhEpgiukf0d1EcwJx01qJVBcBNOq8IcI3j12cmQ7bfnVcYTEoLwLKLhX7uJube4tdz7Sn1 cjKbhW1mwCnBUSyPiSfCIo81T1RS3qEaLiuRuF7xbsvaVJzcAFfl4UDEdRFfzljnKzEBpPWVGliF fp6S1TlsF1n7Nw8c9YKDA7/CgNYGC/EeXhnjhzCZP9eqhwiPxQaqGlCrPhtQBXBhniQI8vVtOqCC tBBjTZ07BCqoa1lg7rAnlQmmC+mqwz7xwr9NNMqFBAJ9WVtF+B8W9TZScaexWrAeeFxIjSZAAMLj 1F7s2KQ+32k6g9lEE7lDSbhmWAAqJj9/8/B2eoREjqYcEWF0ZQpADOZW+W09VIS7hsPZF/cIlqDv O5ShGeRpPQd0GdCL58AwhreOSI20ueR+8o92DSW2V76RJW1FKramc/rV/gR59fSJM1j5a9aPA6n0 crZFK1HNJUGB3UZMuk1SsjEtU50Sc6OrKY5QyGTP77f80prTwdYQn9PblNu2+OMvd7ie7iPQ5e8y uvm1BKZZZe6wjhopcsY9k+FIfswWUVEozHYHboPqV72PnnGHqHaH4xihpon34J8UntekGV9I56s9 5ZgsiqpLw/E/3UEekuIfjWcBxzDY11ud/YZGnz5MYHsRuzUiw/aatCDhOazU96LiyjX5/+VEaoKz dAEtoSsgHbH8xoJjTGOBr1/fsbNaQn7gm8BVclnACigpSGObi+J0aTRrdNoKng3nqzKUziTDj39/ j+FwmU4+bmi4Ub1ZiARDZatpMuzI3kCE84neDCwuhw/C398IKSpaOLguV1Ud5ZpcdT1A+yVHkk+V rqNhQ5DRgB9Amg0QcvHmfng6zFH3q5fS3nMausr3sE2iV+q7S1HRmPuy86AxLAdimH2rE/yq4LJ3 /gXWdQ/qPSj6DtC/Xjk/xBUUz1KuUKajMgfdd0ACb8WTN5up9h3mz8V3auR1MS9IGS1XQl6xoqrA +qk5dLNn2LNpm9wtL015XmDo6DpICaf3UbKWI7mP9kUbZGhFMwfJTlcHobBUoA9WH3rpbPOJG4w9 AUG/ORjpUGehxOZVlw3Om320kGqrd2ozuX10IZjNnY7RhtuDM2DRzF4qRLdrx78SjRE9Spc5c5xo kL0GTNcYBazrnSwPfzIGtwm3pgK97qVOP78Vh/iD+LsM1M4GAk83+BcRL9bPJ0tyfoqVxDl5Sm7H dFF/I+nUkswe4xXd45u2m03x7EakHWre1NC/U297xgBkSsBrZca2apfKDgnl4Fwi27hMGD20DhY0 V0iC+KLpUvtyzqan3h20EIm8EcMsPSleq4PNnsYNhYsZR5H3QKsMQSqg4HsrvUXLof+s+cJ6D/5t eYBrNBGv17wPphYjld4/w1rqpvgAGpUjjdlVz1aVqB2LC1MI5fV4RfKQHqVQFK+ILYht57gv7fGO 9e6hydZSoRnVxUig4Gn05GjnGW+lV3SODQjDdtDr0R/HLA6soVhxc1Lvl9YP55ObXgfUv+lrfhMV mwLgd1o5fpNFR7jpsH+MWbSvkyUenneNVcdI2/MQ3jXI7397VR0z69dX+4L6SiRmh73/TDCKIGlA Q0haUXQhPpyeHVsP+AHA4WjUQSW2I06Oo32R0XKOiFIW/a67crLkQyU8XVsClBxVr/6r2JisgDkN mhB1fq6mGDj8w7ZC0f9dsPJmUVs/JpeJPGUT2cdXMYOkRMtZ6GFuIq1jyYszHE5/MdzPJ/0ZCSbb 0lQ8oe5nyQnUOTyORWHSz6ZL681eULYG9CgNnbrX21sb7edSxsU9xHOcDb3tk/eis5xN+vHxvxeh NhSGneyfEdgvTYzieQ2CKmubHeYrmNxMkqgTs4F7yj1v0dgcMx+w2Jjzxmy9rtjY+f7I3SGUUyML TwU7C+RMVfuGgND+dASrMFT5Ly0RlxU4pmvbQ3I3CHjK5Z7bCI3/07CURCfnAdVacT/0FcSA+0gQ tVCjHuvdrhKudHddWaGzhSnIOakTFDojz4aPU2n8sxtEY/i42fxzXWlmx+bues504q3utK83apHp bFaLNT98BJ2O2QA+b9nA2oVD6S/866Nx7U3rJnY2zGrSEES4XIccVS/GZTxdEvzWDQ5LT/6cj7ug Zyjve0jvbT1vDuigSvbUxQFDUZYXfhGv4ART46cG0CpvOPoSP43nxm820yOT+uqA/pBVYenVgfQ9 4a7qbLyicVMmbsoLXZcz5lwy1/KblQ8/nuJC42BYTygKIClzfmkdWlXJJUB2cS9z3op/ufhiZQp7 S2EH0hues+6rQtxyAVag+OMPw+88qWalAHA2rqW1YqJKas2FJmuITWP8tOq6fNTVzfmIRBzPd3uO UiWEZgWTtoAYOh4XHSyfVQ0GT3RLuuaVCQSrtgeT4Q7SH/fF1W2PilDZNyrClOayeQHBJxCR9Wx6 cg6/vKth5ol0Bl3pjwF6rgFQ8dC+OqwOyDArnIi8X6wamUoqlULctsLOMBJIAqFPchAoGwGtlWSd oHBumiWabl0MzlQgUh/99XDmpUlXYe945vu2sUrqMj7Fddw5mwIwp2Pc/TAPSaThtyRmJbNsvszV 7F6DJCBd85cRaKIPNqIixZfPz48fhdkDItX4xUuQ5ONPYWKfHNLonbDM3mO1T5H+K/zwmEfJLyGG quoHksUk4t2E1/BYcSne7TfpmEzNwWiYrlxoOKchMyFq2D+YOwea0FhPvGJMpoM/jWF3uD9tGZNC 1uMejbb7FwlosiL/t5bXdaD5eNyzM0XL0vgdOWQ89+6zVwXgixo3xpyO8DoZJswZki08CYNctpvv 1q5/Nij1Dj0p8s7A6mwy4P7WXBxBKPqAjHUSD8kBdBQt1s6Vu5LwzgodUoRjHWt7w2pkZEXy27To ag5qdQPFhRX/3hACCMF9prhxtOc3rWyCbaSGil/gbX0dFjWIvvuuG00NSjbgus+zMaD0hImg+u8b aoqEjvF7SHzqpgo3Usj7rliyekbz6viiy7hR6RHNf306vkH+IF0CIXTpJM8O7fDaJlHHqlg87EQU o6gcAFWRKxptkbQvX0f5bZfIC8nt9Hw9yzX8h7jTWW/V6qIemegLeC2rcWzh93uDMS5FvDTCFWJC q455X2n/kz0vvVXOWjcerxnYI9XaoSpTd5ZffPnbS4RQ6k7u1p8Y8c4DvGBf0Sd/LuHPIJ90Vc+e yu7+D1mq+rhMA9EzMqrJBvk8GjTinlP9+eAO7DkMr/BM8ooZvrZY5xo9V87F3MMPCb1jyYSd6Ih8 yvgWTBDW6S+HVn+lcHeT9+l+YlQUDyVg7qvTCMmqenpuTAm2BITM9WW5urKBcUcMLs962BaMAnnu hC9ErsOmucuzGl0pooUbKC8iKHEH3J1jt/xihEiN/oUmlwKStObBkE5C4lBbUxDQ4RI/PfFFSPRY QVUb3hwRdFyYjqI+eNxgIqt5ndsfSbrGH1887C6PclUF4jqWeQEsGBPqyWI3vEqEmcdpI6K5SZRP rsv8oIluUKuGWPL+RlFXzXyriA+raU+4urwxLB6Htx6qRRl5JsWtEWDj+cnlBNhm5gpmOXIfjxWq cjJntjfqBY8BeC/M8swSGhLWZKDRWEzIBK3/glHklY+3KH2/oftl7PncrE2H4jigrxrpTERklsd+ 3/FNhrfYGrJkXTXare9z2L13HTvDNAWvMCKgvDrqkDK3eS21Sw8+GrGUjRP6QulwpKg2+gtm+Y65 H97csaEvLOXnDJo/y9ddX26+MnirBe2SkPxhF+GDA3Ujq1CdcQaqE9ckZLA7wrolmeUCneo6HjvP WPK/8sMwZIL/F//wc1jKpxJbsH/XoYwOFKm3Y3o8/6CCP1evLJDGJ7817vOktrDsNweMpwg+QO3O 52tZ3ceMvGJb7zZFyYP426IcfpHDKSIIpPeipiuSka0+r9oTyl8peLrvjrp5LkGZWNESgbBdqLou ksFEstz3ZpSt7Ra3/bCVZKU1KcDgu14HsPeXSZf1HT3oxssYbNiw1BbSBXwWTT39Rxz84+o7G668 jvsGvT29kVSyNZLR93YZPthMu01c5LGaxmUvE0fyh2k0vdrn0h96H5gZ+LOxk2iCvTQwW2lqpmOe zFN9CezU4WOmffmZkpnVvEEC4zVsTVSiOfXAQNKqc9Ha4XQS/qBi1LLE3O84pN5RM2BsHCNV4pE4 q3TDG2j0fbmkWQopcmbhDVtW/UVdx17r25KmVNjEo6LcGO2UifdxAvhJuBYSIh31V/Hmk8u3IAXq Qedk2/Ij+HyvGEOtx0Uxd2EWy6p/PnFDjXvYTv5wCl/Of4jnVUf7SXwM4NufEL9xMoY8agrJxLOH DHEz1PFdCH/EyA8fNtluxH/Blv99ApfqGIWHlFPGfAIqaWURVEApJvbq+0S/o6a5Wfee3VuxZ06y uoCF36e+p+zeAncga+9h3FUlD6PgdUCs7A5+IMKGIi6Or44sIvVNS3MyUVwjRXLORXWDhjoOP6/B 4aBKl7E25XMJ73VgYgOvejYXtZALpfUKRJhQU2zVZw6Tvv+FcibXviQXxwWXuGQeuQzAMzhFL7SG 4aLTM2pjFkIofksjsye54SveFZ4hd23kKNt6wEjL7IgWaAVH4i/y3V+j0IM3GTngxvLakjcYxWw+ A5uoFVo+HqGKSUyl9Ot6h+s7Cpo01NyOZzlXLbKioZz6/NjGB4MeO7NoM7yRKo1Ev4llVpHxxNe2 BaumWwD53tbBnMsEG4JijUGT19lurAfDSM38Bc7xMPyY+7T/q91gPFAwNCy7rHtgkLAzMkN+8hpw ALDBhi53SZK2qg1jbPA2MFtrnppp6xo2scSHGXMerEnws4gowU93715rZQS/FQfGmJPl/AGA5exM ULSVGk2XxbIiKlPd4gWTCR5y7iAvRM496/anpsLFWBQxjUJ9haYeSyVUHWA+N0LV21yN/Z1mD8II YT2Qk9hOolBJ/WPrX8WC5a5rewLWdx5TZC8jDWcGsRIpca5ZxxMzY1xdIIS+bXzpUBn0Ubc+FfzE SotP47yMR0XZu2TAOPPqJGaBsMRtgz1NNrOWwfzO45C77iSqIaMuwqfjB+fjEfPOV3NG/zCHH3RQ MTnsMAfmftu7nlumfmJeeNtmBEzWLxaRlgP6E5UauDPgBgZEfuQVTvq0rFs3tMLQsob5F1Z+Rtjo QukLS0cwZKOs2AcE3Y0P3vmABhKJwmqGA3f8vQFGVFfM+i7IH/smXf3ZQ2D0es3olKWHAv/nFfJU 4aF/9BvUIEaGZXpojoS9gInb5C11sy75ekDvmppC2atg+zhPsYbycvsp1v5u971hLPIF+s30s+sY BRB7eYuso8gJHKJ0OLob7GgGGs5UJSL9tzT/7NeWZpJiIhF6/Il1vkK/jdyDOYUJxZpOpveN1d+T 6qAHM5aTFpI4vc2j5pVKzNe+jBs+jqlAey0IV88oiRpKXtxsnEyu4ga1syrwT6k+bsAA7e3vldTd EZmfRb5swKIoNaLKcYbBXl4GscFachvgZCLnqSR8Na7lCkCt6Ottdk4XHoFT8cSJEjvrIu6Kv8yR NwHKGupFAs14BJNWAFD4nKIX8vmqYAb86x0rBUVsWWIHGp6JX/Qv/ejQiUL2QFxp0n0pH7Yver/G fu4G5dMm90EfFELxepr9RWVrY2POq/8ligS26QjTCfpwbqWaf0waD8s9Gyn901M+w3is4TVccMHW H2iOqlmIcjn7BgweCkUWqjJk1Q2JwxYvOcjoYh4W0tGpAgkzp3PbL127gME6pu+f44shRhRp/fi1 69FLqkP//2e0yKLr+lYshCK0RZ8Wwg1cQ8Efw/GodDuaR74fs/gjw6UIFED0V0ZLzYtLJuVWjd2l X/r8uCLNAEXOqegIP0NQfKOL/9/x12S4yYYNFKNlklj3NTilBWpufcu0OYIuUUH1wKwL4++fqqd/ L+gVcWIRmjmhfP/qKKp8lDsNZrqnEZdiEmn+Pcg/HeX5wjYilBvzhztE+HggGplq//D6AslR0yPe X1pYreo/azf7WVZ8puBnWjjpPUpA78gVKLh0J4+Bq4v5uWg5XC4XwOvwu349Q31beHb2cVgoRf+e ArIddmJDkW/A2olfvYgoHzEc8hrypEmwWSKywNa+6tEzcavDOw9ao2YpUNyEHToLqhdtaHzh0UnD nAZLABahua5a6+8D8Kyz6TZ5AYgxdeJ/0u2DEBqaWb7pHHUR4zptCT8cZXV4IFSlKhUfJeIDTIsf K3byeuclWsgQbjeGK0w637qvpZUMt13cAyD6NR8CNiQ/b96qzkWBeeMOT6BloklageOaYcQd8D19 +5sWifey8ZNX5OuMhOsLVXIas36ZEj0M1Qm0qJXOrMpf6LdSh751jKXaKT1Vqn6Gk9YmjMlw1PqZ BPUFboJzcW2Q+ZZ+2xYze3Z053srSkvjgQZd3r42hMtE8zRHpIbwfUbhSDdR9gKZZEX7XRa7nhUl sxUB2tAlnPB249zV/a5SJx1nXIebEcZjnPoB7Fv8lloeFuqbKLv71GnBxmmL6tZmmlNQSqZ32uA3 pcdDcMSl5QDvuKhu13/KJ7WHUupQLoZl6jJaK/B2ECesl500S3TmWpQahQWUijD6bXT93HoQipjS 06KOuSeM7yIvqKtOmrOtWX9I9QUZxGCKpFvnHiR7sIoDuaZTk6KhDjOZPD+k1Jqd6Hv2CDJNdUDJ BDHmxbZF+bxXA6IOuEcuHo0E028AlK9cvcrnDuN8tXjmX30m90cDhX6RQ9WHZjx76xxcaGdXCV0I jqCPYcOopvEzFRcmesdXwmuIWcNt10yxTEgkGKFlYol+adSNeNizUrSAnkXJy9RTHHGZo3pz9pX1 uFE1Budd1klQHolgalh/TsYjndECmRDI8iCzQrHnBGikBQkxWUW3VWFBKhoK1tdcc1eeP3G4pNvZ BQvo84KnsIXRBvy3g2GCLaFkjVuI1LIGlDXyzOxQCbNOzeahHOg9rGLu0dMVjm/vMCJwO/jHcFcj Nmh7GVi/NgB+03dnROmErsDdN5rwkVrmBe55Aksldvs5sjieO0pJspp2yRtc+6YgW2inp/WXPXOa 8CtdaWoEFSx66MgowBh8Le3eE60lLQyA1TV80kol/RA7mQPuRqwf+Hy74dkxBc0fpemyOKYj+mmV QnoGe6aNvU8e4p8/4uJ1faq1Dga0K0kLTA4t0mwsgfeRg2f3reaEIVvJXCOnPLVP9aFaW2izwkln Myj4HfBuLk48/YuKy/a8RPh6fqjQ/iZZeMCIvAuFCQRpYTl3TCW8HIIotfpjJqvlWDdJ0sPJjze1 AIOhMAs2q0wOy5wHvrKQkIfKIIcEvgylCCDqIDC4wgY2CAxGyNTDLkiw3ymgu9kEjAhTbDzWwBqB c6V1BGRawXZ/OOSW5uB1Rr20Cj5OoCSNZZMTxT5lhBDa1Z5//hzy5GFi4x2A/n4cMyJsk6tm0dCZ RotsmNAHR9klhHo6fxnkXxfECuv+IVbRyA6r9uSzVLGCoUsElHnbSnvFADqKWDGnNPtXpfu+Gfym 5iFGI6eFyOENRsBw5tAT7bRx1PDzPe/YlY/XssyvAu1IQhhWzdh2jfdvxOPFvEgKQMmkEJ02lP1U xpxTKrtpW0//lsFRMovnZ9uJGdGk71Bo24QHR4t0Uol+K+fJGPmAXf3pYVOqyz6PeC6gfZdBMUvz Ftn04IDaJOd+D1FsU1EhwJzr0BFkjNmUTaMyT00qUcS2kaRl7Dbp99wvZekbET919qgcfWB6dZqe 83vj5dSxYrWnzL0kIchaPv1pYcw/XwSuABQCjinr6noi3NpX5WKuWq+LEXUaCrBhQzCJrjMDKd1c tdvhI84ypUc7snfJnYOGMB0S/0RWt/pD05vbPgKAEwtImma6oLJuiDGkjCfw11DnXmW/BKWRznjO DhnXjupTeh7hwWx9w9zcjYnZBySRBjAWHyn9bfP8jg8FpgCxuw/ftq6hpztfBbrbinHqKs0o5If1 lFxxiecLHBZPKMPRhjET8NR+66lAR7ScY4a5p77aS6TazeSc/krAK9sLfceEIq1KbjBJYYlhNDu1 2IH2CmOenbgkCPdxd0QPerHU0I6qsCY5MyKGeNHg/kF5J2j+bVDuDtU4gCX9R/mKFAmiIKcl09Mj or8hvbObCnEjezAPMgqVOFYUPil0PEBpEpBAVH0YAa+TR50zbNe+CVK/KW9NdiiwUt+9C2ppxgdz OWGESj2achzUTi9gqhz7ljyfDNskURr7oThLgBuCWP4XG5ubEzhOkz9mCAO9ST+whHL8E12QvEJk XUJwXSIpSjL5EQ5B92MNziuXqIkCydyNrXQz0FVSiMN3/bCet6x1CgQWrOhBhXpfmKuW5G4okwUJ VVq3gkTdTqPzHOh9iWlNuo08wtsF6QZ+j01tl9NPQ28cxgEi3cbVg3DNtItHlS0vXPe8VGvYRxim JuDpgGpajvQLoORhlcxQozND1EzYbAL3CPXFJfs/7xTQsC1HnL5VEuid8BXr8Na/NGp3HskyaqZP muTWH3rm0o0bwSA/JFfnbR46gI39wDtHkzsJRZHJhuadYHbLyFFxE/a3LUKwrWt1W1t3YKQYRN2r ZW/b7zL6CJbQkeqgj8hUfXGBDGsuBlXqlg9IOyi7OAZS480ri0qtgOIQTIUprPytpsduFfql8YoJ B5050L6sC5ecruMw/lCz+V6aQWpdXEviGcAks0u9GjvQPoQVx612ZECLtILnPCzFRYgZ654+gFL+ hM2iXSJkLzjYs3mXkN3+UY0XNyPLIZyggQhehNlxYeLxGZe1ph9ZZxes2zKKVeOjk5KuEulpNRNS I5nz43yj6eXsCEHebdZSOnJ/WL62mB6n6MDkK90oWJtYruAHcowm3mazZhYt2g4W2LXG9hKYX58V gWAuBLxMOEeTcuXrGi6b3BsORI6FVS5ouoS/ZRQRgEWc0XZpRbz5Di2pPzaqRq0w4Mi2COUecuSc yTwfit4jlNmw0QCDKiKkRskFn4m3v91hWJ1Ga6WIZC51dkQnV0Jjf0NrJhJncVFO+H7JwAeTfymA 32eOodY9MDKWt6LE7x6PqLcHnBH6cN9T8jJhVhqL5mF7qT9OmdNV5zsL8xpUjYf+JBFRa34RYKro FfCVoGxyBJjQGMc1JtW/dOQtA/O5Bx0xVKtFm6L2tAJvJ1e/iyqMpth/5VT9MGBUSealuHovbaVt zrk7e0bUuj3hZihZ4rcxXdccVvU4x8UKW2Rgabo43rsS1wAx3wICCCeJHG487M6I5Hz1PfqlXQY/ eh7XXreYc6gf+/ylL6IL+JAmn1Y2HpBrcjEjxlgeZMI6GX1OMAl2XmCNKC2GG8Vkoim5m2JLjd6J UTkIqET0itSBGugzOkjUOvT7LCalA0Mrpivo0OMwL7XYkf1itWkD+J4FQeVBn8HhnN3L+PGFhgog suwh2LjHzKFD9mMcoyz+kGMdXprWlM+ShkHiU4NL+0PXhDw25TmuX1hMumNVZQv15nWaqkgQeKHe bptI0D5fcy3MOkptBKqb/ItXcFw0Evj1YI5cxN7KVP52bX2K2vRRYn3r8Lm9k/evVKo5MofA1vxm wFb7+HWV6ZI6MR4LPpi8KJy/zjOqxbjPaStOVFn1X8aPe0LTpEnorrZwm6CN221B7Z1+y7vCLD58 akG0MsgrM0vMIrNVwQLJiC/jNhFsTZgS1y1aEsTJWZorMn+NoURPhPgdp/n+jbhhiITVe/uYgOiI Wyye2eH3A2gO17VSaAXXOM7gbNbPyLm4whY+VXH9bg4U/sQH52FBH68aBJc7JWsnqIsw1MMZLzBD d+Z6FIYzReBfHT+vVDdUUZK1WKhYk1Osj9ildQiwQf7UPeV/+kOlGLpWFlZeIe3dQooe8UZ4f3Dp 4DZwPuD1dlp+Mz5jgGy89Ml0CdRWoiH8yjhTU2I1masVLxD8Ey/VfjvOgHPe2Ogn0BaJK2dhdPtn OQgD3oUKMHCuIE87Af1RG2gy3cyOkKC0SnRMzjx+kzrHOKXdh3w9CV/BlRXPueZ28FpQuvmKxsun V+nfBjLDC8CR+58+nj+DbjICdn4MviBarxW7tZaDaDiTzUtfz8aFb9zqeh48XQ9Zbk9J13jNbaUW +ybci97mJIrTQShNeAw6DluI9l+L+Px/6L6lbiAtRYfAGLnElaf1Q9cW/LdcCUG6/UrzeG+mE+7F 4gyMlYY8ogxpBDN17gsz0gqGEcicDFjOhe00lWLCkJvtIEn52wiJFDp6Dj/WNN0aIRoUAode/q5v uNdUpJw36zVLkSzleoqJc2LnD9xPkTLLUnL9valgq3oylrMvJdhYbdRXaLM4YjUnNM9fxblxD4k7 kj2qVMJCQviDRYoYWUfzrZ0l03UfgYC8tb1R1RxmSPLutikmWCQorib/IUheDXDQW6D3V+72e0Fk UKCQ52Javlc0805ivAzvKMsC4U93nGevYvpM4jwzb9oS7PpyMBQTv98xcKcWfvr3S8Xa/hZLkpt4 hwZBVvoFYQoAk+RteZNUDcjaya/gcN+oxl4NlllZkwqGhyvnFeHin1LsrqysdohJo7zgnd3hfmzB erEoJSw1iC4TNdQmGjtP6ouVLp4Cqy+src1TnUXXzznitgjufOgFqBxBd6ITTZU9GMaCx5qTL4G3 0cy8Nt0EEuHwku0a92+7Yl8ED1tFub3Ft0IDf815RrwyTdl/1hjT6uapr1lf/eNuAQAuhzeEPveX uTCdKALL8+1oMfi+WJZgx6cfFPWxWPCX+d88AgtftckXg2D+WD/fU85hyo8CAcPNJme4V3sMrx0K JGlkk+gQkszpdLnhbh3xh8cPBTM+JQfBX/1dDcA0HA/liyQBIgBLhpS+9Vuvm83gsrA/Bl6JufVm SkqvETsNb52wQAGmeU0D6LYIdCHEFzIIcIO22WR9bQk8QMkmj17xaqAOSnXo0BXslvDjf3cPMQHU lsXii8kQxw9g/BDlRVc36YHuFzGiWefS6VzXxk0R2/4gx/ZvDOuIKpXKFBjywp9S0qG9KzIfJaFd N7QjkOJPfJAtIE2nY85VsktYQCP2SAU9CDnSbl5g2lzHvw8mG11MWj5J3uKe1BuM3gp83Ub6qtrP /sb+xFDEcO2Us91JghN9D8gejmq92AsghAhqaJyW6bQYiNwx3urjO/x9ETWo8DFEInuttndH802M umrOil0DJw52JXrVSd2+G1OU6cKcv4ugkucDTtORSDJJ1imROPU10jzzoVFtukPbCaHLVRuacahv 2eaifEaX05ZNxXtu0Um/uzxsc0HqfKTviFFMxun+MXqzOjBj24KNBR3MwD8ApDE/BezBGZES31J5 w3WqyPmFHmvbFc1VeD9RvYLXINbWyWC4ut279fjr3hDw3NckN4A2blV/dWDDZlT9bCE99ylaxnJR or/CWIFQMRMLwEPjuWIn8aOm5CsFLjezCOq/LizrqIR8/KUZn+IGm/pKT3ag3vkUBB5Ijs3hOZHc NOAfnrvytr2tNqAnOVJ8r1EHptYj0oSxklA2EfoIGiD8CbIE2hvtvlM1Gu9Ns06heRht2RoI+aap uaoIZ8Vl3F+VV7vqs9TtUkoCGCiNMsOj2MJRa7ToPsGGEGZ+iR0JEkOcSQZ0u1hQBDV7PvV5SWYN tXC56wgjDhNlYvDqcZrAKC5LgOKUjGyhiTsAWXk1LV+3Shi2kMziPE5LQB5Q7noC6oZXfw6wZ6eb IHG5lzMXChpdO9OwI8u64CJU44UmVPQw109zzdSld9+BysVvoAGXHwOBwXc4fBsqIcu+wZn5zbsZ 6Ul6SnvggHrTh+AJ/+Eo3ohjkMbXYm75dj6HCQZd8fH6IaHNbA+FHYEoZsKJDOaHfVIVIVtl5/us qLqiyvphZfpBBDfOCglEqOzVDd6hq8CMWUCFJO5WULsUKPwlpcEQGgMdhJYAEuPaVOpJ4wdpavTk /YLDvb4JCJ+gaC/PtkFiQbeLGh94BQISLk/xY/ZwUJmKH6lAyyAv4y9olFrPFZUhTHRHK0cUX1BL or4kkV9w0odOjPEoVM5R2as2oRRf0b4Esuqa3bNZPrbNj86JQXJ6rUr4PhPEBQcLQQgG3GVVXwsQ AOhj2ixx4TFXA7jeTw/aDvu/022kh3VM3UyzX0lQ4R/4Uom0gyzxcOgDMFRuZYuLApNkNCBaczPG /EqkOZW12pWY//wQF9A4g2+ofAmDOENuFfhMbXbXjxsBKVciLQhfxECuFGkHxlHODsLvFEW9rxsy qVzcRu2Y4XgSkw4NNtY3Wa53efRy4EbA5/bt+mvrev+sG0x3XVidCNw9y20SPl2qK/wLRYFlQRG5 BYo+PUnD2kliirqbK5Nka5laDDc5TVnFHKI1zv/boIo7LnyVCBCkE0wvBzlh6ymed8dkN4pxxqOJ a0nAfcg3EZVfJYtY5PY4QrN4NlwmwiOjYlRa7tIALmynvbic/mWlnrZL7+Ae/NTqrm4Z38hLOY7P dJx5hSytVH0QlqwUuRchVvDL/U/ERavvsrnz10aV+E2A+HhsEybiH4Ka+u3rqST70vo24xAqupUf TLkeHMoiLCa73p1lNJ5PS0jWlpnIYD6k0dPslOJ5CME/1b/1fE7D+j17jHHK/tBcGJjnZHR3ffsD cMVBf5Tj3i5pY57f0PhHK6RkM8dxFcR0++6d14e5UuPSX99Oqzf04YRVMNJPLhY5PxvaltCvi8uM 2Bgni3JrYbGVunrb6z3edHTl2inwET3vH8kPkwIZxXu41J94FNJxIp5t+2evhGeCu+BcMFXY5kPF Wwt9oNNW8NJOzub6h8zisnSABA+Ag/7JofZEIVG/nacd9x1pkxYLS92y8cfqNDh4tLw/vF3J/nvn cCqSUwkS7qlE2vL5lpzEYvUPPDVNfPcfNO8+TXr2E0ihiQCMRji6g7mQrrTddm+CpEwdzum5ez7A v+2Ny3ee1MsCQJ25H3eMpJy2CLVcIMaO4n9n7xATZKLHFtW+REvL2JigeSz1di92jdXIOKN7RHzL H2aov3wgqwgma/Gq9hCtbW/GPYTaIGb9vndEvEe1shqgRSEQFG5FsWu2cXcmNu1KVagMPj2xfLp0 2fDYYAZFiGrej0nRwN+r7qyGJHWGgT3jnF4eOX/ApMWatlFCbEQsjoygxONOgnSVODsOP/+Uk7ci b4T1u0jtGi8X1NcuXwHwCd0MzWhrJ3QexKpMRM6GYzD7FItUNRO5gYz0d5Ln2R21qeK6PKy4EWLm 4PKHXpvnnHgB1vQlPpIYHy4BXLjjK41Cu0pVEW18Fv6uJF3JoxEHo3LB4D7JZjs12W83gMBWT5f8 uKHWDgWnD5BF++U2j7+4M+9PPYkHuoRlITs/gJ7/BYLBC7N33QHDn7PlTfCjmaYRjbDaSPsn0KJA zBvEDQXCVJygm715hAkMl9Kgc4TvmbTwhepLt1cs44+WJDDQiUsQA4nvnksELU0oK1rcFlp7Z8+z h6LlFtLaG772fIv2jp+P5KLDpeL3E+VqWo0tCrYFAuIS/7TRfdTeRUCE84FS8xjAqG3Fc5TUFiou 0lLxLebKlNnwmr+PLce62YauRS2uCIgVWcmoqp4GWbKbtQj4n2m8MSaBU+30nrYW2Br2fY5yXH+2 hzmBbEpW7arXx2mSDs+5V+oLCEHEMY93z9VCpCOTZto9tTHHLkr1l6VgIzpuH1rdIkAaZrRKxYCH v5Qgg7gZgMRTPZ1BK6CkA5flvyboyBLRLFfj1XC1GYUHbfFBGQ+YriGODaztIwoWZ8QKBA/CGaN1 yKGSEyXw3Mj3KUnucr81S37zW7BhqO9vNZDE0GKTzuFHbd9dIpD+geylxIoB9axp3J3zcPkP6ksk 5flPVDtBb9hGdV1KRt7BHMGf4i9jfgsx5fiRdn0ZXXL/rqReVLuSo2V/VbYd6aix04/kG8euXpOg +aF08cFF5ZnV3r83wMNiTFEdv/Ygf0CRUkxr+Vbz+Gkd6EGgG7MyfiDUgWtMe8N8LnZMlfWOXX9F mZnvacwlsXw99caun+bjzp1qz8OqPted/dbm7ve3ZoTV2OwpTRxTsgTKkRsWd+3xP5/8+oAl4SY1 gV7uLTvxm76puYIt1Awr06zy4+UJ+o/A+JQorwCG+jBiETWrre05gwx0pM/5/LVHkGDpWr6LofMq MLJpsGt/Ky4N9jnIzuU+LxJAVxz4eub4kGIOGpJUNCU3dwSYPqK9Ru/joic369zfIYVAp18MC6RK Nobm9OBbsWoTofAfdzaO6jBod0YhxdNnXNmnXUucDYHxLmteoDEI+5ZVLdwuusZs4OlHN8F3jF+f FSiI84/tB2UKrKSsL06tJKuUCl9nTyCycpkBZhoUiQABx9I7QC5MtR8mROijNUK4NXHGoxX3xFcp UIzbqyLWMs2ur6j/8yASCzlk3Yu3CwfzMdFqmIjBIWry73hkGqz36gnd6g/1rtKpLiaI/WuxBMZo rREH5xWhXqQBHgfhDpeDbkQjc6zy//SgYz7ZcBJuiMPGbcDlkdD2i5Yg6XVBfJRZ3AEgO4D5JZRM WywqBWNWr/6/AUrnHceY2olu9hKVY8PjSLrG/tW7ieh+uiidWY4yhwbmJeeGFx4jj0hEUWW1de0d Si5rEy3RIu2UxT6KAOym/GtgTHFTOlcwB/hCjcRdu4PfD3x+DoAmGgcCw8C8ioHjpJwWYdEb7oYu Ej5wzKM8a4UPom8odoVjf0UK89whMgPFD0ea8zbYT/iRw3QfHrSDFWpWKf/Y8DvAQ12DBKLet2FQ Ade6XeNEuxYEZnRnMDV5KUIGIf6EDe5q6MwiR70V6owLeJQzJyiwO98d9Ctn1AQGxRCUZjPOYPbP pH1rs7x3gGRqD+DdeH7g8RNkSBpRixlsXwPY+46TsWLP5uZPyDCPSX7BygNWjpsrkNoBsyediH0z Pdu+67KzuepFPPw6pqmWnB0bp1Y3TS1+xKReGSkf3P0Mb6Nxkttu/0DEVH8tSMz16G0VhABDrslj Hc+3dhjH+h0YhpmxRa2m9t9LcAO3onY+0ZPWL6yKhTc8Wx70c5YJ9ueZGdxUPmZjS9I7PkOW0MRb 1cTv/AqbVcfosaB5gxBKzK9SHcHefAZPjmgpEph5kEu23di68RR+eD53VSWZaEGWFgLQtxpqYdA6 1a5K6rEVphRjwj9/e2HafVRUcDf1qztnep00t2Zmhagb3fIOq8HDIiw1uPHj9bdHncGTSwzB5Nrl 21MPtR+85A4LkQ2/I3UUjJmtPl0TuO3/nBhcsvWcqKC2/MysQdgUHDrazq/wGKqiDkmcSrRtlICP gjN4uIVWdGv7AanlkCWVzRRhoqkl6aPijgWC3yGB/LebDPCuRws3fIermq/UEJmF1UPP63Geo62N wPh6B26zdeLo3BxY5dHLjzo2nTTFw1hKHcfbOL8145XpuJTMiq4fkutHYy4h3gF28XTAfCcm/qd0 dCg9H89XHCL80/kaJ+co/N5xcS5aRCsCgvz7rSOPGsu6wDUdq5CC3yB8LLvTyb6MPV8MR+8r3Qtg B7qGRqh9yIZle+hLYmrsMV3s84C3Do/7KTvl/2bMC15o1BI1q56by0cSK/8tNDrQIuZzFj/INErJ lNmJ5q1/va5/F7F0W1KeKXmEmjoUr1porkNlQ3mzWQg3vMaEAdr+4RyWt32GzRcRYkL7X5EM4hYy PXgdrhxrVkpxErBK0zqKzycryq7c6PH3Sz17wJfT4s11neAFJ3F84NCj2WFeVh1vC1R7nVngqJYh bHURXozIz4oLu2yq8ZYoJtmQGX6oR/krJYqZFJfL2G0eM6RSdDvfc6cR+XU11jByVeTQL76/3jeX bd7Jm8ML8SOHusyEQh+G0jXVLgZCUN0TngPP7gKq8zyHkUmIIcXC7kEhb8xORUBHZ5fcXO7aqHj4 pttfI2VfXNEptYJscXxYi9GNGo3c7DIZX8Kgw3Y9R/9q6PQKXg/Hno6EIHNA40ybDWuNXCk6cS8h JmE+ov4BHD98PubOYWumzqzaDpBseCFVmHgGr/AogTurU5XO2s3L4+P9hnZBImQ8+Xq2fttl3R++ 2JYsxRubBQl2KYhActeGHdPycOV0bkRcdwjq8xJybbdYrHG1dbjm64AspGnMTYFNjwUP+vEs5WZo LHzYGQvJpK3l04Dld1Jxnr8eT/En/6gW9eJC053nQ47mVY+Dnl418ZZMRcBnp+aq0t+7sm/FpEr5 ChkyITEjvzH9/rGlFs2xvZEEWnDr9qm2zZacqvh/iKvbhz3rn5Q1tGuAXT6PoCaSmZezzVHskj16 +gthUUj2bRIz3PYfdE4XEWZL+P74yQ3zZLiCyrn0TckJUtHi/YRFEUwdXIU5FwNVxDlKhbGIsukK DXE1G4qHRkzCnOcY/ZdnkmG6imFIvNyMBiSJ9kQvAU0R/GLVEDoEPrpY17jeadi6XaMepRHkrWcI pvnqF82iSSvOSVFFiQ8p667d2qGvgJpZrYrJX5AZRjG04ZfqjfRgnZoCcRWw5p7j1YuYjCOocdpX Ndxo92GewJLvdTXKLRv3idEU5qVjUDX9ct6VnBErea7WcWspXFJsaNzaDd+KRTUHpoXqHEKgBj1m yoleQyVwt7Xch+LR4kfsQAwBZkV6jHuxmdPkQ3cPd5eVhiRDD2GQQYh4geCfHnXX1qBok/tkxMBQ oKSOwh9V60TmEuwtjt1UzYOX8f+8Qx5k7Ak0qlmC9eE0hQnAH88NNx+wcybgkthUFJG+zVZq5M84 KNhRUHurjAMtlKJ1I7M83UDeyfsUQEuMbYavWp+UdoXKcuZe62OYaAAyN6g8TjPTGmTyMZRljRN4 C8geyn1wpcAaOyocro3w1+OhUAGvOrak+Xc405bwikt9kgLZ9HGQbRyU5JZr01QZxDyqx074vAZ7 Jhmc+sP+fRXeeP/e864cwwkmNJpc6nncGGTOVmIwLHyZXQ/aoOjdD/5Ik6SZuYxAcErGGsc3JjUN CXIoIA3Az2WizNEsFslhJj6YetSIGkMHGovFqrfaqLE+HwBWVQ/kIAn5hjy9m+4HVwqzWGm5b1kl 1h6xbD/Xo1zueVZ59JalVb0I5gkGmKlRwKODr/wK/FVrelLGd3yGoOA42AAP/JQjoPsIP65zLY+j LjI3jq8OFDVQaYYinMWlzZMK/HJaJdugcuGLLxS0bVaVcXyssaFBfSCGBKELiyQZGUWCnHoVxj2W 5utWqUx02xNLpVtkDUWx6C5T8zuGayO+yUGDbLW5m9luMwX/FMcywoYYTC4Gg16HAfKrEyBOl2u9 8FJM68mJfDvcIctIsx00Gq01rnrDwg+z9ZNvz4RfcAADH/O+HBWeorvLcO+rNcXky6rpOTwwj2mr G8AQyQqbyG44q5rdYk5PwxTO6c3w/Gf6fryEkcHeoDeoo8X6OhxiFG9OJvrhFIlXWp869l2wwMiO E9YDl7wS/SCz0dqg3DWi9UEGtrdhJBuOjBtkGF097jgvNdsulNZEhXx6qfLasKRVus0MeXidJNgR NUKOyfWstQ9u6W4ITzd3YC9qzAplskNzLigy15TJHPyGkVrPbY1VxJpF1qcM0bWW6T8eyMu8n3bb fyghDCRm13TIT0aasfXC+iKTX9cvluKwvMa6K54C7586Qu2D+zYSGmlBGUC7Gkf8RKXK6ORD+Hxc RjUMgxSwCbivmYXBZU4GN0M4ZfcZh8P4WmO08PW4zWD1x1ZiQbu9uNxCpDNDDvGYJtBqMjTiZJ06 W8Z3aPCusHv0htOr72lIOOIdx4U6wriImQ8by4Zd2IrHpF/plL/HEWBdmVIlyJkEBFkpOBvu2Yu5 k70ArMU9hU+LoFNr9ejdPoue02i8by4i5xkCS2z3ESpo4e2U6fNdT6LsSBt6KM7h+i9S4tqF0N/8 IcxfQjzjeqX19sAjpEWFpRbHkg/SeaiyXBwRSf/+vTuV32QfmAgiYJUcJu5jUoCDIoaz4Kkm4lT4 oB01yoOsLkqJtU0bqwIkRG9RddzGnku8xaEjCmOKfnMrKC/md9z+LZTZnSyTDl1qeqsC83W35l+y TNIjfOoNY9c4GM3ozYaP05V23QfTTCCpAUzpuVt/p1vEhrCtFFJ7QBoU6X5DXPK+N06RtuNQNW1H RZL/5vD5WSaX3qx4HiGPh8jzCs6d8D2RVmCHsghqmuGVPXFh+auF3Gxbckh4xCof0CFjqnlV0MaP 4qw577xywaakURByqKu8i9XEK73KG3pS67mhG192wSVSQpHwRPoyFiDvfcSen+uA0aUR5DMeNTZx GbEyQBqweI9jLirdLIow7DOrD1uzr0vFc6I/3WFDld+1WiMJYoOFPETHhmJbTM1XYLA0T0Y06xZn QJjiXPifMCRaAsXg+Y+H9qyujoXoDa1EFpqQJsSaZ+0lsK/JsmQs+r1TpxqJnRflCqz7+bZJWhi3 DvonX4MVvGr96Zu9VR7R6fIpJyP3PnkW6DDFvLR8iSr1w2t0reqzJwnR4KbYrwy8xuq1/rECfAYZ 1PsUx+eNaiACUM7VnxcNN9ROL8G8A6onKErb9qUfLbxeoeZP09miHGNuNWntsjyNHGBgu7FOccpJ ckEJJTRAXpYkQDJ2LQH0JI+R30Vuy2Nq+7YAUXYjpr+Cg8r/TivXnpCvGqcvYY4gP8+1zKcZvQm8 hGcewT+VmqscTS4QvHB8iO0N5n8/SEZ6M9vN4aRNaikgKABBgQWTJSQs6co8bmD1EJXW5ZHFk3Gp dd23GVLLej/E9RlGEYfZk5aUxBV+1ZK+zSuz8znN98dNHAkgzS9Eo+9UiHAvviSBWtPn1xI0FoPO aNrEi9yfoHMsAim3ca2JRFYJJC3+SX1OBnWmeycIWkVbabv6BEwN8wraKFaCQXBmzR0ma/WV5q5J cNWLt+hhd7mzm6TFb5GdRtUrM6igHV9UDq/rhQMXnUB8eMDhiN7+VMMPYMbSZfou13AFi7OSqT6O 3frV30EEahIMCcH/XpiPlTmEXegpxdECwA5khjfjE0b66G6xxIgy4GCTtsxCLUyyN3cJ4Ky6Tdwz sPivRH4XMVL9ipP/HLLEScfZSJGDoWCPj3onJS1+HNW7//OTTPuVgYLtZ7a7+Ylbq5LNFaLfsc6Z aZhKGdx8Tb86ofaefnzIr8wEbTlMLp6kXQr+7sz5SEXvaLs+CEV0pBVHwveRUoItnPKl+4DgscXK KgKXpfzY3u8fvowSBZVkF1+j43nvhaPVwIylPh3nyBx48xppomhTiQacHqIjnd+UFJ9Ix7HyE5SN fmigViEdzQz5kntkx4k3ulQMn0q2+yMklVT7I4aIS0WEd3PVh0MFip7QZwIIe3Sql/sjsynu6d1Q 64My/I5EJ1Em8P39PzH7q9ANyEBpBZf7D/XuJHH6EoEzPTk59ew0ETPJserJpta54vDRCK7JYMJK 49S1EDrwjzsY90E3gOkkhTAHiFxEW1YM0JmTRqBaubulnFGUNqgpr7OkbY0bX68tDGnvbELs5lD4 e7awiGfEuL7kfRvsTfv6DOJdRZjTheWO0BDEe8rJOIloLc7R/LUkug8LgC/FjrZLej8bls1F6GC/ 4dPyEn2FDZ+IaFwfP7U7QxrZGybkfgCMsse7CWzSz9z6vQ0KAIJOYMuYMgoS02+HDRt/SldVfIqB fZcvb/+Z34snoh+6NtUS+SrP+UUewqkle0OmAuj7mI0w0YPYVaUSx8mmfxODUjQ5Bh97dI/UU7dE tshGNW26RSIS3gX5ib1OoNuaGz5TBt6skSEy1C82WQ+p7yyCVWyOlF6vsPv0rhqTgSEBzfOeo6qd OX8AH98ysFBhFXiEEB+DMPt1Z6P5D4uRz2+Q4K6kTEi/b3TKkA6JbZeY5qnvsILPABdN/kgO/0DY rspts0yOSlUFxLIouOIrq0Pz+gotZ8QodZh6FIiKcORzjAPydZTmp+HmWjMZtbVcsNinXX1WrWpa zOs/qACFN4KwcUbB3hwHfLVo9VkWZ/gf4nG6F/5AP4a3cZ+IdArSGcGQLrzoj+ovqPpWUfldtRux j8HGPx7xTGy/Xt59nrG9hySSBy6LiQGZvJv8/R3apnA/f5crs7LMV5Iyyz0u4qEDwQsavWZiEGoa fkZgabMq5k+J+tfzo4ihG2h1iZbRe9YBkpCUQ+khB5XAE/u7eSKlQL8shjcVnZ8cuqq2wyk+HGrK 1W+uR9E2Cn4Ke/GnPsPEvRkGbyWS8dwlsre2NNKD8LH1y69IgdpmHMIfeUJNXtF1n3yLnINcKBzV uzpqE73Yp5uNufBziCLpihV8eumhRSivtns2/KnkQ2sKxuCtCW3J/y2FYhnRBd93fMzaOtvqfEwa 0TN1hTCnJciogTGleAlFvuzeLOer9wR5oPTK0goD0vjSvPGape4dF8yKRj/KYikkonK9cpw7USOq jS6Z+zEV7MLJgkz55Qau3ePtmUBEWEiaxPAFMtqRogrX16gVPSxDHUdHrvpwIM+5s6Fr/EBjvEKx eBTSoLw9gAQoFIwubpowv6UghSrHymNtzsyYdZTkjHqSLj2Qwxv/oBPtDDEuep+FUbcj4NfozeKu LWNyhZgWEIFDK/ottxUGY7n5Zswq0kG9CN58vnIF2cT6/RUQLvWd0bhm7BRzM+S1hP/K7oNiSmiz yy9qTUbaMAngrU/xpo+vRRn5jTf9jYgJnffHsyyTXkZfzlKYZ65tEWPQ9Q+TGFV8IHqMKUX3BHwt mfRAaVw+SRenAMcdsH1EUEyZcyKbGo4iY2tdTtS6cVAM+6vDAeaIHm81pymJiF2ZO8DK6s6ObY6x feTQQRRcBcOyiZ6YruO7SkOVdnFYmUGvx2x7/9FB3vDK8/IjaWrPBQtTnjqHVay/sCQD7IrNYca1 kRH9WNBdPv80MBcnAoEvMRyVMehfc10Y+NywO35lEe335LmeDd5wcxBdRVrYDqoa8ps7uiptDb9u k1NirHcBmAluZzRnEALzyseaAccOjqTMXMY3SOv343tXtKqOrSvA/HNVFf252slpNIjYUx9U+44z CUnC0WhaCxrxubrDVG4pMODcORq1UjQQsTNpVkV7WaBGZqiiliZVtlvnwBWMqZoVyFDjFOx6uRd/ ylFDUVB3xUuhr3CwSoEGSsyyfvtkdb++a9+n4wNjX1Dg1QVy3R1pmH6Ui5zGLzyhxNHn/QvdnAiG mdfv66w33l07v5sxgtkXDK75yZq8hBG7WAJ1AbgF9GhcYnpApX7p1xkBocacsg4JRN+0+cYwnqCb vZv8HpDium2ZUzvNcfKCIiQ6vDZB3oYvdyHq9TssmEaesyqa+anHu3QkwNdspPna7a7Ga1phKxHG nlNA0Tl2+ee8tEIpImwG2s3q/4d1+uldKDE86MOswHFaLmtzrtozgtG22OKP/jkzh5eZ09leRyh9 fngnUShPwluhSvt014IG31sdME0YTj0yqONSrr2pFncD4Mlt+F/tGrDnAvJw+Q3M9mbGhzE5GUO6 uw9DSh+pqU0D0VjI2P24zckrVjzWx6u5WOtUCigsFvipJrh9qI5fTXtb9QE9ZAsnwkt0QRrAC4cv q9Kc6zwAQHeLeAuRgR1/L5zLMzGABVl5CtOPfutZKRT0dRw1zvUHGriTDmgTGspB6PtFmvTgGD3P HggkGQlmOhuo+OJJf59hnbfl0ACapehyFbHJ9irldLcG9Q7W3NpCyk+W6jDUDpFsWb3zFmb5k65Q gp1TYh9EbqEcyADEfn9zcngQvuc/qFzuzw1Pf4VCzbVaYGX3/9wuVBWCO44O4CO0aT+TgarIjXK+ dv/vAS2qwM/5zHOfjxT6Mb9cZPFBvIkUDoeZ9bDCvdcqT7mYT9+WpQsIYFcooOYKtRFMEi6L2saC BM2BQB+zHSqv5YmCYMSpHfRxugh1P/pU+ymJflPR+HmqhhOE8Vcjg3Gks6d2oc18lQyXmDLNm2e0 Wy+e1q7lN0ZkdVKxmciMmJidhBM/MzNcgRPa3NEVogSqBcn0yi5I3/iZGnglQdMZpHTHrnw6NGCL Scb0cXIrYb4PwcxkhdeQg5gYtESBzGSBXlemcWGNENEnVl1sCy4ELdltj8GSj1Uj3SHGVWIIpTf0 Fcr+adAWHC8Ncem+S4heGh5HJRRWCAxCCDRbRJjt3NLcpRZSuKZxgATcBFzEjq8P1iol+G2A54WC zN1A+IV7NEmxc9rZDq8TWHFUjc+qMT0p4ghLJ4yWlg2Bvrb7awfDpJEMI0DX46R8fEjRcLINgSd0 /uwFnKnvlSL7R/NoxDb7dWulwS7gdytl+Nndfm7MyJEAX8R4f9r0M4QDWnFD8Qy7amUqK2ndztJ2 ba50oJcSxSxNc1xfswWpFnBJChtvyOiI5o1VEUrzSUAxJ1jejw06lj8+xS36XD3JWiNojA+x+9Nj vN+wzhPBYS7YH9NiHjUYVGA+GuTI5xnb4ijFE56OT78KZ5xzR3IR3d0xlWHQqabb1ee3csT2bs6z hGLpYKXp02ejD+N835ZmKOIiPh9tIGp8IuhRWNM2MC49AzkX26qMqcXl2poeVrLR7wvz8cPsZ2hx piyfZM3EUhgyMdWk34IMbt11uV2fi7F5Jp3NIg1HSDyyPYW0uoQT4NiIuHWbN5CRXOa2bFvKqLRR fy3m+Dkg+KhZxQLpsjT2v8/twUFYJ/M3386fyMzMhP/WwWSQa62WZr70IOQg1fZczXyNcOiL/qBX QCNOaMI9CeS9RUmIpiDTlxaI+KihUcZUnEZFbbIeD1XIiDiUXBZJJHNnaBZYBkhMlsXdrvZOE4Di kkLH6vLoivn2Ak0lNH8eE9xHYwhof63VCpp9rSKzjAUxkcCqKnXjoQIEDhMAo0fw4Q3/tggFz92d oZqAOihY1qrZLPI0gu1zkmuPxzOcDzZfoVVN80EC9iIVA1Cr0QlnMfcuwnlaUzXUTse9Dp6zBm/u 9GXVFfRW9j2N3rsGJtQnFZNd+JNbeYtI0cGQ1Dc5V4Zu615LIibRoIKOq2m/TQ49oasoNsqXPS8j 049MsYFQvIfEBjHcjMr8ef3hg59LTM9w1mG9ZG5IIahS3lH8YLRxhp6kNXfZeF8O67336AU8vak3 1stUZVFtnKxEiendSvyybLifN7bPqvWZ8rSlCpV6YhgC7QeTupQSB20IJpJ2L/TRAocFdSZ5/nrp 7dUdp2sqUSs0HIFXA1XCxvQSQQNKDnBObJae2fU6GG0lFQRrn+dktCuOmiGemxdy+PQvs51Jmke2 qEcewXz3VgyW94guiLxefgX3GNedcIdI2WLIsPNwvB8HIA54BWlE+lhJosEFhGnkps3/EPzPj0zD N3P0bT4z0oXLqINdFcNHuquMPlhbKms5mXRKj0ytltPJmgxHGQNTDjHitFS1q0wUHzHnIjBZg121 7XIzolEh3+Mdn154B7QpucKqa7BhvVw98fbLsaTp61ds6EbkEf3FqGgAD7FhzOe/JVo/a2mrwtAd W68txehLOkSCXXV5igjQwO1LjoBubyiTtOJoOrZWyjqBHsPLEVXmTwHgusOzUgKFIEn9Lq2FwzEp SemfgTBfraCKF9vg/N1DzEz8GaVWfZxkNUfxnhx1q6kKRLtUkYUpXsq9sDmvY+yClKhlx3qYcTdm 3yjxxGY0KdLtVp3EkLb984gE3tTk1lcNxPgt4LmWpLeQTmy2M2S4mic21wVhqJiY4qDwhuZHjyUU 6qbXKnpO59TiDAwKvP03q7KcxNKKkqCB12Gyy4XjqkWlT9A3V9h62pC0XGI659dapkoSXWs3VjRJ OKB323VB1zXhb+/krFmx6nM2PevmUifHc5lbevKyZiMywCfcD5dTd08jY4L8EtpYe2qFM2JKwruR T+rDvUIssVgK0rgrdXGqX7G6ZbYE3TOcmPT5c8Lzjery82IP/ZB7f+ynmCRHzo/2V0IlPmUptpwB np+fN7ZHv26a1cVQw/Q/syskDrECwkPX+28dEixNukrECIMDbg//j80F9e9RLIrd0zWQeVx46q3J C5a5V2DKuOhdCFLcVNT6EwbfaT+sNsJQrz7F98vmkYBauDVqsup3DyYWkPq77+85DLv5ZikDUtIK mlWenkaI9KobWgg16Uxz2zZQUHbnfjivzDm8l6sRmivacbfQ9zbl3mih4al2NiL6nCLoVdvrN5NN 3DBDPm1MokEwz4jvsH13/rx0T0nCxTWhkv+4qHZClRrRPcphz8asZC4cz4O8AZB/EtNZiE7oj8wO wPa0TB/h5nLCZl7e5rrgGPcsIfT9jxAzora5aahEFLuP4tZE6vMtVo8WwqeYQ+J3AyAjDZtAocKo ihoTp/sFAMZuSN1XHlJh5e2NShdiC6/vD3aJ3saCPIL3BJfmZwL/ZwvttTepPYHmaHuHCOp3KqYt sGM6Sj5JulbVslTvlxHtNVbFPiYmVLWPKXklNDuHGHKk4EPmXUB2invta/NpvbGaoJoPU/E5x36h ryZknFbIw9XI+fusik6MAf6PAOX4xNqEqSklClTKmQl8PED3+YXNQ9tJekpWpFZLlfn7gBNOd8Uf 1HOwr7sdh97gCJFxCmTvzWyLn0WRO5+itoXpl2uJhahiDxESydDld6MAWbW8XlJyopHJUBdLs114 R1U0xYVOHd8HILPeq25nVsfcps6oqtkP6mUdFjG6h7ZWck0xZahZSOKjMNxN+P2FV+uFHjGfDE93 OT/edGKRLgEFJOy4KFkf/Xah47aPWuP9M9bpv9u0i+xD/3FhMvgjcLX4fWDw+VWwrI3J010uYYYv hCSpnz/6ZS6GpGcwLSDsaO/EscKdG7BFae/OY1e4qVBfV8r+pqOVMefcLXrE7XDNkxLIisUHjXiJ aqJ09MybKJnUIno6noc2BLnjsQAJgBiA7W83zaPkmbOR41MKqpBg5y28qUz8hSfzqXnSFRN+6bBU R/arxAIcejxKT2e9fCdfJaaEigCbAb9IFeobm9goVtfTx3sZmv3yZ5CWEaMi13muuISzMDmSDktL e1lUyUINFhuxtZlk+9nV6tXZs8f5E2i4slB/JCvujRcPNaHqFhXJX0Ty9dqAqeaenz7uM50o9OWm U+CQSn1+y5zqrI1fpqPHiiOd9nT6zbG2ECf6sC7E/MraMCpnWeSGEs8fx9yWUsB0twCn9VIzEXat 4FU+iG7c7/Ssnut50grLNga4jD3Z2c9zuqq9QrTCm/GyevGlUc80umqpfvzXlGgQ2LZ5TLVAOu/n tyaMe5+wDUb/P29DyGrQws2adsLqjJe9tp7VKJGVIAeIUHmMWAERJgWMWgd9OMYArbgcOyQivuF3 CKt6BDgVxD78mlDeFlxio6IoS5LzlzGJnhNyfQOT9Re9E90W0KmIXaeTwxGz+039H2M4w1rqrH/c qlAAG9qOUiRuM7nXu4dMiQinWT2xs3j/suTOJSaFMeLuCFYDcThTYUQZoz/obQZcKySYG6tRJgKb Zi3VKvBfH5Vd8Mfh08R5MysVpiuLjS5godRPlgPtlJ/yC2/zdARlVPIymljYSbKJhy144W3fBMH3 93bWoWiNfaRBS0pdECYoO1OyZVyHIRIZqMlmhP5pIBJvGh4+/eiTmWjKAlrLcvmjBgBCJShf/EC1 e/e++JRDRZAs1nVT7PoD9EreMsTzeJTzbGFr0E1fcd9aukPU/CBjMiORY+OLELxSyh4eticPUNpZ nuGcKaGnJnB3BegPwvutDKoc7JTosSujoyqV0oe1MmU7rODsmhQtz9vrk0WNm15UVYVEqXAEfqUN S1/FRFTuYurez4OhCjRMyLFAj8w7bNzwOKpTas3b0P/MvkSJpeyvAakwSGjzrPNy5TZh72c/pFlT 4TPBvos3rHSxjltCQne0khqmWwQHO9giWGd2ulPpuSNe1v1ztSOas0cBsjFX7n7RurxSDi7gJFAA V/xsyWALWyk2I5Zmg7GfZFnRErdXtsGx/j1xLSVEeoF6olaNhxVaAHzGxD/JXxq/WOxAeen9d0RU GMGGOlzBQogFdweGg1P0/ixo3i4s/gqxSKr7pTJu56bxbWR7H+V5JFkXTb0Kwz7lzOyji9aQwYth 4WJo2GUbGeQ5WIhoJdFiokGtyVW8VddzGguClwUruqAJFP9hIduwk0zAiOks23gxrRX5OG9HNMMc 0KpDpcsLVmPpLmA75ukSTpB5g/3vtqRwVqsh7JhqsN/oddU8SivPJ/BCV8eQp5cOqHhSi55beLKg dyKU1kAShJR1dxGXPdVH3wnzTvOBnhggxK8LThsQHJ75Aou6Yo3deafd7CSNoIV6kUqO+RSqRQta rzuTUJ1NuyVoytR/R0sxkWjmFbhNgJCmtppnO5/VzhjEdRMIsih2MB2pm9Mm1zoSOedU3/UasSNS tlCsuMJ6Q95VE2sr1b1lJNKekEOVn+AlV1VPPw1UK5iud/zS88eiSx1HcMvn7GOsRdancwWQEVtt mbmtKwbEHLbcfHTp1rdS38cCQ+FHQZtaNlRzBivs35uLRHEQTbodo4J8alPEcdd+2cjdaPMHQgho Xt5Ps/2t3gWRsS1ZDoVt+3d09UO3vEo85LbsQArDz1i3Kv5fXTyujUT0zlagg4SFn2CvVTg9a732 q5wGtkhiXTxmQXFSiSR/HBD0PCSP6g0t09s+zLIVhgQEICTS/88iUGB4G+7p+IgnSS3r3DBKEq4i 73k9epOA7pzQ+rQKewGm37HnlR2LCwEy27o9QB4Rus2yYUPX0I2A2bv0/vL4dtIGFdrnOBzqHcH9 Sbi8v16djEFBxpgn4XqMpsjsM67fv4VLbmXDH8igcBa40uVek5r608tOokz6ZdIr7hQE0bNmn/qq t5Eu26CV5Ph7fRRtGyx7zC4r9OdA1mqy7K2C51BVQFxZHNRhqBFUvApnkS0Xr9hzVM2daAkKqnnd RnFZnym6LhFz3OXcVeiRNu3uFiTXDYGPDjA7hLP4aMLW33JhzN/pfn6O1/HyFUtFP5WHLdtOEeWV d956uS4HzWl6VizNux7GctYCD9AirZdZjEwBoHEH5iLlj3pTebBt6ariWgL+XsDHI+d0mc+CEQOv K5psffAODcBG805GGxAxGCzUkCnb1xl2efQCDc4pW5flGaJwOYNPFXpWhoXLeiSYs+5sYVwBN8Xi VuzvDKeJBhsZqjECNOyVW7c9jQgspjSU8vv5LCzio2gYte1b2JWRLfRvWLIou5uGnzrH7KrmqdgI +DukdKpqSgjWmvG8nMbvd0kmogJRZNXwEGR8S/siME+7meuy+nvRz/DZ3lxbfZCWa7TPw3B36mHv Rg+bpTpRtAuU+qEmLBV5cpHIgS+pqo8Rxg7WbP5QwHEtkpLW6Fp06CAm4GWeCJsIi47nC3nj+Qk3 +FTVA8D0yO7cue/dD9iamlZlNg//IPO2MNNQs10u1NHrps9fB6tEUYqCeXX2spqCn5CYHu8Dfscu B5ftndau5rXbZBt70qh7tyuGOWvajyxSJNye6wcCHLp3VeI0y4o39kfsWl2wdWlfVWJN0UQ+BTKN Pd3Ahv3E0h+HpVScXBk5AqeUgrRknTWlu9TeNrgQCuopNW+gHxfChYGS/M+TazsyyWUoXBSj+CNN ipAkWFXOS5sgBPO9Z565/cWJz+7XM6MWCskl5/W5XUS+tUm1yWQk+0iIa2SrtUj3TR/HoboPLUss uEiqkXJdAkf1S91QK5K/vhzhZaD960/t1NVBvAzIWvByb9drqcZh0qI/wDfjgVlyGBev1tA+h/ZD +/NPk2i4BQjwCxKE+LArzYNZ7mXPlc4biDzO//H65sRly8nAJA/NwiLVhvM5oE+UMs4p6b0E2pCl u1972jK4/clhR10Ch2BxGqpZhdYEMSDiXICJt47ul3cqsGi58SZWUV98WNGG39Y5UGLdoIDcEu7y kSC7Ug9D1XL7oTJYEmmc4wqsEXlwT6qCzuGSdtTOoGlplou03ymNhKJI0KVhJF/bZ7ylwdXDH1yP cu4Y5T64d7rOY7cTyo8LvQ/jba6DhpgDWqANP2NPbv5lFsLG024nG7FH38MmPd/2WNc50NcFSxTV Lp9ka83VUk/b+/LzZHgnn4Aq1oxNGx4CH5E7/uyHO8oBkaEZzGiTqca4COgWkaKYgMkAKD04Ihio 0oU8t8PH2mAGQQq+IoVJX6HeHCqi6Efjc8klKz+qQVtS1yrMSBZCIUkTTyJ0QxqK3tKFoXcqbw3E LesnOycyZWTYSZ9a4lbbSCkvdMZbMSod6t9riiEQjvYmmUlUC1am8Zh7hBNTDhMDdKzcN02Aiou6 M0dfo0EgEzzOj93EfjyYO85AdYkwMQpYJXMdOSQJpBi72pL9USOEnLJ7JWsBdzrkwTU3zwJ+g+J/ ZQdkfhWHEAh4/jzruvR6nzP9sai7/cidb/I9GAajHthx8GwCYXII21IfdDLNLi2bA4/74y5f9pC9 pnma2A9bUyyezoWzjwtoyR/a/ndZMbP0T7kc39Y8emiR9LxkkFhFnOc69EP7Phx+Zb83CVJHGM/6 BxRLXGdMMv49M4f9PdALBCqzs9d/YPm40Jz4I7KjG4/YWm74C7ub7vHD96ohvEkvffeJr/i0bzYu t7vbORsrT23Zl176FzlFHsU6eKbuzkswYaE+WwHWkSub+CPZXqr2HEVyBbLWIRLlmwTajI2EkwfG ULEd6q7wVKPIWJ+pwvIRbP1V1DQAn+vwDLeJ36veZVLjNLnzu0oPRpzcOJXUgIee9XDTFSVqwEu4 QOPltWzugp5nWyt4PXq8U4fhSaVlf+6imNmFXtfTuV90iOzviLWCcsI3h6ZnuMZrmn67sJOTKwTt b1w7VLzLYK6sSe1EguJofmaQvud4AhD6gMv19lE04B2bycKWga24NvFURMfB644OCe9AO6gVP/Fk VEK/mx/q69jwJBXY8jjvKPIz0lpDVxJ9QLNb5Qrz48zxrpDrQawxXMWGKo0DswjUIHSt0RCNmaO5 wZxP+NyTZpKj3GmnDG9w4Tx1Mmh8CML+JKAaQfsN1alynMfbDU01IziN0iLH+QEjcYHkkeV7Qsq5 4oL8uFaAoWfCh/7mhO8/uCamzQoPCbMETxvTp4Osls+PkFKt+Z6ukW+4GOgg7wu6hcC24b8FSi+O /Xo1RkIjf24GyG+UPTGgQ+8R4nZXYQCjQaOlzPSnqqRURAlQX3DqE391/hyngIv3S2XIh084yioX rtillvasAo8kTlC44tYVgESBW5qfuMPzO29Yrsn+VzFsXto8M6tDTnmU/KtubL9D332UxulXDTya Rxk/zXVx4kU3cCesUlt40JDfjbsfPJVFnpvmC79XXilwuYqy0D/ICYF9tmLUJea+e81zKc1XWVQR nqyWuOUSqr/Akyj80DHrrHp+XuX38H+5TFYEo4MKh379Lwt/s0tG+8y6nbP+7UoFLQlhnSGf+DCW I5AVS5Lld+2BwYOhvTfIfkhJi8tRVNwxs4gGBhTMf4xZD+7CmUL+TsjWcLbRMb/DcNVzntsT3jDM Pq/yCorD6LT2SXdrpP2Z87Jv3Q/1XA5QvV7AKo2F6daTyGPlKXEZgZkyzz3y6gKCVjJlvswWQ6lg /WLB3S+lhPdLnYNRX7vI7PSOBTRwuH04W/xekL9xxxSgjergBZpY+OFiJsMn57PyXphqvs6BQJYa Bsd0t7wF8nq8B1gbm4wNMgSk3R/MGpuPVUYA8zWPrCp9HHz7/meYQUOjB4P1+x/3Co0h6UGlEjwA Za9RuNDzlhfqg9mGh2BEgO/Wne09+THTnn/+SK9r0HeoxsF0+UDaNKjnpBvfZqV7IJYKnq9EXQCP 6tdvmBL3NE7ovBY7zyObxZ4BLVMX4YBedM/MIRUiOdBcLfsY9yOiZCAuuWHH+vB9SJTQjKdHGNNf St9v/IQjbBFD5sfrE63yGzjxNxyfJy1CcV908ANhWLNPqGTvwVTtfJHx51OEt6dsDWrrR4ukuS9G BzyEYYUCpfl1KmpiLUOEutlhskry4r85EggYZZ0q0Taikruo4DkTLAbdDNBOyWJ7fBmfawyTKhRY O5xeJN2VtB7twI1psUbJvHq3iFHSlnCT6KsoWJTx1Ark/V3luh8vdogAJGGc8t+/wqmjO1pmUFk1 I8HmSkMqOoxUGrn9mOSntGeRLLynvvERPQEW0sJUeGmgIsPxLf/LQi7ie3OF9ethAQLESxtz9FDv CrCsblz3QswN9+L3S10EY4B5sIGHVyXD1Emy6xE0ctbTEfF6zAYE3wdKovToJUDBoAQCXte98PLO Xt8NBjrvLElEJsZRDdO5GZd3Qs3H2ep/UrOu25EtoEASoMvaeqEmL68MS/39ZpiotLnmiB/hfwo5 WwON9o8QzLQzwjWNVW2Igq0yjSQTkjEVKSbA72iEpRBJvG81N5pMdXcn2LNN5iuavmBG02yzfOSS 3XhnsFKjlAu2Zdly0MA4rpvm1ffvSCha6Cs3CN2AOBkN25m9eWlvtQjoG5l9nGz7gB6vUm5mnKTV ZAxeaEuIGn/DSOOCreOV1Kg8BR/0PL5W+78yldwATEoIjpFlbTeiAvPSm4Isc0kOygoyw1x4XUFR ES6/SFKiQS34NJLg5SpfqXEAeVEQXloM9JtV7bxunzraS3VKo8Zkr6OURrHQWutydUWCiJjqmTzn S49Cw+Fzu2l1L7kLfLM7kZ78frMp6XoafuoW8FlBrqoX0IhD/moPAOAqZ9LzD0tF6YOvoQD5vd6J c0UE+iyHQ3lw6FIAd5J3RXe/MTFRXi/DB6hZs93nc9Z6abILjBs+H0N0lUUzMXGESaEfm10gVaPH kOBf6VYjNkSDFVbArgmUDh5xlqnxFNOtUu+Fn5ao6crfokZ1HmCChif3XUzde+kWmTxjtgZtg4xd MGsTdeW1ZxaAypKTgv5PupqxmxGj/Qcyujb42+BdR8eesrR6Qb4te9HNi7Yi8iwO8oHJv+RipwNo dr6luMwqG5U1ooMcyY2W0RvQNWXp6LfLNJvPVVzhJ2yw+BhVkhBLV11U2irv0Yx+aR1S+vp7Ko9w i9awoEEwMESHVZzyhbgsFf5Igdw0uCniiKqdWb08vz8+ZmoUaTsulU9EKGib8jZ8a3NtNPh+gAWa 2gzQBwDJ8zttY07WmksreqEDae7o31vHjcJCnzoK9suNlrG13fR95q8qnCB+GPw2YD02RUWyB4EX vl8zvapFB2LAsTJBR/LZxFUHT+ZJOD8MQyPz7QWIX93wsZL4U+2gnXnkdodqbhoauB7FEJabJoZN DGPHx3Yvnww9noIkI2an+cfYQwcPFJ2ZzqapoiLyh9N5cyagpBNJSo1KFmodBNZ70gLxmVCy5OHy dSfHj6VdOu6YFY8NWWDN+ArJresJ8D1XmND6KZurvOR/GgSxe7kFzWxKx9rJ+UmGh4hoMXTz2+rJ 5M8g831UIt6o0z+mxAFfom91et+DJUEyTKZTzFqEEsgaFUw7cwRUChcPGKfrWAYxZVqt8nWTGgwb HDEcndtnXyuLU1OUEjrRZSwO4IGgMpe6TSR8Kt2ao/L/uj00PerRYpE/V7xuTs+P1GTbJfa0tmBf HqqESNDdHOWGWUIDk6Vu2uKg3W700IfruHNUCOw33XUuQh/i23Tfm4DUslpmD9Ooju8R4qfevWK1 SLZpsuPE57GTU4UeqfmrTxupid63kiGIN7togRbwHXjtl0jzzN2GWdX/3U+PJ76cIoa95yGiNTOY daJLO26QfePEyBM5GcwbKfDLGMkCTElFfkqfyZ83J78xrVex5a4xDZvRVjQljYR/lA5ASDn35u0a yqe25QCz5LTB+CZwict9Oko989F3BcNCbq8if1uQN0uY9Ki+kqywK2kY6drQYxoHffe/CnVGtnCs h20MkoG0QNzDad37nhPFDLi2zrHKR99YeQ9cW22QzmZZZxNEoYMRh9WbqOrrC4Y3Igy0DkKr86/G 2f3/eaoO66MF5xN3TrkGAbrQuRUZAPYzfbIc9k9RDtOotzkr1aEHddTLxFsY4QQK0d5zBTqyjR00 F5iuGEGcH1CGEcBY3fr7iABiD8SvD/0GiwOg/iRl/4bLDgm6MIC032Vh0tKmwnM2FRqPqq1F2KmI WgIyl3g3/g5l2fqB9uszGy4NrpU1hZlTv5f946wDyQhedUAvw3yNbRYYRipxHGzbWeUGq//djmGl v+FHTyAVO1ZqYiQ6Vr3dJaoS5xmLFyV2uLHpWSo0ZosybFQiSWYLR8o38MEkRom8z6olQWOGwdcC 0uTMURx+NnGfg9evfmENe0G3+d19hztm0sSeZTt5R9NTORBsJwc21x6c6sLMPui6qlo9vNfBzQFx xv/tzoFSYqYmw/Cj1ms0Nimev5LUEqGRG0ICE19npcm8wNDJ94JHRZKqt99hBr/4Gqy4WqBqklO+ 6Me5yV8DZDN0bnWAZNBOljW+TmmvUCS2BMJPbk60ySyVjxqvduYdVwg6NZ29MQaUEaY/NmIBosFM 112c3epAjklEpgz3NKeaHcYj47LT3OUivzN14JcuAgi/EOOQbw6Iw/GSQngq7uRsh5KvD/8Z6tz2 36UMy4WioGjOJmscOBU/OTyOQT0wND+xPC7OySqoNBSSBrC71SuaHcNeOlXcmT3q2xUkLv9quBFE 7rGBS0YR1y3KBQbOFWCvcRmR6tlLNKyj9rjny8L8y3Bz/IWM8cSLunDBIwWoYdwChpqs341kI836 8EjPoZIyR1U26xBluIHnShejEqcoxnCsbT+UnxYpt00Lp1ozZskLoroWXd98CChJe9sdBsrzcNKe fXV+z7LQFaoS1ynaBWG1d7S5QJDJimgWB+FoFir/1IwQkUd1kE2PZBXuPd8DWjuGPLToaRog5ryb URSYZh9IaWOj0RrePeboHpxlJiDLF7wZegGRUcsnYwzNGVPZYJQouZ2Rhq0+BV9Jzh6rPknojgDw 37ryjYCk1Yx/xr5j0C9Uf9pKgmptGG85kdrPMTd5aaWaKIttfsvuXkX/J5OZaYAzLV6RBwYTsj0h rrlTmLevy64qtd5HW57+7x+Fn9Cq+wGOeV/rk0HJr7qTYDD6gawCSRpKlR1N0YfcMFqFSwV8U9Aa jcJHWpe/Pgqx6l3mlmtaXMCILZPOCeCck8o4V09ACjBoFYEN7x3t5uGtuM8JpmI3OSP6riCashUf tjIisDTh5iTxgO3xZWafd5gLdEyCa8UCYtm1wLuEm9p0ExnxZQ0t9RJ688Bswxl6/hDQbIHHSsWF WLn/t4y7iPPoar4FmT1U6TS3Put3Wn+CRBG2urzrHumV8FTgmaC9lC3rTuzr3OBB2cFcHpTfOHSt lg91HLBCGteJgxQen1d+MVIZBWjPXYHxyPP8FE2ZhQF9RsI4ZcilnxGUKBOCDi8YXLoMK+QJH/LJ vnWbPMVHA0rHvOQ1KQItNStEbnKu4lIurPVbUAajzqGbVLWWGdrsUca1MWnBAwlAxfr6Yc+cVUit zj9RHdxOLkWvhd/LsyII3PXuCqOeUaclWNvIrhvmmOU+sGiYeC/cfITUF/JhLDH+PI+2tqrv798j h0IJpyCxmbaGFRQKJ+kaAoyLmT2wkkII2lCKGiF6C85H+EfKPqfzJ+SsGaaVmvBAieyWwRU53Xmg j4OkWMReqpNMcX3ICfJp05r1lk4RoJLB2Lkj6cRh99avSNuFmj3hH2hjpqo0Vg3fOm8H0ZMLthPo A1+mmAPZSVihbNtpdu1MKjjmnjj9Utlf6jlBdoJxVj1nj15J8933buqg71CtRKu4FInioJogHwBt wlr3T7f4MsXFeflmi64ky93sE6CdiEAAqF6uDbSkjRLWcY0xn6oKd+P4JArd7T+WnOKY+VQb6uXK zo4RM7Uq/otk5ARCw6rVZU/0w4BSu9A+XeWW7SnmX7sUdlqG6UqeYK3wPB1caDqBMLMvmJs5lh5y SDXkZfMOuJfeDMwisfdqeDAucJ8Vv4u7xj8QWvxLo+liilQGsQ2wYxu3hSqcmXBvBeuHxk5IT29r +o6qdyr2BGdJz/udrQ3V34XEIfYF7Yln7soEGuPO3gV+5GhHuXeKs/46Db3hzUMDf5NFVFBpPlk9 C2LUtgRMjKRnjd/zQzMJueD6cWtUlm3QZLNz/6WTNeAVPZ1jxTZ90U16HCGkwZUvmn+Ue2Xskdyf FrIXxPEzq9Td+HFY1ItnziN+/hkMC0XKpcBVZ2eboJc9o/TtXHwbU2jVRuW/lAe+meWxgAICJZqo B3mVlvhIwYOXYyM0AC8sgxXaGi0txLyOUlt185dvL+6a53xMOTk2DcXsKGbgziuRbM2ona8jdQRi OaErGF8zyj3hUVC1j5fBN2CilbrQeGcc9Z8XndF3sTkmd1o0ZLKyt5FdcPa0Y/zZaCl4uzZSf4nF n3Vgxv5DtBfCpzWPV1Sg1h+q/1TnY4JByO9vHDdqoVKBKRjntVMFbzZGnYhJ9AM+tAlDZbZyxOfM 2y4aJ8Ljp8pV2XClzyK8aWBHjpepYl84sjqWG2x76OX7DoAhJLl3k7gh/23mZEroOyEYpQKa+8eY BEmlaqW39Jhwb4oCx1peFsR940bZv/cQfAp68CexmB6nniHysauS4w9u+EcURLGg/Fp5wlJRXn2s 7FllagsIkjfFBcSJpOJ62ot0w0sYlR1uWgykY0wzifh1ZNQvcBr7vn7pwUn+jbwIs/AQX7AmoIbt g0CrFGlnc4iHpJXPT9h+AT8XkYjbBlAAouzpwOUJmGfqM4PHr5u/aW/WZ94Me2T1ZMaSy2gyEY0K oO49lc6xALX+J9cmBwaZqhtpeRNdfbc5jRGr+tGFNPJ67VL+Wrmd1b0f8uahyk8DIZhHxYRCivJz BSJOVUv+9XBd76Dzst490TLnGZglh1s+B33B8RdAsaC6Vfpd+w0XKLABcXljOGt3KMa3eP+LgXaJ aEaNk/89Az2aoa+JnIQCQDsQb4e8t6UF4s5y1ye6LxhtukxAexx/5is5BnUWZiwyaXv1HqaXT/V4 0r0vhbeUXsTb302zK0jiSCtwABEW5DqoccfyZFeI+nHfgvYbEl7d4OMBaRe8P9BAFSQU3flc+cMq 3znJZhdfBfMm4DJwUqnJixN2j5nnuzO7Y8BnDFP/qlhuyWFOT8/u4oOr8ZT6WE9700up7xDUKFNO KlcevBeaQtFX1mb7azDmm/NqaQHgAMRlZMBD2ve2WlRAkkYRhi6r8mBJ68h7oC2e5Cgh7f0iqo6v ugJDJ9U2W0g8SuM0xt8xrSD+ZZ3xie20fLh4/7FHfyIPrPCQHLeTUX13TGBztysmpxOXkhIFIZLu t1UaJKebYGtEl04EoDhcgnvPebUFl/mFBsR7IReF7LjnbiMLKb0YnapJ1NVmiQsdBxJN6yJULt1C 6kodk2KOyh8CHPpZY0TCx45HnusSS8CTNx9UrbQSLzmcYYj2G410P/+L2+8o09URWgCvxLjLQkhm pgHPV79A5pdjC+cXPNDpM+kxGKT8XHZWDUvliqh31JXK/HHJXVj7xcN2JHfFdWRJQDT1BWXnuqfl unjrutcyuIA/+OKIqWWN9y/2ATT1kcYmT6C4xYQSRStBxID8r4pvmjDpnvAcJsjeIu9Zko9waIxf fLKfnpqtpGTQOwAG99qZi0ikk6EJ2LumURkUfBzymvT696RIbCsqP/S0OklIKC+l4K7skjLTAH44 4yWaYe7odZ28jFEzfkG6Cw28Ic6EM/ciSDHKlHljPv0QsyDrb0SRw096xdi8JZ1V042hs8g1d7IB y0tDKCX29Bmh2H6XV+UJIC3/fBnWigshzME9HEGCExsHzdfkSgKe0rOFwseyVJZXPuE6hXN/W9MZ Hajq93tR4jvQPmty8XyfLvK8cUAlqDSuimbLqQrX5NpwOHoKSx65R1nQ+NjqLPye+5AQdU3d+6Gi fL+LPcnjLC2EXGRmOenStn0MXdvP4FlDOh+yLii7jWLnAdSaI/Gw+IA8ks2rd02ba0CX0w6/3SsM qQVTRanvUr3c4sxJkgn3PJJrT3yoRQ7BYAAn2s7MQ2bI0wUZ9BTCHYX2pJW/Fjrws/pldeJMItI9 D5rmYN07ye7hHQEiY3Bwg6B+L6yOxAvTTlJOFY8k00+QSkE6A2ieMzFSF/BDle5AwWeHsrpl5+AA Z0dVqJaCVMwaq2yzsodXTyHoHwPW4caIIiLaiwpY7lGrScFo4Hqfi1K8rHRVHXkfBdHE2OZ3e9Ff DBuwdoeylijWuVe8bXQBjm2UycrZe5N5RP45Dj1Ie11tbulFLFuJggJccMXaB324Stf8PpunIIci +GjN/AmdzygJPabm+LGtTOt9Ez6+/UsO3uO5IfJM7e5h+doAfv6aHn2VVfl10UI12y6gxdxAvESt zosXuhK9duQRDNO0tOA+MQMbMLO6/i4cBKUiAxKHDcVzOzzLCvubVU9p66iIOT/+hdF+WeO06X71 RHFZkCkytmRmC5iI7MdYPTx5qklIIA9u4FtRrkfKJJFfNbFCMf0BXZQAr9PkB8gyEjIFi55FkM6Y btUi/5BYxhxzQD7wqV2/gVqVgtvTtv7MLedJgzBQipUdtuHVFi01o2PXIFNPnwrVGWa8MFDUqo9j KMEpSSjO23YlsLMjlmZyPLKG9KKNzSfzITvkWWHHhOFdA5Hj7bPNKKGU9a4KiCTguFWGSWUqmxyy qw2FexudWmXK8jlaQrOE+wDeMBMZoTixGzyU6frY4aspcuw1kr6A9wlUsaJwVWzFp81XGfHyu0ww waLe9bg+rMy8nJQamTHaIq2hV6TeCh/7W69ezapjTta4C/z9FilTNXdb0sAE8zQUaxvpcZ9fYiRW lEKwP+en25mEdjbU42hSpAY0iIbvK/Jk0S6MDJpiSagXO4NUB0jpjK0xruJkaSVd7lD0soFLEapw LkR2dbQ4+ql2Stgg71Omv8rm768Wa7iyDzfwpky8wAr0ZDJV8xuR/Dns763Jo9zg8EwCkTl5Prcg lT3kfJbUrhqugbGQlCNz1alFLx68dS8MClTy6pypMsGuCfjtJKivdRMz1Di8OdhTyyNXoVqFk7Yk ooBU/OT9LvG9hZgtvhn4Bj1xqiVka8y09ZMq81Zpz5ZiZqvMQQQ1CNTzGnpr5GeWTViViI065EoQ ITqSXPMVC7JC50Grvrh89dIF9e1fuRyb+6lRDfbdefF34egz6KHVLyZXvWxisuWKJGSnrZIXVNMN 8vGoFrFibbtbwxiA5Stk9b8S3xD0Nh49PZYntiK4s5HszQorARJanQyxcNJRFMtg0wCVkOtc1IZR 0N4cuRQDlg1PNx00Bl64qFmw/TwRzlwQXAZJB6j62fZJtnhEjAqU0+0QnFGIY7xs/PXKr83hBjbE 4+8ue3aG5WHH4N0Mpr+Y34XA+vazr8sIfHICbvSTJPYX85jd36fgbBSvTFPPTnsOozlrMazhyxfr Oz0d0Kk6GnbW9U0cmdswjr7ONBQR5QSGsGr1IrKbHwe0v/sgRgCw1/MwOYcIzA9/5a1fYjmmDsZD k2HBnZ7ZkNs8OQqi95xtYot+a3Y7bkmkjj/DSc5hsDC15Q3a2+TSfIlwLVpLPkI1N6PXrgSVE3tQ 3Td1u8Z18YBDDdTCHTwGsz9OGPh1O9uRBT0M2aSUMnqlZ+XjfymvyQ20SdBSCvEc8nUIWt9pZgUv xOb0dR6fU3CUYKUHwqUfqtgF0HvsJnLEdXY07sWxNKiU9Ry53J4s92v+j0fvUDKPvI7bV/qd3Qg9 ay3wURDFc/4fyNgKcdVfqeY+cVCQp8MFhmF6qe46j9PO0TBLYJTy7ZlmKYrX+e8JMqGyg82AGaXw T29pUK/GaJEFepwEiywGG9bBRXCoULPhHNuH4cMldvwcVRpJF6PZlJL4Wi5MV7BZ24BIUWWugrcx xZ4iqfwvyir1g4Nw6TilW9t2Mft/9NobRi5zGbC/Rs7Ju+BA0ItWyYlnYcK3IlIquKW1oOQaGW3C qvMX07M+DiC7V7uDNVbklMTY+p8vmIWsNt3vvv4TcVt7cUxaUh/V3e4iXeDF+8V4ZnV7f9kBfti6 u7L+wAT3Cd9j3x4jTSTd8m8uNGq8srmW9LtKKoV/Gs8yxZ74ij5U74LlztFn/5yu/2cVi456Rqam 4pYgXQPCy1UJF9yF2hBdlhvFJZwidnptQRsFB65pljsAiUAOw/OGcwL3DWYIMqUiwbT4Aezozzer hghtBkP2+lkfjv8S2e4WIUs6f+lGTZjvOuOhDB1L93aeuUtjoA5rOF2p/DmzeAgQ3dElilidW0Z1 Z2aWHKrqK5q+KfrZlwvD8uCnAD/dV9U+WrcDkbAVDgm2X7YKvDL/ckvFzq1ok+CSli2PiPryjltL OCP/mB7GMObhXfELaBPxJ+/rogUPMRlIOi1qh+YJ7AIYE5ngt1o9W9CHPGjrgxMFWOM9cif6pDY2 mHjLv3FV8a9nAntmgY+bXtwVQj4yqERlW5HIKDHDnDE4XEDNBip5Ww1CWVvC5xFREfRzaiSvj6DI uoF0hzPNNGakquH4imvON4qLrpzs1UG316MR30Nhj4TNE6opZx1LtXkYgUZaKtL18SiMrDHLQryl 9D7UvOhxPpqwNKemEJKOMBiYWWUgb1fupM0ryy0wg93FfRkQ4+DsgFEhpRlOKnLORJ62Kkm/pcBj Nk475fjTcki/wa1nSorvTX7JNRk+fXXZMgzBb7YHLqPk6dGyGj6255duFj2OBfICaZToNc1S+/rX BfjWdoHDKKfqqeFC0Ae7ZBojW8UFhD+PWH4D2LSsO3i3PlYaLRA2YJShlADAxkhDWoF//O0/TtdZ sdshvZLRmZFqIDHgFh+msS9Azzrb23PcJW7++ZVowOefWWBd3tNG7XRIv0Fgqf7kz87dXps/618m AJQsn9YpbWUz4n6A4f23j32Q67iwl5mYWkdy9I3Dr+EL1BF/gfb7cFn2gO+c1cbpEgwFHmxg6AYt odGZk3pu1RWEwwRPu/tkjuENGRKgFhhyPdbBpRDWh5K2+eKAwBgQhZBu34/1nWneeexuo2jQbaTK Lq9+Qo43VQaWManvoGNlYl1EZdjDCO6Xitf3uu75fMaLQ1C3Zc9zzR4lQPAKqV9VfyGH2NZFlq1b PFocCKVPl2Br12qeUJomO4U7wCh9kOPrRi9cSCKJn1hf4rMcii1GD5499HJrVfQP0jukfNJvHvG1 T7juJ8h5DFVW+lHIKjxQjoeLikTznY08l1HSjoBXSOx5kPlY+Cz1b8UHHWsTRRl+lL0XnM+6pccG Aso+0+He2VN2zlpjNkFmEZo7wXMRlXmfUViwOAdaZOfm+aQC6zc3xvAJMg44c4hWv+VjG8wc4d6f dhwMDq3bALex76Ii9N6pBf014x2Sa5uetOH9TyoK4DiyXEuUJbGRPKIuf1sEWjU/J7ICcQkMawTb 9flp99KmjLbYJK61FaZjNoY9gJ+k4GfRzXIzB+4jkMvBtH7d4WR+pEv7KTkBknZAV4M3IPZ7Hepg bw+lWkKseeet6rTio/UXtDGsHvBxlhR5gREslTALrDTt2e0Loj21vo0X/HjnNrmPDgwVOa34aqEF jS27OEoX3Fnec+5UsJyFtZ9tAfyHXkY9Po+vLHUO4JgYkd6iTS3zhzdGIpNB5eqqemal59dYqxOQ 1dzZi6PKCeJbGO7s7tF4UAj4IqS6N5MC4ueQmnByQW9xn3dCZxHS7dTgsJBkVhNgc4g2bPO7bAXA jZ0OZXgevM/hTr0st5/DfJ4zkuqht9RROnebrm9Ogcea/EjbmqvKn+RUcijW9OURhECadkI6FoNN /jflvhOcUJO01Y7Pz4zfmZe2m9yv0Pbxsbj1WViZu1JEr5eVHNAdksxTos63p+eiFBkXEcvHZF4X iBMCdg7G11LZwQXJVLdHJUCto42lwz1OMb1d/UoHt0jOuOlMHLEnKZY6M6lfecOGHC6YqdBfdf5x eJxKBEgLlwdnXLb6ynhauk4424/7IFg4dUlR+yn4/rmj951qK1ZQzI7I2Y+ZkL8paWkMgOhMGco3 e0VXWfiOA0A90DK/hU5nqkO5ynrsrbW/kcbh1vRP9p8vbr7U2FXpnMc146D/t/Oy2uIw0rWIpNqT VK0x5J97LzoM2a77zRsE1FNKiUCqgCZDfQmqSuYhkDZXbGBItNw5Tnzixya2LSnGgLHgNJqvFl33 x56+h4r6/hOHSq3/gVm/RI2jvuu7Li4S5MP4QyQF/AFOm03hvi49jl5vqW1kPvChY9EFVRg7xgQ7 f9KA5p1GCF1ett3a8uM1GsfE5qIbUX3GuvKdAQ/yY+BgzcAdTPYP3slytF6sywdGpJAXtgPC9BaR rmHDMJWeWWDMmtkuXFaLXYBn+BBaJuB+ll5+uxaN6paSy/SRsZ2ShPu9Pz85c/GQpVePwT90hAm+ BEpslb1VDCExijcc3UyiEgN0h9yPujtublYz7dXTOce77NSWfcD/4srfg1LnlA3hIPfHZJqNNE6L d7RBwdpnnoPBbqkNlsS4YFDK0CxVDl2vJwd1hVLMxtbgQdDMqx5s6neypRkW4ccynwDCBIKoHqve u7vu5bWdQ4k1HHRJzlsvARIj2tKQ1u1qQLlYgo1qEMo6sJcIsOYRTnwxs0AbUfaMFK2LgEzraC97 h5YXCu0LzkxSAq5BziI/NYZ5sgpI+z1f9CIP4qTbvDpy4ydcGIv6jjp95qSmH5Aa4jWN5t6hJhTd AYBz9Og5KK5weEWmPqkB/dqX66IXHRb7zNDc+k7BEqgdApJnJhmgT6/OtCn4iFDzGBH2q/fd9QNR rFhUjwLuPVA5nlkhSLDl2X7Z3C9kYgitnhQk6A0Ix3Jtrs7E1RG/b1sucYsSxZZHkesDqRKf6nA+ VuCIZxdqONRbiQPNDh50IKIIiU7q2pdIigyOuk5N7ltZhgOH0Sbq4jlZgHvXbMsjrtjn4WbNWdc/ dWh4pBiY/tCBUK3q3of0Crg5w5tBVFpU2xyLeOlEOOlGUjO2JbIQE8PoXvfXklaAN08Ud0ewYJe+ EK2bPdT8/d38qqehy7xO/ZSdc12ucVyMGI/eR8wdGnTiW1YZa2OCvvnUjeuI1uuFZuy1k4uuwtfL +zgPAn963mHKbNOg930jILJSap7z8Amagj+BSX75S41vi/oKwBCA8qFtQatS81PHTrQXqSpJwVRO ekHHayUeGwkZTt+ImALsTTZFkn9dZdVoOzCJKBGcs07VUinujAlxBL9P7DXY78NyoV3+xzas0eWT vgYTvk1072qKK5I6tuWKFaXg/d+a42sdV1lFFA7rYEjZUIJEqeven5RcNKMHfJASoq8dC9gQXgry sKtNywrm2wfKWcwtwQE/Qs+9zBoARo8SZTYD84XKaQcSfjWsvuUJCAO+6Ev2idLqbn5gme+wHUO+ 8igUNh0OAnPdRM7EObEZfPHGHEblDDGFE8QnkO9akpLHrUgLdwu45OFSZVGI4nrNpqSR2uKdCl4N OxTtqNMLyOEel6YwvYHi+sW/SfiVVGPKONhnGEtEoJ94ikr6bDkJHVNQcf+n4lsZ1PADPapDEIJh bAKOoZxD22YZuWjruWLEU4Ol7BNWAgDS4Litx8v9nwRCQQlyfRiCEULKd/gKafY5RCYrlNyCXhAG +DhZIaOXEkt2DBo/q+CmbA2+BAbsZ0cde5ENgxrEuIoc4tMaSS+D7/9TPnodrE6G/2NuZ9J+e3eB 9R5l1A8dZMq8QWRRI/+/hQ57CVsk4P+8HIdpPSCW7PjTTdF9d2T18yi6pRBlT4oE/gyvqk4+9pvn uZgzr79mmAvrX5UyV8MR/GVMk4OSCyy4x5EoX9ilBdnJaDX9++nDbgyHFOSMOd2wY4r1RVKEmXED m+RbT/RkntCdTcQigvbxYh0wpVZ7fE49aOd8jtJUB6+rcikvmgMuWpz2uPrwTZ0bHPKd2lDQSyrc eBq/6D8WRV9aZQmX37keOl1O4ykVsk0Qi5EqQTpLAF4AVEDxnodMRn2FeiSBDAzKgDFBGZyDf0uJ qPW9joFaZOULKlSIf6yJbMcMvllHu1RsqAwKezAbYwwkfLEs4P1AwzTTwzrtK8UR5E5j5iwFn/hx S8rgrUFVl6CsRDkT//e7OUcO/y0f5iKo+iqYuf9/vd2OaBeRPwAvKRgw2YoC6DnCNFTg3C2iy2AH ihBgtLK4lmnNRi/Oaed0yIM1oBOVVvvVD2p2EX5pD+VCqTBmAwdGni1XmcZWNmysYgcMJ4vifwj1 FzqDiHiqV80fPKGCnBo94qFckloewiiCWiLhxAlQfFrGyhNUF9MCfjsKopU/roHxZ9y0J6LrNeJE GOzCQQVHZ0fNhAqN8flQYbDe9LtT2tZ6aw78zICgzqtgRLKtG81NfroiI++vMHBlc3DopU9EvpAe TIypkA097heApJ10pXfNZYlod8fjPEU1VB4KoLTH0LNGFmTwqMOZTHhIljUb6Qet13Wd6UY5oVbD INGYbgJ5XLwDiAjMqbCWh20CFDZkcZXnXW+54/dYpfmpuFoUNHm9Rom/bFZGIzbNA2EwLzkNffWx FbXR6n6h8qhXs5B09QvOZ8osGh4YHW1LgNPSUxn3JiRrN8Z+wAMDRmLP8thFeseHesLo9AKAxtLK /pJVbZu7DXFlmiwW6WzrnvC9tOg0iZ8F/xEe/3z/flIrKo7qWywOFTV4BgHK2iClBdRdROv99XaC 0WTgUMc0Kk/3jNA1lpX9+8TbPh1m/rXCu3hT7Id7HVM024Kum7eirALFsXksO2FuzMAcKMR4fE6P S9930mlbYQV+rV7OTUsQ/L//uFowXdla6Q5MQJpduTg0V6skroPZCi8I57YDM1BTJgHsUNlAZUsF H4gquRk6iDxkQXbRLvbh8PEgzq7HrGN6ZajzBay/LzVkxYmoqS76aTpeakMWcqSaTTVD9xMpFxSQ DSElbuRqwgohNWfr2NFwXfV/xx0XU6UyJBfkcU90X7ZKgyqv8QshgdwK7/Qe+oBNoRz5m2iJMNFs aJjSjMEbXxS87DY4q3rOWh853Y3vcHbkWvBekkSiVWzW/iRgDjCPfox2FuGWs4xNERDGEy5Dv5Ju h7GoiTbAn/uuK1RetVFpAE28BTih+SmI8ZCq2vdxUt5IypvO+fL1IcdyZ574491xQCKRz02v60S+ lBDebnX3ckRxYb3gyoXwX+qlzFj8m0fOZZRyzpwWTGju5GvX8ihpcMPzZlXVTRnKpOHpzGyeM/fe mjDuOM5JrVqc5SZS9/HnovEeeMtbkfqQLTuoDgPuSdMnD8NsOlbIB2ChS4V//wo8bjWXagFq0Uze wQrNwLDINqxXfoxtnHunFxnaaEpS4L4Spl3yJj0vUnPoRK1fqQh0UK9V9kIgxTnFgWcPGMoj3dEv 0p6kJGp2yM5x3RyzU7KTS18NZTVaNHXd1oJmBIwOr/wsPnb6y5ymB0L42jG/qjFNl3P58GlSyaRs gKr/dsehxpNpFS7KA99+v1kzqtA1/cBTmVMt/Wy7iywvwHP68wcO/7XbgImRzib6B8yppBRFG3OJ 4mkUoNVoTg6ATMVyJi2Wo+3pVRS5Mw2XLlKNuCh1sMPsOVF/kV9nmRL3ZvRGraMHqnu3q6sQ7sOb UECvEm0JsL1KF05R1BgFlrcKzFJDcYKhAxhgpCBqTtQGas18FXmoSVErjJhSM6CJKtETtQlpgPnT QbSuAIkQf1udcgGLActl9tUfz8T1azggBM1a5RrXJlNgBdAKq9oAe3Y/CtcCsF5n5vbRpQJgeqH2 tKYR3GaUm+lrtMHZaown8wmxo7a1s2/nbiJWVLlMPbASmwooAFTiLTJ6YOmC2sP/7LO1ryCwxIjc etgMDVVDX24Bz2m7H5RnbDxuU1J8LUJCKrz4Z7qUbjy90oC3O3YcjP0J2Y4TPJupCt/N9DM8RwMS w1cDW44s7AImiyamFcJfK9Ll6iWbY9IyXH8w7rAzCRYWCDLDQrLQLdWvswTeTWr/XSxHofpMXC6Y JWpK3inUpRBYEA4GuISh+k3nkBf8qkbxQcLUoEJJUWlGuzqjrhkO7PQQIA3qwMAqlI+GJsWmCHuB CNhkCndg+FQC20jw5TkUtpPQmRZlIdq6IA1prhOEZTCrcr6V0md9iozQNmjxAlv6pAZa5gRtzyGp 07ZTQRrVoxtzaWff5gqxMcwGd62TZRVuo/C3DiLn9aG8XVfKjeM4h1s4Npr4pFOeAYEBC4DfIfI8 h5xlFCYxQuotBWJEIPyGJoFqK5phCJTRRixXmumhgMfVS0GS08J+N7b3Bjt7fjfRxfFzOL7Mc3Us Z65YLVU//j2CTjvS26VKanFzBiluKFjmvDvGbGC87ZJeMFbTlu36XWHW3//Ux8Ab7+FgbIK/vXQJ 9S1jPVQUveFKSNJ/GbnqdWm+fiGhAmx/kwqoOTe7VapgbmZymq2NTIFlrnvagjpDmPAzLENEtrG4 osF/D0grACvgHLOkkPMuLM2P1PnxqryS+OMPTZI16Pjgc7ixNcy2Jvp+CL0yGHw/jog7JKSqBvGn 0hDO+jdqdJ0WL1yZgN8oAKBRS1wg6sPTZYtzuMHs5hKN+kY3CZ3GfPzFO1L6PWQLVc++8EQgBXwy PPORW8AumbDCw4za1aTeArXA/nB7tEjjZ2AbKe0XzCOBeN/K391vZ+tF5O25kMMpt+V2Plbtpujw InlUSQv/ADaAJRVc+lbKCFt/ChGiSghJ63BzJsuM5MuAYVGWVqQWWVkWYVW2vjqMJv129lVoTLn9 vuQsBcQHFONsmP3X4zmyODX7rjLeL9QB4HopCEwfbHP3McJqrVn3EvrYf548CRFv4t0ytqheiAgR FXje+8clZcU6yLn6jPrMyV3b8s383EUr4JFMWnOIhL+kSewFUus+cJUZ2wmpY6z099uBIEd7Zb56 LqGPunlKYYFrvjJaKfbUVPwPVL5K32ogMAyMq531GId3tfg9FQXzCdU9A6D7hFr7KuoWhz6IV5DK v097TytviR380rvYyYiG7Bg9iJLoHMdYQNsB80lc4wOy2vG08i+FUR45+JWBGiW9mhUH4NOPryZX azyvQLl76QK8FEHNgJqFQC5gVJErRkFU/NpH3xFkmgvpc8Q2DqXI9VXTNMwkFXCJCG4Uy/0UOcTq YwYo9a2EXd34I6/A2tKL9o7vRFwpbDOYRyGIdzbQAeTy7AQ/Rt4x9cjYpbVIftddzgKlPMGez+U2 sS2dUE1d0iswKE8lGGXBVOW2IbLGYf9JK06uw9B+mbj6fnILSo/xqWbHAI0Wvoq75jgEz2iYm/Z2 N/tGFhR/g8sfdZjpkwts/vGtOEZUwbxT8xRJMiZ2KNyjcVc0thxJF8qv0ee5Tse8qJ5YPLGPTUht sa9xZAoO/NU2Sy0ucWOLUfmIV6V9DRHRNR5BX06/pq9VGd9YzV5gLc/4LMxvsVoW2DyDSCJROGQl AxUX+v+qhuP9Dvwk9jfFuWw3K7TJ0gv5JpvN/3jWurEnY7HTG20JpqQhOGqNe4Id4GyCptRsMyhD sYfAeGO4qjz5243Vixf+4pL3vcRtMJuaRBRcZm6JXdepr6Y2CMQuNzgqTtMBEHqxESg61HFDwrf8 1QhS12DE+JJFkZx9YXcD16f4ZzFCOTLuUOhCYHNrFyiIDSmz6cNTvKYVuTb3xg1tUlSamJdXxX/3 rIc8FxQTRgtuRn0E0RyF2gnxbx3TVQ71fXD+P5CkGD63HU2ngmeGA2kcGS7SzswWIN7Awzw/ZTkG +JbE2PUhwohXJCqGLFz0/Ez31u/ZBD98d8fRGyOJTF7wY3BUDO2sRoY2L1vXj0z4DmVCuvMUPICz 8MteD7Nbg22PkPHtJP4UxdJxeurRgE8FoPuzuBqtV8PXN4GNlzemEQmHWIiHayLbWnHp/LhOnKLu zXagegVc4UY0K2ufIMAa0LrqV68MqLV6erj4cB2G0uenlbLi/xqynDZh4w8qs21AaRa6NLv/Slks PKQCRDNPVbP7xW9V+aCP6g+DluoqSbtOLWs/cPKAVJCtJ8WVLlP8p31SGin6GcPXUI+w0XsAjL+U I8oyLEbNl7uylySFH9MxzXPMlaaqLLQAzuFQ5rmzdetvLjEJeSJD1VKYTK4Mch9ZU3zL8ETzpToS rCeZf/ABuC7aSQV1j65YYHRkOI5OfpT1ywd4XSEbo3PdUX0Xs7vMHh5xJoIBpPA89ZKlgGOuvStn i4hKdprWLf/LcD6l2zSlUSQEv7e0dh82Ga0uY/t5zq9SDoCzLZUmxOxMRw9SR389vLkK+6o/H4gK 0aPIuDV3Q5nd0Zrd52kosr9d874JI3QpAlbDQVvfBlAXSu5M/QuOHIoo0I0pxhCDno55xStK8gcT DRVgbSbE2RsG1yQIzBzR3o5+0X2zakMg51k8Soj+iN0io3juQt0pCXsGzG0O1e4Dq6P/yEzagL9X oHzzhQ2eP/m9ChFtVr0yTwlJOwyimudUx8upsgoUXTn80j90Xn/mYqWtvoo5d3u+3W94Wv6P12Ra VLDIy4veuRWdvTP0lBPOgDbEXSebp22WxUi16P1kLaekn8KnGu4p/AhRYiYe6g8NPFBpIZVbcTOj 1U9emvmI5DELGcYWkq3r5tltUwQv1Ld5jqtsOGsqCcF0ZNbqjYZX7DGosHBlQ2txXud1GJcfubSG N7G/jD1ADd9Pb+aPOeC/tjmFgwOzfXjVGZjbZT7pQsCSgyfA56XdDspa7fO1Cg5ws2tVh4dss3+H AgUiGLoAiN7Xbiw0B7z8GDL/wi3/qeG4s+wiNEtizE1CEr99AZMl8Pbmu+Ji0qV/2n0uu88EuKyx S1H9sfr4bJsCkPTYoELVLqP4qaUyCtsusp9Otrz+4V4x6j9VbYYlx3/Ioc4HmSy+/e9nTf+Z90pS L/2cLdZCs1bnUxfNsq3LkIjgx56nGyYkdbj0gMi4kDPHj+OArmtn5wcozagWY61t1BeHM0u38+h4 9EDlLwGiG+Cy2QKEwZPo7OA7w52784Loi2TmU0sLNRal4rTeHnoj85z3ksZ0I1UiUaN7X54FW1w0 3jd/Cs8VDH8pbYKwMJ3VCpa9olmrqcVKPWA94xPaz1PH8l82eSLoE9/WCESHrUDpKAQcH8xYU1hM xBccfkVpubPuCVtDWQbKSSd2ipunGTcjUiy8wuQha0hsYB/WZv7/gISbuK4dMt8fZqW6C+QMHp+R jzzWec6juQSS0bvZEpGT/zvFOOXpUPhluG5xo0F2ypp2d5rotKC9Bp0SMNHDwzSFqmYKuqS109f1 Ux8SRaExWOpkz+KH0Lt3oxR7vmJnTNt9V+cmNaBFqkU0dp194hV84FDpEW5bYyVfCBKtLDTO7sZA B+4Xf3wVbkYvYcN0MZsLZAwiMaRCppYCMk4HjQ4v55hHePZS0O+q+SxPLW4j0VWnceX8bJg0vNnz ADhXgeL1TBcoSk6fqEH6Or4vtMidEaJkCKQO9/aO2FmI10NU4Osqh/6yQ5Llp+AA8JE5TFsdkNMm TVWVheJDm+amXTRYxLD0UHrHo1QFhxk/U2pOTP02xfiLgys55aedEbvSALn1lGG34ok9L1LqVWPq Jyrhpx2V5smkg8vVxQeJZ66x1fkRapkkM4SqhalHniViESAGlfwaaxuVGqb4zi3pBxV2/hTdj16K NLmK7w6EgQhm4X4E4jq2GeaL+veaS4JW5t5EuywBKoSlKyuBHSEsJeUAvMqqRzWbh63DR7wkowmY oX/1YQns78WuErUwQRJG2z0UDFam7a9gZ2uWZE6JdmTdWmjAzFKGjt7xPKLjiS4Cou1yySkTpWZp UpPfRZa7kvCcLNVx8M563Jb1PqLur9AMK7VCvLaBRtvudTg4jzyMeq53ujJ4AxwS84GjnunveTg2 U00PxUTLoD8of1waZKxCssXiS+mj1ud1vVhf1AsXafTGvWtZM52HS3Bxwpg9OKCAcdYoCxaVcqQc wSdT7tNcCKUwtGYAj2+zlzm+vQhSpeJpfoYIY2sJDFhOEinj1sI0MUzbu8OtVVsxVXnqTcKFbNzf EWMFAyWwBfNw73uVep6IFkq9qY3+C6vhwTEMjZo8xbNMOxWNf+Nrk6wYAVTbhCjcul856Cnu9Mcc 2wStkfRhOgQ3eII18Ox+ygTnOAg59/dRpffuhgILln81iVrR7oRddK9K4vN4xFCKTLbEV1lzdwQK Mw60Fcn13Rjwel8ky0YI8n1KkkK4TbS+TKriFVZmJJlruOqoAy3cfTRPl+ZW9GQoBcmyZPv2hKDC +SsG1RBNXOJgRDEf9eo3Prnb1cCrwuEXlkUlB9okHtcrijQd3G8o2avK4iTGJxhPqtJAYqvETKws DHdBRyT7/P26i8IzNV4vrfGP03ihsRB5p3jsDfJ6XSSICMSGx+yDsciSLqWjCzYAjMsy9/BMx8um 4qyzMUQHRBsMmJt8f7nG6lAvsKDzbcmiyKZ3QOBebuXqaGN6lZhRsUiFt6wnoFN17iHLnT4Dnzl9 iz6mzCYklloi9qvZZ9CV3avtF137OvWgnDsUGOTdnUH77RYhRlGtoBcVntFBRv5wmXfShheXle5P WOMJZr/FK+nxLmBcchcrq12tYqA7O9Aw+MelFgiQueVtbferMrxBXbtRvGXJIDSEc8lm7Faz8tVN zwS34Uy10DFOB8bit6zVmH76wae6hAxxfxgjUf6hEMPty8XqVgVxBJABJaUBebJRVLBicqGfnUw+ yZBLTdib9zV03W9s3cv4VQ8y/gpQPAZbSOM+q11WgD3dL2TPr5sQVEy+jxncu22iAnB7KiTHOx/Q lCtepOxQYAsEp6gw9YKKXcEupuoXW8qX5WBNymu80NolgxWTiy7e2u7/3PaLjsI7GBev1wKxFdFi 9/7UUqCn92WTgm+bE9oyd5z1NQuME+Y4OJQcFQV/5In02a3IbdreSHviH2SfBr8cejmFGH6NIuCc BwcDrj+hNenrO/K91c210sqK2A5Zke/fP3pLWJECn7fbSVSgRsqQx4FKmFNGI4DHJB+eOB9/YQWy lQuvDMefkGNcIGpg2ZwNaMtYpto3lsewqAMM5GoHAWfL8Us1FKMy0h1Xgpyz5pC2meQYMSez56Ln Mf/2XK+cGJ/OA7DG8RBJHduNtMBN2Thvlg9+xgGJfrbH0HpcyX4UriQXA+v1Bm8HGJq/4aDT4n7x WjFhvnyTdtYuY9mOhgU39yzKYZtm9V9akLicYnHFtT/WTN/kpmTtJUrHJPG5wldHRZcTE09m+DvZ 9Z71eIEQjhpA9/KzxJLeQmK7fIOZoDOpiBH4Jghq2ytc8x6T29d9icHyeW1mDpTFjVq+UYf1L41g rbsAYmWpDLR31Hcj8xa1eaqVicO9ZHCbx/K3eMmwYwuLMHttp6e2P32bSAuT66+2KugOCtrsQamJ DXfJgQgRPTRPrv33Fb54YacQcwmiWC6MhdcAjdhWIsHcSvVjU17JQXjF4giTTqzFJYyDgxO7jMC9 LK3gSMlRYjxMDVpWGca40g9OgqjL44TlLHzgusgi2KxjMxpY3q+qar1GCsIqwy1rCoRLQVvPFhC4 wNocfjRhfx32RyRO4dLnY1tErHdJT6jnLyCfBZkG9t/qMDa6qvvDGSHO5JqOGCxjgXvQN5Ds8PPy EuHvL6VG3WwHDsWjVxDEO2ITV147wMvQs+1brG3sn8+vsd7MDgNKkpJ7K0k5jVvFQFzc+mDUo3um h9SH9G5GSy/wgdPScaJJEOwn4uSBntWXskFzmZs4HlqqfW0Ain4n5e4+x356cQ7RMJ7gVE01NWHh l5vY8kW3+31lMHl50RBGZ6S6oEH/13467LX9r2IsHr7+rwMnm4DFboqF515+e3SHD3Qo+TaaCVuc bEC8QkZrerX04PqHbjSA7CjE20ge0QAYClXlNhmnl4jrK3YTKZTHvGGlpBFq9DR4SA0Ewf0ATGjK xTbjbMuJ9JzCx+N7wxhrrVfORNk0w/dudvWuOiFOep2qqpO9AiV6cH4WZVHj+uGq4f2FaimljPZv +T+pebKjVx5HSaQeW/GBzphTAvNcoqqcTlsX3/fByp1VSYVVpbAmEBEzkzKQgG2EdiGNUJULmQL+ OEJ3z+aH1P+EZFq0urcvuu3GIaGKRxmf3/Mnj+nEKnFDTzcDZl9Q0WSLvs8khGx4ZHPUqrAX7p42 Uu8RGLRMKTEzohPbhUeJwXVmHIZ+bFCGhuRwmPlAbUkLaqEiBym/xnbr5u0wPLrELkknaeCAshVi 7+y3x0qEeQIBo2lkOjtfmhb9tAOy0sA4a3JFTG9RwzshdoQKFC7h5owYPvpComvujxVSfMwUEcB0 ZR249Z207QQwzyK1eD4nosSGCmkgqkhJxT1HCz/ZgkE/ptm3r4dGdNr7Mq1SrmVlGMwvFfHGvaCc crHwM3yE30VdtnRERdpZTaP37wqCmZdQhsGeWMYOsRheXE3j8+u3LZfAzmn7CHNGYSlWBV5BD/hJ SL+jY0fH+Bk/y74FeQgQ+3kh5yOo+E5MRCaXLHf3Mt4xH0KjnbSr49chgePR+QUmTv5q2NkGKBkK riuKvBgTb6j8inPw54q2IcmrblqSHE2Li3lf6OgCfxVY0FYd3S5Lz4iTdloEX13z7NgAnZd/F+MU VEaPzxpjwrm1bDR/07508feDPyz/oWH24aeZNVc6HB3CiojU76PVcR3gMLgGImMd1gNnFij4Z2VJ vBWKIgSYBlgf3nU8ggTQnTDKerrOcHE02Ugv/FKRvn0ielGL/QBk+dmoPfd3nRErz5g45Do89o41 XUU1Rq2C8WuX4Fmi/Iz+2lf7Wyo+EWh+2pgJ+l9jtYMn6cJtp40JHq3vAkIsyE7uWVhpKLrPO90f 1XCGPkcN6a5NxSeAiY+DpWHnslLdin6Nq/Tb+B4IsCRkn6FwpENjrctMGnsjTu2hjmLmzxeS1iOA L5XXW1zlPURRvYzg913h5foTMQ6KP8LCvAp5QVOpdGV62acv+JKCjprXhRUK67qjeK2W0MoNK+X9 zN1LFJ9FIL0J/W6w9GNaAl9npVwiY5rYvobiC3gJateSyhD8wQSBj07lhNiX3hc1UykhrhleHTLq G210KoeXvo8Lii8Yp9w1z5zKQUgFFyIZBXTbuU+lo9bMWwzgCG62BDe4XpWaINcW3dLsO5SwxKJK HiL3vu2m28h+xpr/kRYEtM8YGNEHNFF3j8fT1OUctvaeK1b+o8V9TNHUSYmVF+j8jVYLchZSGojb 5gfe6uzA4lz+qZdkUzE1IGw2OwjIhS2jbVS8pVDzbHDgV19xeIskthPPEemp+t4TOpo8BP00GzpS TWGaJ8PDZwib/RnE09LfzzQ/LQdh8TPdT34mNikBkxh7c0DuTDT2jQrzLaYMZUxLUNogLywf//RI oz4ps2CoI0QGmGlxtJVN5FVXN5I4jsyYzqH/Rs0yUQvdM9s15+P3X7eN/6n4wVWerZAJvKvlOCsx X0/x/9IVL7FgYPedn0+OV+T3u+7RwgDGbB+vn1vcH75HnXZVOBauK/Qh6Ce68Vl9HQ+dudnAG7j8 X7TxUodievsHLo74QIxcj8t5lG7hSbtU6dvo8+RZ5PaIphAslHkszRmFDpGvGFogW1vahN2tFDLe c8yYO8L3Fy35TZiIC/ZLAZ46Lu6SpSRIuYjfEWoBf78kVV5jxs+4D3fktlfmtS79Jf1e7geOc9Rj DFJVHMeJyxNWtlS0tHdFN1//abcstbfShAEsmR/+wz5fH6tLLJC57UEfsEFvImbFY7q/k809rsVq rWmHoAo7y6Yn+sSndZyTuguh6txpbdVjolo5uc0LM4wDgymcCdkYULhbvfDxdnhaTGi0IggVt857 +dW9BpoV3TE3EHim6ShYQq+FZVFMKgV0RxisHDT0nIwxjYvUz919DgIJcM9DYcluoCiqPatEGbPJ vf0SvgdUL4+MbJhnMaGGpb7PBC+S/XmsAtFSjYHJrSmNuiiddgtfCRrcm/06ExfbDUcNT/ok/fjo lkirTxELim4XnNKBOJgpudJ2F0kxSEFSqZuTjkP9flqjGVa9z34KOwEDKn2EJYjjtYBiawyvdHUb ELo0UTQCYgBvstaoUWRW78Foqq5D/QSq+mL/C3JZuSXP6y5bDCxOjQPPHfSZjcYQOe1zL+qPnRBo 62qa9gI98QMRIfkiXKeZvaX+DZfCLFhTD5I+ZlqyqzzX0gtqkWaK09eJojULJQZj8e0BI1+kci/B YHcZInZ8fWcChLTg4tEHosVAVbvuxmUnS9iqnlDl1G3HQ/Oh1BxGZBk5mSrUQtH2axTouhGWKIFO r8RiFCrTGkBOHMm7k7Hq6pLWxbLWmdiwxOi5V4bgrUTIxiFQ3AbVZTlsxO2UGk/nB9P87+e+rd6S OOUEu2k4Qb6O47SLueCFkxst8pWWsCqBAdu+U5c2p0NT92ClpOWV8Im8EwhSN0COnUClmedJpasC Jt8gN4onE1ZINzMbmIyQbAPi6wPUfwetGtcGwIEgz4ogpo4kfzrTg+8fMhbk91qvVPXCagJSj8Om GSPvjpSBd5XPB97XKuN3lhy9Q5ADRVH3s4tpq2XANFJRrO+xAJCPjWXLvDrsq9TTkLQ9Bjns72zI cE/7jEgJcqzNLSUjwoPsTBkL8HYhdkAuQjJGsczkncsNTZvQ7MYm0Y7ckGFjoshcRmHOo+on+7Pd yX4z2kXu8GzqirVL7/g7UBC86RPwXA99zGiVk7LIKZ3OXoGhj8+48PeVp5+1myW9T4PpY1aosVyH cOEQpJ7oozZg+e4B1qQzqJEeelB7v1o4HKjY3n+EfbwKHaVp2Xql0CwSEJEmGcPnNW6MO0vcWWtC pLWciwEHn56WWaERg7EZXAWp9SZj0K5kR1ZF1A5732+mZCIov1qrVDVxgZCLHZdI6ntS0vepTMiM REgqH6jJshd+/Jh29nOMs/SWpIQcmzjMKiRlVAEK3bAPIccXzGl+xwnhttR2gqUZ3QBhAG7iWP1v zkzBx8nEDqgJrv6ahmkBAIqnCeDca+0zYlZ+/NacU2EYvcPVSgUOT3Lj3nIBulNT1/qHnfnmzB45 Q+mK1XgHM/iYyoOabVklu6c9WUDn8fXO2HHbu1R8V8J+US2OJeUnfzF9OyYbAE7nOWfsyYa1L5z2 baHArn8V4AF82YJSdOdu08R1EyNkDa/Q3qbXsYO9Z9+CIcqSLzCdVHY51xgAgelj2d8kq+jr5H1c Ca4cu5QiA9i1AA7dIzMZdAJlob4PF6I7tCYnCjcbHHcalSOCYvBK89eMEjVPVun1ZdlIqXNnibZG RzlvFdVCzCJv9dPZN/4fCfqom+C9p48d0Aw70l8fZCO9kSvkGvvERmFCArgxNceWplKmHMO0OqeT 7huBFwr0g9BErptoRmrJHOFZHeR2y3QlrmULd2yyN/3qvoNj1s3ZVK++qHHR+G+y75ctFvlhTTZn 5mW+VlzZ5wnbVy1P6obFRD8lD1QqEnaVJFRxSEGj38N5z6k+vVeel0ZX9u4FXPCxQ/jtv8Blhm0F 1nuI0dY6Vj2+BFWGHfNIWSEN7rBvkRBuyDKw3qJVp0DSySHZE2sFvG5NL6N01pZ7B6ixWpmhYxe9 o0ABmoIjlcymcuc2YyvzoF0ZNTmikJDTtoPMFLnqmia6xUYloTkyExzaouFCRqun0kXQfXq3XpLU 3Q1ATrNTDLGzhLQYoo2ITYlck295RQ6zd6d/0RnwvPOUIrtgQ6AzijHMi4o/mDwQ00Al2/bNFlce 6w32KfdO4OWFVvPRTvM4twzAXgDamnC10grIpLn0y1gf6EobZ0IBdBt6h5Q4vPt5ou4/ZWtAppJ8 sV+0+j+AiXiOCPiHTXDrNYnd8wx0dlPcCrzu6nfKFJFtGow0IzAfc9sdjqhEJItPB25+BVHQKdK9 1jxA4h8SVvg6eduWYGfTNP6jlzF6DiVOcDdem+tB3Dx+6jAQNrK4ldIH4gRGbCtOKGiTqCF+k2+1 BPic1tOQk5Jn7YmkMKx1MnIjoUpl3BEFRHI/fSyzkVxqC4cUq0935RmERkICSiyRlxVWxAT3QJI1 /5CjUh/SaeVNjPKDR+GdDISKNwr2TaRg6vCU7W8b1PPkRJlXui9ppioLB3T6Ax5wTe/QyC22It4Q eAQDFSUow5Vn5lB6JHGGDaFBO3emy0q0wLD8qbTFLcqGeN+UZmOcv7KOThpjK1kRWuFRm0wEMgdF Vwbdryas7BaoyRUysNg+JxaueP5gMgQ8gwUbuiGTLu73xEHChGFzVExBH5Qw/phRlPZGP2zXLyGm mc+4hcVKpnGlSpPOxrzICILgyTSh7FWuh2o7Etkb/nbzKB/xm9OkeG5MKVK77a6Emu7UqK2cVtOc Uczej2PC0zC1IbNcj7uyEnbhcCyKOxFilTKXw80EyJHUAFDMtpMSdebu7pyM3qhiErBKqAOElevR jIoetwYn73xZhYzlfqAb7kt2FxblNgxsAied3YbnIhg33+ZzDnYVugtOU5tTxyGsbsMcBJD0wxe3 MYU+DDvmOGQLlOPFjtVSyPju1Jtgfy7cIFzKDiKts/h1E/DBffzAViQMnGjmzv/Sd/95TGcEQrNt R5JZyyk8rgJPA8OoJThK/sVbiFdsXJ4quBX9RmbB8Lp4baXPvrRPwUsc5Z32nX5GAnq8Osvtf5FC txlIKh0kYWTU2lEF07hnq9F3mBmSWW9j2cG8Jl2vm/IPann9/2KHpfGYQZf0cgR20plLBZNRbcb8 K+7VSIF6g7Um/toxNrOfm0jy7opXXq+fYOsYVzt4z1HNvVhEsJFZwU0+BoAKJ6m5WP1xixrH7B9A m8hEb02uui0jGzJnAW27UgfAMWBLHA6HuwHvM5+8FBy4DtjpkmRE8GRk4yFrUFvaCQBsEwWFdnbw 63esQQMDnxNkA4C62HvE4aH95V/4SjqQqE1BmzFp5MPIYZAtWIAJZng1Xv9HSpb2yLGubkfWCPr0 M+lIxFTXyd14oDH5Y8le5RdcUJFMduh9RV2CgJTi4tEjnYja8kYIzB5d47SAlVxd5L+jQZla72wx Nfjvl7wivv0U6Z+U2sQP4dg2nZoZ8dIbQvdOZ215fSbpw1PBdJ0vK+VTgukfgeO6DkPxo5N3NDBM RcKrGeUKEGh8ggcyiIJrFsjLlRUgofJXfwDIHFFlHaWqH0BcsRRqtF98j/vI6sfxAyKd+3YRzPQs VQkSsXQzXoym9uun2rwJgCTz1FRzpIOAD+PCXfA5I7ccXKkUGMr9PwQHH+KjW9okSKY1LSu0Lh2R OT4t5Et4IjJvPdMlnB9CGq8Pk+vOf8xOQ+lNm4l1rIcMytCb8UySzgrh5G6vylUnALmHQwD0JJVu s/XlIaOmUkI32CVFyTOrgPOyjVLqXFCiMzsfkxts1drvUcEyhsKK4X70+Fhs4t8DqcvdFvveHB15 tWdC6y890ydv/Xh6qG0GHO9SfYQerU7Iybk01BgOLsA7CCS77G6PFnSCHIrWL5PQGmnrMyzMOWs+ Dbm4G1KuFKQEw9aHTQbWgBnLjTahHmynXAyEZiNRgw9lzJMlWBh17oV3TIumOjR9lvAOPApNxSwI 18Y9wRZxBQ06S/KRelVrQAM4JbHXg1SiAZxSZiwnwBTB6cMAC5ctqo3mMvk40MUpj2txPbXXSzx6 qf1K4qmzqOUzbQKmgHswI0zxK5pfLFCIHT7cAMXN2LDlZEn/TAY5Fp44Mh8wbuUhADdWkkDudGP9 JdQyY37WaDdXcS9lrYZpP3YjQ16Z/1uxAMC0qFcy+dnkQKpLAwkxQuJMj4gTXx7YTe6UYMkafbKr 8nRaOgL8c/njiqlAYVrjKza1qatH60KDilzUIqH15IfKX/kwEPWLWrNtOr5DLMihSaUYu5VO9F3+ ZQZLlYGK/rBBS2Djd08Vsdn3IU12i5uEx75gHSUb+NNnPs0OIHNt0xRPescFwIWpEGiWTZBmsAth ZUcrVv5KmQl/mSn4FyUGhLrIBUEG6q84HqhpVTuNRQfIOiEn/kyYDkDCpUTBWG+mJTYr5BMHcyQL d6b/20w+5FFATRiq8ZutKy+66HABSjU3W1SzGxS9uxIG0mchzbnVENLyrAOMH+lzS+FsMoTpddxL ci8L/OnLQbcLEHlE6XLVWe0fjToaZR1J1OZbxB8stE/khDNRo5RxMgFgDvzsn2Z6vXmmWw2f67tF RMKZjw1Vo/jAcsjgMahGVc1B7WLAHf2N25MbBpgZtOIfEuPqBqS5QS5ikRxM0VN5WTSyJ5NQQGWP xuFg0Ol3oZaz9GfZItbInhED6Myp1PlWB2Ssv610HrVaWSVEFddG7yzJ34h25wzd+PiT3jsMrqKA z8bo/TaDgBocmjY66fegJgaNFJhfy1NqiCSrwwMVlNpldk7aGrWfz2/RX7QJvJQOGPP4iDfVjbVJ 1whV1x2wBLILEdV7qfii2F198oVq464iUWlTvMzXvX2pUsY07GwnEtvSwxO/ZV0lUO1K2n3OpzH6 3g14pj3UyKQ/GodyL4l2s1na4LSvY3sOudLqO+3h1CQ7y2/IMt4medI7r/u8fgKWrq6AUr3cM7b5 zhRRX+YQ1h5ode2uNWf3PKKqFVF6DEKUeIteNaE69IPPLu8ExExlNpU52DicVSouZPv9aLyoy0As jH2DfpGl0PKEsgacDtcNqluj7BpeYicVx/ptsv+n+E9sUIqaRbLvdWJQ0kYBtGcsx3BVn9QDWIj/ 0f24+23D8oleI35XpFhiWiCaxNoH87xFKY3+WThglorVRHwTdHzj7gX4oChbcHm+R6FxyZ2fxZjR zkJz157VPliJA9b/iRMDHeYqjufdXJc2vKN2sqQG7nEYluL3UGMjnTxuva4bzQz4q2Xcmh6+qJP/ KTfVLLzw2I8Oc857b4NKMtiF3nATQ3WVBiwKFY0aYyR4K6172zDrG3DmwSyKQOC9yC9BPh1xbwQ8 bzhkOhiVAeb7dqTuJEg3vKLYYfeBNIfHfpw1Tk+QxEXFl4hDsQybd54JnS3x4a42No0KYcjmX7kC I128vdqKOB55Odo7wg75YoNeUAyX3l7dSE7GObAHj09s5HuWRpQb+Sbr+RFe7Pr5gMGqMYIMnJbe jUwY6gIwwL+Fk8o0I3pdNjXViUentziDO8lI5WVYcksSFTz6bABetgvnXHQpo1J+Z2WzXFEOtgE0 EXd1CLIwAOYOmff7xfd8MgYtfN5fCV0WrZyK63nHlbbORQ4KY83XvuyXKxztKZZ7CfgLRbOmesn5 fXiVSfL2rLAmlNwg7cVn91CvKMAo8K+rzyqlVtFBcgG2yIfKe4Lcob1cXG0xE0guYFWLy5OcIBwO CTV5QJ13OxGb3K0WCCmdTFucpbrPJtryhivrA5U+tufTgAEJHHrElRF+EX3JehhMdixH6d/7JCvr FXtl3eu5+ONSR63/HE5E8JYvCEEy/Sbx9Y77Hi+ko9VylE5laXt1tS07gxY7U7uwSlPfjUrQ9HVD wmbgNE97RhIHhnDWQ2u1kdkDXdX264pxCgHMSorXehfbQvNTkyUVo6N8Q+1bTcMPJcPrKiri53RI NRfvwRrl/VrLFCs74S7UMEK4cLHkwwW/wXRoOeuau3DlD4TB263byYoPHyR3SOyM70HtsGbQ/s0M FGjjbDOPZ8jetD7U0mx65jlts5YOZHn/y57RxhtnZuCr14JVvOxupo6oQcEN2HeOY2FTiU8KbmDs lMkylMFv/uVyvAvH9ClHUSNP0T7kokLaRI8V++E4N5UJHbUeOWCjO+XySYYPJGwj0vwgNQJmYmoQ A4IQCC6LErQAo8c9H9Jmx9KPG1B23EcAO74b1b5h3Qmo/N1px1MQldX6KoeDFV1X82SHRPrOdrzA hc8oFAPVcwmx3H64YWNAL25iVo8lvXMl7scAayFUMToPTwjOtNvolsGaCq5+5qyBmoigk63FdHjx tQWJbceSJFJGdqbPUZ2yrYeSCEJyywIbCkKF2GPcdnRhf8ai9q5gBva3uoD+SFsshO+OwzaKbDoS Wn6Q9fLYAwUfT3ujkbM5EupcKsH4teDnHs9W3e0Wy5oEAbcCPV7xSJRTSvq46Ylfe5dnxvMhQwF9 EUnJ1vf/CB3aWPhu3mlykVj+Hv1OwjL5S1Q1PPvoXQF6LkkJyJSnPXzBmOSFSXtk0ACKMoz10Enw gMIyQ6/7uyvBMPNyJoXfhnZrwI2Y0NbPkWlE8PjJpnf9GsyiJxHEPwPAT2jdEyEYb4YcWp3x5dEL u+q2k1lGSoJz9qz2yuoaZiqMrfAmZLXW8WE0hUY7aGAlGA+NFJwdjGsB1GsHVPDOO3lPwKS74RlQ Fx0LkklFDgcFtjfUnZ0+fC9DOYywKMuxmTqOHSoXDjRuePoEZMNvpsDl6eSaUvUn+auzTVtwzgxY PXa9Tu1QNMZpr1mdgJcyS7dYzzTmr7+SlMHDoiAQJYNThDBES1PSCAx3aQ/seUCWTAz0Ha53hbfv bRRSAAFPN/0xSGffKjgb5O7XvJhTVRLJ3ThVOZBK4GdYDRyQq6n7uVY13fGXKxoqA9kHor+5H7ri A+dn/mCezCQWZu5PuAwckEPKUvle+EqaF5ZyZynJc5EBRyWURbReev0dkR3OhYalyoTve+loF+jw SGpSbGJzp+hUFcYYSqVjw5vgzbXbJ0xNuvuUz0fJkmLtmUyP/rYg645CSGrnC45WkWAsjBzufpx9 Q8ags3itDpe7cQMzcxR8SVrhS55CrXhBfQhqb1VsnKCKZRZ0Fhdd28qoRfJASeCu/ae8TEbXoaIW 2UuOPCoyQeW82p54YdCemHdu8mOm+Sheshkr84/bgjQJVayE6slVtGKih041u38hvMqvPWO1/p8D hmHGfv72P044IXTb3gGvpXkMXQtnPgwnwIZEaHDYh11xJCZotJ8Fde07QtJyo5+EfLwPN/3p8hW+ sqwTM6Soi6xY1ofFOwa23b9If3BAWhriCehvwRzSkD6vOflQHANquGi5oqGWngqA3Y1L0VHFVBrW 0ffrwAjKYbEr7wgzwh3os3zDqAYLXGfpZ4GBVq3ZJ0VKC8KEFvjrtu5rFkYO9Wnlp5l+IRaXwyQ6 0+y95tpwGJCfJAewqoSxHAcRuH023lJrPoAAxNgw2DS73vg9OcLbjMiFwc5EGVTYPxwNq1d91D4b trxta/ztkbHRAMA1cq/3dzQPV2DOqz2cJNYsRhSSwREdasyOGIL/lq43CJRXnwOiWJN6u67Z6WCi MPBhgsd4z36jNC7reJI2oJx2RqOtn6R5JNRwwYgdBt0zkxh87DLhY74LSpDtXnzPoet26CY4AcBO XdNr+AoDZhkDSDhjcWLCXpGwpnOtE5BV6uLdMJrEe9jeFmOdCHGN0r8jj22bCaKc3n8kLpsRskIP BznKE+S3ubEKM1iADvOh3ccw7WD2j32MWi1dyeQNrDybBY7lcWSSTcxeq0meoyK+6LGx3Bg35o0V IXKZmZdVUGM9NGfvikGKAjWJeh8zDRz2lrM8xubm5Bb3OrUTPtb2xNpX9VtaIB0137arRgnvRW+9 I/SioiJF2Sd/RtyM8NFnc1ZFSgzmZ50opyKjIi8Qn7Q/R7/BgMYZYjxmzPQXXHN4CQ+oj9E23nm6 xvFPSADkrk8G6QsS0QW/W39p+W2j6FGvU0NlFxblwEJryeY1Mm8UbTJPl4ncQJKGRUcC0x3jYRI6 OSNhNOThNuxoFnvHiW3M/KVIyt902JTQzBFNuQU0IyycYg+/+z1nU/piAraP1nxL5OaICiFoUW0r uP4jgqzAGIByrk1ps9mK0OYHxr0yAM9WuQ5x9d/sWjw401Cl0YlWfC8ma/j3ZEP8Ov+D1BIMZ6e+ jnHHJ6W5UaPkuIXkpZ3mDMN0zwuowJobcQqVbJxKU736pEU1muIT+5Yk3VbrCgV1akD0QknhadUY 8FOUkjyWbML3HKuFgo/osrY2D9aTkwrmt+g+tJq9RApURf/O5bu6vBFG8XWCDFi24m6wNBZ4wPCJ Hw14BrS/FeS6aaqUpDnJLFm2RYT3jzAQNsj3kdqcQM2j7kw59fAA5yX6dEGaA1H78ifgiDhBbvVA rh0/3gGSWrtVT/E92mMM1cwYsmdHjQ+F90nakB9SGcQxyKR5VSItDOa3SaicrzrTey7aiKlt4OCm qoqedG1IldtujGPhqV5FP8s9R1lQXq9QwpKpZJVR3xrlh/rou3KMEKTu3WvDu0V/TI8K1pfz+MEg +0sEGAu5+q91NxpK+lMCwENPBEmArwZZxcvqc2zvcUyTuostuFmesej73zZQcinY/JzKSIpGPjYb 8W5zeZpLjXTwzYCU4ArtvWbgk97ycIOK99iXUnPT/5SKZLSnOESbzYKq+/4lx+7cuQTPTEiVB9Lx 9UzFZFash/5LJe2Oq8zdja8rIOHLBkOoHf0sxfCbbFZ7gH32pdkeOj4jgLsmQ11DSm4SpIIgMUkr EJOuBsKak36rCFw7f6nNecBm4e9v0H4INl0E+lj4I8LQRaHv8eZdU0xWqyVcWUj8XrokuIkFawWn Fn/FHV1+qqZPy6w2ozpdqDkhgGg+RTimOMYNd748CXZ77VmGHaN1kM3fP5CkotZ0/OZ4ojuITv7g JA6fbuW23qhcejXM099Jg3PGRpDdQyfM6Dc5itmWlG+FBgOT4ibin3DZarkW0b2P1xo2k2R8alYk MgkNW6s4tog1+JH2LcpZGdSTmbo3XFnuDq9QzSbnojf9XmyjiQafhKtXr3m82AEEXKK2L5hXKvxb 925meMEMhJcOyCA9Z9RzHCFedAZmRKu9eFv20xKrwxBffjhNmxUJt/6fnf8hwwDc+nOcslKAjyU0 YNTvr+PxSCdfSJ0Qs0oSDKo0E+zokaGlIaLyuZKSRd7i33yBMBlEb7IwO4AlZePuvK4MCwrHAHtw N6+Ak/9WFbXeBvUwRoUGcNdKlpZjtsiAW76KB2v0Z9c2Fl3MTMeqeSKnIruHgpAtpS+3KX/8fgtA lS2HZvagmDDgn2BUea3HV8qSD2ZWgu5Hzo8SSz5rEp0o0DOknK5qaBQT3ICh1jQEv/alisPpKKpE LGX+zpphLjG2zyq3Lxl86D9qFaFOksNEyByrW183Ttoc4ne+D/0lzJ2lp5Zbfm1ZFBeYRKTD31e2 549gfGQBUdrbK7nlKbqP/xIjJEIYI0ofdohvdHOoyoto9r1q6Fj7TODfLPxZOWDjdf8dIInL9vRR 6nv/C6YXUikrlXsgp3Bm1SRmyE3ruJ/JufAR6qx/NTUjCMcQz1peVzbxRm8UNvihlnJ8HRFBzCSj I05ccnr4TmUglKnJjUNl4VVxmmdye64zNjMDfvseN5oxVl7I1Vd9jJZIM/MbnlflwBWsEMEY4MvZ E1r5gTPHqlaWqG5MPEUuir7IapFXJWkIZ849wrBFsvbQUzZnHoGKviWos5hLvBjexyeOd4q3ALPb yl0gdC15m4nz7K93E98Ps6Xhc6TmQMVlwajDjYmlSg46TE6l64WUcD0PhY3F/kRkOhP4cEQS0arz csGFjq3NXRrVrIGx09qFoAzCKWRRZ6PieOvfL+tHkPJeb++oix00GWvZf2yrOTnauG5EZpL2GYcE 9E2tC4KpOAV6gljCoNOfQQ1doZ99C/7L8KGDPaD7zunGkuaeRvL7RWjLi89e/5a7lsxA/pvyJuQW Nh05OmQf+lncs7ZFrWJT6zvM7amfWyhtAwl+qShHK2S0DO+vVQ0b2iwsowadEBCsY6t9iuNUeSk0 Xg3Au6eClHsTHmPOMdp0PhtSQqdK8KT/jyH4uk4hAtzUGBTHLsqE0FSF8jzIHu+++Rx8Hq88pd+k 0r6qOfp2485A86WItDRsp3k3nezxMuNdNDNnizfg3xwwk77GsRhS4vd7Xo9OHJh9I5ytxhOVR/nn FzPzRCrg5RkLAaSZLCziMt47p1zBMWz9cBvTlx41qKhLtsrpXNF2WfYyNve/np3LDarHoqwDs1kN mM0aKpgZOxjiALzzYQwNsw16AJ7r2S+Bkpp+RS1BpsbmC3drtUcHTC6NyDCcCOU6HDVXNXElcw8M 8FT1SB0r2wpLmqMDw/KciX1SlgNB8wByq5l90LDuCxlQ2zcMPRWP6cUOay31WIgBna7nWuLgqdMa 6pZE2Hl2RCFRVfVkMHpG+OeN2WG6biAQlz+oPl0CA6R7ahOxzmeo6+VqAA0P7JGI0GO/NHp8qS6l PCAjBwwELmkeuVPYXB8BLQ7kopH9ujJwBYouv70NekGRMIEpxLOmO+HdNbIXVbFTC7ZXqsmk3GbD xM4fEGNhvtXP/fDZSqzYp/PX7yKLghwTZR3W48yTkKJd4LCK66r3/maQL9gp2CPGeQr4ZmwdvEjZ OkpUa70HLpKaVXu5K98075Ztk5mqBurp9jDo/1AicQgvcvGVqn9wi0I5IpMuQ/OXIJl31k1gySqI nMeIxv3ytt2ysPWrjHAbZcgx3hc/6EDIhf+J0w3tQ901R8C+rVnbSXJNpisHs0sHyc4dso5PyZPW dWnvfoQuRX4C9HAHQjcgXgpMoT9Xp/vkCbxLsjfz7JX44eqXhx9mvFUZKEt0C35PTOaRRzjARMs5 9SrU/je0vF/sSjH+rxfODlFHwqooHZ6O7XW1vgVnWguA1IWvfdpZ2uxf0021mFMrJAL/GF55G+81 7SodtfRxxG+jIWHegvLQBkWeygJc1uFFPnQYfHMKUs4+6RkBucKDs6UIhBzfoIEGDp5wBo+3Vw5K s6BVPJqqYpS4OdMggGj8uTEBK8P6GRE4JtxHUOm56v3A/Qp9v72k+ALpU3hm3a2yVEuxpM9DZbo2 tK2zLS0vWOM4PtfD/9kYaAnE7BBGzZkrhPjz+Id9CQSAZoLrYJBLIMozRd0iqwU5NaVyHq1JZCTT v/xik8Ex3uYZyYhYKm7NcOec/sdvk3WCM7/51E/bWBPmT0ybyh4FogJo3LENzYXDyBmy/7szaAAT 1C13gu+QMDd3ZfUR0qwcdbBgyNJNDrq2yOl/RW7nqezmxhJPaYcmrur+TLM924Zz/UskNjSR5o9k VoAJAS4f9yZAhEPTrgtxYFcb1/jYyXaCe5tNl7Uxd6S27CO290mFUSpwz9vYrY70JwzQfsFpx+dx J7U5pfZ2wF6b98MQbrClFP8YfkJxADo09cSMTok+9a/ThmIu0ZyBhKxCsa3bhmIgow/9dzLu3tC3 CVxcsrpDNhrXXdb6daD1+Al1Kx1CrJyXuPYGLiIKZ3hoI+grsW3h94remTOf1Gfi7y+WOB0T0I7z Zpzm650aJaL85t752E8Bf/NMvYAjGIPe/cDXwtFkWXahCdAreGMTPYcY5uZ2gAbKVpnJ21eV6ucX u3eVPhDQUnqMT0g9AeFfZrNc1bsYGEHbGbwAHVL5dBo8H5kV3mcowY1wq2xZkRwoTnF8ytYhEjb9 /6LA91xnNz5E1pmhXK8UuC1Vgu++3NWgDCVisaWnUPcUPcXDMI7rEZgZaxbtDKCiE6bymp9l7xwV jJ6xHRZcbCC0/YVkGTAfAyF2jXF8sT90w4UNVU5whQILbugUy40jQRfBTCvvU6Yhyjsoqm5BLTfz +r+p3J+L8HqkzALEzkmAeHzo5b/SA+B8iLWuk1FpxjgOzyuIjuSZ+vfBz7TkSoTzjNGEFUCw6GpW ivfBWuCTy/Tx7nKd1tRsL/D6yLVV5ueir5XsUpattKzR64HVMiMLCYlSdyDIZwyP43pAeSSr50Az mtlcRYFzogPI8sPLKPswQoypOPQHcJxR90MrzcqhS2RUG6mWqeolmCyNGCYrWx/excBTFyBesLn4 5oYaKoZQqzyd5p9NY1nHH3QKR7cBgCpI7CvbtHbpf+JPmBmOlNlzBEYVogcsNX1XYtyDT5x2X8ZJ 0qQxP4GjZbA6ju7LUmonxnbRhaqb0b9hwsYKacR0YlMeOXtpkHyHZei9yJlSQBZMIEiGnkcnP/cw 3DsrsVE3u7ZlMgabvQyoRef19pZE8M8C2HT/J3l09nQDByVe4axHc87iSgi9M+nclhwzE/DHgKOD aGpYGk6mbQf3R9z+QUGejSp0YnFWal3oGKQUQWRbnMhD3FBv93sK8Vy8IUuDbVCvkJmOWHq8gUvL IWnOZA85PRU0yUTjRFQlRmrpQrj1bGUgAB9XAV0tgWxLt39bBK9P4QtmdrOBwmZ5qCp8ILP3fTWR INslU443vShovv7zFD+AR7KlYRN7Y8FBc1G2nJ01KoLvFs+QjtB8ODttgC1annv2Nf1Et6EO9ENK tO2Vs9ls40Lu0ZbdfQFMpSdAbbjtBadJ8zjMpXgYerX06xwYFnJCCfR1fOysNoUDZ4v3T/2J8oc2 sUr/Yxeh1V9OaxhtbfmlCrFNsABV5iaAQVFdpoB/BL3sOnP8cS2BoAgvC2+n1ABOww/Db26B/cr8 Bq1AQkVUWTgh6fcwfMV9Oq4gmv60tV8vyDTy7reinNIQPDjN6k5ASZg/ULIl3qWWe/lZI/oHwGA6 HSRvbQgaLL0lLyNDijobxaXOXgCXQ+rYLaxXMjSgJQnTKcTmGQiCaaN9YqNjnI4DsOmKuIIoqpMS meqVRh/yL4iyOgcPq/1sRtvbt/N9WbRMbgJTXRvyAi5btJTYvoNI3AqfKxwmHo5+zmCeZRxXhBoF 6cF7yJcWjs5CaGRUn5d2H6IamHoXSM/xfcIFoWrnHSfp1JpRUEzdlbCZApafYBjGxCuJKln65NOw DtnMBZRXvhmXD6lYUvPcxCohCgSDZVP1ZiDNzMyhXtcHIG2qpHIQScpfTdkr9eD8hvYhGffwi86R Nvt7YqPzyOxyMNrkTx1Fk1nhltjS04qPkWw3qWN6ld185Kssfl3xz/n6gCMMhr7NahM4RC9vqHkg /woibgPH2QW/p4DQJb/PSi2Dxx2B8ZCh0/2h9U9N2SSt7eA2xLa6Xd78mqsB/fUbS097eljwEuOK RuWc+mxt/nXET7y32O7yxwtMRX28mZNS1q2EgMZ5bFgfFJuOvHCb3t1wTS9R1JUfuMgC/QlGjmY5 vxIU6fnWZa8EFS7QHInCeZkiiiPdFi+X6EEyE0KRYLzr3U7OjmgR20RY+fEll8sQqrkGAfI2qbT2 xxbhkle+RYAzy6lAXhMBNukc38y/1wIBVFyKWhcC1yImXtSYru0pMMAb0nH3+9NKqWmVyU6EoMS+ NrlbudZ3t+MT5eTvnxbZvRi/GsIEto7n6CsFgQkrO6Z3aU9VO23ICmnbzW+LwqmutB6lqw8U/3WL AGg1Ju8PxI4MhUHVU70n9YDejI73YtwGx+taHbRWAjc= `protect end_protected
gpl-2.0
36c80810aa2ec3d3d806fd5c9a665886
0.951455
1.817061
false
false
false
false
UVVM/UVVM_All
uvvm_util/src/bfm_common_pkg.vhd
1
37,830
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; use std.textio.all; use work.types_pkg.all; use work.string_methods_pkg.all; use work.methods_pkg.all; use work.adaptations_pkg.all; package bfm_common_pkg is -- General declarations related to BFMs type t_normalization_mode is (ALLOW_WIDER, ALLOW_NARROWER, ALLOW_WIDER_NARROWER, ALLOW_EXACT_ONLY); alias t_normalisation_mode is t_normalization_mode; -- Functions/procedures impure function normalise( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector; impure function normalise( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned; impure function normalise( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed; impure function normalise( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array; impure function normalise( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array; impure function normalise( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array; -- Functions/procedures impure function normalize_and_check( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector; impure function normalize_and_check( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned; impure function normalize_and_check( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed; impure function normalize_and_check( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array; impure function normalize_and_check( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array; impure function normalize_and_check( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array; procedure wait_until_given_time_after_rising_edge ( signal clk : in std_logic; constant wait_time : in time ); procedure wait_until_given_time_before_rising_edge ( signal clk : in std_logic; constant time_to_edge : in time; constant clk_period : in time ); procedure wait_num_rising_edge ( signal clk : in std_logic; constant num_rising_edge : in natural ); procedure wait_num_rising_edge_plus_margin ( signal clk : in std_logic; constant num_rising_edge : in natural; constant margin : in time ); procedure wait_on_bfm_sync_start( signal clk : in std_logic; constant bfm_sync : in t_bfm_sync; constant setup_time : in time := -1 ns; constant config_clock_period : in time := -1 ns; variable time_of_falling_edge : out time; variable time_of_rising_edge : out time ); procedure wait_on_bfm_exit( signal clk : in std_logic; constant bfm_sync : in t_bfm_sync; constant hold_time : in time := -1 ns; constant time_of_falling_edge : in time := -1 ns; constant time_of_rising_edge : in time := -1 ns ); procedure check_clock_period_margin( signal clock : in std_logic; constant bfm_sync : in t_bfm_sync; constant time_of_falling_edge : in time; constant time_of_rising_edge : in time; constant config_clock_period : in time; constant config_clock_period_margin : in time; constant config_clock_margin_severity : in t_alert_level := TB_ERROR ); end package bfm_common_pkg; --================================================================================================= package body bfm_common_pkg is constant C_SCOPE : string := "bfm_common"; -- Normalize 'value' to the width given by 'target' and perform sanity check. impure function normalize_and_check( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector is constant name : string := "normalize_and_check(" & val_type & ": " & value_name & "=" & to_string(value, HEX, AS_IS) & ", " & target_name & "=" & to_string(target, HEX, AS_IS) & ")"; alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_target : std_logic_vector(target'length - 1 downto 0) is target; variable v_normalized_value : std_logic_vector(target'length - 1 downto 0); begin -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; end if; -- If value'length > target'length, remove leading zeros from value if (a_value'length > a_target'length) then v_normalized_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; -- If value'length = target'length elsif (a_value'length = a_target'length) then v_normalized_value := a_value; -- If value'length < target'length, add padding (leading zeros) to value elsif (a_value'length < a_target'length) then v_normalized_value := (others => '0'); v_normalized_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalized_value; end; impure function normalize_and_check( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned is begin return unsigned(normalize_and_check(std_logic_vector(value), std_logic_vector(target), mode, value_name, target_name, msg, val_type)); end; impure function normalize_and_check( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed is constant name : string := "normalize_and_check(" & val_type & ": " & value_name & "=" & to_string(std_logic_vector(value)) & ", " & target_name & "=" & to_string(std_logic_vector(target)) & ")"; alias a_value : signed(value'length - 1 downto 0) is value; alias a_target : signed(target'length - 1 downto 0) is target; variable v_normalized_value : signed(target'length - 1 downto 0); begin -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; end if; -- If value'length > target'length, remove leading zeros/ones from value if a_value'length > a_target'length then v_normalized_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if a_value(a_value'high) = '0' then -- positive value if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; elsif a_value(a_value'high) = '1' then -- negative value for i in a_value'high downto a_target'length loop if a_value(i) = '0' then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-sign bits in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; end loop; end if; -- If value'length = target'length elsif a_value'length = a_target'length then v_normalized_value := a_value; -- If value'length < target'length, add padding (leading zeros/ones) to value elsif a_value'length < a_target'length then if a_value(a_value'high) = '0' then -- positive value v_normalized_value := (others => '0'); elsif a_value(a_value'high) = '1' then -- negative value v_normalized_value := (others => '1'); end if; v_normalized_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalized_value; end; impure function normalize_and_check( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array is -- Helper variables variable v_slv_array_ascending : t_slv_array(0 to target'length-1)(0 to target(0)'length-1); variable v_slv_array_descending : t_slv_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin -- check directions if (value'ascending and not(target'ascending)) then tb_error("value instanciated as 'to', target instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value'ascending) and target'ascending) then tb_error("value instanciated as 'downto', target instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; -- return ascending t_slv_array if (value'ascending) then if value'length > target'length then for idx in target'range loop v_slv_array_ascending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_slv_array_ascending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_slv_array_ascending; else -- return descending t_slv_array if value'length > target'length then for idx in target'range loop v_slv_array_descending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_slv_array_descending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_slv_array_descending; end if; end; impure function normalize_and_check( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array is -- Helper variables variable v_signed_array : t_signed_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin -- check directions if (value'ascending and not(target'ascending)) then tb_error("value instanciated as 'to', target instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value'ascending) and target'ascending) then tb_error("value instanciated as 'downto', target instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; if value'length > target'length then for idx in target'range loop v_signed_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_signed_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_signed_array; end; impure function normalize_and_check( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array is variable v_unsigned_array : t_unsigned_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin -- check directions if (value'ascending and not(target'ascending)) then tb_error("value instanciated as 'to', target instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value'ascending) and target'ascending) then tb_error("value instanciated as 'downto', target instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; if value'length > target'length then for idx in target'range loop v_unsigned_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_unsigned_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_unsigned_array; end; -- Normalise 'value' to the width given by 'target'. impure function normalise( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector is constant name : string := "normalise(" & val_type & ": " & value_name & "=" & to_string(value, HEX, AS_IS) & ", " & target_name & "=" & to_string(target, HEX, AS_IS) & ")"; alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_target : std_logic_vector(target'length - 1 downto 0) is target; variable v_normalised_value : std_logic_vector(target'length - 1 downto 0); begin deprecate(get_procedure_name_from_instance_name(value'instance_name), "Use normalize_and_check()."); -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; end if; -- If value'length > target'length, remove leading zeros from value if (a_value'length > a_target'length) then v_normalised_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; -- If value'length = target'length elsif (a_value'length = a_target'length) then v_normalised_value := a_value; -- If value'length < target'length, add padding (leading zeros) to value elsif (a_value'length < a_target'length) then v_normalised_value := (others => '0'); v_normalised_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalised_value; end; impure function normalise( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned is begin return unsigned(normalise(std_logic_vector(value), std_logic_vector(target), mode, value_name, target_name, msg, val_type)); end; impure function normalise( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed is constant name : string := "normalise(" & val_type & ": " & value_name & "=" & to_string(std_logic_vector(value)) & ", " & target_name & "=" & to_string(std_logic_vector(target)) & ")"; alias a_value : signed(value'length - 1 downto 0) is value; alias a_target : signed(target'length - 1 downto 0) is target; variable v_normalised_value : signed(target'length - 1 downto 0); begin deprecate(get_procedure_name_from_instance_name(value'instance_name), "Use normalize_and_check()."); -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; end if; -- If value'length > target'length, remove leading zeros/ones from value if a_value'length > a_target'length then v_normalised_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if a_value(a_value'high) = '0' then -- positive value if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; elsif a_value(a_value'high) = '1' then -- negative value for i in a_value'high downto a_target'length loop if a_value(i) = '0' then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-sign bits in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; end loop; end if; -- If value'length = target'length elsif a_value'length = a_target'length then v_normalised_value := a_value; -- If value'length < target'length, add padding (leading zeros/ones) to value elsif a_value'length < a_target'length then if a_value(a_value'high) = '0' then -- positive value v_normalised_value := (others => '0'); elsif a_value(a_value'high) = '1' then -- negative value v_normalised_value := (others => '1'); end if; v_normalised_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalised_value; end; impure function normalise( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array is -- Helper variables variable v_slv_array : t_slv_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin if value'length > target'length then for idx in target'range loop v_slv_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_slv_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_slv_array; end; impure function normalise( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array is -- Helper variables variable v_signed_array : t_signed_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin if value'length > target'length then for idx in target'range loop v_signed_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_signed_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_signed_array; end; impure function normalise( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array is -- Helper variable variable v_unsigned_array : t_unsigned_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin if value'length > target'length then for idx in target'range loop v_unsigned_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_unsigned_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_unsigned_array; end; -- Wait until wait_time after rising_edge(clk) procedure wait_until_given_time_after_rising_edge ( signal clk : in std_logic; constant wait_time : in time ) is constant proc_name : string := "wait_until_given_time_after_rising_edge"; variable v_remaining_wait_time : time; begin -- If the time since the previous rising_edge is less than wait_time, -- we don't have to wait until the next rising_edge, -- only wait_time minus the time already passed since rising_edge if (clk'last_event <= wait_time and -- less than wait_time has passed since last event clk'last_value = '0' and clk = '1' -- last event was a rising_edge ) then v_remaining_wait_time := wait_time - clk'last_event; -- Wait until wait_time after rising_edge else wait until rising_edge(clk) for C_UVVM_TIMEOUT; if clk /= '1' then alert(TB_ERROR, proc_name & " => timeout while waiting for clk."); end if; v_remaining_wait_time := wait_time; -- Wait until wait_time after rising_edge end if; wait for v_remaining_wait_time; end; -- Wait until time_to_edge before rising_edge(clk) procedure wait_until_given_time_before_rising_edge ( signal clk : in std_logic; constant time_to_edge : in time; constant clk_period : in time ) is constant proc_name : string := "wait_until_given_time_before_rising_edge"; variable v_remaining_wait_time : time; begin check_value(clk_period > 2*time_to_edge, TB_ERROR, "Checking time_to_edge is less than half clk_period", C_SCOPE, ID_NEVER); -- If the time to the next rising edge is greater than time_to_edge and clk is low, -- we don't have to wait until the next falling_edge, -- only wait_time minus the time already passed since falling_edge if (clk'last_event <= clk_period/2 - time_to_edge and clk'last_value = '1' and clk = '0') then v_remaining_wait_time := (clk_period/2 - time_to_edge) - clk'last_event; -- Wait until time_to_edge before rising_edge else wait until falling_edge(clk) for C_UVVM_TIMEOUT; if clk /= '0' then alert(TB_ERROR, proc_name & " => timeout while waiting for clk."); end if; v_remaining_wait_time := (clk_period/2 - time_to_edge); -- Wait until time_to_edge before rising_edge end if; -- prevent that we exit on clock falling edge (if clock set to other than 50-50 duty cycle). v_remaining_wait_time := maximum(v_remaining_wait_time, std.env.resolution_limit); wait for v_remaining_wait_time; end; procedure wait_num_rising_edge ( signal clk : in std_logic; constant num_rising_edge : in natural ) is begin wait_num_rising_edge_plus_margin(clk, num_rising_edge, 0 ns); end procedure; procedure wait_num_rising_edge_plus_margin ( signal clk : in std_logic; constant num_rising_edge : in natural; constant margin : in time ) is begin -- Wait for number of rising edges if num_rising_edge /= 0 then for i in 1 to num_rising_edge loop wait until rising_edge(clk); end loop; end if; -- Wait for remaining margin, if any wait for margin; end procedure; procedure wait_on_bfm_sync_start( signal clk : in std_logic; constant bfm_sync : in t_bfm_sync; constant setup_time : in time := -1 ns; constant config_clock_period : in time := -1 ns; variable time_of_falling_edge : out time; variable time_of_rising_edge : out time ) is constant proc_name : string := "wait_on_bfm_sync_start"; begin time_of_rising_edge := -1 ns; case bfm_sync is when SYNC_ON_CLOCK_ONLY => -- sample rising_egde if clk /= '1' then wait until rising_edge(clk) for C_UVVM_TIMEOUT; if clk /= '1' then alert(TB_ERROR, proc_name & " => timeout while waiting for clk."); end if; end if; time_of_rising_edge := now - clk'last_event; -- exit on clock falling edge wait until falling_edge(clk); time_of_falling_edge := now; when SYNC_WITH_SETUP_AND_HOLD => check_value(setup_time > -1 ns, TB_ERROR, proc_name & " => check: setup_time is set.", C_SCOPE, ID_NEVER); check_value(config_clock_period > -1 ns, TB_ERROR, proc_name & " => check: config_clock_period is set.", C_SCOPE, ID_NEVER); wait_until_given_time_before_rising_edge(clk, setup_time, config_clock_period); time_of_falling_edge := now - clk'last_event; when others => alert(TB_WARNING, proc_name & " => invalid bfm_sync parameter."); end case; end procedure wait_on_bfm_sync_start; -- Wait for a specific delay so the data is sampled and the BFM can finish. -- Note: The times of falling and rising edges have to be consecutive -- to calculate the correct period. procedure wait_on_bfm_exit( signal clk : in std_logic; constant bfm_sync : in t_bfm_sync; constant hold_time : in time := -1 ns; constant time_of_falling_edge : in time := -1 ns; constant time_of_rising_edge : in time := -1 ns ) is constant proc_name : string := "wait_on_bfm_exit"; variable v_measured_clock_period : time; begin case bfm_sync is when SYNC_ON_CLOCK_ONLY => check_value(clk, '1', TB_WARNING, proc_name & " => check: BFM exit syncronisation called when clk is high.", C_SCOPE, ID_NEVER); check_value(time_of_falling_edge > -1 ns, TB_ERROR, proc_name & " => check: time_of_falling_edge is set.", C_SCOPE, ID_NEVER); check_value(time_of_rising_edge > -1 ns, TB_ERROR, proc_name & " => check: time_of_rising_edge is set.", C_SCOPE, ID_NEVER); if time_of_falling_edge > time_of_rising_edge then v_measured_clock_period := (time_of_falling_edge - time_of_rising_edge) * 2; else v_measured_clock_period := (time_of_rising_edge - time_of_falling_edge) * 2; end if; -- synchronisation wait_until_given_time_after_rising_edge(clk, v_measured_clock_period/4); when SYNC_WITH_SETUP_AND_HOLD => -- sanity checking check_value(clk, '1', TB_WARNING, proc_name & " => check: BFM exit syncronisation called when clk is high.", C_SCOPE, ID_NEVER); check_value(hold_time > -1 ns, TB_ERROR, proc_name & " => check: hold_time is set.", C_SCOPE, ID_NEVER); -- synchronisation wait_until_given_time_after_rising_edge(clk, hold_time); when others => alert(TB_WARNING, proc_name & " => invalid bfm_sync parameter."); end case; end procedure wait_on_bfm_exit; -- Check that the clock signal is within configured specifications. -- Note! bfm_sync must be set to SYNC_WITH_SETUP_AND_HOLD and -- the procedure called after clock rising edge. procedure check_clock_period_margin( signal clock : in std_logic; constant bfm_sync : in t_bfm_sync; constant time_of_falling_edge : in time; constant time_of_rising_edge : in time; constant config_clock_period : in time; constant config_clock_period_margin : in time; constant config_clock_margin_severity : in t_alert_level := TB_ERROR ) is constant proc_name : string := "check_clock_period_margin"; variable v_min_time : time; variable v_max_time : time; variable v_measured_period : time; variable v_rising_edge_time : time; begin if bfm_sync = SYNC_WITH_SETUP_AND_HOLD then check_value(time_of_falling_edge /= time_of_rising_edge, TB_ERROR, proc_name & " => check: time_of_falling_edge not equal to time_of_rising_edge.", C_SCOPE, ID_NEVER); check_value(config_clock_period > -1 ns, TB_ERROR, proc_name & " => check: config_clock_period is set.", C_SCOPE, ID_NEVER); check_value(clock = '1', TB_ERROR, proc_name & " => check: clock is high", C_SCOPE, ID_NEVER); if time_of_rising_edge > -1 ns then v_measured_period := abs(time_of_rising_edge - time_of_falling_edge) * 2; else v_rising_edge_time := (now - clock'last_event); v_measured_period := abs(v_rising_edge_time - time_of_falling_edge) * 2; end if; v_min_time := v_measured_period - config_clock_period_margin; v_max_time := v_measured_period + config_clock_period_margin; check_value_in_range(config_clock_period, v_min_time, v_max_time, config_clock_margin_severity, proc_name & " => check: clk period within requirement.", C_SCOPE, ID_NEVER); end if; end procedure check_clock_period_margin; end package body bfm_common_pkg;
mit
b2adeeda6413d58526b6add39a0a782b
0.60497
3.74147
false
false
false
false
amerryfellow/dlx
basics/wrf.vhd
1
9,772
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use WORK.constants.all; entity WRF is generic ( NBIT: integer; M: integer; F: integer; N: integer; NREG: integer; LOGNREG: integer; LOGN: integer ); port ( CLK: IN std_logic; RESET: IN std_logic; ENABLE: IN std_logic; CALL: IN std_logic; -- Call -> Next context RET: IN std_logic; -- Return -> Previous context RD1: IN std_logic; -- Read 1 RD2: IN std_logic; -- Read 2 WR: IN std_logic; -- Write ADDR_RD1: IN std_logic_vector(LOGNREG-1 downto 0); -- Read Address 1 ADDR_RD2: IN std_logic_vector(LOGNREG-1 downto 0); -- Read Address 2 ADDR_WR: IN std_logic_vector(LOGNREG-1 downto 0); -- Write Address OUT1: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 1 OUT2: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 2 DATAIN: IN std_logic_vector(NBIT-1 downto 0); -- Write data MEMBUS: INOUT std_logic_vector(NBIT-1 downto 0); -- Memory Data Bus MEMCTR: OUT std_logic_vector(10 downto 0); -- Memory Control Signals BUSY: OUT std_logic -- The register file is busy ); end WRF; -- Architectures architecture behavioral of WRF is -- Suggested structures subtype REG_ADDR is natural range 0 to 2*F*N+M; -- Number of cells type REG_ARRAY is array(REG_ADDR) of std_logic_vector(NBIT-1 downto 0); -- Signal instantiation signal REGISTERS : REG_ARRAY := ((others=> (others=>'0'))); -- Registers signal CWP: integer := 0; -- Current Window Pointer ( up to number of windows ) signal SWP: integer := 0; -- Saved Window Pointer ( up to whatever we want ) signal CANSAVE, CANRESTORE: std_logic; -- Register File states signal SPILL: std_logic := '0'; -- Enable Memory Controller signal FILL: std_logic := '0'; -- Enable Memory Controller signal MEMBUSY: std_logic; signal MEMDONE: std_logic := '0'; -- -- Address translation routine -- function ADDRESS_CONVERTER(CWP: natural; ADDR: std_logic_vector(LOGNREG-1 downto 0)) return natural is variable REAL_ADDR : natural; variable rCWP : natural; variable res: natural; begin if(conv_integer(ADDR) <= 3*N) then if( ADDR(LOGN+1) = '1' ) then -- Current Window OUT -> Next Window In rCWP := CWP +1; else rCWP := CWP; end if; REAL_ADDR := conv_integer(ADDR(LOGN downto 0)); res := (rCWP mod F)*2*N+REAL_ADDR; else res := 2*F*N + conv_integer(ADDR) - 3*N; end if; -- report "Address translation: CWP " & integer'image(CWP) & " ADDR " & integer'image(REAL_ADDR) & " => INDEX " & integer'image(res); return res; end ADDRESS_CONVERTER; begin -- -- Handle CALL and RETURN and WRITES -- -- This process handles the three cases concurrently as they all need to drive the MEMBUS signal vector. -- Because VHDL creates a driver per process, it wouldn't be possible to create a different process per -- task as the drivers would conflict and force the vector to the undefined state. The solutions available -- were to instantiate REGISTERS as a shared variable, or to manage the three tasks with a single process. -- The latter is the choice we made. -- PROCESS_CALLRETWR: process(CLK, RESET, RET, CALL, WR, DATAIN, ADDR_WR) variable index: integer := 0; begin -- Synchronous -- if CLK'event and CLK = '1' then -- Synchronous on double fronts if CLK'event and CLK = '0' then -- The memory is not busy! I may handle CALLs, RETs or WRs. if MEMBUSY = '0' then -- If 'reset' if(RESET = '1') then CWP <= 0; -- Reset the CWP SWP <= 0; -- Reset the SWP FILL <= '0'; -- Cancel any ongoing memory operation SPILL <= '0'; -- Cancel any ongoing memory operation REGISTERS <= (others =>(others =>'0')); else -- Is RETURN active? if(RET = '1') then if( CWP = 0 ) then -- report "ERROR: CWP IS ZERO! UNABLE TO RETURN"; else CWP <= CWP-1; -- Decrease the CWP -- If the Current Window Pointer is equal to the Saved Window Pointer, and the -- RET signal is High, it means that, in order to serve the proper registers, we -- first need to retrieve them from memory. Hence: fill. if(SWP = CWP) then -- report "Filling. CWP " & integer'image(CWP) & " SWP " & integer'image(SWP-1); FILL <= '1'; -- Activate the memory fill mechanism end if; end if; else -- Is CALL active? if(CALL = '1') then CWP <= CWP+1; -- Increase the CWP -- If the Current Window register is equal to the sum of the maximum number of -- windows plus the Saved Window Pointer ( which is an index, truly ), minus two, -- it means that the output block of the next window will be overlapped with the -- input block of an in-use register, which therefore hasn't yet been spilled. This -- means that we need to spill it now. if(CWP >= F-2+SWP) then -- report "Spilling. CWP " & integer'image(CWP) & " SWP " & integer'image(SWP+1); SPILL <= '1'; end if; -- SPILL end if; -- CALL end if; -- RET -- Is WRITE active? if WR = '1' then -- report "Im writing " & integer'image(conv_integer(DATAIN)) & " to " & integer'image(ADDRESS_CONVERTER(CWP, ADDR_WR)) & " which was " & integer'image(conv_integer(ADDR_WR)); REGISTERS(ADDRESS_CONVERTER(CWP, ADDR_WR)) <= DATAIN; end if; -- WRITE end if; -- RESET -- If MEMBUSY is high, then there's something going on. else -- If MEMDONE is high, it means that we are done with the current memory operation: reset all the -- control signals and prepare the MEMBUS vector to receive data. if(MEMDONE = '1') then SPILL <= '0'; FILL <= '0'; MEMDONE <= '0'; MEMBUS <= (others => 'Z'); MEMCTR <= (others => '0'); else -- Is SPILL active? if(SPILL = '1') then -- We use a variable index to keep track of which register has been spilled, and which is -- to spill next. -- report "SPILL! index: " & integer'image(index); MEMBUS <= REGISTERS(ADDRESS_CONVERTER(CWP+1, std_logic_vector(to_unsigned(index, LOGNREG)))); MEMCTR <= (others => '1'); index := index + 1; -- If we have reached twice the number of registers per window, it means that we have -- spilled two entire blocks ( I/O and LOCAL ): the spilling is then over. Notice that -- the check is done with 2*N and not 2*N-1 as index is a variable and is updated instantly. if( index = 2*N ) then -- report "Spilling over"; index := 0; -- Reset the index MEMDONE <= '1'; -- Memory operations are over SWP <= SWP+1; -- Adjust the SWP end if; -- index else if(FILL = '1') then -- We use a variable index to keep track of which register has been spilled, and -- which is to spill next. -- report "FILL! index: " & integer'image(index); REGISTERS(ADDRESS_CONVERTER(CWP, std_logic_vector(to_unsigned(index, LOGNREG)))) <= MEMBUS; index := index + 1; -- If we have reached twice the number of registers per window, it means that we -- have spilled two entire blocks ( I/O and LOCAL ): the spilling is then over. -- Notice that the check is done with 2*N and not 2*N-1 as index is a variable and -- is updated instantly. if( index = 2*N ) then -- report "Filling over"; index := 0; -- Reset the index MEMDONE <= '1'; -- Memory operations are over SWP <= SWP-1; -- Adjust the SWP end if; -- index end if; -- FILL end if; -- SPILL end if; -- MEMDONE end if; -- MEMBUSY end if; end process; -- -- Handle Read 1 -- -- This process is responsible for handling the first read port of the register file. -- -- PROCESS_RD1: process(CLK) -- begin -- -- Synchronous -- if CLK'event then -- -- -- Is RESET active? -- if (RESET = '1') then -- OUT1 <= (others=> '0'); -- Null -- else -- -- -- If the RF is enabled, the Read1 signal is active, and memory is not busy -- if RD1 = '1' and ENABLE = '1' and MEMBUSY = '0' then -- report "Im reading " & integer'image(conv_integer(ADDR_RD1(LOGN downto 0))); -- -- -- Fetch the data from the register -- OUT1 <= REGISTERS(ADDRESS_CONVERTER(CWP, ADDR_RD1)); -- else -- OUT1 <= (others => 'Z'); -- end if; -- end if; -- end if; -- end process PROCESS_RD1; OUT1 <= REGISTERS(ADDRESS_CONVERTER(CWP, ADDR_RD1)) when ( RD1 = '1' and ENABLE = '1' and MEMBUSY = '0' ) else (others => '0'); -- -- Handle Read 2 -- -- This process is responsible for handling the second read port of the register file. -- -- PROCESS_RD2: process(CLK) -- begin -- -- Synchronous -- if CLK'event then -- -- -- Is RESET active? -- if (RESET = '1') then -- OUT2 <= (others => '0'); -- -- else -- -- If the RF is enabled, the Read2 signal is active, and memory is not busy -- if RD2 = '1' and ENABLE = '1' and MEMBUSY = '0' then -- report "Im reading " & integer'image(conv_integer(ADDR_RD2(LOGN downto 0))); -- -- Fetch the data from the register -- OUT2 <= REGISTERS(ADDRESS_CONVERTER(CWP, ADDR_RD2)); -- else -- OUT2 <= (others => 'Z'); -- end if; -- end if; -- end if; -- end process PROCESS_RD2; OUT2 <= REGISTERS(ADDRESS_CONVERTER(CWP, ADDR_RD2)) when ( RD2 = '1' and ENABLE = '1' and MEMBUSY = '0' ) else (others => '0'); MEMBUSY <= FILL or SPILL; -- The memory is busy when either FILL or SPILL are active BUSY <= MEMBUSY; -- MEMBUSY, being an internal signal, can be both read and written. end behavioral;
gpl-3.0
f2b9e32e6881e554af648a0e15cbcd41
0.612976
3.146169
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_mux_bit_v12_0/hdl/c_mux_bit_16to1.vhd
2
25,562
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block izbBDZYB6iieKc0FeuaFEOzhUe4WWqFoCG77AlYNwcdU6Lq5YyuFxLeNYdeISvWtLkWrI/6Y0fp4 /YsuHybYUw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dPglY7PMeFbvuB0uF3iRbBobLduJZhywVuyB9s41K+4HFLENQGMDvnBcBmrmSuGJF4N0lWrka88q uj7aqhaR1QPrKzHmPwL58lc2yZq9uwc437imuxbI1yI2jxI6GS8ibyIDEwqCwkneeNsch3eUfMuZ P+q4W/otVxXEdUIBqJ4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Btpq/R3Rf3v7lr/jQ4gFz0FnbWlys9kaMNFX6InjEtQrcpRXVX47Q6Ljc/XtA5srJFoqnWjW2FRR dDa76hvE1QZvUvS0VQT6xbmI0IO75QeoH1Kne9JJqM3RSYDPfQoLcg/Ms9hExWzGMwqTzVYk0xmg /szBZ2s3FGHlxf7avSKmV5aXoftN21MbAA+UUdCODA270bl06XfQ8IZIXwFJSw9VaHsXWlCaHoex +dcg5ZEvgnShLGZViJwy/oVWRVC2vCiJB1C24KBj9+CsCoxld26GZNRjWh7fA+lgHFaRbMLP2r/u pZmdSKXn1wyaOcWyH9tGzgGXnhTwoslpHp8Gvg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dsLdfy5qEAz7hMfrsv+8JI0w6NAUm8jcH3r1Tewwj3szQLhD2BCIa0FEDErrosh+fSwY7qQgCPXc ekxmpnF8BMm3xqciDn1ojpmlSqHKZPPJMhHn79pRL7EBnjhbWF6j/uCyLve7eIqVPgE9fZHXocK+ E/CiHV9r0frWClAngD8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mkyN79eSWL0Uv10EzKDNPPw57uNOdjlvYXB+mgviMfc5VjvMgnID4kbUTrez9zhlNyam/Stpic4j hHUqZU0xqP27ZAFUohzRKkzi5+vh6BJ2R78vZG0NwCtoE+QK/eF48ByALMbxIy3N/K4/L+tazKG4 YScggpEU9JtmTBxq9KsfbshtJw9D/KKdnblP/fAPZYVS/5t+AUf69dsYsVwsFBraRteUo4/+Wm7e YZtKPPcf+P3YkVYEyZYQx/cq/OC+0fTAfK65WQcyN7seqZVy/GiZOgIRJGrb9I1EskB06RcB2bVl u8XiVCwx0oXvvolMxeKnkUKrM5rmKLHuVA50NA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17184) `protect data_block y9Uuq0yXJfbH2ybZST+CMxVU1EchAR5WwJpkOoBPOXOTW9RJz9K4CxZy4Z8i17pIgJGmKldPkKaj 9fG39Myk1KffYV0ZvjUwTzOmH/u6DQlYvH0NWzKFFTkNiM+9htxIJPtJkl/QAfjdkjV9VInjgkky vFF6HMy3TqJI6H2uPJeOcotpk+XxFlcN2tJz0Et2EsxJfDvL9L+d3mGlpxTfg2R3n6l3qbkCdBJU LOcsTif7Q4xJtZGGs3Hw7ssOToSi75wOwTq69LPAQD7oblQeAknq1FgLsdLfG2JqP4vMvwSg5VuS RzDtiZ7IjqN+7ruHyRr65BQG9jcZbwHtjnSJJFULUARMbRYqFio38mPcTOO/ed32ReVOK9J94d+O i6LOf3ryknFDP16FYVjKcs+xSzJVznPQO8YvKlBsc8gbg0nWe5oDMSoxT3VfxqE1/5w5FDWjGz3y 72n85Rm6UzKDuM1aCkdsmSCKumGLPzJT1jeAKjwl4o3Gznv3/guU0dC/sm7XdWpYFXVHLmYVnDdA ZHTWiYFc/JN2vj6WrO6OYII9N24rR+95xyRfyWLsw9TFPU8J53uCUrBmOBGpipUwd2VMkBmn3AJg Zypmo7jjDMjg4xWPcGFupIxi5hNHEgNFyZjj9FhPSy0WFGqC7oHGiXCX+H6tsayDnBaZgts6X3c1 xq0oMBIpWTxUwYek/dW0CN+Y3c7oRERpQQgcToIxc9QWI8xoppuoNTjyHzPMtSscf83LmDoURRIL clMy4pbKtZKuMP8uOauq/vO2jqdqiZuN8QQ1Q5+yKN+vdnKcIcYbv4KlYHyP0o7K2qsoKJsrAXE1 H3aT8pZnuKLIy5Kv9w+lB0UQwNaBgYH2PWU2OtTVTfKpyZ/9Z1D6ArNCk0s6ReTuoVgGqtmysz9D bgLkzIr4kn2uFQHFG4w2jjHmEeRPMzWLS4bRJ3iwB/zAevwqMNb3/HCKASHSly1E4FicyJ48sq8U hLoz7toxyaRxBXiOVqe8Rcxy2v+9pmw1hQ6WetcZGiCPw9WhoBXgMZww0TfuGrp8AkOWIkNvIcUz /Ky93WYBwO1n0p2wvRgWhrcPbS0jZobivwjO0axgRJJ1nIstLM4bKrfZMKVYxmhyOA/0IB0o18Pq 01TfPtSwakNGZIyJHGgqZYXx/Kre2vmNx0NZgSn9/4L8B57MB1NOFhiHRRdG4cIIYcTiXQEhjyXf rwok5kT8jD8F+VqpSMzQ4VoeNi8d2IWMmd6aNjTmtmJOIPUP7LZbOrtq2dVd39NwRsZDfWRjX170 Vi2ZQCQm/1tYM0z6QgmtuRMbJMl5DuNH5zAYcSLIYUXegdyBVI2Xu1BS8qKT+8Z5YdXCerk9U0Rl uUk+SKIRGa7y7k23GmCxyDR/qluQqzdhuijqPD5wjXPIa0rEg0ocVFndTaDm1tV4eJrkxgi8WDeo 96YHk8QptdGA1BYIqXQpRY97rpsPeyV+dMzsjYgT8WY6JMH/JTOBjzpr3rSLfKLKvaJUhzah7ADh rOI8dvSFO5Vd5ULo93C7RRvhEW1Ya/pTOg4N+rQ9pm7bhXV8+YFCnNq+u1q2id7nTKpUF0pymBV4 xme2+2FfHjGhSBoPY22a9DOaZ4WqisOeNdWQ08r0qKKmSr3bcA98w/xDorl8WM7ijL1HtvpEbjM0 Dxq4n3v9y0ye1fRYQOUO58tmYtqfyqYhohHTyHovYBonWgJm09/9N9RIgAC4gu7BJasWNz6Krxjs bkISAM41DHoSTVpSGbr3993ZqFLcbeo5SessfknLXbnPgovCVkcbVdek3b3zvJbc3+IIZ88xzwkg FGgPGkPeL0IJ0e83pG33Qevns3EHnjB3nM9gVcauiefeABkTUWYrgBRenQR64MsfsYs471N64y9G EVjvkEWw8nU6riydrj2fdbbdovKDsqT8NwEOqbSCavDy7oFwH7csXx0jdHEJa30QmLT2P6P3zFuf QAcyUiHDVWZTqEMU95JCCRhqROIwwFQwiO95u5zHXsRPGWdfyE/fl/Ysy4+Wrvp3WzkibkcglyEV I5t3Nmps8bSIOmzJwf228zdsB3+eItJw5JVECmTtzBHukkY9A2vo4MRdF5Bp/R0/xkCV95MaDCMw GdlZTGW+junViHP+MiOOxSNqVWjrxoxsKdTaXo54HPiWBVplsfg5Dq0rA8SIcKfIVKWHrEICLNqz gr2dhmyfpM5JT2xWAA6ypWA+BOR037gMq1HFQxyDWEhVNh5p71v+gPcApBR2ALIJHTvOvdXN8roE Zp1ixPrrXhEqn9gG3Axpai4JNuICDCyRAz7KGuLxNaVcDh2uw+GC1bTtOg20p5GuYiOfzs5HCZ2j YBYPVLnTCYcUdLjdqbsvZl2HiBkAoUTGZHPmkJiZGj2pBEBadOTblWoMlmMCwTu8EwDekYcC/yWH T5tWSAQ2mpLrbI8DLScTt6FqW1FErZ6crhWutW6WmFjtltqJ32AAl09dXjrCuh+JyauM22OMYkMj M5Z1z7Hd8ryjkYXd8yxrZeiw5BYNBlwKFp7JFKLq7flCyRWPIFxr5hlCCKtQMpWV6POqmyzs3EIF hKGhvyyltExcFu2p1ly0djKjMd/GdWwr0yAg5w9vbTuRnSzLqscPj9CA4NXVLJBFgfMln3bgN5zn StlV3THzumj8vT1yPWMD60aY1SJMBEP5foAGJls2DyFOGn/tWR71EypEqy1BGijO8yq/7lT4eZWp X/FEO7v98QCfoaKJunsOA8dH4+wAlvotRgn8RUyaQchq6Mm8u+VNQecVZ6+LZ4WCRRWLBzEXBx7f Dy9ogYLJpWHTdn19+fv7BG3fQdUA2ZwOqSYlNXgtTKwFMwgUUrHC1WQv/FqQuAzIqTElI3gGJPmS crxGz7gsZv4N94wmguwNyN9RJJntKpKo7eY9vaiKHidVQPiw8biiqOS7hy4Abykb+oB8KNHKlbwD Spc277lFjvc20lAVI5gpQngFlDaVLv2nm5geXvVgmSaNepGB34UKo5VZCNRd9bjpDRoyIvdS1f/P 8mt1RHj8sB85Zvkb8y/NgUiWw5iyQnsNhG61X+8YT1u4U5X0tuw8XIoxAvKI/FyxSQ5TfhUEBcgV tY+XFHaa03Kb8C3II6mcl2IYzcpON/p5QTSa51wjVewfoC7e9foQVigvkh9BQXWmbUQSy9bndg+K IrdZ8Nnyy75LmM68t0B0sf6H2Itzdzy9s+qxu3OhYox5zaRfOY2c6drRlmtrgWh/RSPJ7QGz2o9n U2ax4PiPw+LfmHzrxh/hz5sifX620Ac0sfjbyG6oQosIVPxXPGMb6CKR1T3OGYKbE4+mCvr73O23 9qShoSMpcVwKijrykXDhc3EMFLtphm9bAfeVp7QANp14W2U2J16Oz6jhP/rfsdypYJFsvNREPTvN 14qD7QbcbJqV6Jzn3a+vX3bPvbVn/x1tytc0mUXO675uawxw/J3gQZpXr39hFSu43hh5OgaCeHbc 4gs/bW9i5PBWYQ3OLeO4zrO4Nd7BNtKGp8nZUA5D7uNDLlW3tY+jwWKkVx/O4VJXGZa6JFepSK9f otWBGInYw0PwBbnB0TW8RUaE3BRrcDi7xs8r1CXhR297lp+2FNu/zV+9WPLZjUwzDmnn88HEaMPv 1ZExaIhb1DG/krgqH6DKwfjGH/3qo8lL1bXbo0lEF5rmd87n+iw0trCBrEJTaDL4A6M+hsb+azqO x+wndkydSBHUCFwEXikcrh9Zo6jCLAqWl6a3qaHWUnSqYpjQWrPmM61p6ZZ/9nNwp1USHVQT6/US 8U0zFk5kogbVePj1uM0FjxZAmqv8RW8KgOr8G83jcNAvhAglS6sLn9CXUxjAubRf6TlIN0gleCtW M4i8UMyKV+AiSjj0pN6jJEOEFGT0qgxe5ZvnYGkmU04qMsz0LHl5IlCJu7R/OVjLQRPGORSEoqgp wTIYfyAVt7+uFpaWffYwmqANeGr/bp0TdN3DZFnR7lm1vbBtt0MJWDSfa3ZbPajvRlSnV+4Nec6i Y7NXQTULsQyXp4TJcamRzeRI3dRsacCDpQ55emVkQ5ErjOkxq+B6C2H9GC7rAv3oTtNxo2EtuJIZ BDr6jOJahtkItHt+oy4ERqgi56kwJiZQZHD5e5zRrgFH+9p2Lv53hNsLrl+qLL1V3duNJ09vaxsv Vw1rrP7OZ2pGttsm0VHYLOPLRnC8weh4GSrWzCh6dnrS2E4NKizTo139aAieMjPd/1QF2fQ5Ys/M 4rIZljDoNkgvZGYhuy+0vhWG7X0OtiNFmrJpw3O5vilzz5sX2p0OLD/fjUwdyAWZjhzpnOYfNI3n CjhPKeszisu9QXXaebyxPqi0q1LZpzrYh/0mwjN5aXBd8WgULBeRktZ4K94XXhdSQF+V3EKDboOt H9ELKv4mQKZaoXaQ/yOfi0rQqjfi7XPNCn6c0QxQOe+g0xBx7g/mmT9sLQd95i4T9AFV8msiR1RR JZala533r270NkNZ7nWKVcNUU0UhouxPAJ1Hyqdj9l6yj11ZhK+COH2bemm71BOgqx5brmQTY0hz ficr/7RjXnGhGQXj6etsunw9kMp1kHsz0x7mIhBrasXsUgmqEbl0Bj2uFwp2xVf+5QWEmbR3daMA /ZMqncRon/HQXKGmg3Bv2EyThHnjX/Yt/z4aO5Ziq1lnj+riNRnmjvPE7GnIgQ/NHTvXP0iqnUZj jWanRXb111I+SysAPIkGCFWJREHgMIJc80zvLMIXpYL+u9sazAIdWMbhHuIBEjoTyW/nGantWgyK oJqyZgz0VnIVVeYixpR55JjL8CLIsFKCjx0op3BcTWqYokKp/c6ieumIKr171cts6ZVsz/Jao4/t 1IHV+7e2dj9HISetHQSwGmqUVjrgX3/lnCcSlh4tCjDIjtRMFEeNCh+9pEBvpzo6bTY+QEy04Ow2 wSiSQ3YWcrIlkEPBO0oWnZCvKHY1yikrv3v7lTXYGLtQw7xaDZvCc70wIfmC+6D7o+VB4zc402VV 8HFJK6mrD11Y+mOpLhBbQ66YdqrtEiII26Jnc2sKz6aZtQTrwRmVcKw3ZjEz6dCK7IfV0N8T6Osn Qrae0Z0rLVjxeiEK55zZveE34fkcnqzPnWJpUNTJ8KV/OMQAUbiqDKliBcEZl/koLh3z5rkmPK/N Bt4ISEPwdZZZYiXJ8DSO5QQUbVWTBoQpJQuAwvDKb6oPWpfWm2QXWyw19oTNVY8v22zXlNv496kh AWre2skfPU+gK/7edNCORUDG+CpRuf0Atg9l/04Hip9DV6jgy4To15S7r9uOAZ5HYzKTtbKiQGzJ +etddzv6/ZVWX3nLCxs+MbmoRvJqERENUTDqgv6VrOpp6Cyb9K6EjD2G15F4ol4sNvCKVdbV8WJM lQkSN4s5YwLWGLTFmqwBHzqbqoksQ5Db/agZOPwdlUOXKo+O1Es3j5n1Bai32Ug/V4WVZZ5RzYIi 0IDJgcX928PlD+DzscLTZr3TxaxfPglzZxt+iGNjq3r0Di+QjlIlaw1RVk6sN0eOaK394RTkGmHJ eQWV5xSqLOpJjxvZxuZlCj1Ksofu/+Gm47E2QfjAlA4xGYCMqqkfN0CzpDinxpCtzTk1/Sk02lv5 U1RyjX63I0I+idtUpxIcLjvYNCLktrAgYduQTFbWr4UYgyBpt1VzNmF2ysy+nFe67LCHj1QLKaZv lLGg/XtjjUMpnFBqHaOCl8N7nTrkB09o4AkZI5Ir6C0Ewhnqbq+MUgBGjVr519QK3TtsDblDkZz2 RIOjzy/sh+m5aiySatcAQn6EX7jiHIeLmYR2DHdGW0rhTXlqQfk9GPLbN47uSj/tc5OID1BNjliX 47tULUpgb4KkUqJLwOO9FLU8VvHEItZs1rh3DPj/K9no5dXfYNlUXgkyQTYWENIdcFVDu3hRhVGF F8oBYCi7DBJQYwaXdrkpzidWQ4DbsJDXgJzqYAB/QGUEj0q4I9i8P4OtgETRICdEsoDV15CNxbd3 G9bFYkgRV11MxkeR0AJ0PUGUuu2tHsZSITW9O/yf6GQNrgyJsNgUTawD1HKkHFPGjnL5RY+eALz/ wy25WuOxS6EWEwzEALMY0230xBhDEMClI9FknXluIa0S8ntWBSmNw7qVn3RHihX1fG/AykYcg/4C UGDdCDkk3gZLktiS48KJRRRRCw8P8rDEjXPOVqx/abfrPI2hul61rDimgLn6/n395N3q5ipVpLQn mSsa0Crv0RYTNLVlji6H9pEwa+BSzTFMiIBpLVT+jDvR7+/JnMNGrqYHz+AZrZje6sIt/+/cxL8F PeamOgAcQsT4oIQHoOANYYrEwXhy9B5sA031Kc8jfsLMMIS3iAbxoUSs7/td9CU/NJkeS0/lFPXv /5pqpNrCisU38LgPj0/sarEFWdmr9scecwsB3IwCE36m6wxLCNUbAOvtTZKkRAwS/JImMqxRnaet KLsfCurpW4tEFpogojiu32yph5YXDaIxZ9mi+BPGuTwo7E4DcJ+y2cQ14ab1t98ObSB9OX/22WBV oBu5Ld+liOzjfTHeZ9CeYNA4nLbb+m8hY0CVQko7/O2LG1+Qdd7O479oiQsmvmwcZ9fa+xcroXu9 J3Q7MmQPznpvxabZZwrRu7dqiRlpVWeoTR4bYP8e7ECTCCyUYo8OiiTyTV0u/zjKnGRp8TfSH6w9 9MlhJsJ2y420vVySWnOoo3Upd+ZeoutkG5D0GN7KBys1DWzUPpA0XJ9qDTdoclO5CI4t5mlyJvFQ yEtXA7PAYH+Tt+LnOvE9vUpugM10ksd2rFNVrjBnzE2YTn/ieTd4fnyWetn4hH5CYcCAILJG1RP0 YrLBi3wic6+aOLCxueEFOIajTgmJhmmIeT3YvpNV638G0L/sQGrRXwvH/97foaiAIafICuTCJGdU U48w2bTx45CgdzeanF8wDNC6s3+WTLujKFRWDE8lTDw4LI1PntVdKrFUESjfh4gTxDEAqfrCHGPe rYEyZlAN9VF0LOlhGbMFach/hFw4i783c2ll9INY1+knSgNYwo/e0s43gvjQPdCJnPdfGg1F7ioR Ydkv0iW/VtUiJpN0zZQ/kvqcqM7CX4D0jbU5jiGQBftJIIRwNyRpkEmPwH5777avV00w9z+K11V/ fBSl3Njxx+kvA41lTueYZeNtR75Me025adbXe3I1lROeYLx1RGAlYa8lx7O31uu2OaHCsT2UtgcU aK8e+QWnf1bSSiECjYhOtKSfg6KwdcA64zLsqtvuIpOpCnzPZFqRKmJ0UYuZfM8VQwWsFrjoKw3r HX7KCNOMqkflwfAZnkhyx2kzNpe7uGrlQTWLzTU1SUngm5Mq+gvGfs6m6twtbm24xqulJReC/Mq5 VNH1RQAWM9VX6PcxJgPL2E4krL8ZaM8YrLkYm/uT04IVA5GSaAAKK/ebMuiW0843mcTGqJwL7eMO dUFY/Z9WOzvTVMMsdBugQvFscGy0EneBfSuGW3DA4SzxBEWfx7Vv2RdaQblay6h7I+P4qVTtFzVA JWcWmCD9nx7EfdiupItSHG5LHSQ4NHE46Rc5ccKstOy/5BZjuV2gEtw7EvPhqx9yWvowwzFTL2aO bWx6GZ7xwZWNIgxxDW4JRbeRgGf4QZbQ69HS/4tmxTLTEwiM1w9y0gkAY9xj34/AbfSlUg3C3srX fNNCfQKFUXSpQ79x2LnJ2T5IKVjh14sKuKhAFaDRNmGUUqx2/GTPBwTi947ex73pCIXwmj7UqMgR bAUiX1aSxmBya5FtDAKrnK8qCejx/mZj0v4m7Khakjetkz8EcwDpY/l9bbwVb6UVYwLNaeB1HMcF 2qbyex9PTHlEdUpigmnd3LmJuiJ5t/IY4v98nh0OUUFPohm/mQLeNVL73CJCAd3PeuhPILLMfh5q PQw4LwcyEerV8rG+cAhe4jtgon2dfPMBZ9sVYPx2O7MXvQjKkCspWpZpVLq0qnVeelP8oVWqgYcj qCy+mfoKPywNrlgkYRQOvSpS0hmxl9IJm1P5R9jUYPuGeVUDMezuFAbOvD386aN5LBFcTAmx99kb kTkDCv811TZOd0mr5egUSBJOkHtjzfKVWW7Sy8e6EgDzWSvbmMH2QRNjZgXegJWXCGLVQU5IujqE /7E6a8WPGExmp/btzM2GkJhJXo5vuZ4OYW20tqdKn42mirR7uQr67vz1Y57WZJD5z2+CahLCj4mi yZVBpCfV6uWmjtr9VBDjOu2mffTZPkyZD3ZfN/AUM+vj0DUx+raOnbjHDKORsyqPp3Sy5hq6aGyo 5Wls6Zy3LtBnmaJA6cSOLN98gg6JmH08tTY+VQWTJmpQLcv0rGNjTFa4g+YRF0RBYncstdD1Qhfx XpM5zJSZy2Rr9U5HeUYB+WORSrv4BUeiTLFA5U3SWWXcTuiB/eg7EC3X5FaobThFqHVFXv4bqGhu /5CCFydxbYFsAYSMUFY/wwf2VbRT8StL9KeyxLAqD0Am5VdB40UJmUJ0iwxJ/yxjA1ndkfSIXNMJ c8XkMdGqAn39/768fRxd/iME/d76FcrA9gcs/IJKHDlTGUlhGSUympreq/42VlT8E968LSk890pn HAHuVZ/246PWrl60QDGbo6vYBUzYOr77d0Ywy/s/iInkXuPheS4vLqRkuR/Jnp1m4irKLv94D9/Y 5AJQ/FGycbE/iSYMjexUTz9IAn/MWedGmFkHEVzK7V4u4RaLm9402JyR6H5RNdQia5gOQZhF3VwB AgCuOsKZvFdzpU2NjCN/fQGc+ubyBEmd18suuINbBLH6fykObGPTIV13/1dPHNfB4pUb2aK/+WFv nenrFc7fFXv/83jUvpo4l4AqpPNYpgG/sTPRGPJ1HSUaMU6lFarIPZ+A5EELVpkDZMdNtbcGPwsM NZGRichCm4MEB9XPgp/0dw6R106GgD4wu/1zSRYs5SeQg0/VnOkOUj8dKXRi0yqjTRnmjIcZ2wc+ yhfJIv5u9oe+7WXkythkGtgd37vriyw0yeX8U7BXPSPGbgNBAR6VTJ9HxAg6PvW+okFmmEldUUFi m2MACQMtgWlBU4+56E4SjR+AToIGKtLalEZQvXCeyBPYeLB1HSlNsEg3YsTt/A0DpNEafwjVzDOM CMtHi/DwuM8O5oD7yO36ibJzyr4scxooEbLtlxPYxxyUR+rgVqaafj/PtSnB2CLEo6MDPEwvgFuA 1nENxU9WantwU046ZS9dDhpCFj5oyDcZOi57vO/ITQRfKiV3yC+29qQlr90Uu/TDYcLn37LSt42a 1ufbaQGk1hDd+9eFy5oaYJDAeH24ZJQ3/G8FWqO5RhXrNv8FAeyWFzI0giqz38/13jsU37qho94P s83lOp/cPbzxmttcQygzftbapDKReKHtBak6DBsJeinKErcmdGlES2ffXwNc7kIvszWnNqXOinVC CZymtyIM2G08VDNlIYDlNr9qd7mXAlukUbYGYfNIMnkNfG0COb2jt+uTlVo7XU48X9rXrG3IDPPN wD1l1vh3bXdI5aHDtYJIz9fBkfCxH5BZNbPBS3VHzwU50VFMxQulDBiLLF7jccmJdCe21jXBm/QC Cq56pR6+hXeyXUh6gq11bCAI1q62BUtQmp6LaDv35mP/AKEn18qHTDbDHG3TNRcUFSxRJ9+Dtl0u RYRObl4xc3hgqnStCGLqla8AWMQuSAA2WT2Rd2RWEDji881aALMtIMzoNxPKhGgWZ5k5EmeVHrxW 3xqZ6PKb87oWSHMNAog6JkX1Lxeel3zLzQIyGAerv3jaQTs/Sf7uThmWXtx3Vm8GhuQNrcfPQU3/ b8LYPqK9eCR78c4nvT15vkvA47EmYLB3cMSP2u5CY7I2JPA5sRt6soVN5kHmtKjcahXn2QdnlJ+Z Qddumy4hLYEb2FEg77BvNATH0pVwY+Zgryp7n7Sa0deUSZOV/SF1OGvwi0N4ddtYwx2/Rn4jiMKd IqiiH4EyVh2IkA9Z8GiLh2S5wUynoaW6zb0RrsIfGGZOU+Vy9NF5sg88dyUgHOOAuDzUaPH3OMNW 2U+onYeO/bH2LOU/W8LJTyW/UAW5c1LWl/pwZjTXSg5dvn43vzgMX4vQYTQK6MAQFMi2QjAm4sBu wRq1a72gaFAaFhTRsOS4tMU9NMRTwmAHLrgQsYjAyn2x2p8nMmPtQUOiASOdcS5vkBUOWZOoZtlJ ZmGh1So5RE28+04ZAePfpjggnyv6N4j5fpB9lSCDjxd73Zz+qPmzPl80XPe2bdos5Aq3EYPyRHPb Ki8lbWsuioOzMhUsbYlGr8VY4V6AoY0MvD9J/i29CDNbt/KuySbNZxEPmP96iS7Pn8h/EssB5OI2 Z2bxf1Xx4quDF291TO1DDC/I7vCSa4quiWeJVhBcrTv135Vc1hbxY9CbxClmUjDFF47pwSQt/6ml HT0CJ7496lWJAjDp29t9vPabx0N8zE9wJrXuEtCiFOvkIgO9KBXsU/B0/Cab69DVhkx9tQofq3HW SqiRA1ySouAfOvvxA+5PRxFMtyFa+nwqg5pXGUYdeUc8VWiYaL9+cwr3TVgkk7rpRNTNcv42BMxO hnV46oWYcWtx1Vjjoe3qiPi+PoUxobf5gBQXM+ofwQPGfBe/s85nYgyeFMBHculICJMpDbMBvBKd nO5oHhMVyJQe7f7FY3XaWZ17/TVq4ybl7k0RN/vwbWnYGVH7KjUCE5Jl2oFeVOx4xZz6le+Hktyk KnmMfp4ZVmmFWURkZE+P4kqa3xeDga2VnIHYjY/EH/HjMykO1sEIYQwain5gOWJMBDh9BZAiIYLg RNTT+HmXu6nsieEiu23Fr4WC9uIiQvcIXannNJRllbvoso1iX273ZhjDwfdOW87ui50gmSXLi07N 3JqaY2hVwrTJzMVslPpOpsgLqe9ILz5SfwCI3MmPdidkF7raM+TuYKYFL+sxXs9pUd28nImMYZAl z7tbI//rmgzZhCJnHgQ4kF6MVdXGra+JE/kJMahXwKRvgJOk5ndndGAqgtzKJwSpvYASWgDBpas4 /IleQNxxP5uALcs47+R1jg+HbDjQxOCeeK9O/VtVuwH9HTtVZftTTQC/lnjI2F0y1V6Mxv/TXln5 Fq8HixJOSVH9ICgvUGZBrdpeI+JBHQew7pP2bDoLZpkUQ9mvQtZ0T7xHyUPjyK86MbzBhjsEpCrd e9R2OG/SJyBRo6uQzCY5PoTR15+Hzc4wXIAZANJWeqV2bHlKPNAqPW/2WOA+2tyvVjCl9ALZXHuu UBnYlAbmHa0PSYc+EA2qkZ8jHuRpmKo9DoH+awol29lKv2NNCnag3qR+7iK/iK11Re/0z0nWM3ZR vdu5I0fPVGAWk8Z/7EjP7Pb4LLyrEXkVrpnUEkTOKmW6PIodhwA4WbX5WSnU49I7Wl258vaM+Enz K+I2wQkwIUg1L3gXmPNdjgzlimSoEz5A+z9t6AL1ZE26I7/Y7eJXmEar8zRm+2fVkAc+GLgBZ5de 12ihNvHxoB/5YCsEqrtM+HtPheKBcoqvPIe0Ps33TVWb7etpW8tiXR3zW55WsjvStyZHWNqe8Lqq 1MUvLnq9rx9mK5MImgMoFuFo8cF886GkC+QekA7Jj52wxAQ0dOPSRCPuWI6QpdHFAFThW804CSI/ 5X3IGy5JCm6Wn3uEmzQWNHjqADUqUISqOlZHhX020o1XcD6USb3yBPA59acxrDmlUU0gSHtFnzZl 9Ca31Gso0YxFgdCl0MXE45yjdMOrD6T89oHluYyXCNqF07giz/a2rEYpHMoZ4N+KxceOPMnUCERt xtKAk6fZGjV67eCnBKWEkIWEj2pA2qLn4dVSsWiIu7hOd+fF7uvXlQUqCwNX1v0ZfOvWIAnRDUtE RtCzetXERkWTVUVqFXGM80UTY0K+xdBGxNTy4UcqcDZpPLWdtd6j9RmU4yfy0sg3iAu8WtJV1U+C zwJ3QXSBrrvv5ixgau5ZZbCR2O7M7GrAAEjSc1hseMO6zfJQK9ZBe7B7Q1UMWc/XiIk/vuIEG+mB Jb12zgl829YDYEh5mZe3znGC0iPH/W/I3GsUfj4gCWdx3WOHwKeeah9XZ28NquJlCAyQc9EGfv11 P/zuuLQEL0BU2uGjxWW6DgHGibJOxzPoT4aPzXfeyKF/Ogv/6ANrX77/+ZmNQFeTlM3OvxiNMmPn Rcp6Zohr5/nyw8LrTBh5pdMuczfBnTXigHUuvS/233Z7dqeu35dVISLaEkK3rBqUYQ/hMPbWmYLR HL9+9vJmJ+LOx3sXP2J1Sf3oNvPO3UzYo3QWWIoP6LKOGeQPtAwSqMd8X9cQ3mpmWqfCWVei5xkB ES+FTSdppZho6s+A7Y0jNaxLn43BhRJjHdeDpHWzz3qMYPfSxLMPBB87kl+TF2bQFYN5Ic13q8OY LsgeRg15dng3X63DCPSNXFU9iKVlB8InBVvrXaCSQjTfyWmRqGRlaTpr+DjwK/xQ3jqQkXthzMjK HhBgl+vF/PxDgvm1TTUUTLH45sDyz8Da3VRW6zVgSUFL0UKJwOJMB4w8a2JJxYcPPGeTGkol/kTF dpgDBnoQp9Z5qdXIloaV5vwJ4b812MypFigtNuZkIssa/GzKMDsPtoKm0U5j3B6qsCdI1OENY4Y7 ymn6JQerujxKJkp/I223RcA0mssV3yWcdi23CoFXl7GJaGWry3NtivcPetQ9Iw6whndToWuLkDBW K49+rDOTeImRrg3He7Ya6srlTZF3uFFcGACCh0g5eZ9qfwJSkCSn2lhnKFmORcAsAW2UKNbQmvTp kadtQJlIwv/zdG2DeqmkUaRscMHzCrfJ/FzmwAtiwTX6dJ5v3aa0/pHk0m+ypJMKDqPvb53f6nXo F8DgktjVmVqN8HKFJhYIFzPNx5NuGFbaRpMg6MTtOVwIrKtt2wwmCld2FP4MapQQFmvLOdvDXei3 y+h8eDonqg3qZGy14EsO0bgjg2epmbolNxdsrF0r6u1jUXL0HruQFSfdAgRuiRuh0ifikU2Cgy6O lBM/O5y53LCKCaABV7qWnFIXuTIsAaLZVVO23XP5L+SrSp10+TRZb4MQuQR5YK/jwZnFOh7dUN33 vRsCcPgJwipptNfjPF0G1a1tA48BMMeNT+/9rqcJeIlqqbbweNnspHFDU2i5LLkLZ1pZSBVJT5hO 77gAX+4rpxWqr7A5qeXvhz2L3Y3yi3UwvY9eqJyxcrX/qMg0/Z3H3h1jTio3chlcOGgCNZz7A3x8 TUUGHk4FEJjxvuh0Ijfr9IEKFnnQ8x5zFSlsL+fDPTkw/IB+XsLengARVhhSldvwP2F7Te8vdAFX vdED8/fJvIBimxB40cFK0eJ0V8tSjOTs9UVteDatb/5VOV80Aoa9Mtu1JYOwDUopJPaeL9k8dMCs z0bvRSOmdoDNYYIzXN2LabN3gHPnsjW8PB02hiFhzMGWlLNuUDkvVo35kVNWPyvknKe+l7ProZP8 /htnZtkctrQ69BLrdQvWXyJefEsM6AYbUThzvORRQHUnmtO2zO/aJv27Em4ijr1ZpudJuJ3xGSie C8JdfixH5rCGnoeP25muu0PF0ufiDqpXGMZ0TOmEw9Sx4LwiZPVGJNF/NK6Aln1BBgI/6iDitdnG cDjwshRMle4sE/QQyyLdMivywdy83DkfDtYVQnhPDb4IOVvu/H1uMSy9OXYoUqNVyqmaBwl/tmKs YAVsSHBCXKHWVSmZCCta/i71QuPS+RfQj4oroctCdPb4KpJqEW9fHFkP2CrsnmO553NOI6tRNBDD MnO/fWOH5FcnHI2tStvVvJRMecVxRNOAbxS7HB0QF/K36o32rP/UYhoFk05Z3Xyed0hRoXGamq1w XXYigdwwbDP7WnlKUPdEChMTvFs+HcWAkgKoFguIASq4GWj90RHI/0uyxQ2in7aLAWJbOnAkVIsM xxhnq1IgKR48TA/uhVRQNLS87ZCRF7M3/noOOZffT40EqhIXWMsT2GD8N1Cevwp05A/bkdQ/wZmI DjBO2EgTG9s1sNDh7QX8wCW89SURAND6/4yyWGBvXKvGrLtZkayvK2kbrvKMMp7kafYYAz6xVoFP 3vD7skkPn39/G6aqmw+hsahdbiKUH4ekrW/1jQWXuh4451gQUPfJ05W7JNopXRPKFLkj9ir8eN7s vHQ9ZW6spuHJCJM5jKr9kXYJ1lZ/YY0BKrDy9OZ2h9wbIDuTD/bwxER4E9ZR3hnygmIlvPQv9NcI vpbD4EKuKeGFbaP/kvY+F10U8Q0mh/WwvyIf7ABoke9P4ZtbLgQVTlQuYvINKHEXs8TSqmY07s4W V2Xnw0o8x4ZNN1srO4nSgbH6PzMe7yACmyq3MmvUCorm672WMBbqZ39hOXay399vxCa90nVcRikF Mam66QclBmN/OInJyYhoAt2nfCDycb2VrAAhL9M76fJvAMMVu9ADhJihH+sRc1lj2ETDHYb1f5lN 3yVdUmMKqBcTmceVFGU876kpg8Ut1ZQRNOahHBh8oXz2Y4vH6Y70OgD27uT7SeCDR6pC10RRc82N pNUgF41H4SbI4fTQ3r4T1jPp8xyHAp9/WOQ2jNTKGN5mqfK2vTEsBC1ACAyAqcGzMx+KU6sbzJue /HwcgEzUK87+7GfL7uvZQyu+cc5fTFWENmzSlRRJiIERwyfroIYheCCHMQWPt8gdpcxMM0meSneH O9+2IjqEaqkJREJxqdEWy4XF7sGmTvdTdqFWsz55ALmv/n6YyncJoZ8qVfG278axnaJU1cXc6lIJ 1PIhCg2ySQRKaSd9Q4hpKkuyMzH1YOIGDst9xPXjZaeB85IJ7Qlxj97rHF7ov2pGmMvekgRjL5aB JXVvKfp7hk1WjJgOlUQ8T4P6VKhDyJTRy51V8lx2EprEtfHyuVRFbZJoiPK/yEC+Cfm46lvqtyOG 8I5/VfqNSUyvLIxvXkR7n16K0zWF+0ncTm52ZR8yrln7cuaH1rGBkp82mPwRapLgSL0+I77ybeFe TpDDV5KEps191cqezgHX3NEUP4b2dLpZC86gIyUq8WJtE548Aygsp2eZ2T3gTYOtfq9e4rag1HUH Naou/czzpk6zm3etxNF4n11ZR2TsUp2DKAmfvAbuYQoN5IpsmNPSGN8fOxJU2qpiz9MMv3fCY5Co 1KEcS0yw+ObERRMKJ4amQmL2B+qeyuBdIqAXpPoB+gXHuBcEbBszAsYuEocwYid4zh9W/BoYOZE7 rmbg936a3X4+UeocMXGcE0RGDHqo+Jh5iJ0O8wez4q2GoFHyxX5Wyss1PNIfl9EOI4sXXhAZcR+9 aWyxGS+MZG1pooJFWvpDadjBjlFyrRava2s//sG1ciqi+vD/HR9xoMs3vcjhoYiOCZqNvzVelSfZ u6uplojtJdVuhzn0j/fEQIRE1rYi5INuhOzgBmI9FASkmryUGRkqi5I0ljTNN/iXfb0XMsb6SmJw VUXRjm+yAsfAOTMXFeTgvmL7lNNulQlNceITtSGVsQyR79MpP08vZGf5qDQm6sxyDi896tjUpKUo 1uXWHNwZsxDDHiGmzudLCD5BodknJSmLQkWQ2Kf1wReXJbx/QEmUlf+JH5h5MIcUBu0gCx+9XFSF mXbpC2NnzeoHdcMye4Xa9/+uj0y4gQi/7AWgrTQp9ShK0DBEtRLTOrPe3t4BO5NMZkHsJCVB7q32 tBnbIp6wUxwZM4MosEqEZMTvouUgaTeQjTr3moxN2UKUq/yWpq2JnlZ16MxV8uJApNe9Lsy6+/ZC 71TQcxotDfpCQwavnAdJpuPbWKvk8nX1Xuyp2Y9CEZanRWzj5K+phZwSy9b4LydfHewdRPJF0Ilp Y+cHqjKijGVOXKf46HY1abfHaLpGhRY96+yPVUtq1C5zlyQceEBxeDemE7W2vK2RUBLHNOUrVwpu U0GJ7ZXAey9dA4+xL1j4QQEsgu//qsPi8rqCaVHxdMZ+ACyELPcKeRwnvwcFaxEEHe2DAufro6Or PYo0loPklODbCn6vpKVb3lSsmSrWtc6CTr6eNDvukvNUU0W+YVdjjdRNwHBUbNmK/FNmpbB8gnKK N9aQ2EXBk5+du1yyybzeIKCfZv7O1J72jsX3VjogN6JNGKgW/hQ+NKMjugS1MkTZiJp5pSySqrFK lhOG1FH71jv8yM3L/MoQgckXMle3TBGFLDeD4YwCf73reQ7aBEl/r61xseEMKV4m0PclI4bM+gMS NuOK7cU1yA37aNQLl7xVHyj658eDbkwEo1NfB/SVaU7Hpnxcgzi0wo/cxadK1gd8vauZIMwmOgoO U76XkFfNHyHavc+UtQhu1lWos5TBHEpQ94rxsxr3cs445gk+yG7xrIyY0+FvDSbj+dIwKfFrQSiK ylkNtAzHS3Pk7jx3e+qcoFY5wcTLsxssy9ZXHoq5S2Eqs8WjbrzDp+mjdRt9UJSUYwETFO3HeFwq gwfLW5btv08daGYdU+YGZtsqm9Yb5GjsP0XAkOBuStyDeHeaLoRyROlOFuYzODUS4tTmeaVjCh5p f5EBeDzxgrvJ/PQ1oRmcH0/aJA5b0hZNemycL0+PdTsUckvGiBnC4VrTl1MIwYl0h1FXX9W8LeC1 rvdlq7/qkTcmg6488TplH4bIKyG8nHtdStodPXh7vBSco9uP3phjmOKHFgn2tZUBwVH6E+3t9yap Raphx4AhzNqShWniXw3Uf7WRIvThcbnWJUVnoZi/G3QaURDDDEuahlVDa1OIsr1kLlc9yLlbex5B mLF9P01Ey6SqnFUKryzz5/9m7z4znqUr/qyqaWSiT0gm4uR7DiYlZ64Yxb+uSlEgklUtZJKVTuqT pP6x9Ob85j1l6nw3ruuLH1ncKJcOOIGJWm1hSKUddU+xmY8Zx3/SAgonQPBmQDLdDsxziktkctTp 02zpBvM7QgyY3TmlCnwW7OamJUsU6uLdGpdQMUrbTz9s+aKH3m+nFPCRCSaq69MD+kwht8hNRcWo YtJD3hAPusAIFRhi04wMRmaCR/FVPqqC+evHVXKSC3YcjCg8M8ctn9uYwzvTB4OeSZ0KWSADd4zC ++qhEEVNz0Qi237ftewo7+jDhjAOI/rGz3jb7ejJNAbxRjcKyWcWd9pKGXjLDMMf3H596iUga9yQ o7KbQd65G7pwfDaf5VxcimNgXiYp2TJ/aLkkHqotoq/Td71zbYMbMKiBzS0r7knvYH6/RPj8tWJd FqmXpIrwAFlfhIaVzF/wmURWCVV1pTtUrJ9bzDZ8khwSx4UhyTWP1eV8+FWftZtSSrkuWTp9KP6a 0MteLnFRHSEJa/xcMxKMXoXxqXz1ZKgNJy/VwdTY+oiD6HqyJ+q9lfdzdSKMRdatDNooc6YIuXw6 N19Lu0Pr1R0RNbipBkr4vTDWrxxfFOdGCz0CclfQ5mt9R96wgjMVzyZeN1zD42j5dt+BQlvbPHRN 0PL23cjiJ5TXjVbwM2w1cjvIN0sFwggC3u2V7GcpHWEpm9HUqfs3EMfWHHO+04CKgRAgKKAHOm0F lsq+jNhebDdtbjdsUuGv2TugjoRsTEU1K3koqEoXccKly9+K6mdLjVBE5IH5l7sN/zKbT0xrV4l/ ZcpjfVBNoOTsyeqd0Xv/snBksxhj9BmHw1aZdMt+SxHir78DRZEJoRHn6BAZuGc4lB+BC1YsOB3t fA8aZF/FW9/i1Ow654Jjjkq3pEulHjkHO1MqNhOL1y/m8mC9PVHr5YilF4mLIuR61i9Q9xUmQAYD /Wa22nPCRFASKHG+fcQ21mdhQKJUSzamSFy+bWB2utu/w+V6rrdXD9QHeN+kY1TTH26QTV5jZTVD ZjRT/2zkpjEzXAPg8nIs49ODyFIehbZp1G7X2h3jsPP7xucXE+2Mp+O7jt/GUSr9wZdhE2yKPNd+ /hwqqAT/p1lofFgS698fRe1yOqlJftUASGg6ygSbA+KtSKhs8wMNuYcW/fgaB9g4R+DR6PCPscUg Uo7nZvTSy3rmZhLkixh6igMwJjid93UPPNewM9homl7wC8MJfMbdPsxRCsA0BbMB9DYTziyYVKcA E9AnbDY+AYyGGXrfeKiiQj6MK3yybgTMrSUzug00PaHoJk0FdKY6tND/B/r9bdAsq60L9icDodQT RenM/mqE1uLIrwlUrtJF8R19fYsM5zRlrJbzbatlLJlE18pksktZzM0U0/Y3J58IhFPw/LoLcnqM J96Etr/JMMrvLK326MbztIr7XUYCCTrjEzpNBQDgLQ+aEsUQDafqghrjxmrcyQFR8nJBJxSJrqHw Tr1QU0pLyCNrlOip0Y102z1NeWAo+E+JoSBZmfRYkIhnAD6Jm9HjavdNhhH1GffOv9nYm3PNS+4q s/uN7rBnWPZBNNRRVQio5AKvw3/CqVB6SuHwBKMah/C21x6gn4yzxxQFOlQkh/P9HS00tD882GAr 2C0SHK7zYigawwxjUfSj2l218Ti3UBrW5w/6DxtBMru1dfYenZkK6XdKzAGPmaz36HB5RT7+PVcP BwI6BjLjmk3WfHoV5Ngv7CIOSz2UEBwMCqRVl0uHc+eniZvnGyG2Oi3RdlRs79SF1NUtQ1dcRpzg yW1NCcv995vFS+aSp/T+vw6hk7jj1ABNUxqNLMMf6JKOPaWeW+W4PEkSos03zjZdHUFo3IVZLDvW QGnF3tWQvnL8VBpeTZMQjkdg2ysx43UaQFBnNR9mb1KIa1QWvT+MKcZUwQw8vIyNJdTUmsn5EeoW jMNvxFF7zsU7Y9et/YUYV3mp2um7oCj39FMwnqtje3IeZXXHtY6YhC5WGO2k1UUHcMiHw3d3M+Pq 1INYytYGt7E5YFea6Gw3vUWD4QINW1WLwcZXdDnPwmJsKpkLD7VJ7IzUwM9cS4C7oW4hq2PfzLQN HH+R3xO0RZFgLrPjnRLJ+Db+seeVYYaeFf56vbWZGGqxJKuGv1ZmC2Yoyokw8lzn4Dtp5U41+A8H /3Gc/4W3cbMACnuUzy3+86cNj3ut2UhxpVCXwqtAC3d2Zueo4Y0kFzi3mEC0EJKzENbChuGtDMqA 34EuOPJvJs4I26pW8orp0CmqloZ73xVJfkaZuT28VyUzwOhqP7cJD1dQyUgOPEtaGDKkSODgnLab hUmgCXbTWw9UN/bUX6vwH/zdJkqePxk0MS7ScNBsmIlpt3jBJzFriUUvmye9UepC74E/t+OZ8wvH b7+T9LGKyJEhUHDBovx0lCY+pUqCdQvq6AvYulHJhKu9lAngvvUInxQfQpcuEP3jB9nqnmNFKWnM eInv2mI4q8/z0NgoK1BeZXWhoYdVmo5TROkcl5gL+qYyZXrIazfyTLXckMfWk3kj5ungCaA+CD4a 5BZl5puI44QZZRoxsT4zpxh6VbLHsCVnrYCN5EuI2RHsm6th3AtZ9cLAiIytIANAkSQQ16zuyO6c 8EeJ8PNXYcEsATxuxH1kmW1HxTqIiYVBo6cdXZfGd/eRXS5TYqWj2HBtUUX8+PTR15bKYWBBbAgx w2GrTFlh6E0aNPeiXp/kZjC0R6nTMpu2gHxRVMLs25KCM1oA57rVP2QZYtRTxymhTuT25R3u79Lf jEAOkVGNj+4DpZqSrDixCO5E5l0F656e7LgXeTjRcXf4oKRhwauU8YO4cTlGw8rVa2vh4O9wLTDV RHPtbU2K3zLyQJfiOOrnOcqcHWYSGXjCexaJ2wAyqzJvafhM0IBI+i0ESBH5b2swSYs/sAyNKYR4 KdBFZygQimC0M5f2m5xs70cILXtunbR02Cg4vsz0y75LIWvqCDu74u5aFiWRHpK9i8jUtLtfUEXZ bb/dEZ1HSteKLPxzA8Y2w+c3fOifqOf7dKMdqfiv74G4nczZzcpmhvN8KoM+lX231F6AWdhfRQzk vmoPAF+rLp0+VGke0uKcXz3huRKIrh2E2+atEKxRC7MWYYPpVRZDbcg+/vircSMawu0yMfQ/aMLa 8VPflO/5ar2drsXf7ESOSZzWWyScVvhOhgA0SgZ/UZDw2F88I/9aBvC4MHJYIQSMMRtgMbvnZQ/8 0FKRyQBH7ufp3aymUAMtuYEnY6gYp3Q0mZK488vI7CXt+MrVnSluX293Qu14llJhdhe3pqIiPyF+ tjkPOKq6FPekM1wh3rclVROLWDAZMukc8WArFCEkmx4SK7wB9tZbinWJN1TiUixfaTaWlkbM1fwt tYIyQdQuCNBTB35cdVnvqvYBL0nhvGWvrDcpBTzi2oSxmpF33TxSwG07Oa4/wQhc2gXdWsO7pFem DOxpFudqGkSIp8bSmOynorl8YXba84/477BacxKLEllOPpVQ+Hr68hKXLa0dTw6E1eHWcV48zaV+ fqZmmHp0Qtp2aSGmH4Y9fqKvYiB49bV5gZ1dFJyJ9IUNzAd3RYirl2scM0WtyJajCwv2YHb3hUxg W6veDMP25EnDaLIvLWR+GN11vALpC+D50nL/PxoQf93stxudYfOSzZTXnGX6MIcMxFYk5mGm0IDL aiplQfeOajk5CU4BZdRb3CUFophVNQNdTUPSA5ScCZ48ZY7dpBc7kIn2I9ShU2jikPTMuZ+BVwvn CSft5kDCmLtLsSxRy/ZMKYU7K2XWkXH1wZ6wclaih7rGqY3Ubck+1CXYm24c5GHIlgwFipvjr4b3 Z9u2kCyPAIRAi5Ke9wYsgx01pM5Qh6iAR9oVbxOqj8pKdmDxIAByCYBUKkaySSBMq0fPqHAEpfHp j7zYlRGkJuHskfi0p8R0xkJKFNXvvwPhbJ+nXnO9k6clywUKxGM9S1WtRkpXr4h+7a8UCmP6tiT2 wPeCZXN1jieZyVBnQr99Oy2Bqv5c0UDqG0pWVoAl/kFTvfZjb7r8xmj+OR8LHYNri30iE/byz2lx srg4PF09IkU5ZGuzg2CqbMu1XbYqL0KQRanD33Qiu9BfCn3ZgjTGpG92dUc9kmsVtmX11ll6F8Cc qiDrK9pIQsiqQNM4KQI36wh+XVt7Sy1fEVS94F8vmyU2Q83GcBQLGaq2zx1P7rfY62cT75Qi3244 MspNxLwDRI2uCx+gpJOWxRxq0t5TW2zL95y46gDnWTjIinZ9gb3ClC0TMXjkVEcr4S2cfwiZIDUu 5bSStF34yUuJMTDU/nf8ZafbYkLny4yXPdim4SMXlkjvhvxpiAXMBnOxM8BAhmtsDCkOjvOGJT27 uY+ShtcCWxxFXqu3iRk/rmZrCxsQhiv6oOpyiF28yoVo/nXtntO+dJHPcdHYOyUBfcNAfxH5xLSu NF+KamGjef8dXXTVp7MGhPJwFovPVAgsudE5hJ5y4qkDULNYHUxMZxYHXTDXP+tEYvOhHoi/sizk arr1DTgm2x2XGFwofS3KAWTtelllIMKajryQ3MIvD/Td0jMgayEVj/sI5OAGYTd07Ii3HSJTU7k8 9UVH9V/n7wo1UnZsYmiZ9bsF/nnApgDfmUnDvfNQW/ZF77fLFaC+eHJryDA+RAehbowj/uG5KFTX WqqPsOjwyRfDI7ANxi+WtJdbvB39dGSDPYXncMYHNNFK959SkMKOVg91MhswMzvq5BfNVtmz1FPd 9dNWWJtK4lLFsU+ct36avX4yZ/jlSbUmOyR0LzKYQMzZFbG6ysncM6Z+4/8EibbumHshC3mfBvJb vS454B4YXltOrZWbxzn/IXUEdqGZcUPFgJd8swMavI5MkFca5DQ6j9PDSW9749yzEo0AZ1KzjUAZ zqCfWFmWXryWsAM3bp6KDhy8x0FN1ZM/QZIhvhm8/c6tMUalePXNm7W+5+fZsSCbWvQWQQ9LG2cO AG77N01CuD289a/JzhbdX4fgfXJYhoRPw1784GCWdInHGS0TMZnbjVgCjKdT4lFSmhvuJ6AHp6Yu Ghr/l+xn171lrXHNjJpH5cJA3CwwV3IpKN8qS8AsBaTqQ+hlth7fjxtlo1jbZFt23VJq633d8ztM OUMyVbblJIH2ktGAl/niKjc/hJd6RPJ93Gr7/JDcCVOt0QopXhDOQ/yQff41HpL5t1tW3BpaU0VU 1p4HfEWQbntG7tLW34gcDS3qbANqNmzmCr88Q51zddVw+hTqOscK7C9A7X/1Snwnavsgq5I1KECg apKfZl6hw1SR6s4qCE5zNER/ERgk4nX4lGEGhC5pqePuEa76ok0QNJpa9wndF88A+7ACrXBYPMjT /i8QrMvVjjsP1uuc9yUZ6d2XT6PIvyuZ8vgAr4dOIKY6KbYYJvMifCSAcs8Pm5lek8SAldBlr15k PFz7a0F15XF0dJyxHRzjQwitAqyU065cmXnL550GguYV/KdASg7WLbJjuJmh8KPQbzBsBM3+o7mg YLiEVCwt/uMN1nTYjbqwdjsEX3UT4xWvlzHv/UDA7myIjshl2ejRnECcQcTPE19gER7RpuUOC2e1 sPyGFaxNP8YWdu7lqTfYR8qc46zoDVj13PdAjVF7bXCJZCK+ihAxZBmDwmyrK3BTlTZJj+Qjs2JW pyaGhDYVGaBIhy4b/hETnXL+S9gw38HBBlsOTEoQyR7zluPBphxl272SGbyGmMmdB83ASz+cFmYc 3tIqlnTTsIpg9yibtb43+tNZyx1PQisJO/PPB8yLPQOM/2E7w0a4oFp5Va49gqnmh0n9wCa9wgUu ARFM/M/HsK7v2yNjikQGmVsN5Rtg+tMFttSgIWCSE3FaXJHyGFshFOh/V/eiBOJej1KDuAl55I7V YaZyCYZyxZU/Tbmk/4bdhsvc9CPoQ5/bf/KXrKUwYcMRvFxJibqdFoM7qW2buWzRg1M6s4gVG+L+ c5Kubfdo4IIO1rCvc8slJbObt+VDUFjXeIvrRpRudEauk8muYPn/myJqwd/BVM4hCLLDlP98r+dx WWGhmfm/H6kiuMpPrX5Do+IDhp34U5h1Zniz9GFfNdVlg9v0U2eqqI7rbCQxpqGsWg1/f4xkY5Lw vtxiDfdAC2L2wwJUUANjhEqkL5PaHa3bf/pk `protect end_protected
gpl-2.0
a142aee0292e8bb288e15797b55598ee
0.944253
1.849103
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/butterfly_dsp48e_mul_j_bypass.vhd
2
40,540
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ionXsWev3GgBa9qkUQw9ueuy6eflm/Yabg8/FgiNWDngwmAckEs//Riv+VIA8uDp3QdKCQzUhgy8 7qg1g8BfhA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mePTD3jD3AFqzrlX8ub2miSkewiX2fUGpN/iqlhIHgS7Vjo5gIZEyjQSCL0U5psEhP0JyP7qOgDp F29NV2T4EGgpT12FZuhmVfnDqlCRCdefyd8LTqkcoAEHGLc/GJ8TzBIAro6LprDR49hNQozSDy8m 8gyzpA/aDzFM9mZpQuw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 4VOsf8IG5GxR/YCzUNCd5E+AMctjB2OtYLH/2Tuu8YpAkCPhxAmKpvGm6lYZVFLWMaWLvl5sgdR0 yQwQM+/9MBebItDwcaDwyOWtZlDSekLyJQC5NL7VOK2ZcawvtOixCNssi1gLRZE54oQkxVfix4Wx e64/sRcnTE/wZ16IeCgX96iAVZ1I/IYLtLjDR0GZbO82jpKE5mUFk6aek2KZfLV18n1woCn32CFQ Brp4XupzYVinl3fGlspciaGNs077iY25kvdDBdMQaBv1oiDOLZb8YJRM4WdNo7PfX/8WBKHCZR73 ZFQjpZibhL/Hab2OFuMQvgxQ+4tYosa4a5A3tg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IHqd6ajBpxVDrlclTj4iDTMac34aZ2vXXPbJctNZ++yN3g9jxQl6SyRVJP4+iZOiVW9chnUPAahy MjpD9iwM24D1wq0HhkTuW7c9ylywc/rDxyordxJUUtupSHs/Yjn0YfWk/gvFWVAiQ5dh4/fqblbo /jmjDXxk/GI3cT8PcFM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block W1XL2M2LpJIfJy5sNqFxZXvb12orxxUuiuDd/PSbvXoGfxeQqu2vZ9qhjRLNfDItFNIxQwrwlhBo Jid/CjEad7vz4aV6OylbPyXtTwVAFf196cYwAbxa++7WXQ1poAOV67UuTOY0SEdy/o8syGqqpBoc zuGLJ+it4m8vLXcsRGNTVf3/cpNJXWXyPODoE6usxBDBHL0SDumbYCRVLi//pLXshIKEwKg/Zs8i KyIRLRT7PUd8/NzUQBGgpEsUC83ux/29fuYTB5C+khs7XU8/BYorai+DUJgPkcoQvbFd+9F5+7lw Zg2q911MmewsaIpAUcINZLbff4Qe7ArOCL1LJw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28272) `protect data_block raGBooliT3hMsN0QsGfibIxWWHfKLtVUSuvSjWbj48U/db3QolnEeKvPmye2x3CHWgN48P0nP37R Bc+VBnPpxpgU/D91nnG+ODzRG9w7GggyIuh/TfhPi0opDq4E26BmyBTTBRkqMPlgEv+lvgLVWi8n upfOm7bvg3YeYRNmeFQ/iyGSvEgiom0jKDb/VtpwsP9QiYv05QdsVqr6/JcjlY+/3vgpXUJOYlcm wmDSwTtYZYUC3rSrrA2sBaoG2owuwNrQu9zCGaWmIK/e1dm1JC+zojPRjy4kIwDCmN9kcC+U/5Uh vg85VLF+RMIg0uTD+bcVqBpbvURwePASlSuIwTYFGRIK9D3riThDCVWdNkohn5pZeTHCQd0bX2Zq LroZx/Uu81687Eqi9fJiC9BMo6vxQt76vu3hXacbb0swfxarWHYlMuiSEObZOgxTPhJOnIGq1V2g 67nH0YkSqQkt4KO1m2EgJq7Cs7kba1UZc48/pFU4igxN98DKYAfHxo+vgvqcNr6ZlS+URDTo3RT+ 8RBcf5rAaZdLWtQL9ffk09UrzBf3jUr6220/hZOKsnJ4Ompbu6vxashAFI/COjdlpdgepwHbyufH u8fmGtcemWg6UM+/V5mMHzT55a2MAbW6smbJlEkmURtzkCtA+ifeUusf0tFFVzoPIkPnLqflpd2o SoSPgjXI34QJR1F46m9gcH6666ulCLqrb+i/J7EoKUv5d5D7TRs0LNHcfeqw6xbclbvsyGYe0YF2 EBxMNMRVMPpLCHBErAWlc+NMVclfY4vDtv7JPRJiDNJqGp//0XJkoIb0tqHjQSwOdfK1SWoQzs18 P62I22Q6JCRMEYBWiIArEG21EL7g4tsVB6BH09p3gcXWrKPovAaYl8PsmphFTOGAmeajbvncOaVt kkR9pXPaL8smgxhr3ldprM0aoYJ+yTnakEgG4tlEJKPzcXUR6JQTwnEuUsPFbpzYXVfUAxWDsKAR G/b6kD6212xFyZvXRrkZje+tzWbnERPmk9NmmRMf095Kt41UgJb14/xonDP1RlGeKPLQiFOVpk4m FiKFGzz9tARS2td+At5XkwwwX9bMKrFAi40NH0JNYx1+VSjODorABoPpZxXhF5XTrz18hKV25N/4 sBJerTocLr6nnqNni9a1LTfdrNd1Fw2bSSzHiDgLY4hMcrWec5D1U0gY+RHSOyZESeshGpedIB5Y Ovp0CgYy8F/ghaHNd0TGwQthHnjIU9Da4PZeRgf0W9U6OGEaCujId1zSiq8KIxRhwXUbS1cezODl 6gAYFD7gA8Ga70IKlnJ7iqB1tilLOmvPStyeiQUDybfTYWkKWluFwn0r+5HHV+F0CI5MA6nBgFPf ZLljzifVufMAuvQUAOJCxV2fcw9Yz+yFktEKBi9AuPZhif3fPQ1wjEasD99gFOS4knvhO92ElVL7 GKXz9eFFHy0XFgQC03b6DxChyEXGsn5USVVGD8WSQP7BbN70ZADmhucbVDHQoFA9/GcMtkWFVnfU PaaaKVX71RB4/27PKr5MFNKBishmMSIVlVGd1kdjaXnOjxQ22y5WpTsZcPL9F3NPLDKXmBmNEOny cumzHvGmwIjiBrwQaExd+VjzVQnIB2LsgKtXLCLoyULD5+UwjX5DhofaEgcr2I+CcMbVVjMeLX03 WWKY5PVCJbP0CL0elA9wANSic0wqT2kuYwkkMkkPamjpTsgbqGHWkesiaCuG24uACc1Y75UpGZEF 1CFYgaYDtGUHOnB3xPf3/kVCUzQXu7661CFpi5A5JTYV0+yrJz8RtuUv9oCwacOq7IRKdu7ixX3r JO+HOox46Q5BdCWvkqwqqlJ+gmiGzBMjpl4HqdvEyaIhY0Sk9iz/tmhSCn0rDF46Mr8s5CkblN05 2i8eUvPRlf6mwfY4kwBVXzifQ2AMGbB/G6AJW8cOSNJdKRdubmodK49y1XRvXUoemspMLPlBSe8+ tfuC2CMCpCcvaitR2a6b8e2sEn05Lvp1uZKJgAWmd++wLJhUqGCGmzLhYeJqaPEkoCZgoxrcRlao pve2Cd/03ubij+wCkxFJAPt8YvUXwAMVD3MvMgCYAWheuUJEQTFgB8r1CPR12HI+HQgsqUoFkXLn 3tNlWd4bbRgsWM2PMiBR8KwPKRHqvEFlk8C8JN8ITC864ccVGi4HtiQMSUpV38NSJaOQdme84g1n xYJlIb8qoxwJFKwoKy14DMYHJMDrmrVILeKGCEuTPHOHsuRxp77ZEV33Eq58XJPGemr0FhE2Eklj pTgIrv0y3HVhlmC6Equ5UnojJnPLdBtTS9atz0cA6BLXuRIbFRCYlPaGbrsxCpommzCiIwcmPJr1 ZTRp+bxtF+OKyjY/h4CWPE0BI6LxHsvvezmozBd143XOrA2lhbJt9ck6UZwNzJ1YX+MqC2+9pan7 7sqGkr8OTTiurfq1WikkpaSFAQW6PQk5ipXyIzCGpLzoV9/aSHddY36JIOI7YGRxq3evwLSDkBe3 ZghlFrgfQEB1hHwRfbrsgJDGpGFE0Cb2AgrCpTAAZvnKHyGn+70itH2lHB/M+HMT8s9XFeyKibDk vfeOrinrUBo6ahkEA0CQ2Yx4N16FK0iQ84bO0Q4dmLKZh8PvkpM5bESp20SUm5VIRBxpT7o94BHh MiRJgaCb23XOLzAo5/xTPbvBVUPyTjAubB8HQA/IZmyzj9r44uC8tZYfbSRTDAeUHzehZS+THSFF 95FCEXWc1YRLhSdZ7xkVJtskUUHxFXCIln8djUedglUjME0w++EfUZ4B5QpOrunxSUUIPDhIhkx+ k0Fso2QA2awswfsffkJXtoxzc09SqeX0i5FqiTH1Wfw+j4YMgyK9BH9tTlx+1nJoSUQi7Z3dkJg8 ff5JY9NmEEcwCGjJ9xp5S+3pYgxuj5/2syCRc3IETAuuW+zBAyjRORBpJNzshAX+AnhZuD95QMoy 9k9LgqkzfoFDNhUB2flQJCr5yYHdDBaRxrQQxPEWm0zUe2gk/OQGC09nnGyLoDI2qJfi5LwwjSE1 qurNmSqKzX585yWEVco/k4a+a5S7UFkSMON5eMa2BXkhIAywVd5hJcvUPdn7E6O8eKwoCQ1qMap3 SyVmLuDM/TNBEt7FdjDEMfjRl5evk8LWH/UqnJZQMw0K/0LAOd6pWeJ0bxSOZg5zJYhzZs+49N3c wfxZkZLLGnsb/DXo8F1T+KfrcSX+a5oBLUbfBO503o/502bKHxrMdoLYoo+OHQHYM6plnMy4GTCy MUAQWOlEniskH53npRXuq83sszqHU1DLfqZg+trGs/dzA/yCRd7x8tkq91U3RLP8i31OZayyBvRx E2GpzXRO3+TP0HUO4rilDKrF4D08WDBJmvf0Hx7RpIy2T/+z/IOgC5v254cEUGP5a1nih3gkaAWw GzVevTtzBlBHMKjLk5wrLBAaME8aASws1Cx1wIs2Sgxwmu+p/7ybmP6Ivlg6wGpHyVOFzGAiH2Xv QkHnUvRxnobo5s7VZR5oEfvqCm59z8plOUY7Xi60QA1CVXPr93fyGniTaeVsO6aADno8RNJ3CewF xbzRUUfjHtRUMkqugK8jk/mdF5VY42TQ3O/UX8EEXgk3X+QNBMEM1L43dCjdqq+qNpdrSB40mh94 2MZxAaJHKaR1UaWaY4ZE7xqYbYYeSKlyNjLGw1WyY+Mavz16VnItw2zEA8bqgCsSAiBfraG3l9bB pdvtgmSHE6AzRPLAZaFmOZCatZf2cil08gJWk8QlmbZtgI02peTADMUu25GcFgaqZuSp2n+GhuDO OBCYDhT7oqDNz/WKVhq6yfNJA/Rt2uly+2nFkqD8LAO3KwezPKnytBCRLDbMJ79HgFcbAxfY0FxF y6l3+45nng6IU574cr50eR0MWipz2h7MEOso3u+yeLr++7/dE8ekBmpOOLCnhDWozbaDcr4whOX+ AzYbLSK5dAhd7zJ8pPPWZJeGXin+n/kQ8APA2FxFI1804BjSetZ2y841SLYiRDUfSWm8a4k/3W4+ BHlZNgYGG66vKKoPSUmFmKSc2NFwfLDgIHnyDhHVYK38cXLL/eTbL7bOasgmbor4D1+3ZE7boMAq fz2zW1t7NWKNG1gZWfSnd2iDFL1HvoH+Chg78wRnlgdZVNWAeAvC36vX07gFQnN7gRwEi0kMBSpA eJzq9aMOrnYjE8WPR95DKTzMnwAVkvs7r67HhvXzK1FeYI1FDgpii4F3PuxB4hSFjmvpxpX8TRCy VA9+x3AjeNLHzljQEx7/o7yyu/sGh3+hiwo1NHPeaMpeOgCMr4iinc1KKyzEoHkpnDU2YU/q8s7b 2H5BIfwgkNtJfT/1smwVAaGTWiOeCMzEgMVC/HS8JOWjvoRyKn15bbnI2dsxP0oWyPz28cBtMcxL BDC8Eykkmc8PaJbQUD6mhMEgbUVHbowbpwlcSHgN0iZGAIsPvfdhoo1QHkq7F988hI9+dP4SMyaH pNMJ7J2g7CtyuKmdrui+ffCufOaxAWGRcLMsDyDfe2tZ1WeXo6Jj1MszOHosHtmOqgnn7HNxA+/n ddAnTgNgCJ/qXK8YjYBvNrKwQlhek7ORm2x2ty1nTkTC0qcjQrd46x9if8C+n4CJGYIxOVELs2fg SNG+f277d4tfDr4XStc399isqQLddyJBAC73gCjYujgvH33t5IJ7EWvLnSTofLJc8wO5bY5YqTqA 85QS/mgFEXj2HuWbyxGnDI8BNqaAOXQAIPg8pKn9+TSzSzYeWJQuYEw1HSH7wNG0u+iye15uoN9F Djpu1aPO4Pk6Co8KRj9NijfrDvdio9z4iNeNh+wosG3TRp32Vaz7C64vs6/nSXR4JJ0NfffHyI9h v6OQ5LNQXqr4uw80njoacVEdBkZzCRwsIyU3YJvuMK2qV8ZtGHPtxF9GbQ2XjTOG1nUJKnmsTZef TydVQJ3CH+27urrfTokL0KnQcTD48oV5S6ekvgUt+1rggBrieZvXBVqu4CTHJuoaywnAx9rT10Es 8ascOnN/dgURQCoIuNR1kyiMA87yJmcz1gl7Fd+wrjot+dq2I9IrWFHJ1DCyUSCxjj/W0U2G8ohk /ABuGe2PCOnTWowBXadAHowCPg/YHyrdC5fnMALn099NHTb4U7RudhLck0pUw1HmReI2ltNvpqf+ uOTINiWxErvcOTzY/wlZ1p8IK1omWH/0/ukfdULWgK35m51E4sUdZETDdCFpSoaFTz0RTRIMUMrb gmcYQGsmL5+5ND6cV+Hsx5m4UnWP4TikzCDKxHTE5TgBdkvjWH8jNegSPxvSpOU4MiT2PsIA94Jw g1Vaoc/00v5peNriC+ljEyejoAT69AEu7cpXiKGQg6PdoT9EqrPtGGa1ijbLb7ycvT0n7t/YFcZx gMjyhqtSPmVlxMqegpZXGEL9SpUzggXPeu+aYTR0+MCN6kcweLNJL7RUbBGR73+OXnNS15n7q8Vi fg4x9CVzqS3fJZsSDjUVsoRs8+PVbHALuaEtcwca4XJZl8aKJBwxxMCm484VQmlo+gh+ypakUcFm lyXK9UoXdR9l0L2lzIQULCqT+2KudvNmXYLF7HKd968Ch38d9bRHffbB4iuN5TB8vB0wa1e3vj/3 7uy7zLSXWTJIgmAebU45lwG2EXUm6fcPdrUpQmd86YL9F2FtbBRUExrNvuiuyIe60NGGNmq8vvJr iWKM34GfFUcrGo24t5Adv8JTh+tu/vJNWAGBMaQTGMtFHDuJiJzW3rA6T3SRfjbWUaikO0QtaaNl qYB/ObuPVC6QULOcgUkB40EZjAIFs636UGYdbKVrYHaWlj3b1FLMsPJfaHo5RDcC2AjUq8ENPAYa ZhkGPdQUnFUds5mrwMozIiHk56ZuohejtL5bLdbnlemOOg2gH7G/8i7W3fJy325XMfvsw7IpZ/8d fFu3Mcv/PHCUyl10HeDVSCJHxggnhAJz46ODYd0FqaVR5tNltDDs4X6EjQ/hDbkCKyKXd1j072/v sxJ7uF/BuBfm7Q/1MHn+EmELC5DBy0qugliKk97IbkPH061Z6LlKEoNr2IhnKPturnUFVzJDkrQQ qlcMUkH29i0Qf3plNah3dg6gbhW52BRz2Oh69PWlrVeQJKlwj4VCfFxXWJ50WCaOYTfAc8MmRAh8 sjfTBDUOWOY7Evz7Lf+WRirOIOlBEHuYZ/6awz+tNIDup9XV1NuPrMhJoctx1+2gKqsbtYe45v3k VdtVmyL04apf3VcM1KCmoJOyUVD/AwhARW5ixMI7dN3X0r+M+uCnrogCGcjDkIpGin4TwAp80NBh TJUufAyU8AauiW3ZYSgSQbSFVbzTe8ihtf54H524NgH4rBssr0b0j4N+URBdiLo0TqiUXVNkH/tx eR0ULgEWbN8ft6uyuuf/pd6qEfbfHGz9XOH9bScXw23/6FYHvf4akKd+C4ierfleXX2gLo04YHHc fZ/fHnFKha92Xv64L1JIoWS99pToz1k9ARTaLLpYA+4aijpirf/pYKdDQMwnIziiOqeTu4+ryZQx DEkNyIvIuBZb2X5RLFI/PbyPI7iCwl7XvDPiMAuL1mgeDOkkR79gIxw70woi5nH1Bc9ih32CymCO rlbdJM7qekhB37KaGEfSgJw3/LHwfrqX1h9K5B4qyLsktKPeHGzF13BNgAJvBTyuLRWzoT/5HM0i 3WtaPVxUOdXDfGsyciDbHmlankSGX3UyMg2XaFrw4FHuGnOJc1qdp8gDWmdL5hp5nfABHdEwgQSB a8wqfbHWuw3cNnaMwQe+2uj4ftqCWW2NVKm60FLmL0Nj1tPFsIkkpeSAF1BHnYrsFFTrx4qQWQo3 WE1pbUFHST0A8OLVvqnTSOvj6eFUF6k+2kiPsEaniW+s1Diunzy+4Jl4oxS27J3HqPwMZMhZS38k 6DLN57uMSpWckO8rgup5YryYgvY4DcL5bOjtXmhm5CbJZmMT3efjM349WRmRLcp4taYQ6d3l+5YS RHgoQqCwOlTPi0ebSa+EGWg6CcE0kkZNdXSMq+hAHxzKKofjUAZBnpauShWa4IAI93cNlC5LiiUv 8O4SyzS5QKbguhEsE4B439HQKr7NnUj1IDbZcI6mlcyTuNzQApMxQRMaECvZGZy6HxBeyeG2pOBb 7B3DwnjfI65Iz3Iqr44yZ7B5TC6Zi2Vs8KO2FyWh+AotXaDRpM3Qq+8epbuRIvRpBtvF19T6zdbM 6++UpyVWfxwlanR0B2+/AhQN8BNgmH6ENCOmW0hr2iXfVFzVHwYviflXCiSg6kBzyF+k99TYXKJ9 RW8dXdLWU6H8t6uMu/xICqvmfP28D5TGxt8NhDrTyZnf91mYvW4MEBAMVibDyb0MoF6dGT5MLafW 1QwSRR8NA0krrf4zYoWszx6KUOnlW9TdvhGokQAVmVq1qM3X0b6lFh+V8UJtJtZ3cqCj73EGDTbF DrokJMiaWYlZPSdoYuPWjjtrd+B+ScuFcz+yp0U1qlKzJZ3Qou7qUcyRLcjW0xp9vtSRY1q0WOMm 23T7sikVQ5EYkVZVwTo8aEx3JY2TJN5GeFjoxzgf/MeKKk2ocf3XY/d7dlfBQn22F1F1sUvdWOY4 ZV+HWbF+KlGOBAfXVIJKh1xB9oa+3GD16e/fyayF8UtXdVY8beh1DTVEmQ19Z2NO0A+S67VP9zaB 3Tfq2N8o3ric5bYf30f7LvEMv2vxUz4paxgDvlsu74n0OfJ7oFhmY/MF+8bNFtfM5RllhOVhFn4/ Cy3Pa0DdmytYuj50Tu4KjByYjY0suaeH6/rpnaNB8nE+hB8AxFG9JgsztLNL6O3DD4sGvAbPeEhY xAxTmEUbs65mx7O3958q6d9syyJXjEMW//7+KI+JBBD0BEWy11XlQG++TpCFKgM3/mTyyYeec7Uk teXoTmotGfUmVlfvtbZXINhYyQu9gcCbmy81guPSkPqt9I5S6nfurxk3avWpqcPg3m0bBr7W0fBB DVwGyWDB155Me+ytEF3dA7G7ULPna0ZSd69/NX3yjzqZCYYV0bRsVBYnBtfzqjpDdz5jIpQ/r5dX kE9tRcakO93EqfW4ovWxw4HShZB04kVwolWJCxBluudYgNcKub9UC4NTDMHm/7UPYIIifvpmScQ2 AWfBeWScXvBKPOmdXLt5u6VOhyrNIFdxDm8duIRJU5jiYfuN2HSOMuxa9JF9hRUbUwErMXym7n9y bBTW53ciD2ECd4iEvrrgbdyXKXqUTPqK4G3DMlCnGaCEGShqxHVEhoUjgDhMJ0reaHSuvRv5JrPZ JiGK3uZzgWCNy1GVMPANK0hacwtlVfeUWzriL0O5YgUeOXBFejqcFc3ELD0OOZ4I4zjuKASAfFyM pRTHQvDxXX4dUwUfynuXMInCrmiTJRWNGj8qLkc2r2w85gZT0/+B2aROI0o/fRigW/gU0t41f+Gh 0Pd/GcoazgwR2SmU/JyYlLrt//+WVA2RyCS9tj35qESGlIx0Rm8Sv1soPw0HDWXfz05cqV+f5FKl jKtnv/FK3dSKhXKhPdbO/nNG1oxDOLawjCvGASMBko+HkDP3n+wbP/DCYcsE881IMgZVrJU84wxR TcC4iRv1sbPsE8xX5gryux/rzhP7BkzwNSwpP+nox0f+XETIlgpMww/cwFX9MCwRwYMFMSquCLZ/ rI+30VTHGZegZpfm6fsmAb5REOl2IaF/3Wmb+PyHZ/b9bzrToX2pS1TnUC0LkTDTorOmNvSsF4Bk HUCbB5ohyGD/ZoC1pUU+K5wcZ6lG8GhNJG0524KM+YNbyg8IMIzAw8q6SLKQhAIJaCWJ1mdLGxio +jSo4KtKJsikZ8hvPuWt6e0kJTT3L6ZZb5w+PMqtdzeXstTnYcLaHX113c2a3JiEsikJ/XmW4WU+ /XYq0IABotKjktxVACxi0S/mC0q6DxLlVlncfi8XmMbVBYoSTF1CObWI1XO3kYEEEV0NF3RwBIis SfrRDvC/9r4Cvt+gKTM1OpAl2oNI8exqLlQ2Wri5HJwE0hAFUiih4VLBvsfTfU0BBZbW6hFiaI0C c3/NoA1fFNRbDCNG1pRPMPp2qxcGWI1Vk+duzr8p4a34LH9jN+gWQg5wDPw8OlTMJGU2Qm2jfEPE /jYXg3wYr3Wi2xfa1t1ZT5k5F46kPRf9P3xHvwsMUd8VFTlxaRrSNut8apj2yxGn5c6e4dBNl79S V4uWkhddeOVi7kVF3jO5hI9UCmIsVutoDF9wfRa0w41x2X/rIDpbIX1RoXZPgATGteC2WleZpXJO Qtn5w0Y/0IJAg7DPnyMe1EoL+4cQAiz29cniTUnX7Z9OsP1DcCGSghxWeaoxeIWBO6XFwxtXHKQR TOyYN2PamMe9bX/9bxfYgwBrPOfUYFd/Q7Am43qEW3dnQ5EvACm4NuuK+BnnehHMJ2C6ASf0hyoO 9z4q1eIcbfZAAmwQNZQ9+D5kfMMobIpEnRXnkp3RCaTS44Hl77AlwA9XOaRt53RarY36KN2CfeJm E87TIU3PYs2udKEJo8yAizQ7/ibEnrFphIUultZF4b027gNDqJW0wYBJo/nh2Z8XQMzFRbl5FGGC QGT31r4McouDXCsTJj8exbqa27SPlFOcz3Et06EKvzVpELD+h3moFADvrvtUAJ23Uu4S6U3gaWCV 4rqzBBGLKxb2eSFUTis5CXfLqfpVo4fZa7sBGnimQYtJnig+ezhTE+Zph/eGg00iZrtuMOzKdkyn Y7ZjNU8E7DDYoTBUAyssn4LYsNfF8jTPsIs5YazC7pTVlqLc8UszfWOz2hJo+c892tojumIA5k8J ClUvKc7iTH7Y9kEtvBkw5zGkzwnM0lkler0eZXrzqXSaA0oPK1R64ifeRXCI4NIknruwfT/l8PiE 7/tmkFncYbkKo3YIt1cmnJOnj1b90kFS7NEpwoAzMtpqD72Qp2SaLem5BqTK1ltjz986wBosLXLI zMnZmA9eKvu/BdKoyRBH+rB3sa6YNI0BMSE/J9h3ARGzDr31tDR89uVQ6RLOu5IwFHQfqUeANvOY PKXvmz5OwaHTrqSIB5zb8xMARxJJLPAhMYpFq1pK+fTeGeYqg57jLB3tfkRtoG9xbsmSxt5t11sk jRmyk01ZJ0jMFKSwKBJb3hVDPbDr5wVsnCldsFXzHf8XDOpKbydKZWZDMevkvqoOsyFCODQmKYdZ uASFwByEaWr5cKYPm4a1FIIp0OZpMtk5j3QK51oKgxsJAB8guWjFFvGMeSWa9YFZN1mhg6Y7uIVw Yf0adKE00hOL4oC7xg9bRaB2+qAF2C0Z2Id5A8ZBqVO4PSxihA7IO4Cmf6c9qPghwDs8BsrmZfPm NWQvMXSaxWrzHrLmX2SIfOM+AqfCH/LBXTrGP0GlyRhoUEqBOvKIewFYuzVcAn8MT9JTk8hkdaXY aiHrhlkDhLNAikbK4S7Se1Mk19fxWSgS29Y/TCTck95bqLVPD9AB2xF1xKy7BzFHINBFa3qR3W+K tjZDZsqoYRlCrMoTRj6cCaeH1w+5h1+8J8aPxrHU4LF7smxieufun0mMiIjB02mt9hO38/8RoMct XbqSdKMRrlwA3t7dAW5PdVZxaM281BSo2zIzi0fOllXRIydE8XeyHO1lM30UVSyIU5mp6csSnqK/ UnKK+u5/NK0sPTRU92EAZ+FSmYFxJydqbemIbtQUEQRNQIQ30HPwXnYGlXvknsDKleboUUeZ3jyw PQgzj+A0XhVKUcyy2cPfDcUwnUssJCWOrwZhMYcGvLdd1mFkygHU56T1QRlpyp6EScGCnh/eZrhF I4pisCcgYtCdx2vsiCQDPYRwowCVl4xvuvuVNypOoC51BTtuPKMYdsm371fKSzp2gYdvuE/G1Wxd NCMj3AXz76SOlJkBLM2mT1KooQIwEQv+/Lnmr1Dlepj8RpTpzsZ80DiwXRClHhPadDwu3rfDuQUJ Ixl5oWqH5wp1mSPKKAIrJJ1FLAoitahice210THIij4W1xYNKuLWRouP3OpSpJfhbbn2HUXQOM9X ePsmFY0MBgTkuIV8T3ZefLlMxRttsbl/9y8gEWRgkH9DxaPE5KOwQrIrUTNjIdUmLZtfobxtATuI xeOpFc14CZlwcLgDJOv2m+MsDkYZ5RNRGNpCpmVl5rjHnE5xWAtfLOclXZI3z9LprUBhcvIXTMHS MbDaOUI3KiFCqCgQLSmmiEA8i9wrLZtxJ6GXesr9TQxg1/V3QkrYVLpBSYPZhPlY1oO5Df4nu39i Aj1CgD9xakN5dIRrAMHZpG18p/nKcBhESbxA92GjWVT73PZCfuyMfqZu3qwxq8cSUdy8vy0Z3ORJ fybwEmQE/7fTvX1MYqrvZWmdmkbl2yYThXJrUXq7mFjjrAi28ijb1u168OPF0gt0jYp3yTavoV/2 pbWdKYHaSAUBdK4N3NpVzSrlrVvx5y5Ycc9/14ouLFQUE6QtUk8SLRUAgNc91+LSBhyQGrU1o0Zg IPzhNwAs19hZuqBzkXlT1YNTL3e9aFygIFoGO91uqSO93EjZzUyrQ4LZyzICAFZ9RetuZJ5q18aH AiCAo3LX2/F/yD8lJI2f7fb93ds0pNANCWvkKyggWeMPYcZbZmBJBI1kiKKaf2nBt5FZq4QCJZkp mbRhkat4qyFhBzajyJnhSwiNiMpnMv/azV3jUwr82aiDjEMtyGo3wUeVBtwm1W8wXw+1LsxQNCqb 9kEBXItyMdk63C0WXdcUYxcWAO4ATTDgSUyEE1iCBcps37adWvkyK5dXodnDeYEg+99ffhDqKcsf 2L6NtIhLxVuv/P897m9QqeDGX6BkMl973TftU0l9F1b0l9SHArRWdOqFaFn/7G8JvxeGen0c2h9E spfNZt/m0kztzyS8RzIiT0LuGa6GwP8BQOJa/YB3KEr6Hk2his+AA8v1JGnr6iYh13IbFsUb8q0D ngNX4lqdESlsQNX9n/xhl9a2WxK9eUXN+IT3W7bjIG+obT8lyqkXdJ2KdvNagCuebfpKCK3Avw07 4sFrpEEerWTV48+hyP8wuo/YpEmJ9tGIQXkEne5VBA054RBBrqH3JP7j3SdogVQuv/WJIsU1EaG1 M/1amViGWunSgcyhMlLgGpWq1Mdq8G8oCZLPWCAu1L2+lgpanKqdC9eSsCgvzjfdjrMUnq1cF++R fS8xbjW8FEkzTuJiHVzlokSAWiIuncjQxwI35BcWaH6hcMNy99T646tituIEAOSKnQMzkTsomKUe RDz27OKWKoBAgfexw1kk50GcKIdLdUyDmE4m+MimluDbJ7msSvsfZqkphGxwDn/F/bLJnZtZxbXz +DmUbVdDd4k27EEoJP/x6RpOwj5NtBC6tSVHB4ygRXt469Sn3THh8Psfk4OOqPX4JU5zriy7GBmm xnM5Mjbl//eb1lWpk/cFqN/NNo3vADkI/NySSj3O9nQpsn11J0/fQedAvr2Y9yEDiKlumnQmr8TJ jH9Z+dDutGPQurgu+1J30NbtEDYnZa2qOIrw/6b/l2eBLsY0lI4PjIJVoCRUlIEjxcrlgElT0M0g 4Px02x//eHoQH/pYZ14/bzGIb/sKBU6U3LTGzhcEtETxCwtAV7CLAA4gjgHBjJpobYFTmXxleCfV JDtg0h4CWJ0rD8zqT+8CO6Q/4Cv+OUto1nqtgNsst5v+MTVl3I4SZ2x2aVz7uKyaQTBx07WiT+0h u7b8nz5I/4YUkq8p4udqhgocFH6sVIbdW39rZQAfkVfYh9VnWNDNct8mC2mTzTjvhauidYHQD+m6 TF281NG91Gh51Ic8SBsGYzfE82ssNVB9mcU/xE8NPjrRwjRiiGaTlOur3yDhuPeCGXN/yAkEcPqS bijYdjfcVZms/X/uCbqmVrOHtLRP29gMtFeNK64QcKCDQ9GGhW4xyBlB7pTFkDcaMalXFjOfYVHC htq8DtqixvJder+vhtRBlD7lasl9OP64VBzi7OyT1uNFnvBgAIsjrP3QWsrtsWpb/8LGv+qtJNHm ftG5mDbItBEMUJOwH0oK7UtC0ZK16n+VJzuH5vW9/teMia+lN29C8KKunFpIZeHjgaC6Zd2UjMhl oow6VJjIZZTzurkLe/br0bOL+cYyptcBa7l8+rQNQ4opbgU0wZPSyUaVdTtKV33asD20VeWXWwfF F08ObbGQ6V7E9YCFqmfKxSLXNeLiVEQQQkMTWJmCDPl5w1PNP+Uq1ep9cuKhsGf3NVNQPyP5L5Kd WGNN8tLHwOj5WTNLX06Zsod94XJA4YHspSU+CE+nPgV43lXJIu46c65u8/83u3D/ZeGux3lAWSQl 86Yii5JRd1MdbrdqAe8kyGqHtyjNdAAbL79WiIQ5/0SY6+PRrn5ESwTQQcoSvlqvny87U02JqiDO t5jX/gJYlCMFyYQSDIXg6bsTLJkSNu9EtMjSdRaQD2jyQquQOw82OnHmsEB9yxo4BYrhKLxdbt3j sfY90ADi/a27OOuku+MdHfsJtFzpr7019G8vk6N/E4zeDMU4JLC2eZV5j8pi08akGSekhLmyqyb8 3p5LogZvkEaEhJCVaqzb+SiZlXFP8yGwyxG7skrPNT3A8UMlpEMdd/PNJBxIKbqoob23Q1rnUlgh YivdFNe+D9USRjN7S1x1Lj5/u3Cj48sQMm0sS/KGmhB9JiZKUWgcFO9RM57rYx1ZyRwOOpo6EihT f0/VpGoWuXQ0tK+CxmJospAvFEgCRjtqMt9rttD7mkc0UR3Frc6Xvl6h8VHMAZBdBOwvVolgiMTL RUUahy5e1oaXxn4FRqS//SGUxqIEOALjyzdmxX4RIe1CaK8mxWGah+7VK+46lXTPTPKvihDxjxRy 0YHp98xrjZ5U5/cLm5fpwMR4nz5M7J6PAoOW6tqU+ggxm6e3HRgSACIpXp54MpPW1kPcDhsVFUMd JdtlrBS5UuRjQSd0eOJM79f50klSwOCtr7d1VGHatLGplBDLEIdjcr4OOj8vbu9FsjObC3sV0ZpV ORyPbvhxBCzYu5bsiGl2kl23+t6eNbue3rlhEu+3CqfanS6ItAMTg7FI8WrFCu7yQXK32oF0Qfp/ CcccdSJ+YNety4j7uTBtmcjdEQjXVAtTX03HLx6KqeWnyIbv27Ja1b2CVIOO+Ad//G12cF12wpeN WL6ME9SOJf9NfVbvEJCo23M0Lw4RgCByAXBN3NlQDz7VdaoTlyBOXr4nXLA1Oj6sliHSsImZl1Ur 7hhDzpziQvULyJdrlK73gcyaO6vf7NNCcSBKxlixzVMimglwSeJgkOpTtS9en8lQs8pOW3yZnXK5 zAeFUouiPUO7JapDSF/ao3z+k4EmrgkHE+TlQcVAfRToulQfNDinDdIz81wc1H6f1MWwS+6Cfs0x HAX12A5PRDXNhShxpYIZyR2zU2nigt3qLcHdd655IzYA1B2c4kmS944wXdhHIYescLks5klcGj8O 0trqlr8Mf4Gvkw7hmRYz4uV5bN/eRKzZEmoG7qnyXVMgGcXEDIhahcIuZyiH/BlsGwZUJGPFj8qF 5nNFjb8qOcH2gE0yqQqTVrOqzxlL14HzgHUEVUzih+ZswrwjPWT3X1KjvACuVCm4gFGlvl8DikdK pA5mJUoKXqx9cvvame3iguqmLl0PW0BWdQzH31rLNDle3xKbSTSb8tPef0SPw/YgTmysC8nhs++i YFZlvrEHzO6RmFvgKmFwX+EyCI4a01LZUJaz8rN3j3HJFNt1Mc7IONpkHfNGXAzxAJ5ceSIDykoM iKpTGtsreHIp3/yiTGc0ffQZSj+INFhtvpNNPsxSwEBGVk99QBu6hWtqnkYZ3Drny4CKx6jL93fu xb/M+fVz48D0a+zelNROAiroJTa8iF3LOJI/rsXB2bmSPdV4rC/IalRR2kBylh1m5XydJaUyLOvP lNRWvCTiGxQm1P9qUohhZCQ5Rs3spX5HIoSh5AwTOKmkOfYXNoT25KXIYKE+BAzFrdMYNdTGSOds T2i7CGMJDSFQCTkkbSmPxsoZKW/fJxjc+8e80gyROm6Uu934WOsIG11Pc0+YFSvmiPR7df2dKa0r JnTEKYSBAJHXRDsiFjx7ulliKyd7Ha8CcvX1jWLVXxAIQ7ma51Ooli1HS+svcd22JD5AYd72JIX0 MM15zpAGAWvoffVYGLPCZU/NciF4WdoMqb02bPv4tQUE+ontt326POWnWWIlwa7oQFNoSSXZAKq0 1+98L0fKGXos4Q1ca77+bhg6e26nLu6tGK5JzfB+nLkNOOLh9RsrXtVKWi7Oz8V2quRhP1nMY/Wk BnvMNJ5vrMGSbdVgaEN/xgwUAy0Jx28mQOJ84ODbFRKDtM3NZDvyeqzqQ4F6B/JpzDp1SgRt1dNL b/Q4fkwCeL2LETHRyIlAs/VU6VyDgHyu5kSFWw1ESKRbHew27woXqzWzYooAdPco3A8WNEXbKvSc OVAHkz+oF15J32UNAy1zVlMlgb5BxzipZ40bt4J2xtikh3WfZskMJnewf0a8nqHZ9sLg6zP89VTL R0NJ4411bBOgrZkWF0rIZOF3TGLix9lO3H5YATmgY5Uegsyn6O7ZqQgUZcAWHGcmgHmKRSTUsFWk cQFQH3Ywo3+hIYddE4xaxC0TKGRCrQF36f9h88IP1Bub2bZ9fDmnSKWgmoFM6W7EU+vgcFhHBpjQ u+a/xTBmEiWPTXGYstway5N94CMOEqh0vvW9Dl4WSr0Sb9cz/ZoE77C29aXppzgI6NeYMA1TMvlO VU/xB2Xl0wJ1cIxQeB+bKvcpPiLusyt0sr3x+w9VnIibydEj+zFwF5N/JJuhp2d2leKZpJefVCUL 0h8bf+fAsXiGOnmrF3avgJ4PPdTqwy8erqbMa1qgSkpN0EWFeeSUv8qjVX/0XhMSMEqOG0UmOMVX RjYLYpRK2uVzru9GeJEZRb0RJGTjJBObVWQylBaIeR+3wzHDXXNmBUHi+XVOYPhUIsgJ/XQhm8fY l3xHGGVnJ4z2aSpCmPJjJ68ASg+rs9viqFc1B+3gzkSpLpaysDlhdyFvxknIDnVPvkkdROQEKlyA RAA8xHcpNY/QMvugyNTQbw8sgXrFBD2/lqON5pIht8B67GwLrPoE+/OjlJWNPV6mrDIrjkAZM7IN yaoYwQpW6FXiZzzMhJt3dHGpWbr1V5nkY8hG5845RDK5o+uIzlhoQ1VpZJlaT3sDZCpkV6Z98rRc l501qWIT295m0oHm24O9vQvC1DLcIXK0SRP5CALMFrHbxPloo4Pq5e6yspWMpFDIo21XcKfQr9/e n6A36dHmxWwe/hN+Ep0WBjo6cWOHEj6Klf8Mj0/+HQMA+780CFbgP05NY5KUq6lKeVE06nR+1rBG vGY7oXCWMB07TOCN8Vzrt7zR2J2Q/JLHGN919QRia71UFTk1RZsdhSdzLu2Xh78dG1m5JMf9Vk39 WrZXDyD2rDfCzf/IHsCRX6SfR7hTrEETCmCC4KeiCX7xCqLVNDg5ifnLGeY/Ei2D9B2RuJY5D6qy Ef4Tht3nopSK2E1Jgosm6nmTybhTmPEFg+XK3cCDuBcHl8oHA5ajLs04tGDrnrTeLmdMiZ9f/QZG dBOE0wq2o8cn7bmLSvJkUi9nVrMQC0jDTb04njxJnaSXb+aFWfEIWCN5+cumrQcf+MDMq/ktMGMT DDi182BL7y9ddpv1pfBDr2PYLBvXDoMdiYSN5RyvVyqmOHep4e6ueGKr4hUuS0nP7NHoRbQnKCdf rHIaAD3cn3iG+5fnPhCuJuUozqRwy4h+BkJL1PxmSqwA3AjXsCme3+Ttvwt0BvhPYtVZxDPkIqP9 DjOQmH6BOSe/q8sjjWwWZYLAS5G/EnMAUp4qYt2/ncKhKk/eqk5zNouG3is0rVD2khnEZkw6UFf1 YDoErqxK1BxLZETXdFA/kIHjrPMBBffvRyNglhrZBCOVd7mr4KUhzjt9xgyYyZIkxO4+Ns8rYfso 8Xlx+10zhA0d15lZnTvl1+nkTSfyYndoKa2p30jdQvZaXLnI0OJBEh6O//eP8MZ3xOW8Is7R/YMQ QowEdWydxq1RjlwDM65t25ix8tD62Kzmd4D1lxLWUixFQ2U7cfCSzgbSSuISWjYGD657BIvQYfyo 4IFpBFCUjXV976DIGfxw3IHLZRnA1o3Jv/1nrXf6msQN7WMFknCJ3SID878ajObxs+6J1kGukylm F3gC6POXNPp/yGQZd+uae6JWiOl7Jd0c31yLOZ92AWdM1NH+sAsDWIUrJX/GapaNIbzf1Dn02E1j cPHXSyUZk+6jF1PMMz1NqtmgEZULflSuaQO/uImsPcBX0zNEuU/QB8TjSnw3ETlWiz4AF3sp8dZA +5wpt2rM/EzbWFy/WPhPI0oSJO002j4QmrhkuViw0yjYg870/4iELcTsUb0RDryymbrneix29BZ6 O6xZNTlmoFFrBFnVykm2aAce8BJ4o2BpX0sq1WUkIUKaOFvZ3qSTsXgminxZTWHOXmsTDYOtaYc8 UA/lHW+iV5NdD7VyLjEgkAxMeFMAXobjFmWXrIbni156JpaQtKjN/OzGeD7tnhNMOt3NLGJhaRjR VApgiqfHgVN/CROJ+mBaEBnlYHvVDWenNFx3p13eTleB01dv1MIqUfrtfUgsmxpQiaYaEcsWjJGO eJeJZySuCkmZM59f4yWlfL00pArQ9jYYkbZclQYbAW0Nj9zFyxFBM68BeijlHv/GS0qLhTAYythP o5Rp02tcoVwjfkzzDWMm1frrdLRNG2NOxJzuhAqT4wE+W2QwKHcPr4raGvfEofJLQ0VzxiyXOCOt LUNtG/8dg3RZQ/GX5LBJHAH/wZfSN92R/8jHJR/zj8tm8qRokaOysp9KpMFOVDth+BJcVJJ9VzXZ /cZgTWAjE5YTKIHSmI8I73bJfTv5gVXdfkymqyde3v4rucTs/zpDoLw4MzX5RqmfAc2yE0JxOY0/ MhhAnEAzFEGec5ro2i+kjzvN124N/jVXerAm160LKWoYjRDqsJxZqOwY7kxnVfDmIJ4xOerypMTO eugNIPfjef0Q4wMJtQtrgNEAvO17MH+6cd6jqVm+HXZjcuw2puegfXhuhFCHAceyHn2quTJ4kYjW 7i5VK1KNXcKvWEYmtNPHQ2rC4hWAIz0omjdKOJJpcFY2W+Cc/1vRBQP2MyZdZV6JYXoq3xJ8cEB6 r2nV3iNIo5prLpWukAVAyt1bigBEMRCOeI8CvzSk+2K7YFY81l68md13W0UZZsHQv+GdS//zXWuo Vr6JHFwE45dSc7N5Pw46/Iq90JRd1NMb83brfEJ173kY4lC/5nd4dtsp32OCFRX1q71gunH2d4BR eMP7KCebY1Dle8y1gAKpNYPoaZ6UpdsRCT7PIgcJ044f+v9UuSaUs4uTx+gjXUv+sSs2RtiBBjqL acOjHffZG85EGUhRPaogHyST5/Fn/rEHhhUaC/CQmIkC+L3PSJn2Z6ZFsaVaoMm+RIllEZIUzzzF hDUZbgGttXcmktUXL7uF87enTN+Ygy87legKs1AuBFhZDfgOj9YAPBXyQAz7V6XT7oWbTgpC76SC xXTQykZNIvRF2KyUbOlLAHhPMFqgNwHl3tLkt2oAWTECWPC1yJqZSHItoLme06mnV88avbaYSfRj XQw/Npqe/r5sNfDfOwFSRN8pV2CY2NUk25SiBvgcLNKyK5co/2vkjZT3zcdyWZLOayXOPQ4nHEKy Y0ROM6/6DlWfKXYU3cvBoV4PbqKBAXFIIGco0/Q6vED2VPUcg9JAbwyc5t319y4A2HAF2ZsJUZWc OWoD2O1sOh0jtNwjCXF/D6a0MhtcvROoR9M/0nAHG1zKeY8hM0qcCEpA+GukVAYaGraAhIXUYV5v 0sLH9XlTDd9Ur67rpTnDqcUDdeJmBD60BpIEe2mXvfsxIN7aFc5D//C+okxj2FfXMo+DbdDXCzwO mWvK8pr6+yjh0cPTsUdqOtaBPPDxSh6Wo6G8gxRulyvHioV6AAhh5BkEVTHXCllEN/ZLjiG6kFXw FataeNwQkPR8fsmQZwdcLBl1oXaLqKf1/WjXi0HNzhfheg1ByJH7PFCU+631zdhZgAdard6+SxUi IqT6X/DWEpmp3XHuyE/rRLYod48N9bOK1N7SwujMgeEOfByeNoZm7ZAw0xFU2Z+c4U9U7+TpIbUU G5Da/sF0kYgulLcFVUYQjsQvhdoGFqsL2TKKCHgHmVxskMFbmT7rXBh2W0tVA4q5zz5TdIKxj6hT ISsspZRW4g1f6DF30hyPEFSj6tEI5r4VQehUlx1Zoh68bO+5xEwB1Eg/k+jwIOlMDcKj2BsDiSTd YA+FGFnEJhkhrTp7jRYOuoQqjgA4Ize7v5is0L/1Jf+dqhlFFLs0K7p50kn8/EOyct3ekrdvuTCA tiTOwUhg3lwVYJRfW6v0x+QXUwahhG1i1cBwtDTDsuJf6IvjrA+YDsb9l4H9fY9PECRjbAptmwFr vKWsSNCPKiTKJTvIWT/aZU6mGJb7wV/26X0BS6kx9t6Fs/xFKfaOaoIaBtg1qSGwtj/dknm+81hv eot0FA3JnSg1Oxy+YiZJgv+M/NQuR/1NmzsH0szWv0q+BYxXU8EMubuKMePq93p07NVyxagQ2+cg yiDQKizaOa3KKxTDEfTtXTdXJUlURuICPjb2wRPczXWwplvLDP9AY5fOUpLq2V6vXaLdoUD2NbFC DcbdSpi+ACsdPu8VUK51+DyFIjCDwfikgB4qEIxmrEQCmkwh93+wIGfbleThcRJ2Vo5M9sV2SHgU mKmxDwILV27qZkirWNE37hcUNnekLz3mpYHQmTsljQSh3YniMGrK9+tU62iC5ObWEe3lerz+dVk1 /GDmqwxhhQUh1CQHH5NQga8G0vu3Ig2p0EE8qDpl7P5Igboef8JYFcBCCRDYXav7Q9mnvbe7n7r5 AMHL3vEl+8vZMrayzGFs6tCICtivAfCtzbuSZpXw+WgBmiOuNLzQM1hwt52yPsCihDNoX+wXx48E 3igqfzOkDlTt8HX4OTJGRE8mnpDxrxLa/8JgrY8U+M1Rz8m2wtuoA8CCo7uxHydZVPLfU8zfGrUl E6M5ZhBcFZM+gkrczKH4uwmdXg+n6+C4pqeX/9ffoK/9SmAm6NuHdJTSg7Da/jxIbVGttPZtzrSS 6GSzgnXsl8dT7VpK8nKAe12RZ9UJkrxqeqh5oRlSm6jeBdMZQ2uf9i7c2CwX/YidxQ9Xq/yw3dMc JTbsaag0QANcj1HaQeGTPXje2Snv1kdA42ku5PrrdE/zhIuQbRpqPhAV2UbsrTG1LmImFVkP25kB WW1ta6Jm1jOGkPX3QjAU9bMMaHFnVDa3pSowVPsl58I6XR7mK/dkBD/Ndz0dFnzvE88zdJbZ4M8J 5iHHpXk8C5nHdnCdaMRi3Ve9rfNtccN2mmO2AyqJ64M7Jp55U5I37F/yWIalKoxZQQannbyGj3yE 6TnLr3J8aXfSTUwae8f8M7Yj0eP69YYxkIV1KSXPOHRI7ZU7i5xH8QHzetAhEImhG8S+bw70/eOC 4b1GajU079czDVxVYXpxNTeq08bn1e/f7xpWjzhUexTFSDb493YawGrqZAUzR4WpeG0fcfhLfKgu sPe/XfgvA38Vb3rRC5JMtOcNg02/+hCMkJXrhBFDw/uTR265qF0L/5r2nsiKn33bNIk+Vzl+1v48 2qOwTJ07VbnD8eP6LSZkd9cT5EeejRF/H5uAPCTRHbrM8/Oow5k2XZ4K4ji+x8y+5ZM8psf66DqF d5Db9U1e+U6UXczVUd45BLqEGg/l+A00PyyA1B/6+1VZidaQw9ZDtd2e9KK9pMAjQ991YY7VT+Rb VlcNrxqQVJ3amxF6ZSuOyMuohXMHzfzzvyDmm3Dl+VW1goyM7czAUZTfzv7yVHH3ZQtKhZEOUXc5 nXzOPFsYRg3BIquIh3Pil2C9o75cfR35Wv4P+ey2HRcTDDAdKkD64te+IK/V8n/8DmFVc7fiiWeT 7h2iTZWBqfhzcA4sXfA3zVyKduEjMtse4ImxCui+9NGhvMH3jaZaCuc8/3BVFqEGVviy6hHpIC6U TXji2gvfD0qg6ClHsk7NbgubfzkQ2GCG4KMJxiUtdx04SQvrevtLchhqGGtqwSO4bOjooAjcDlaB uQydAmh03CBePeVPrLVV6Imo/sKB9zHd17h3/d48ZU/O44Q1/sHRFIP8K43elQnWXPHQnVij0Mcf YsJy/4do/+vCz++EcuH1PFagOap1BEIq0+fh1V/65IKa1ZpZcsv1MYLZrdfGljaNNi3R8JEoceVp gzPhyE1V1EDkbNGGjU1W2G1MD/3FXoPTA3yu22wspj1tp74zXDXkbVKsKzUcpf/7CiJW9SG//prk gWtDnDbFYsfQzx6Esz5csc7QCZ9fto7A1ZYZnXM7dhaYj9odeO/28/1o3hVBSMZbAXqXY0Q8vFfx KwAp5WXOSVKcEVchYLnpk2uTfuACQ2hHm/JaxugOD2TOCpdaYalg7QOdUR4AY/p/FeE8fQIWyvTf aGh+rSv+CbWyffuI3ADZcbkhJu61x/ZJB+QmJWUZjaWZRAUMVDxDB9VOexTaTzq9tu9mZOfEbTCP Dge0SGK8qVBsMaa4zb7BX0mrcN3W3PPsGuYdzfbs9AsQbrRza3ugjfZBqnBFLYeSL+i3zGUwALhC zxewqd6DNUhuaeKwD5QKwqQJ451CfHebBgoXPe5vAYUkKVdbWYpLp+IZH/a6BnqSLN6N4MlrJxAU MtkNOhNTis3b/zseHuSefwDlEkBq2L0gh18m12CE6LHOWvqOIdcAZO0GHEistFmX0W1ETp/EzM3G cJBEyS40Lz2Bt1CHdxdz9UmERofGEmlWiSj3Wdzj+xc79d6FmYZUFHCqKrdD9sQkidy/xRSLBp+b Ttu22qcYRoQC42NkIZbFRr3XHb9RWfUoDlcCic1S0BA+kQ76j7dn7LOLzByGZErc8+81Bh/ZpszL zMJqwvdj+ECVlbVHjZUuZHWSCYZ9R2YuTi1oSMaVmsmXDtcPG1RHNRs41vKi9Lssl/Ux1wqZH7l9 Zlrj00BPiOMK+rb8d5gJmeTfhFUGekBLUQGoH3bqt8gxuYb/GCbY6iWilK1yqYxDTvzISZm3/AbK zdlzs6SYNilg1QJ8klsfXoA3OMkv6C5LLrWn+dz5wB98taiJvLfzupar9zt3pnAcGZaJffFOGvTa 0y6lh1M3Xx+dQ/S+Wyg2UASpmgEuGndZaSqBDUe7jM2IEUvVq7+WmsK0ulwzGkHbOCeOJhEuBAN+ +kBcJEsz5vdXUkkjcbRTZGypLA1y5KyGF0q3Yhtc6LRNOZ2xX0XqT2p3dyEhb6JgaI1wnJozWkm5 MRYZMm/v1ERsjH8hVUcSd9jQzAS9kVpOPqKq0OlRPxZos2XQ0KcWsKgi0eBymZuq20tINGXWDK7x NYMl7hdcsrIaVtE/QcrUEJOc8QcH52i5mHkTM6wXXDODoqS1vjqz4VvSzTT61yngybn2u3mnEz3/ XHJ2QJDOfwsYSCQY8xBX1zNSOwYZj9sIaSD6r8ezhc0cyjjuQoiH1JSY2zNFVs3kALTA0HY9yQyT +ZJpiOlozIy09Pb2lFoyHGYuJh+Nyzq8V9ipgW8azUHIQktsi3Y2JFwHRKwRiugxNhx+GqAgzNfL pD0qHSZr5kkikQdnsS51/CgNbl9ZNt4qbEMY9usKRDo4LJO3K+eWHR3IzJ/g1QowC88KXLdVm50j AfRF6Yl786xgCVc+/l/pAcjdwvoTG+fjtPp1NWIDXrWjGZ03RcXU66vHHOwSee57fjzeUvCSQgmn cKIBxATWdPKZVAtujojNI4sgSArN8clUw1V5eMvmgw1V0dxFjuQDhRwscgGVBalV4KARSRfsyYXS nqZAeSV5fHfzi4o36iCZF3kvcNnFWOGZ9Zb/SjzZePKl2HJFwqhfEc/yxut9XwapsKN3y2z0M8kD NEZaLZwKRAL+TX+IVWw8wuzl/x+RrSuog95bdxYPbEmPVC9HjLGGMVa73NmdUEbF4jJbRjHld6Jo xnvXh2nedjwOThMcEbqPIJ6V4WwleZ17T7BF+kkvDTDI/iUQaWEFQte6l1d6PIDW2dHgp0zjgSOw B4SvmqWd1eD4C5SaCvxB+Cryn2QPLIGZyOIl3tgH030a6icGrTEQQrWeW90mtesAhPM9WQNrbJCG aDin/48fugsRaveWJXiZiVBdV/N5DCwetAO2wb1wewtlPmeeO144nLBAbxYyDYymkwLn9fp8doE7 9aUCoEKm53i0G+b8ng5MfvScgfMhg4bVlVrMtYZ+QckTaaI2BMvEEzGl20Yc/G6AKOECLz3VXtvy byEx1LVp5YaySOkPfkcAHJQx7TlSCoV/pYw5EwoE1+W5ROOM7WRsEuhzGjcj9T57inmgGls9BB02 qVJIRCoSI/UxmSdkzhYynQ+pw/lMQOgpud4Fs8gTUa1WZpfQr9dtu1cE10tMxvq48n+j4NVS0rbz OypMJvXMvt/A4ml3WGvYm6lcvcQ9wbdU8dNY0p0tqwR/Mk7kCAURoyyKBb5A3ESqMyJohW4VsSv6 0QFhCaWPAdpROqgPR/ERJTk5Xwn7Aw58O5hUghECKYCMG2DeMtOz/1IdW5qdG11FQNUZ9nFHAGJF ql5p3vg/iMkDcT4D6GyfQXBbXL9ZFz7+ApxJjK7gf140jNWm9VoDXpCmTZOTHjr+ELTknNtMXPq0 zxiRsChroa2v583tVOZ0/bOT2X3v+8syhlElrhmp0WiXh+YX41m0XSinuijNK1gArzu0JBPSwKor GRWUlFJwikTii5CuASuPlNJ6EePdm3kJTlmlKG4aAr9C6KVA3CQj0ONCL/3JfYQd3K1nE/J84JfD liCeUcEannsypmSDN3yrmlO/haHo/leKCuaturCmNOtPvZ/G5jou077ZYfcVO71CYY8RRlidZSrl d8JO9NbbHAUlJ+aeB2CjQDsZ2/Y9kQu8sgjatWnJncs2qhx7eBxZGEL5Eugw5n1jlKCv0OS7K1zS 1saQ+uR6GkUKXY/+9+P7D5e5H8SRJgr+7wLTcJ1l/U5vSjS+IR9BwnLKaMY/ga+3HQyi7c/j4DOW X1x/Xhb7QPqKbb+gTLw7t4eANSVh6erdc/D16JusOmxxa3dVVvAqMygXQUzIUa++u98FETivsz3X x1ZyLLv79S/kOVEmP8s/HkMlpZVro87xfWs/HN/uv/WwCeHyJBnVCh+DJw3FbkgpvQ2p8PKeYksv jVQCuGRQWhe28ALvlwuovvqWaLrRN3jedA/EBBxMnoEoOM8ylt5JrqQhy0BzVopmw6DFCU00ZIOt 9kNyRih5rKXKWmx071poh7jMAtb+H06Ct9+0DJBZBewLVt0Gji+IvjvvBEz0sZu8JnnXTQfagtAj UDUkyw/y4aPiDuR3RSSl+A4V06QciC20Ol+tqKBjapGjrFN80T+HWFqVTtindPi+gaQ9Hiq/+Ddq EWW6m3+Q+CWqiyJV7Zqw+yOO+RH88zbC9Oxf5OD3Ec9yv+qeNfxcTKlRTGwYpJqbaHI0+qcMjAk+ kCiNv6bm/7iosGXTUY9zPH2xcK457rvo/MmOV//n7o5v6huEep1HagqAFYpRT6kPj2zTZNcR5IMu p2p5zbn4ZcArqf1xHpN5pFXCe5RABtmMyWjW8YRBQ6TfJ7kazDn8zUDp4KS4gydEauC5mJDRQHRK TivBYzMWnCiDEOLhON3qOdkDOfWV3l+PzfP0oV4lUP/wDqc1FC3Wa1wNjj5+4770zU7d+6a+Dep/ hYg5CmQKPKjlQLjGAO1dWFcB+pfCBFphLIZhSsYwpDTC0WgZTa54q5NJC8hBdGW66dYl9KpK2t7q o4+M4UQ3dfunWCczgG0l4YE9Z/LqkocGC33SBuaD4gMW0TBfXg3vPluM9wVZod9EFZUZm55HqiT4 IRDgSr6tgKTXOUArnMErbIDNbh/3xi1aYbaxTdj8BtcL40veUPrzQCQDsHKdqykjGo8pyMqqNdiI nU6tkwC9PR6j5sfcHVd/PnFvl1OjotP8Gy+VX0kbjwDYVPYrX3xI7kesa8b7r2txe/yamsZ9OvGI 9bI+wsWwuzanugAPY7IuwqcWvkE8JZBWuHGIT9RBssK8MrBMoxl5wUZVEFTjjJ3lLYEmV4EM6T1a Sk8OjudALLQrAxnlP+rFf09PUw14vUoG6YJPFymZ8MIL9ZjjkkLeeDZoL+oz/ebpB60YiI3o6/hw dPFZKfgNcdLoUDQZoUMyIXHIPMnjNIVix39TWYr874GWksKUmmycm1bNuDBYmTNVleQmWxJp2RfC rpIBvXHMVLWOs54w2ii7J/ywDOdSx608zMrZcxQTjlEwkPLj0qhmtuEwHd9pBB1rMen7qPoeUxt7 yQu26XIfr1f5yx/3gWHFsWJtBCijF1o5IalXLI3qEByApkW9WNvrbNz81LvVZ0j4/jlg3+yf6Jv4 swgam5HkFLcNz/IMGuLo87yWVijmt4y14TJW3mKfhnvhIN0EdyZE6D3y/VDi8cgGLkm8pZhtyW5b HxOq8w4xZd4EAL6o6jn+JXL7NQ5XdqxtUPKpRwgVRl2CryJ2PxA9mzCVk+3zLKZmLhxi6zaji0/z 6oGfEYK9fDBMZ9EoZ4eTJ5nqXXd2e0nN6qnBy1j1pHert8NIwSTGFBNu8N35rChsxgb1+Njh/Qm3 XM2+Dd3UTLVOSrQ5VhaO8SHZDCQK17YZpG+G3Oi7l6WtDByO8rTj+xpNcJqzhN8PCN7BvC7PzvZ1 sk2NexooG62glNk7r0AZ+Cs50Ateb9HmcBgr8p3GnbyUsBraKZVCT+5tLinNLvz00iyb+Twt2+Js ufISc7+Z+Ia9iSABBEX8izEhLWreujKOowuTRBfQ8i+Gz2lmYVZpsnccLRR+V97yMWFfx37w08p7 5gsQ35R4/1rDYvJZQB7xSapOPuS0ryUt21QlWGcijtGMfYCt4zMzparg40+V33TH7cZwIChrdLA1 x+canjJ0lgsKWDISyKA2qb+OT2Cx/xkSmE+XB9LPw+Rg/rovShw7hCnNr5p38HYXVYlYDNVa2aXr iqZlapLmTeVAnyC6yFapI2UDiOSmTJ14zhvS7lh4BIF6HdJ9XtDtU55uzegIf4PPyRbwyms/PLpB dqhFsZhnQ5LRkMXlvTb4Ac7v5pNXttF0JjbOdtuETl7xyV85i/RCpD+T01wmo40P2VNWPgTHECfL YPhDJGtwiYjpwK4kOMuvXUc3/l+s5EYtsfspdCPpH7+KXMewMFI3aYdK9L8YwcIZfJ3yleXFAyGX ER/2p12Hs/3IUYwHEf/cteQqiOsklfiQJ4hLP8wWydJ4avA7XQLm13JFHg+VnG6Eidmk+UrxfkRV J026HCygRIhFZYlW6iz7ynyoCtkKhcxr7VZia52tHNGmpylLpJX2lF7cDpxKhym3HWJInKMpMXA1 RU5w02Mbvkl4RCJPoVWCsGDUpClY0wVxpGS4QHZByiJ9iA3qeTdoQeQa4L8+mQ+0TgBOvmJL3EAZ MEzG//ApplZFBn4xrHm7HwS1vqoQ0NbPBzVHl4kzTjfrPxBT9Vacpde8dX4/PlvUg1BreXuxyjz5 mjjtvy8yBPKbYzc8M7xy45XUJ6Rvm/hf5KqpLRBDRZFzoXaKUAuNws4Y7A/tVrWroNXYqznMcKQB jArEHNcrsicanUKJlD8+9jLfXGhWoLhUAxPQcGUDLAsX+17Kfgot71UoZ5laAlDb7H+w7bEMMVVS thquhypt08XSSuwO95ZJ9h16P6BT66Y/1kwogT1No1B061oHsZqlX/TZmC66j5wInGtVdDqZbNJ8 UrEs/pzq3OzpxD962HX4y3rekMv31pwXOWkaXd+ZcR8UlbhpyX4uKr5UturNExbhMaIEsl8DvlUU MIbR6I56g/XFaE+In20u83hImWdepl6Ncl5qdw0D0fx8uAnT4dbd/XpckEJm8QcAnpkhmGFOJ8FU fKcghPHuJ66VepDiJGBXfG0dyjA/SScSB4ErXf7xobYxQngYZX46umW9yLwlzRCYs701qCAXQo13 bU/7m2AuT+q++Sif4Aasm5JVpDRppq9VKk7MzdajyYM/TYlYWWZEPeTkCLzyvXsRf957RNckj3dw D+k1tH8SW2TXMctys2pY4De/l80osrqGSvm3KHR7pxcFxQGy+UjM5sGt/qABpoH1URY/SdC7rJU/ fiSpHBwIEG4bD6RVVk9o5OAVdRy9aZm3DDOJu1KIbha0dzTUTsetmeQD2HklLtuG/SmBTty1MAEA WWJxa0cOOFqPDWFvWmelf9c5p00/lOzrilx6DpoqSVq8XNurzvfBeL7QhgY9aY3ve4I45d4MEBz5 wJ4gTcWUcoguEWNHe02dQjZyEBn0fw4ew3kl00CfphGFM2gtuL2N+F9IAVjKuK3iB8Cd+3nM25OG OxjU9bNt1m676eck0sU0B2C8WmO5lxCEG+8WlfsNyU/YoMwzwvRlwyUEh2vgQY/JIZBtc11fSWTK o7JVILz9Fe7D0zVN9OyM58naajr8uct+ncNlqYVAxuqT/FHzVlJafRVe3nuImJbsKmfJsihoZOef 79CEiClRMw0KzfKEq4dWQUPucbi57dHXq5UQ1qm+KKv5JbLeS03vEE9jcEiN5VlqgSfEr2+lSD+4 gr8GYyRGmOwqvV3IKkKr7IAAEpk9YjmTpEDSkOKDlw6gaw62qRafTJ4oxXVodhSe6QNphmnssjEE mTs9H/Qn9s97mBrnZKP46XYNfzsVpSRI4HJConIiZ7swT7fjnSNmXd5XnIWGSO3YdIieyVFRzDbU d++y8wFbiqq95/CUSXs46nVphu+1TyslG76dgnI3dUXpW08OgiFczbiZ9Xt+0oDVue/EysMku6Mu rCjHwkeE4bPsNOP8Uw4NcC4mICM5mz5aAANnIxsoMowdECz8mF2l4/HZ10H3rI0keg/wHPhP27NP KONi8p6CyYOO3Zhnte9pXVhDDvzh+HA1uL/PzuMNyOftmt4th6JsmUp/DPTsnSXJRuwQc9GpYa9F gKd+Cd7vLn+xBV0DOTM1xh0mLjzza150lSbLla37x5ryMlJvbc2xONSihKTDYsaMVQ8a0c2mbGsZ lGKxBSLTdBbOl8esUagAeiKm2/qeE12Fb8mLRlGq1pjXJwye9deBkh1YkYKJfLwgGG8dy/+99FtB ZpnmILfsBgiXteLiUfw7YGkKbIn17h5hnkZKvzQcZIQzienPAxTNZaJHH18P6FJUhbtNZcZHEQ80 bfJm+2u5CnW68JKVtfJxsHao1uJRjul8KoTY+nJt4gucx5uVt1O8faSpaAJR1VBRC+bRExieE2fj z/HFJwoxjUV5j/4XPdLHhYCjGg28lvdy8qPPtj4HuCpLp8b9rKIM1MkP+4lu9l9hWU1wxhyWiA9y P8ZDknRZeUeLT4WIESKzAiD3d78O0qKxWoGscMxlovbvHb8B7Bsr+tCuZwJB7PTh1et1vWNtswDo oSNCKJfAK3ixksBk9W3bENk9m46RBFDO0aMfaxiozIOl7fridZjlls23/7QnmbRZWY3aGNko+8VQ eJIQUogAqtEJFDKGoEEQx+VJJb0cjNjYAp48OIHdul1L7FBsExxHIL4fEZFyrSj+q9CBK7x3/VZ7 Z5E9TUcKQj/Mn+h23IcT40tbvkNzQ3PdzGLYhMQVPnDobqtVoZvoSJnszNwgYV2P8G3zbF90Y8vK 8sE4YfSbHyUW6rhVEd1O3NNUgbgMRwD83qknX1WEJS8yT9B09wLbOvXeebA0hMpugkDjjPOrZMoX A+TCYE/96bcoeC+GFsElEO/ZbXhp5MIiG8utUlLufdFdaBFsPAFy6mSb1ehxrOvzVzgIMFDZq4rI wK4QVT2o0w6fh0QII3GYJ/lxbVw79vhDL21T74vCYuGlopsvtIod9cr4GKborBTUwhjHVl4rfNYR 58XW36FUsDFMt6856+1jclGFf2pXJjhbDw5pXd2zkwTT8LsI3dmii1SprdUfjBN5PK7RBOf0eEzD 491Xnm16XwHqCHR99nzeY6WAndG4v2phdL6szjprFILqmxp5GLQaUES1id/tuGW26EDUFDS7kFuY YnKMvabU3KunxHTnBP+0VV1o8WviMEJaAOwxD/ewFhTPNiZsTlAEwncD99ag2E7EZF9BG4BvT6Qh aG8+nx8BPzDd6rHbMVMNAJWjRC44/wOotA2po0t46OKs+yxV+ae84GKrvOaZNTfeRQ62bcVDc3Nc ihohJ8KT8Yn7KHjqV5q0xmy8bKG1EmZgWNbTWPLZZ9pUNcUg3ue9SjBIyC6Sl/p+b10zpRqzDmLl MZJ5PaQ15usDJUVEz4IQchJP9hJWVr92egs/Jiy2IKjlIFYdBnOzto/xOIijni6nUMUEuOJ/Bjn5 TwKxR/FWoGopWI+KfojKsbYL/SVGJV9LDoDfBNrCzBs6RFNCZ/Wq79NsyDpp0Jbwn9YpX1b9ffaY +OgufS71W6o9B2fj6WlFVMbs4khAQ25kKh5CO/21IyFGyu1aD/ljBj7D1sEiGhmrhkBipDP90mqn oZTiS9kY7lYG4yVvc3IRyHL5xHr7fyHMrziZ/Ue1pC7X1k+2gmAKQ8TAByCGwgmCh373ZrNL2H1J PmOO5lOz4yumeErMBa0bOy5qK3POmGLaXNxmHwOtZXyUmNktgAabdAdnJadvSHPXGOJZWn64/T5H o7cjHT42oKFIyahAI4Htfjzv4YqpzEBi3pgKu2BvK6KCRKEWvBM9HN5pJI/hlNbSggHhXOPqbgov tUtAuRBvqTTL1QtlOoDvCGXRKZtH4Bq37KaVsPJIcbCMrmyMxlXvtoBcLWsnrD/Gdi3jibn+M9dV iQrWXJPnSVkqp3xMsuWzrzcjv1sg5SF3l/tfW5hyrxEr8emt7ycIIaW9znW9cFbHjzIcMP96JZx2 XshTVZu6SzDm70EP/ROhlLoypVqRpSdcH2jhRb16TbtTH1neWaS4szIUaOF2HQObz1L/vbA5vSTY qqSyII51cnu93wwKi40/xKjQ/GYZ+hBJFjKhvO8c9PmdhBo4X0euo/vOmBPXnvVsui4Vzp932NTV UTbwnwNH7qoG64k35WWlhxAxuE/U2faXdYLyrlflsay1HinZF374+kk2b2fb7iwrCIgiUq+IJctp GZPW+yKu0EKPHNBR3A+PRnCgmEVqNlawxIAMISxwpOnSpgkq5sB/8czTQwV7D1u5ErhoBqGxCV1a m1Qtt2nqGCnQD2zfliCMe7pLdQ2ZcV4UwozlIVWnzTagxjz8738DRwfQZoK/AlXtCdsa1Je6xf1M BXAtx7uJ6iwH6iW65trQyByjIPfySb7xr9e5jZst/6hl6Q2eSP90kR/dXC1QtpRF4Z58xEfJMrdx wawTVsEzUmI+Giva9i1AbDTLmT5TuWaNVp7FvHYFlr5nP7Iu3Qq9yZlUIUF8qY5tSjYKSq0rvxyr /Arf5upo8oWXBKXEF5WCb8rFjI98ddepybnWg26/tSNmuP2hVFz8A6I2FyoidPr+Kq4t3e0AuJmV dyOv22HVBG2gOMdXkY1q+ygO70cFfc0iiwByNZpjnn5v/ZTdkViKaaL9swGn4Nc17HS1hlPAwRuJ yLBMbtd+WQCrbMWcIdaD9QNP1cdE4Qs/rtD8geqa/cLRsdonaJfRmlyiZEYh/K3vEt9WnMOYotSP /QUhjqOpk6zAiWXMpxmZwMdbFGaaktihZCQW0K/TqcVYaykqfdnkOd+cJcsYiVvd/U4ARgbrTu05 3bqjp6iNELMYB6igwFep5/TPQLH1sqVzgmFQt53wdfHPEG7kB2t7MHG2j51ji92BINTN5aTIOk3V o7EP5zROV524XNHBvThexee5m3GbTI78rr5Hx0hINslAAaalgorUOrO85UAs3MHP7PTuXk3vGlCh nSqXO4jxtb9z6/uZScNXMEv1m71WI5DHOQQcEFdutnf+/6rQ4K1O3sFUcp4BBS+7xqkoqv63Tg1I Y8b/7isE1OKphC8cYY7rg+eU+TxzgkxEZc1yagb55RTGb+IgqUC2JYmx325655byfawv4S4kKPBb r4DM5qARnRhT1LV49RQ9DGLQVTHJJQpgxiZteQ9EwzHMK5ZvEQmrWo9dSymKdDi+6jpT6yb18+Fs FUTwDVzIGpp7EP9fiwbdc4/UnSuRIeXsi5XyEl7LeSegEt4dpD82umGOLZH2g3ntN4F/dYSlaUny hvfXilaxKmnbABl1cmDevTFiK6Jsaz8Bn8/wjI6sYpVVCn8XyziWJLRhMtvSvX+jgeY/77O7pGRt tDX7D2zMRqxhQbFR7FczgXDEIt6i0qoyRcxQ4lasly1uLub3rvX8RHyiGf0MjiIp3scAl7TubYeu VpWCwzQSeY27TEQSbS9DzG/Zm3FNBMv3jo07+qSO79uKNWVFXrszyTj90TM/DwvWjQDZvVsYLXfM W8LsckY/YYYTfH6ffcfpcg8x2F5Lq6mM7ARrffif/dZ6I49OMFISJNOQWTDm3ahBqzpQLdYkNOcV rPRlnko5xxX5gx0nPdj39i3PDMj37DLIl80AA+iyJo9FlMEtIJDJTlGSa6SAkg4ljiJH0IS4iVky eFJHcUXn8zhHpM2ngKHnuVcXOG14wzvHO7nZThAdCSC/I6tl9+/VG4VHxdjjWfHxHulnETv9iqpo R5KRZJofMy7/e66RF0sLypsIvl+2owtV3TINLjkY63Xq3c+uKbQHSEtCL4g2Sgfsx2d0RTMcn5FE hwRU942dK64R7018sGxSt76+bVnBOshSWCNJgOxGQ31s6AVnwK7T2z7hGUWgwdke5tG/s+oA//zN km3tfge1moRKwAXnT6staFk28+oAA1sM2T8OpVVm0a+xQFkzyWhqBoYt5GMdVFSH+Z8odeIjGECE BXWWc65tGQc5CVT8aneL3B7Hveh4U8mD8vEocX5DhX468QLwa5g/HWnjKkjYzOjF+fJv7IJynNo5 7i9LfmiXur1aai2OsFtFkTRjBERVWUd9thilQUwt/v+lHuDIj33V9hDQ37e8vW2+BGS9j8hP9ufs u1m2fQ8JWtHvVufXjPP7OpzpipKg/YXYfcyeQyRpyvc+pK/0hJJk4x3epy/QGTg5B+Q/uqTty/ki qEZoVvTW5FcgvWDP0JSxq1wt14mTLc3eEXFZFMPWgO0OePzxBhGxEpEQBWL8yx5JGTMkSr4wGJtC 4Jp3Gsj4ZWr+xJ/5SwMHbdrWoIVu4y8qsIeg3Rjvbz3QFR6J6ShTTDDCU8ql303TKNEObs0weESD 9mbquMZKh0nU/az8b5gqaZuclKUScayBEHEe+yWLlQS90zxU2JwAGEc93PUhvzOSXQKA3Cy5K0s9 ExDqiTsDWc6FtpntVAKoVG6EQr6g619aq33lvyVUYp2wWVsyyz0SHybzbpHQRoXuFy2H2ExLaHsC OdoEaeG44KCKwvnHFeZov403nRThpUc50MbCQG9WjVAbMbfkvjeocyZLMUq3xmvRjtXa4iFRFLaq 5a65NfMd6cw9M8Dn0aONgmZgfQ7h1Po1JzfL4oFelSF6LgFSUR2TjXehnzNk9SIOEcP0VkFsfvEA RTwBjd38wXUJY3MN6+2CK2GhLC5VdjHn2ob0dXzn9zSnAfGIP6QrRVYWZVTHYvJ2970P6Ee3gtWP NCpS/ThQiG6yIDZbmQusJsqGh9S+/MO/RPm+XLKpqlFPl7T0az7k9gQB+yHjr3MWLtZibmLKT78Q vTiQPd2VuAbUgDb73ns/ac9cASdJsi7/IcDT/a/pF5G37SkAkvsuty7BhPfOq8Nf3pLrB889+NAh /jJrYd4Uwc9naWLZkKgEcw2nDAxcFS/1jx4AMxFGsc/GOUuyP19zoBBNDHoW2VCAqT1xt+Wv3oAm k52NQTSa4WBujcWXYrSosXf/U+YK3pryBXp5pOFmgtmm04i3mG4HS7XLw01TZ/lx8VAXg4FlHwn4 /W19yWi1vS4DOatJjkNNoKYKLlIPZ1NeSD092t11i8whjfMIJiR/qxHi84WW8Wu4LRJsHnnXQNXV TEiKJvRSPQihPPbRBE6ua+OQdU+CJMdrWRnaTvewid3ZzhhbHM900Wr3ydzTyHfMaiUyVZR8chby DWxfq1ny+Ww3DfUClop+GKmLVEF5LHpmXn+8Wa8DmUUpwJyiXy2cJKEjnxQ4vYT4gQ9xz3GTE/B0 Woz/wvLjA5M79mG/SpKNWrpGLpSeZTcs9vy86ItnM9fmvIu15v9dk7ErD7PyrXItbAeB7u/cEvBZ ibJo8LfpY+ePkLowQoBtoGqF7OIfHIoaZPnSnW8fQ1VSmNI5SJp/kBcIPBKxRiKX+/uWu/ex4y0G VpHTxcxMZP5RKd+KMkGvMKy265CADToaVLv/gras/dqA1Hfke9+mnBNIA3ZFcr/VIPll5SzvG4Um LDK6Y0xBTGQyLF8tvL+kgWeH9BDGknXEtdJ192VR+hJx/7IcwAJ8l3C+hxSqu/ySwjuLWSn9+TpU kh5L6qt82PYzlVKB1igHUgfOTbKcfH8TbxogfJsC8aHFCh3Ju1Ojcz14eKhCSfhLCF9N7UzfFtYj K2HK5u8d9AKgYV9g1/5ldmOCUcwNsPC0H7ZS8vrRtBcwgm3jxIU3TFViqEkaNzsbK5kV36nD09HH DcfT7wgNyHqO2X3WcpwvM9iUh1537jUeVb6hdA1yPfP9zXViAJBYC1clN9iVIzPnxRb2rzjg5UTT tnK1lIbBsppEPO8//vbJEewwxgLsNistIEp0gFVGU+s8hk2qP87I0TcM8cDC062VickdYT/0Ngtg oGIsHOPl22CZXgyKOFFO4qJkbyBKXPTr7e1yEeuPwNPpk3bQ4lwSJxMQrtN1mRPF7ESzB2ywY705 tkiGwVTwVh9o1kbOK9GO6z3zo3+R8OWkWbzz1Ca6CUs49TsS11FXiwTJudkrpQtQlH24cG0vpLga WBwxX8qT1mDV6IO9yBP4mYSWjOtMKPHPt/kXlc7mpQuVk4n1G1kfcXs/Dxnytegfx1znXpfnMjgv 0rDvg8UUSyfqZk1HwjtE4s44d/6IPKgWllPH8E9hmAwoHu76wxgGugWtjnjMtZcYM0IRJbw6y7xG EFeitUGEXN2ZU1cBAsvqutc8JxKeg+6pD5TS5CMVRtSAEG+4Kro7ALT1uPSSXhcNH01qe9vHOEmw r5J+VX6c+QiVBWONsxf+FebPQ+LkKXxlVBOw6Xr8PNlabm5mrS76QcfUYFMNti2D4+JMA8KuEV0z f8nyoCa5Tm5eM+RABytj92nopEqfoDsCbiYItAbd6pSs0NxarJrtQrBYU9kWnuAt80g+EJd9wOjx v9BwerUnp0jmbuRR5sXLJVVHTfmIEts/H+xB120/mZg1ZKOQIPUN9gYxQzDnqlXUqTtxUvssNNn2 ZMJ6LYqemtWjqAn4yLxhkGd2Tah+XLkuYH6KZVYvaPFfYLk0DGQw9relpzHZoUF5ws5O5kmHGA9u G2Q62dMiN18Ph0BS5ZKijPoFYmE/MBUGOc2w+MOHGOanYJxC9Pryj/XFm3TUZT6im27xuBjZ5w91 vH/yzHJn+tLj8+Iv32lCNW+dGCUxwADen8lJAskYFIWqzWqov7q4sUCYOJz4nqXbdhmjsnzN8L2n YintKrEHLBDu3Bzvz6PX5jw0aJ3C32kWl73L34PX3bPTg+F0UwkoAXK7UkSdYnSkzJFRYdE7bvtO 4LHDc4uWSzHFI75h+9WeENTCw2EjWmPKq1TopYLQLs53VSYG6g2dBZEQRBW8eHKIKY1TWSvZWA3j 7Q+03oc0oz7+86wv9P7HgBm9MkPVpQEEDwfhz6FKLRqXdPDagkQJDQoQ6yROc8BFfmfU01CeoD30 Q2txmZN065AX2yAOLnMqSsDd8xceHmL4S6JM558GsjyO2iB38EACRy447pD7SW2erxJel8/aGZxB XPhIQUc591ntbzElV4OOXjDYdfvBuQiGqPWSaYaZsn0qJxtx77nt5DZRINP/hk/FfwfBJ0cSINiw y6Zbb5q9MyS4nuK+dnYuwguQiXTj6vo0r1viHEtl1L3yVcxr+2ehc0eQ5HTgHcwCFBtL88EkxV5N mOwxM2WPP0T8xc2uZk8pygRyJk0MdpI8UhHWhUQPdHlNb7HBtthOimyBau1qHmvt77z5VM07uaNi /5QSuM5SFaP7T+ByVwevfzlVeTPbDUKsO0RS1y9vtADZo42Vl0EmevAEAyYphQS//CBmOFVxGTKx 4ius6zztwuwn4JAxsOdbCVsrNX5iVqRwTi0WvcNXP5HryN9DMIRWSOxbjWF8qjL++EIfSAlZKxNK Q9Lp0KJl5DRAV9OWt5Xx9CMLgeKIexFdI+Bn6j/lKdVMKeTnDUAfAj9a6rEjJu3m5cgi0ftv79AP 7T/sOwd67rhG/oT3BsToM0750K+VoWa5ACRVWR5gVLJ/glA+93oCLnxtaQacFXNN/KAaHipKQksP NnzDepN3eT7jmJydPTYn8Dvxwk9Pl8afGYPpeZb0m9h1N34z8zPwUojCziMifaAbJ0Gw47/PQZTK 2nNJXNWd3Ed173ST7hlqin60ZPnIyXaoL3KiRs9XE3ysJWYNGmQS88q8oL3zXFKFtBgZRyBTTjCz u7lmWXs1MJNT8Yxwuo9mU2N2DErXi/N6PB7M9OjFFR2zgLSBk/rNQgSi7y/qbNrgAm9ePuseFXI2 +CXl5Z1nycn9djQN5hs0hTEE992Jynr9TnVPFscF8tAncgUo8ax6zbnmxVOSV0oeY16SV9Ju7re2 wquOSHjPc4IbklnQvFa+Q+yBCIVmvWMkBciLta8Q/skr+UfExAJXC25eZx4+WXQwyHZ0u/jr/UNi jNGjzYkNYZAy3DFIEto4yR+apjROQpQVrEDz77a4yZiIZPUwfP6eVzGsv8/YRY6uwy3SNlUZY/4P Rd7uuyffjDeXs4Pm6vepqbBUzcFvxr7AO5NMF53qFhrdZUGifV3ccZw3MCX4g83vSQY0MGhhB/uw lbt+BNQw/0I5ryCM1vV7khJqH4NbZy6y0dBgZQaAEHtwmogr3S7jng+LdSDQ8Y/3DJYunJ+4TQke RlJzx2NJTPT+xDSwEBuPqx/GrbqP6/a6R3BgVTr+Li3boPlcsyjrpPfHzUvgd/OAn/6wk1rajgKQ i94HHFU4NLAPt8ajsUPxv4xGIiBKNCNtP0hRPvY2iZX6/EjIRbbWN5s1hXcxLZyINK0IhLExDIm2 Bw547ihztQFm/rKc1GoSBjZhtw79L9mOLO79d/yGmW8TTKAdYFzRy3vAtAgV8y3bPxa8HF6U2ej0 BkihHcDjUk/DgbA9Atkv0PTMCKwGaD7+kkdFBKFJNY+2rVhrkKnAdjNFv8yvcasLtEZ8u4YWTIks nXvoHMJTcqgUb7Ti++mh+7D2iKWhh0aJV+rRulR4nwUDCFR9LIt9UUcSX/QKOhcXy+OgExG+X2BV FQfu3IvhDCMrE3dQcA5hdJyzuOfFSrfBx+AV5b81b85lXZ0/nQhNf8wzYDrcLCtqHDanfcm2MnGk 7EuSESFeInw8q1zEr9gjxfAiy1evv2VyIBN8xEqE9v1PpEzFJEk0z9luXHIxjdVPfCplmGbRT+TQ nRYLqnPFQ6xMMfJLVD4RgL6KZ9XF2uTYrlmmFHSlXBt3yZ1q2hU2ZmlKGQCzusGT7tHgvraOgIH6 59kUIs4BqQWgoxN8b0W+9LHVihdjeAOWhsSiwiax3OLpYt0KlHwyEQS7w8S+UXaRmOGoFaJ8rq4R HGbN5jwm4dtbbw/MlikRI+F1UKNGZKTmMbUDjPmBPdMxuNuvh5yXWHJvzurZ02jO0CpJXrMazQxX 0s/bjPn7QS7kpd6dk2Y1HTMpHTJh2lQC+9lxbwn1iqLO1vuYFnKVQCg3UzM14R3IKnk3cDA3Otq3 ciNtT8Mt5Mppsk0zQ2tFUCrBnQQuQK5TxNVdVqHh+Mu+R8S7Y++RSa14xOH78+vt0tfIDl+IT2kQ UDpQhEP+1PJ3r2a1YH9McLuHOkVnTxPP7qJNbjfLyyQP49Eidrqg46G8Qglxcax9mprTZiWGUPuQ 3DhGtOwpXfPGqrdlvsUX9K3kMWAwaXyQ0DO94dLW0bBW8JC2JgsC3KXcqwrUetLAqcsQTnXZ/OJP Rv06ImYsCIooVu+0rE0QBNGQmH10ePWuL9uaHxe+dT8sSS7s6fsKJqF01to7086AzDZAPgogjBQI IX24a8xLU2pixW/Ik/7XkAKmYlvHeq7+EpznzRhEA9WnygnOuZqVs1/rs4GYmpb85wet/Idx2IQ6 UDuvhL81B4mNqx1ThmZKQWyrDVPfGlhXnh6S1lwdi5UkeSSFSdzTdV+/9xmPMdzkLbW1mcEw4RQk lzDX4trEfX9B+fGIYHJyQbJ7WdoZ887KFtgV/0tTvdKp8a0lzV1Hqd0rGtXjYBlREjJZMMr1sMLX L0EgGHCQieSqnGjGTngtuQdrR253OvTqbgOAFtZKPbYXvAJl7H2HhARc3/uakaO492wpxDFWYoLc BpP1pNkES6VxeQo2Hj/z8357cYTV4xHVJi+Wm//z/v9hxLGUw/X2FwjHguCs1dR2p8iBuYgSn5tK cIqA5Q/3kR62+783gtdeZciDTCEsmJHi7GJQhcIsdkuM7zijWonZ+X8eDDyOJybxwdFuobxP+qdz `protect end_protected
gpl-2.0
f39b54eb031b9d4c497bb1c676e52843
0.947484
1.834223
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_control.vhd
2
44,301
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block P/sppLdUbaKdUHU1JVIeu5J2HUG555YUH1/FJkAyCpeFwoNZBXY33Akbu4kbqTMWPlq3SpH8tELs BvmLaDSSZw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fyTBnr5rHfFFnSz2TpnyZaQwIby4m0hcUBhXxSbFuWt+UI07qmY68LO8H31qJAM9hTxtoObvPIn0 sy3NoOkPT9z4+4BHD3A2X+LiDWxfDq60p7b0Qcc+H+EHIVDM96d9TN+ml/ucq4fgbWe1NUXrFJBY waDRPKLj9I63lcoNUhk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B/MmuvKkWwjEzaJ1ksdh+5csdDCJv2TVAv3x+LKXyRkCHAuHTPd5QAxbhqT9FAMuy/VEqLI3yYux 29MRRf4WAVp6zcTwzy0BRywVcAoBmxcnOtpStmpiRMYYt4DjvzV8/xrhxMO6xqNpB5MvMvud66oc mKFTnlxnS7q4qamQCY+0ZfATGc8iO0p7sVu6sDTa/js62ZbW7/xxs10fDHknk/QgKOW3hgdkIMnq lMu3wZn5DaHRalv2oFqFmkepO8H1iA7KStgTe+of5fiOKtLugDqFNG8kVcdexjBpHD3dWhJ2BRsT WH6hbc6HteA2N7nn42VyakWYJI9I6zjj/j+ikg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fpAwbyQozsbipWLuLdIMMDs9cVeIQGpyotY6Q3I/1T0wXAOSm0s2i/W0KwvM8zafqkq+5IEFq1gd LOyAl8wxPlo5EInTDTOeAEdaaMHlaJkPBFhlkcg4dl4ix65JmSTP/kzx2IUFIzZKRTxVQTsy8kNw imKUOMT+Ue5PtHM+SJo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZoMX958p8UJD5CvW8+yazldXAz4Uq1hR9hGApKkpXUcscTjyNisZTCNuYQ/oL4kjORzY6PUulQM2 TBptxXKD2+Ygqq/mPi61MUtkBcArhXnmHV/93Ew+qYidHOxSgC0JY7tWcELv0cYR0tZHtsCIRJRX GHlPGwmfsA5xcKH16idEfNxyFgmFl9W/3eYYfiqu7NtbJZdaXhLFruUfsdAllpBIsCaUZN7sFU5L OXlIIGWwx2b+c1qOkveVGC0mWE5p2EsTzX3hx+fza9gpT2Xk6LSOgghx/tJ1gMEkX7yqYqpHpwm+ h+bN41I0/TcVtqin+LMUV8/RYAMpjgfSSeVEIw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31056) `protect data_block EOo8kxEKqTmntJ0/CV55zeAejcXJnb+x3JiTb1diJyxhE6SyDupvhC185dQwh6dIkiSvcbWies7D ucEQqrxAn219PO4x5/lD2fDKwjfeAhg4almia6uddoLc1Aw2pKxyy5gaGS2Wcf3gw73uC/jBDka5 SWYgUiPW4i8ONM41wFZpl2NqyYk1/d3tMFm+xdhHwRwMZXCjXLgPoj7KKjlpF5JWPAWViDOC/pER TyEztGvBN7CwU8idR7uyvjTjzr0JL6MpTKXNzdQPcrPcuw/8WsDWhZ7cmAFBdZkl0Mvlx5eV3zmG nmpRp3JV+ZhHXyxx7rgLr30fYZuYGUrX6FVsghIZoU+Le/3h0F34l0Vcd5kYmx8/am50BIyRpsQE KPI4CUJEgvKhIb4v7R1dHkDi4jdnFU1B9TcgzHntmBdI0lrI7SOUCuqxOQXwiaBMpI+9yQaNZCBI os4eJHsn+HzdpVy61U+23B4XNdBUHnaEJZgUfpuutWg9eMTyqhNFl8llitFJsvaXmvGTExBVVZWf jnJGBMyYRIB+JWLtDNqZTdRoUrsjly0l5o6l1Mga6jPPuic4CLJtAwDG3lfn3j+qPXNZGTtWO4gj ARDyiFAmGgp5YyR5jFZwLf/tPNtd/FAmAtjyYg02xKDJVMdtKSqcmrHWtqCPDeNv8NUz9RtiBo3s Dpwp0zUmJyvcz9QOH2nJvgx0XG8tPZIgNod5KK3GOpZGkEcZOndoJEENVkTHQ7GpTv7NlD4A8HgP 92gqpHnIygZD3A49O/FDk6YR8P1ozMCj1Sv5SDdeDD1Zm+/2B57nanv/vcNDp2yIzBA1XlNT0KsE hIWr+yNSQjwIXr19xDy5jTrrJNAJ9663BnTc3KTW14TK5x2b3O9NDxv5FNJlpq7i56c0s5uABZQ+ pvQeDVQiY+kY6qU+T3/Pp46HsdPGRb4g5eU0Dz1nTe4kDh7cm+Id9sN68GrL02gZzf+6arpM/QZc YW/zokjCDir1mg17A7/7rUnpazaarZd0pM6+yLjJNBMct2OD0Pvref+rD9Svj9jGmIgbN5XG+x3b HZ/cwnZJ1iqSqTYnWyTz9XanMta9I2Lk35ttm4ZYm6TOuRYMGKYUQuL6+rSiNaXOd2jdo5HxDK5C Hurj7Ea8NTOdjfeBYA+eI8qIVs2O9thia8JTiV3dmMaZ1PlTuCPzQJ+RRlLnVHjM25xQU/mTswi/ /Jh7K3ORT2zpuJHjLNDKmagTtWFUtZ1L8lNGCYflPUkczCaKypcaZi3FTqGBs9OuQd6PV4FFBLZ4 NiiDJMz64jFxhxkQZnINs4aELGmbXw9S27E1JTrrmV0d35t3FWxpgILBJ7wuISix4dQf0EJjnX+p OvACxu1WV0AbaQ5q6DNhCgZlaDb8DCVbJJwyzr9zDR1W9sv8MuFKiSl5W6s67TYy2av6uq7XvYRI HKYm6+VRDpY//k0N0YKro44BfpqexXMxa/a+Tf5UtUkqoSq5NCGZo1ZO/Ao2DCiESZsP5raOx6qw PyJfIuEsmvTQrDMMtWkFZseu20pGxUnP5DWBPGKaqhpE9h3CWTl4iQSYtUuZLf1/gABTOxR8FX5c seAvonL7zWZzo0UDQejLs0sCCf+p18/KHrpmGeY3ytmSJ3Y9NGrHH90Y0iwe6BuHRZnhnEUEVaWT X26jmqJUxAj6vyWpQPa6NEUYavgTAVheFLBAKCQvF/IHViEizge30/g+VfonbYt4HOz4Tmx80vDu iNxc5amodjFukwxv9EeAEFlT953QGHT1ITt2HcgfBrtJRISAZrjlknO+5SfrHiohKe5OxqRvjLrB EIoWtJqdDluMNj5iMKgy9d9RkzMz6qFMkkdVUtiohs82jZnKNssb1beSCGNqhczX0U57yjsWBPoU v6fIy6z8XjFcXv1cx8WNjiqmUebSu2/kqpltZL4sL3Ob6uWTQo5BNUKnSA3YABXYo+6AOvOXT/Tz lYxg94CUFE0YIdM1yGRNnI5gQwAPi0GLYmwZC20e1OLWLnBCz95NKkYpz1iME8O9z4oeIJRRKJrZ +RvqZL0S3IgNALDZeOMEWW15OCWBtHX574H+m+lhfj2/YaBAX2X/aniSqKh1jnOLTYr8gKf3KgNN fhekCJhzW3JOJLzcvVt85hd2nbdPXfH8DNhIO37sQBzk5GMR2v9Rxn+h9DvKQiIcFZ2im1OiUzxs pqZp36WM37w+gueH57sJ88T54Hq23tv2MHRbm0YX2p6Z0XxRGCSMdxT1CdZjQEJG5uTEoIiso1es SijdAEH74jSYzYeleJf53GPTB2+6+2o082ahJvYBGc04UBEb9PgJE4W2P5KqIT7bcEFfqcy6xuO5 e/5TOIavyEYhJLYpRgT5njmmfvbty6ccz2azEy6xi0ye5WvsbPB90OBCTAudunDxURlstHWC0w19 kCGVdQqzHx5lHl8AceOUrlRpA1bG0XcYoIXxhbr7FbkNQRg5XbD/HqFLRzje4aXgomcpHFsm/Uki YZtoaufdob5WB41uAkw0CKPqa/+c1qgHotEaCKBg7Nf8v721YsDIOko9qmsBwUs44/qosLTVNzTj bVmo4xkbu5mOR8vhoDYy4DqeRQOV82OsEpxhISCo2ylhgo9Z1aM1FRquDez6YvReAgKYEOrC1J0n A8L29trsROr3msR6UzQasJdPHYuc7vRBZahaq/5sC5QRKzDK3eIxcw/na1scW+Ru0/dWpSBjL2M4 pFgfHzUGH54h6zGxJ3NfIe9128S4b02okRox2LG/Iw3X9bzuAZZsqQD27s4zf5d6WF/t/vB7er/T +6QLmHp06gXpTaoBEGXX7atq3l8eZYIxxpV1I2rIWCew8ACEzdRh21uVAp65TCu5MSgwWLK+Bn0h odjGL/1vsEDXJg6bu7SHbHL9fls6WsDOSM9JdyP388rP7cWEWZCwVfE3juqmFSnY9S3ou0AXlfpQ k08C+2h3Z+FpLV8qstJj+KlQ9XgQv7g55rllEnnzubT4uQMroVM63TRyfm9coGOZDBo4Fih3AG+d lzDW3nQ51TWapg50MpunKzQkhhw8SNCPRY+v9GzKto1/IVyJy+56kM5hy6AcusLyQTnRvzh6DYWP xKE+8gA8g0IfxjC2+6tEi0Q2ASOwz7rByVpSwCcY5ymLfdqzuxgD9KhlAGq4bhvASzmcKutlq9Go 7mfze+if+e7AXKhic32U3B+hBFuCgL6T4TrTeVMHBHSc/RGkMGPPPOQUJOOupM8+TIbw0SQxVrdn vSG2FtM5DDTd0C7erTd1r5lDi23bMzHItTd7pYyxTLRpUCjKhPdo06FCWNQJJWChcnB6Z44rtbK+ 7tM55huQCHZpO/Y3UkYDBlGvJDHOUPAZgzcncFJxqfFjZvKSBXMowemC9abhCXGoBCLSUwNCzrBJ fFcbNxteq22m/LpC9fZ63isA2Ffd34EeWMzM8ZIyxO2flVCwYznl8e+aR3qH21Ix/90eYebVFBgv P2pLKym6PiQcRH4WQeEuFOQAG6kXp4lMcoXGvzVIRtHefl0NGfXM6COVUThv2259ZvNBLAc0e648 zbzeglyehqnmKUPg5eHkkrGKxPouI9CSVDOh+1DD62bZp45HSoTBRWkJZN8T5Az6Z0pYy9EN2LWt B+KKpNZOPC8GlRpHCv7po3jcxcAkgE21MmYwAgppbAWvpENMztSjtVddv9Div5eIZX1r4GbZ80Fu UXM725eOd/eXO2vMFZhG5IL/TftvH8brYrab/l2kK4Q98MqsvVhnVBEcHNS+zf1hPKy5rO96nF/G 4hlV1icZPoqHFfsarZkWbbjar1JPh/DgSdPhHHp+xDOdEPRaJ/D3gMfDPJJ0uNn6j9iNg2EWoTGZ 0G2UOLGKxpczDJNh8AUzuPsstkx5tu9HNqcbOiUsCpea/X0z2AIltwpZKEDguCyI39OnAHGPVVq6 GwiA7Bw7x8JFC71pCphB8et6C+ZfP4ywFc7cxqSjDWQ9wbScy0kwdhxL01U8mx6Pf1OSu+YXnrgu EGF6RPp51/uxBHvzp5OR3PQTSRlgLdYBUB+klbOqY5lZBxeHJdgV1HzXZSBRb7r9qqa6DILRi9K7 VEfu2OaQ+jg7j95yjNMCkM+SYUGeL4AuKNvpaUNc3Ys4YnQTsWkA5i8LfOTz6fKZqkIMCehHqM77 +Is1sJnPOcjAAH0yh+JdUfKuLlNNTB4BnDrB9JUStFOcspJsUnb+wyAW5UaWuJl4IS8g8UA0daWO cvQrIBRugcDNB7+46AZ9Rr0jSiQIhcg68NE0yOfbZ6iQZDrE1KHwV5HbJs+dsCUKFCZMJNB37hXi GxSXoJPzqjdbertnHtPtJp6pMC1RJmTXbOfRe+wsBRqFPYLcOcrvqic9gU2Crwd7B/cO8Txyk++D eG+AhUNXG6R97c0Uu0iM7PKvLJKG+mKsYtgxDU1/KSf/4TttVz+PM4yZ79tOgdst1EOK6EQY0ebq 3pL9JiyC36RE7lRzgZcH+7VLoZlRN06hRXxHQ25yu39v6FZieCKZMrU3etxyuttb9gItovqEHGSX tdnr4kllVTtyWBgC1r7F4HtWqTSaa+skxW2xzWWvaiv4ViQnwMrbLhsk+ygKeyVLL7HIjWKF56Q4 ngm6bOoKHFdjwEIZUAlVvSI8rxDrko89sCz1shv0dkFzvneMwmu8rQr0Yn686z3SoQkfyGO64IAt Zt5Yq7pb26KsU+uSvPrMaTtjecuYE+XhofmjrLv6BqxOW+mP2puG5wt1gQFrNl3ZnJHtdp+XFGBB rf+Z2iFiOrLpU/Ck2i7jIjAqF8JRIhyTKZoDrNeqgbpTI7+14Q3PiCnKYM6hy11LWUNJNS0vUgsn tfJpBNcIY4A8ncAJHqar/O92AXRkZDy8FvwcrBQ9OQIZNzLbYKb6h2phJLBzGzl6ruxptnOjbxaZ 0y92YC6MdkGBaXQJnkvkRdettSlW5IL3RQx88stYnzo6UUILIMuK8EMCxRC1NoYJAalFoTmUH5gP maWPzHUSHHcqnZ4Y1+/YJqkYYv/kblo7ZEuxKxujGKZjjC9bdwGCMYS44bAIYxTRJ8rdehiiE+yP Q2JSJFgn6t/ZSMa0cidZBfEOsf+A1GqU2iO9WjaKWf4QgYGUHl47K8Y/UV2fz7GyDisHpct10/wr YL7JN0CulFaaRTc5Kdq3yL/fdNGVPOWULKBgkMHC+eqjnyhmJuEDG9wBK2wicSMWkHK3iy3yeW1i idBR+uLUXqnDyov4LGIvdg/sXS/y2DIXKj+hdgOLf5Lcv5xIRGsA3QhaLDXQ9v6Suz1pVwTf+kz5 lSzXBevKRlVuYjh4ZbcuFDrGbghY/IMvNp7ajkOOgTjB5qxk+wqFzbEpi8TabheUB95jLxWp6Px9 v8piH+e3G6LkP/vm4tFxNcDC2npuTsgScQ2Hymjso4VJ6dZiUjIPthSzDeV8ebIBIdOzYESS7z2K EUZtkoncxU5LVc796bPPTcJlkwnB7JTw1jjgEqH97zAc4kOTx9VWDowuHqnTFgPzdw8DoJL5DVAu PdTB9c5X+1qM9LI78omYtW/yAyOVpIV+Qfh6oaw9pL3LSgZq8t6MqaqCq+nRoSI3IB4aB6HEphrD GLTfvuDHXqmhgEQQSTY1rD5VXNkkL1vH4aKa392Sc+A+O++g1WFbRTOMquzkZubJ4+jGtnZ+hW4X OjASa2DG9PQ2F5pS0z/jjmH2icu1b2vAo9AlI20uMNDgLZV8FiUy4gS6acsfoCK3+cUwkvnTCoiw Q1hPk4bH17OqCq8UpH/iR0vBcpBzhDTd5tXOVVVMNHyK38gKuUWeogMLKHM2xZCorOoQYvXINTKY sEgjWD+uBUyYRjlPh/ZjQJxNPL9uZMGRv48eFOnXvrpvK/BENmmxZ1iFp96HpWL2Z33oNooiQ4El 9CyEC0hC4uNT0tSXKcuQSB/wxM/T0Yd+DYuuSOrCs9DRHTFzRbzSykXI6AVQNnomIjM7S0bGyw7e 91zJR7HhzBiwrubRBC89zevr9Zqtd53odXqZTcziPD8SB1KIMxQFS4VFpZpDyxSrf6jGPGIzwqX/ rZanQDdisqx6tbhS6iFQSSzjpSP0XtSIGq/l/xAIzitI4XEArc26ksAs7DRlIDH5wyAqqDfIS+W8 XiGefkIMrth96HW/uDn4F49IHripr16xxAp6/uwuC8wOn/Hi/oJrb/FBRxemHrnYQYR0wpTSym+v XOwQGSvLUzQelDsBROaSWENO/sxuze30i25X6BuycFAq0iIo2cONO6hoqVu4yFidwhFjVR/43Ren 2lEOW/2cGzStSjE+qUioRmF3MyccWrC25vtVPRgDR1DQ1QFy27b9+XulK5BqhHR4JUpQz512crWP yefGIGJ5+ASDd5KknWHoqFyMO0iuNRF2EIRMf81qscXyEYwDtRtySaYa0cII/NtRCpuWsfJgUruh U63sr3BiZ/w3gJjJcKB3uZOY/p/6PtN6p2fOjZX4t6p4Dth3h+/nIcCnK8XZBOKOnXXwRJQ7gJiv wiVM0OLy4dCHqtZ0kO5VxUqjYmtvORlcEXziL2YpHLffQQ2z08HR2qOzwh6PrEqgfkCot3XofC2o zjgig2+UXCudbYI25+J9YmPOP/TStYFZ82KGfqFCMqGuui1rn2HeHiTQ8j7t1JTFl7HNV9/ABo1m 3N/1wNajonHfKKxpnTZ6e3q5VSvEueciTfCtQFOZSZPPrQVczLG9M5tkD2m3aIqBFjKsulzHWRNc YXMffNf4VJAwmDQ827osQi/qnxzoKpLOvJ6nOc7hp9JQHaCwGyg/8dxH3Y/NWagVLOzcrgCmswhJ wrD3V1KP+7nTz5wbp+QQukShLs0EVKYrKjrIu8X8h6r5FwlCDoru4MDGwbkee4bMvFHGH8cK9UCo 9I8Hywvm3lJiRQhsT98BCM3mEXpLJftt4/VVvwnqnPTVKrPid80Ayakyiq1kU7WnPJrdXYNEbcV2 u+RenlrsSLV3ztEG9WvdVkZmwcun7chSwUXSOM8ysHuqVmjTq/Y0DwdsS4Byk2RK363d8NSwPApB Cq/cwJakisn3VM5mo+67lHrmrnC5A6PnNxmlnbCyKnOByNSGRDD3XXVBXm+eu+U+4y0M/F2gcj5I F/2HU+raQS8gHdGSE3lFKzUM5/nN6fI6TKyD5UaFLWJL56xkAENGUK9VNWZUHNWorPiZrtnxqPet 0BYxYZABG33Jueqr1zSnCjJSTv31ucRGpPC2YokudMhiR+cVefhmW8+BWITOFxdgaf/CYZ5Ey9gJ YkXgegdBhzFNR7jcHf8trkxh0IvrjJ1KW0yRWmr1w3EfF/nQOzxNNGNplS7TdXfiU9IUbjACPdyR ZGzD53XWJiNIYF6lt9f7p7WR+hOPR1IKJuvbZQmP/SeTJqkrUAw7VXHIqtFwej5tj6KsW0vXpwrC Gcmog9I0zRfLmxz7UjqApeL2szpYKh74sRnwHp9c+WtfXm8/l8mURMFrdp3TiQBfWIxUleQHQ6m3 dvX6lk9a8gnp3xyCl/QkIReoaHKh8aHjNA7VzBg7SdP71sPBifviAWAYDb1spyINyGMJqd7kkb7c 1d+JVzFGSZ56RAo54hkRbtrYWbGwqNJI6JKDmpd0wI+hXyxrG5vVE91wn5wS5LFCGVAfRBTnNt8o Gs4JxgqD9VmBFnGzj6yulN6sZnFbf5pppIneGd8EWK/cw6zKre90S2UPh/cogyESkOprj8NSdQD/ gTe/O3gg/2XiyK9yaZf+h3jEWovuA0LEXXeuueWV1St8HiR2n5O4GZalIlpXDT5saef4sPiJa+yd onyZfJD0YnY0alnBr9crgD9qXfhPVztxAfN+wCvEdB2ttrl8oP6WeRJLl6+NBd1lBAxUCIkgQwdz /IH/O+lW4JJgWRFH93xMs49QtpzFJv4fNHbLGarJRtyQMmDluo2Xh+cvROE0tT5nWhK7NAtuiCZ/ FDHV3ByHRnPBU3CYb6GlIA6OTtWpwstbWMQXStx9lksOI7zgDpvPgc5SD+fPxjux+jqcHt0ndEdB UAOJRV/9YGGNXS5P6ZuVOE7FtWIB2h/b7ocI/JgxMSLSDPdaslSTgMt6aXF++J/CzCArf/ZN/tNq ZTgIevoeatIT4R+6dRb76MWEVotoF1dF6O669qYKOcfDUwL9cNBeBW5LWSS0vfb284eWbZ6oIlRE VRKaZnsq3v5nYilo1/ibpJMhvCTklyzzw9/nqT5fDXg3RkfngX7La+rnPs++XnApXwzxs4GspPpV dYpPIXPlujLae4DylKghNY9HlZtwj8oLHOG0YDZvslpqnBBFOWGeSEowwDekqSc7zD7KHrO/XwaE 8/ZRaylzomz2f3lwFzjjkRrxdlxRJcYMmt+aXNJjL/cbEuRpH0oCSVUyE/KG/4/8zx13y+9qwpeH 6DPE12TJkhTCKmkAcJpIpAJsoErJaL6sUV7nO8ayXDsSPKPNlm0+4cjTTOJ2osTbj83ffOwENooe aNpvyneRKy3lXVtWDxcNCVZHnTSSNG7yO/qbUaEYaqe7MvFeuobO+VXFUelL6GevTHrYB9GXJQ6o Pn5MoKbueQIUrn32KvbDJK1y6JArlNgogRrQTeL9bhmr6l/sDH/DvHaDhQ3NgPIh7LRqpEkrUt+6 u1PBD0mGp8NxoMf0V1XJP1ApGC7MUkLeV60ZMR9O+PiN84uzX46N1CaFiZgAdpjeEXUU7CyuPViz CeHyX0SqHuc9eIQXyRejlSdGgS7DEkPZT2tNEto+mKvT2fzRHOSZAZu/VTqgpn0Ip1SDU6NEHLFq yXFfT9j/x+kfDXAOQtonqOBfYsZpTuV+pCh5ySqIve8DwEPTiU0QC9nzCXcK06GmF5cFXkKZhVt4 MkY5FmLrmquxeiZDqQ3wGsTZeMZIJA8QEvwbuqDTUvs7fxzIOkUwRH65EKas3Hp2ElSSjW/rba8k 34FSwbS24a8PQKknCQ9vxSue8Js9GF4kErliVzfK0Y++Vn0SY/BWpfAGCHILfkRLQMDNWZDVxROs azHgdQ3MFrducy0LuVEh9wKPZpeVXDqR/xFcb4oDXhbJDbFht9BhKiGHUR4bHZkZIhLPne9YNIDU AePuWCO23VGZ5aLPCZzq5aDHOTKBcUEZNhT7vVQvuCd40H6cU/gK23nO2AMb/6xFyDzFK/ydtjUa kEin1BNmkXi/j5rSaFMBoS4vHKHl2I56o1xpugcE3Vdc1TU4S0R2jkGDSFenPyrvxRfYcOEEf5aF eB+jelrmthzt9JX0tG/vfMC20Yau/Kdn6eU+vkpookiOblXIzCzCtObFTnueUITSwvK3II4YHjTv kaIv1Q2HybZBegSqKwdXP3RkHxka9YaDi1xw+QY1piko6+xMOSZQpU00yXPIn66sEQLEPXkBkvI5 9iRPMZROD8+8A+okwP5NdkUIf7QykZ7pujq3HYSnYOb9GXpeJ0yDAg7KTr3iKqbHXEcwnpP/Dt2u I6WBTcWXqo0nrtCpROXsLF+C9zOEUyDZ6MTB4izf40Ykh9AfYwg76TE0tqnMclgsC99nff2uvETt O0jhhTL6uw9TWn43/lVetjQ/c1SJ9a/u12s51wHHx9w9N+AARJdkO9Ej8JSDi9zTq84fydszAjAZ FCYPLZsPefRRsZCEOWP7RguCcLuiq+KkILZGU+toYzGUi/gIyZ4IZUCccl59AeZ8Zqv2bm4j5q34 CDjZ2joUU6qqarYWeutsorKz/mIK0wrHLGgx7H4/iasq227dT0a7FxVMVtX9uVSQ38/dO2O50gtj ym+V7fNY02Edh2Ivy/66jkzcrt9nMRMwG2SJ97NldZMHVj2QDmJBlutIz8cfMwMxebubBprvZ4tO qlv2ZlHf8G6swe8BGmerHs8IrmjHp/NgPxkA4omPyyio8IHg76LjnbiGFwfm+Ny1Kz4wAnDup4U3 VP3Nqg8erLIrxkBN550yUHg6aAzv2etB+EfxF/s+1fAPuXC5I22w7pJ8VQ1Yu1HSvvyfRncSuRD5 fJkzV/IUlQo8GeCq4jSxWK9iN6LTY1+ed/T+5WtyhKE4KJCCSJOsacIZOz1Xfj0p+mcXg08gmVIz nKlaZ7sD1KyvZ95w46x2igGb7AJsMnJLosGjeKtbCSQGsi0e4JZgjs6EjhhoUAWCkDaxI/4uFmwu Fw03q6pQo8wOZ9peh6PzF6lf3gbjq8j7nKya07nobfHw9v2TdExbIhlAv2bVv7EY766EOmPwhW0l gR60k4RF0IfGm+GD9cV+FmkWFUkm2vUzOeoKbYc8hwGFAJPngJvSJO7wXvpHsvwNqm2yvjebrLtb f9t6TAwJsmqYOqPpdiOZTuB84yWbt7uGVy1tJUlPHl7gb7v7d1eI6+4Mrek0FhxMHfjExU1qpk+Y wRpNE32fT4zgXzRnHjcm+/N10ozDgayEfMPwMlR1BRkP91ntBCNIPHsqmgSawxCuehpYDAN04IQE dVLdCm/1Ntyvq70C2qeo0ihuBVqbxhenVAPcX51UgJMezX7gqINrSvk/woB1pMIWGh2OfT0FjOZQ ABJ6X2BrUyeOioYGKRkWGBcHx9+Xjv0yLId7u0Y/flxqbrlk/Oz/FF9aMNFrQMH0r46tfjTK2cO+ 7F5sMFXGV6H1RK6nuC1SaUUwxwvJX9ldyunWQ71CZEUZWA+gj718yOoXklSKDb7TaT/6hTtCXBp4 lgq25HWa9tMoCnM00hAUJuGc5b5OqPPuIxVsrx4K5ArTPaIRaNrnJ1O1Sl2d3CNnNPI8v08HroWN xgJvFaVDYWks+5BQr7DIxUniGzClVPkCmpCMUWQMQ0vofcvAt2fmhU1py+ucMOuG+gmDcDsukCOY Ai/yMkBB15y+swK7xEhclVqVm125L9kuHqR/4iuOjyIU8mvm9AKxFavppBsIGQaavBd5pmOWknR8 642UTeEQzqgxGqqLQ3v6MNEdZHH8Lev1CMnaOLSgqwNk4JYNwUbU05sNEV4JLrh5f0QYDMcyRqBk oPq1474BikzepF44nLJi8twl2lLTs11dbQTuoJSVnt/AuKl2aoB94vH3meVNbljrFp9cQX+xnqpZ D8/k289LW2bQhFSBLMoVgBeyY9S/XqRPpbDbM/kHpTrLVjuIauTqDt33LSiSpLs2VEcT+MdGPhSq yKZat+Cw2aBfrHRiSEflwOD8izrMqUE0+h6cOLj0Vlvw6GwEANWZBs45L5PkO+djXZkPrPym1G36 JHVn6WYBkT23I5oppNdHk3TKCCt66qfiivQtsZnt5n9moDmvk86G6Mq7cqBfPAbiLN683+7j2huY LfLJy7+jrssU0VKmFFGQUz3SD/AdsH7HclS6X1/rvKhbb9jGtxa6CZ7lYDFtDffJffCANf3OjT6g ff+rB3EuONpUwNY+DyIXADhjwTMSoWoHmru/N2T9q0r3K0Iv+WXpzyOmSLQLfd1wUDYi4jQxaKL2 l78xG+X49DDay3YmaNiyYdHTZUM33ny6N8h35Sss1aKYRmdPD3Tx6zEajMuA7RLP2OtLrizUJwKW nBIUlf2gUQbYT4Trm4Fb0r1FRiqyblpy79RABPrdSxaMjUGGY61RI5rMUMgvmbAaeYINXJsqvblz Wyw2+/9NVMzzJASG1nlMpy9lPBnC3S1RlEkKRw/uec/wEv8bxoGPG4MCdnud5+7Hvz11cc8Y6k+s S+NumFQaMRgzbv5A4KfGaARasHaYr5ZZ+qTwiB2DVHNaZY+jYTqnH9quwjX1iOtb4leQyDKIHHSo JZdTpSBsOvm8CDOSGYX3jicOl525969zBWM5/aN3kCDFR9BiZXBd/kXC8kIScUiJdR/jVdgwSlZM GCupP48h1Ee1XGvBiJAr4VQ6CyBAqCNNk68vm4vfJfWugvmtNuX2TMB5R6Ud8xz9ToorkVT0lTpU X0kK/0h5kbUZlZ1R6p9NEpAvnBB8JVzZh2RBVZMk0efvCUPJIJy0kmmeT/EDxFJ4u/5t4cVRQgeU JBjeUFvg3yI99TdArP7tUYmsx9e0nN+bwIwJxcoC3V1tQTAJ2AbxWEibcg9YHsLPSQgvo+uWubZv 0U7Nczbv97G8EoMbva6TLVMtj7FAcRUIe1QIiBWUS3/s4Mhj0JjM6TAUBpoqhItW2uMvXnFLIFoe c9HOpNQLoxjM+PLEuUc+JoSMEaxVQRc/s7NCGR1nsViTTKi2WGkfB9DU70Y3oi0BJtoJnC4fR3eb 5HXMXfyQfiohq8ZQ1fw05uzQjYYUWH3xXG0UMrhWtdhdIZmWqPI/WZQAaceune3ckQkeXBLtqnz9 TQYXwzJ8TyQ8Rk/ZSFcIqqk8GVhB9CzENYAOfdDF3gGKrUuxzO4awSiN71YFCcBWg3qc0t/Iq63E mymkifQ8irajJjJ2p6LstaIDfN00laGH0QFZEDc6IH8GS5G5BrL2Y2BDUM2uhzpdNKt8Nj8QPN2R JBUizUDfH8OVvdSnLZtkUTiyl8C8ASUMZbt75gHgVN9wdxBKS77BNU5wIM3ZhHH0Dzytj8a3ipjC T/m9zIKNBWM/Nm0PSd94aFJSJ6FUjv3yhf5/ZgimH3Z6UukcXc/sra/Jun9wuNVgOsAVXfOGoKv9 F2w9b+Zm7jAeuC1uY82FrikDpoU10NtrppMqS5qZCtddHaiCumV1VZpEHDARc+H5vn/n2N+XT3yk zLuUZHbh7Tcp740ti64kLMT2sBJKVpec/Vc+ed1yobQ0kNbfjnKEz9N1tn8xGtjUSx4AwgeqGyE4 YXgJXnQNVny6l1zaLWW3q/iaKCHJCIkFpBnP3xx8b5ZYktNWkfovNZBcAr8VZSWgauDHwv6u1A9a chsKYlSXQhDCuRDHdDQBwLELY08T5mK4VKoF/Pg45bSfCvTd/OvyVhZU1y4fZvpZEZo7t8xOBVPv iA+yObkDf0p0eR4KorczegZD9GCQkKMwkFWku0SvnvlKfJcnXaIkXVSSwkJTX87IcVwFmTO9Jxah qGtDuz8wfrVcV252AgZEhr9f+xlbHAv5PwoMWuAAGAmbE8/JEEFKr+/Kp2meefRCa7yA0PuO22P1 JzMSWx44YaoWuI5kdrv9A5ZlXd32w9q57N+rh0u+5i3GtaEQoHnLtSExDd8EkYNUn0ZoPzPhbMAT LLMHfUp8ZSynY4UcFvEAeZO3Dl/xjikUMv/04GWNYuMMWOLHU3l2ksCnrvqK0kvn3J/JP1vSw9sf 8RKmZXPM26GBrleQ/devYngvEhuh4tb14eKjK/BQUEjjhtKiFfi4S3mpgCRCARQMbGGKnMUN3Aw7 qa9YAIzO1u9RqNo492WbKw7rEy3vtmd8ue7CBxRzErjNCBMIH010+/kpCvqbCMHSmrscjmtBcMOM 9L49olcIowd3Y7tbMDo8zQhQCh7UbH8NBxTc3VxWkyZaUQ2QRbSulhssGLw5x8mRM3I73npZWPeJ kJ26e2jMKIzDCSjf506xO9m5P87PtefRQCG7AwwI/23+iLEjj6aI1ipnkULS8ONLOf8qKwHPd/VA Ih8Ggt6sYltrvVcBWf4lbGqJfrHYWu5Gx3qP6ziOljGg3+GeooAaLoHHxHG6RDrYcorrrYZmNcCL QYjx8CWk3r/mbZ6XaBHzk62BjzdZ28RXXkZmaYhMFVEknywEjj8utDs1xNZcEnNIe93yLI3EEf1d ukVj7972JwW2q1O87eChD2BVO8U/d6A0XYBTO8qjI0DjP8d+ZiB/iaUMR4ih/tNS6e90kH8UzcGB B7L0hm1pJfDZALYQ2KSqINpFJoDFq+W3k51wbrxNDXSI7v5wwVS32WPlxu7KnOpDjxuk6KA/2Mnv qS28sY+Gbo8lEHHDK1Y341YR5JFRJRXuiXUXZU/2m4hOohngRkDX+ivbLkcibcYSxS6IibXUqa2z DJqcPcPi6LI+rtQZb43EKzKrd/NVrdU8lEIjOrUPWwAg+cHvsSkTTzvB6HbZtT1jAR6uQIk6heUX +WmQAX0qAkGF0XOQpEuKyDoFrKPdrwe5I1Zi2AhzLEFtp3VdiW7Vh6yzMOhM+QoFyzyd6LNsQ2mM 7VOjIuvo8DDrU79iKoB7NTqfYPp3KDNpnhq321KSGi12JYN5aT7Wo+XLiTkGTvpcO9T5VdaFm7iu 3VTx6IoqyxwpCVncPv6ZsSJ7z/Du5CTncDKsT0GZwnjIqvmMIVQskLffGfW3q/03mZ4znGRVXCkS /RvknXdTrf6VLBwDAOvhZ6CwL4B1IdpRCDu0mC9csewD3vO1zuVt6dYGHNckquQfiqdBabyP4fuE WET5Lih5day4wWwcAJtGYmwgRgj0LDMFD5IYPjD5hAwFjma5Uswq0YSb4wdIRw81xldfBKfXicol Ypr871csPN0ZEy6c4zGdrboNO8ilKXDCA8srGc693vABZa5AG2GNdFmiJpdw1JUiG7032mLP1JLl Cs5r0xppnV7FBle1ZD/vlYO3/UqZf9Woxt48DgCtesaKTR9SHMOwOKbZxY4lYqjfcIVelR1FVN+K /0kS/D3hYRitgHrUaZPluJbtJqwWYsboJQbBp4hVlO1P0YKVtvhhl21P5O65hV/kWJAY/8bv3ekY CzXVimcIz6jMJ4Eytks1/CevmcOtLDALXXBNBGbJZpT65TC5qJlZmzJnxH1Yd+CYOGZ18xtQhgMi CmPlSEfXu1CRDmrixOuAt5w/R7AvG8AUAGLfs5RIcyOvAQ19Ixd8wHVnJOmWVadmsRcFvX8/8yW0 mV1F/QS9ac7KHMsalcRCVPaBiZS5eY+M0slOM5qOz1SDEWcwd0I9d4vIZYaWSXDPqnVFUkQ/0VY8 2eyOrGnxGN+0SGKZhdKW1KrKh38ztEWTdj9OvRPmLWOKp/wS5KXQeb0+rmmYzTK8WpBi8tCYrxJg qDTIdn2R8fIxYVoaoqwo4iUI5SzIxVeS4jtl8ocG1LZDxF3Wtlf59jgk0anDnS5CX7nWI24gA3yg EuVT7uExcekJrZ0gBH9hTxpjI5JnrB39VUVIiAphMNo+UwpMqdzV7vPgivVWT2l0r2z6w9LnjUKb Ba7rNKOR32BkjD370q8ufefIkKvEfw/U6fzqABwHeVOG7LKeHvPThdjSta62Ihn5emQ5O4h4hqLU SVmZe75FDeAwFvoIy2BAquk90hhgrzXjIsafJvHWEjbtM9oRSLp/y4MILn4MBNLWj1zEnUdtRBKw HaNqp8O5oTD45UXeDN9+Ro5zsk1RGrjVcOq3l5Ki6VJKkmSt2x48vsQgHLQzzCImi3ghEKn4NTZT YiLYYFdG7gd+i0rzojYI+F9gPfEOhtjSzTeNZ/NHTqebuconKUJOEb9+sGmbqHMhbeCYhxGYsapF r91EYOpvP2RAvRGLEROub/oO++49eKPgJKUOpChZz1YcmOaW3A7DBSkmMPEzNvEKN2YWC5euM+0A bDZYnOelBtaJC6Vg23s/K084CliF6M/S9OVvRrxFx9wQcKpdYwqiLlOWkiraS/NVpvPQqGvFFFib VTNTf+BJaYXJZZmSYffb3D6z6B5pmkL88SVLBWgNwbcwwQEV3KAr6zAmYeTTlE412tlI1F7CzGCL lBLierzeef4kurH+m/4lk/ivL4zzPxweFTyF6E1Hyv/AloKmhakKXPJA6ECm+yC8oFF09+jaP4Xo PtTGcvfmAEMTo3LJ2GD4yFjzGYPk/uxaylbjLjlPpGN/QKuZNijTvWtORKX5WOR0VyKuix2bkU9C aGB+ORrbINc47HA1gA23Lj5Imc/e6bSdlB+eW9xpEU3VML8z/SKMEAF+vhFuhXzE7xIzZNiF/MxR Ar1H2fwxYTBFyOhPEdNcls5YNDo9HE4KwvR9w05F01KPosFfw7QLgd6M6lA9xdpCAwwluj82w1yv g6OrogV3yGe+l5rvB5L+rRjW1PZUHeTCLvFe2LeFIKmV99eGd6xzYVKu2/q6PPh1OHT6optiECvx henKp/a3j+nqge7Fp9XrGDQSxzyO/CNwthbDDxT3kCb7uB47zyhKafsxoitZQ6ZEqFIY5em38j/m Sr6GWhg6Wk/ezrVcmmLzRQKUJ+sRGRH29EgjvsIIGiupxBqu3ZhXMjAKCW8lUWQKsRhcoysAb7/0 qWjmyxmqd70ZYA4y7An9Pw50aA6wHda4dAAdmoDlUScH3LJg68yeTf8FpOIOo1pdZXXd4UUmW4Ik 0QwCHAi5xPdrPaY4R9DInt9y9T+OuIf8x0UYXPU38JP1CfEharO/43+Hdm8ybe/vF2gbSEYmKWnx V3XvcIHjpfDN1qhf0oCQcqHhlE/F56gs740iGhpzbmMhKjnEfhcHC4szJ/DD2+oR/VNXVmm3bqSr sJzmlK7WiW0swjT5M1CqUhKqrSrpP49pv/dkCsnDi3RvQ8efvYXbvD0gt2PVLncNKE6wTRBSikmb Oqf12FfDmeW/A+zpqX+epSBL3aBDizU39QCPRKQl3eOSIQZvpbmidT90T5n/bfhS1zA2YCjDQPbH NCK0KTvi5EhwNg1kKC7uOUoKMyiVGe+ilzDCUfYSC+nTEfpbieWRdTf1Fys+aeW+Bsiak/ctw4Rr 58JMCNHruZ5WrhAw1ozrwyoHckQVuynAjd4zVRNzPTycY+YAq20vyP/wsHaIwuGzMM2udq6uZupp 1zTFyBpoo4gsEArSQ6gjHPKdCl2qGQZcGfPQwROyur5WcyweST36mkxnNpX25TGyYgrIk8l2QbED ayVnVYOf5d08Uz1EeBUOjPprkp6mG9amT2ihsE/qkQlwUpNN+AtCq2FDeMv9kbMZd9RvqpISNCV6 wQg8Xs6aYG45x/dT1zcD2cKlakQarsuLrrmiGlyEcWivKmiokEeMRXpjg4tcqGLThErXYxqEU4ay CdsCMvsRHfC8CE2gWtUEapmGb2ghuHOBgJkXosC5XYwGFakUuCc1IUEOIC2TKBmVZfIltta/LgOn 50c5i2uD4U1tqSUM30/ztlVf8novPMWzPhTLMnIntCwuVgU5m4JU4zuUk3iwxm7yzQ07e9EqMwVh MtL4oqaAEPTOslX14xkX9BGpLWi3hT2vuokw582f9r7OJ5RGcUE+WEKVyNLpN8L10FL51tWng/C1 Cqk5+xjwHG/s/ecG+qTz1/MoUd4ZMCQbA7GddnmlAy2j9F1IvTP/UaFrh6V5E68hGO12r3ZI9vDW MxHoAELCuiHgYiDrbZFMLX0bVRCZwcbXbYREP5r+CFU6oh219OrLT+gooqtxbsAnTpaJKaWWNek+ 26tLecnnPbm70ymH+HHYhXgbegaKdgGjtentX/9E501jPq7e/pWmV+gewlkXqdjmtn+k7hfbJjxH OKBi/1hXK32+hGFI61ltMdAdNgg4eA0hj5WsFqBmi84cibjtPBeA3JpuDFtl1zalRjZfZmNBDKAE moBvs24iIHAKCxt1TGwN+H7gfjq0h6pLV82u8OwrtQwhv7KEjQ9Gexp8dewfYX0KUxObCNRB4R/+ 1CBcXOARhrfi3VwWQHacvfwuyly5wCFk0XykuJQwGqstcCwuRkzcGkCEl3B5H3nXbgY9jGaIHxmt 5zJ5iKSM+V/mGnJ5uS3KVgpggKvhCf/9Qyy5+e1ufBcFKVS3QvB9WTQnh1vljLhMOb6CUWqgpW7j 1V4avXyf4COVwUo/pioua5PVPG1DIzsIhz/IrFOZtJZO7qr7AW0ee4TYxPgv0d3+v8P6BI96aFb6 Y+kD4s58aDIb53kEUdLYzzKPTUEavY8D1+AlULEBehGh95nzoSYHmvsM/HJnk5DszE7NbGZHMTB8 CStxZIoYRkF84q/bEssj4T6/UIxXHatUyikXILc+a4hlngFh4lLhSkgpxOHBzn03My8i9mTXHg+Z 8BwTkhG/CiituH8BICOs4E5Z0eUOvUq4uHAH21+F+6tLyJ48Ei8Og687Ivi/WbmtKJNABoVlxRHr bKYVe0gjdj369/2CFpG0leRPxO1uqfyR7VsWCAHh/RR33wDtcF00jekOs/0RARA1PonX7ERa4bEH y3JH7ZZlyIVG2YuIJruiNvanW1ju6nyowCDkxzCDebsXBDqlvnnvqe+vhF7viBoiU65PX/XKzmh/ iNMi5PSwHSbLB1cGZYzfaaOYfE71pjS58IHHBU0GfgFhEWAkIIPUIYIMukeOxzY99RyNi9+BCbmT FoMDVj19Ubvuo2DJqgsGfZ/J6JHjjaBnwOcYIAvvfhze1HslwhY3GLooEKc6EulZ50T3sSDZXu6L Me+uAftZpVXGBN1sj+nHyuuB4fgbY2wFZsHak1OwOjCgupftZ8WgUKJG9Lj5LgRleRE43a0tkbZJ s++ZprqqDvo0pbCwzUecP7slsnWhDxlL36DLjeqiBvx51OjxEDsz3qFaHRqthxJAejXDVkAGoFhG sgjI0HQkD1EklOAl4O8YnqjTppyNc5VQHFIr8IT61MFrwHfU4U5pAkiDE6KIAneKb8FjMrrPwKvM TkBr5daEcMFLUqX6W3dEkQ8HDLa2bocHN49sEvV9OzWmI/3b2I+Dp/67onmtHfIvYNMbVPE/eHQn e0/5xs9XSGKV8QpGB2YrqOXrMB3DSk7XdVFDJhARZl6LrKWZ7pbGfOxNg9lGImCM2a7SvheVKqIw Y1A64R5DPnRMFtz4gjU5nYdfRWOa3CBXkrlz1ArIB0/5XZmBl7qVf7i+2OX84jOnsph0EMXxUlRw +ImYowxuA0WCaGWm/NeOBu8N33jIX/w+so+UsaD0XuRDwllnQwm/bGMHfT6qFjUZnNUUPLA38iyO AFfmw1UVFeJZom+ZP0voXfHavn/d7uho1/u+L8Oes4nBSx9G3ZEYzDrA7A5IVe/zZIItevPBPHXO E6JXId57ZUIwjbgEdbm5fqYKBNQ/tGaxDRqWFvNDNRo+KJGjboR3by2cibqaHqpgkU7OlXgtHzJB Stv6i8hexr41ePp2Cu0cjNGBnEW+xsla6AAJ2ry7b/X1es+ySRgt2wgUveaMZWeYPvZLWK8zEmJP Hs/1B47pyw9XwZvFBQmfrwOMUqxJT9TDmEAUcy2nJUyGTfGIVsdNKknwkBOSPO835yrIEORAAytl m5IxAiqFnmXye1WS8siNsGnkQl83uvvLgU9P34dZ9GuB1WQaZSsIpF5vs7cuOyOKM1+tYcMokPcT e+QzQ9aMXDF+M+8JirX9fqd4Dqlpa4RYOT11EI/3xc2KST2l3G+9d/EcQNxoyfYf5D33PERcofWP KuYlPCto4nGFqsFdN8J3eyyA6vzn8OxE7MW3vFsdaEhG+TialT8UJWOoy3PT/IMlMZLldyghyB6i BwP27ENRACrEOPf4BycNJdJTw06MyvtSYXK8QGqMqstAr/m2LBzcHPB7SkLGiIn+oJLm7epDdOMK YMSpP4GoUTYuiU/U5GBe2kiUxwe1K1J3yya+MyE/og+fWc4ru9nAPeZptHc+3X4jA31YgpkdVMw7 EIJyKsnIdTnwm45I8w2opwHUcAhtVyRyjB4ynm9M14hWPMzB2Kcpa9EJieXVlz0txnpWqXmb2hHn xo+zu59f1YSWhGpVeA4qTSoXJNl3cvk7FO5WSYTL8eMbMCOfNP3I42xPovsIYxsk/2BDdXH1hKh2 Fgsvidhun/XOz9JzHTbUoA1Zr7xZfoP1Cr/PGQwbtNfHvQXPlXGeXEjoVZ0GrCUBKB/UhZjnSu1R BKFBwXmbNQcFOYF1zfRu82i+2Nsr2J79NTcwNYle39eek/UUsMHNJ8+9V78mNlGANFtZoD+apwDY g7eOCN0Vi/dlL4cDKsUPuLM9+8VWMFgAbx9O9Jr6uxIwP+FoHdH+Q9b/5fAA78tk5V2+/CAOivGY aCbITWBCcOOedypdbR7LYuYWwRVJgY9lWoXGvXK/laDfvHE+IwglFaocxyhmGFCW1IbNt1Sc/fg5 iOkkwwftxe2HjNzNhfHmBB6MsP/uKiY9/I4ZdwP0Yn3djga3ANUEmT9hJUqTrZsiqKTYay/zht/g 8yjcnVy9/WhhR01BPk2vfEhyc1xhzOxAqrxEi17VW6eQDMHwLvydbvnJnQZ2zEHrDTOyadM/9gZy MujZ1zYGCTDyauec7cIg8KMIZCIuu0r6v9lOSzE+rdN/Eeb+B5hUS4t65dNr4re5n9hNiBZ4x6Sy MdlguOzM+Jz6V0Www5bbb/ZzjodgEvhOoaxeDPjn5cjXCrlvaNqhrixR+7qMLEwRj21yww9C6F1q +OxSrnktQ3Fyk9CMxbK+0HAYnToAhoF3bDvlCQY37QVMBOUIOz7ITklmTmjV76Xtau9Xe0HrbCvn uoug0uTnrx8jyJpDX7MTe/jZ6jipScApBwPKqTMnE2V0k8DOv4BeGAtGf778f/lywn7OfM0lfkmJ vFqYTEyi3V9ljRWSQ9Dqte7QCYf0rg6jxgxGdTt86ac1BbOWisdMMreNcP8XOGp16LYnpUnYikJO 4koGMRdn+64AySo1qV/A4RJvvfYoID/oFAnNBTzcDElnM8EiRTTuCPCr+thnyIdZIpnO4kcp37Kp N86/tzJN9JWyW1n7hN4oGgNEGcTjXwzWPQnMGpTK1XBqkGGcFh6RN684cCicii76PW+IHKWJQgcc vpYlGwA7trNJCIWY5teDhiD46C6vqULF7XfWYZ2uQp1rSOnFceiQOa+Q6TYPrmkZ6XjRbH/b+yyU RfhVkoxvPE5AegNMbMRUOjbBej2vThEY/vi+jgh30kV/bhKeoAvdzvHtwue2bGCc9C9aZEf4WV1W H6NOQM5QwicupdiQWJZbg3wRjyLYpC8VWtLund2RSgSkzeiQovo4QdUZqIZRiSdv3QAjtFfw6/W7 NjWeSPk2xHnmbr3tifLP4dHKYe9mJYh9fZ3ym1ClTiWrjnP+b3v037cNUZtl3E/tl+CsJGSi13VJ gBRodyZFuyFAZUsijGCQ3ZAqE/BJ3JhRSRmaxTCXGp0UiUof/gVYelzqiXdTx5a9DG/bvXmEOSf8 7cCM041lzkKNr6PVFe9c4k3LIn4lbT4vApamWgrllR5XxOTa+E3AD0kghkE6HowZ3mE18VIbskol lp5wTxE4LVZPzso97d3q2/bBfMu1+LKe0lpVXW/khFHWHhGvKI9O58bbzootKDvwhwzyO+87GwvF TXw9DsTMWe0IZfFwYGftEhlxmmWUpPj+t792QHoI5YUvhaxuUuz2eCpTeFd4wB5v3nmYgM8/XLlh xRwKFz2S7JFHgzUxWzkU9dLdYitUDWUDf9hFOFOz3gPOvyR3Whwn1d0z/xRg67O2bOhMy76kOVkI AW06bebFtf9ChC+b3LmwmSS8elEP0QuGrTcGDfi16EIEa8rRCV8161jSCEhOuTYQRgoK5f8NUwNA WPQRp2l8zWTpmNdNs+eP5gpwivWKeXDL0kHNdP23bPQqdcdpuFaAo64HPkhmw8Vcw8Lnp6S/Uk75 PKbj10zPk7R+Yxw4zM1Q0DWos90JOxL3YLsjvPZltMHfVn6AZ6ejYdvCITi0TsLj5kX/6sBhhNXW b+1Nf4IC8vdUKP3hvKTVvfIT8pBiY8/3wV9Ks4M7qjHZLlW2rm0CSyFvZlv8+cDlkaIeIEKF3d6R O133KHU8HDFaP3mhnp0et6GjmhGEDHPWlxHGmBnwhIKdbGM0nQv1mgn26SH3mCn7VNmmfqVc6HPp O7ui0RFfGat8o77j9VsXEFtRaMCki+RGGl6AmQdje3ZBMsdEbjdR3SMjgNYUZKqQ5a5tGifZpN9I +h+9/MJ1prNxHsKqomwK0VVtzlsA5PcIOWAuWUorHQJPJkb3jpTu3jgPKrG9x8AkIyTQbq0Cq1Vp vUuQwIdAUdi/tOV+W26h/6o4HwgUMH6qKns4P86T4yylnZORHu0o/RTPDg2zX0C46AfaE0N1yjdE bUSB3il6f22dcdYGWnXJ9pH18SzLaTjwc6zk5+DLrI7dHJNp6Fve5gVpEm7wiSUjeOMIaY0taLdN 5WdHxq/PAlN6p4PyQJzFS3FWXeKImXRKHB5qEj21q5gwtPA2ITFRMu2FMrzDXF4rwpl/QKSE+Hbl tNxzS7KizjK4Sfd9D56ThWNH/KrlpPK+uTE9aThrj701byRvxhveBZpFHP/eO8ET8RPKJUEbR6AA xalAtN0LUMHrmPumXaabmNTztA9/lx5620JE04UMSp/eff/5xMZZfDC8bNUvNi37U9QRG7TNpl1j uHolo7WqwmapQyIw/aJYAZ8TUaxpbqaMIA4xoX0UnKJIkotdLJfdv67sKFYafSw7oP0OIMSzSKOr jUbPZm7fE0m2e+4y5SC7rQrAG53VMa2Nzj3qOsDH++DIHu6jnXSfEq1illTQdtnorM43gT5S2Li3 6gPsIDbeXm+Wrfu5TST3/G53sF4VzYn0VZZO3sepWQDCJBKvKg6VG6Ejmw2uPqXkXhUUy+8gC35Y 70mclDcRBb2005Mj72AsBiydZ1Nbb81uMzUw33m7ly1PfZ6l3OX3dx9XB4AMxQNh4mNJtdta33lL +e6QafvdMt87KaBnG2NbQMaU7Ika5MjQk+mGVywqhX0Nyx3neJLhraKIXAkxjbjJE9ssGv08+8JL OaxxaE68leXIdEvap4byk3+Mzw9M4LugMaLZ7uMA0DVGv2tbQ/XD8jW/KYL9vzecXfA3mlivNphb ei2Ug4EokA/tZE3Ot7WjR8RicRGN3zsLjH9XQQKhecxWJ/8Aof3L1QFPY66abI2O8pjr2brhKq93 OJGtLWifeGGFvWWqB6mLPaEZRfndxvkIGT3lFtOj5VwCUkaRNsAX6qu75jSqmLAURlWOVONEfWhZ dhq9zffxtThfMMR736BJZ2nc2U9u7FeWcx58/EXUDsfvg0OXAeFDo3NVVWzsGNde+F8hKaDbVUIV VZEF9o4xKGnY6If1OB5L4gGbNERnpnOVT0Mj5QEoyXifw38U57pqeo6ceK5mQv/SBC4Uz57mzwmf dsNXk+XbXZG9C39Gn26kBvYfcZc8yW5bDpFjyIr0td9dNoyQRKakFIX1HgKtYDPgZGV1alCJrDzE n/3vv9HW4lj3NFiCErLi5B1KSxVuP5TgBv/IaK17tfLmbyJhPAERbk6rnU6fSyqJGHJBuqXyZrcu Q2lbBFqvbBpn2J0B5mHUGAT4Mu5z0Sn9v+LuUYNKEfLgvyb2K13xWnhrQZrCt7Frqb1Rku+zObR1 vOxTnKIkgtbT3IMXPxggI9x9FW/wVEnwEGP7tupeiZU+xFBotwnwjcxPIgUKr4agVWjWEPdX5JF5 TmBJkDo2brzLwiEmQgUqmO9N0W7kDr7aPWMfc5roJgYRptzjYrI2qGtLyYgU0uVPVhgLvjnTBfuh EITEn9rNpKnEpnug/830zggBswNoV+SggCvfIsBXADoaox637Dzc46o8RIRccIbaGmuT9xbrmG6d DURp01CcmXyQiM08r1LDunfWUCuoMvAEtnGiZpeIbXLoUTQD4p9+h30ZZ6U5rSk3vQV66SoXFbCO 0aEmqk5EtQlU0qww4vvcFIdk4hsTRQIoEN03veP54SQMLcCY2IDKa6wnWP62YtJ/Z4wf1I7fphI6 nZGeivMy/MN9rQbqhxcwOAvbVLKTvgjWki7Jw8fhlJbT2dYRXlFIUbaLZKZlCV8cBwBYl1raUvSW cIIy4A9qaP8UR8ttm+ruayP67+iVXvyNT3lQsIuiWTRWRr0FvbyxLN3w1/NlJNRVXPjveeFuZIgz eE+itGVzFkwRm1USC7gnwEAigeLkQkVhoU01AwgMlZLuNbpSz2gTVED5BfqNmmZfEO8/WfMrfa9P a8DCFysC3apCVsmwNkVngTXChoa7xJQMdZ4X39Ea/KWbI2IqbS+BbEMRn0zU+LuyufPOMfKmAO+z RVoTOyGRkemNvNgZhtyv3AMsoLPbS6pZcEYipOZSe7TBjSgF0bYo5MHg5+jAIibLXFMEr67fVLdd PyFbOzmZ9zdDD3icdr+DvKAC+eGLpeP6OEjTmTtKHZPqZ9MWja53d0Yv3wI4P+h83+SFb2cqtWpi zZqP/IwyC1/XYLSk9IpIaoteXOflSJ1/2vy1iXMPm8Ygu/hmyD24cHOrXvETn9sGJQ+1Qvtivd/t Lu7bO9WyVMl5mLPlV2opMVrm0/3p9s6EdYhsEmwowmAP/i2banQCdeb5Gw9mZXQJJmvu8GYzg20G J6KKltsNcei8BqhnkoWujPvte+md0QG2Q+7V/Li4FtU9y96/Hv/XLajNypbuJe+4M7fYqgfQF9cm YQtRhilDTHiEjWxwruYE8TTfRGekoUnaI+GfyelfL+tft7juJBJ9rfxO86kMx+ekx3YNPsiFS5nw JEMElCmlCmh/69i99wLgP1ebAavXGi329gMlkXbT9522HfwgnZyKRpizGXU6w6K0XxPDOxhGid9h qI+k2RM8XqW6fJfjWGSreneU4qaXffg9rddr/DHrPJTJ6VtGYz25Yr2GbOBZrsZPOFDcwxaMHfga CsxfUYBbdpMhh05+oQSl97itjlJrOmQ6aln3EAC3jViPD1U0ZvFCaMlEFoGet9hbktquoarnejD6 Nw0GVj93cX/4RL7ymtFimjxG/H7Y3XIs/k0CRipQYfFIc3XusYJWM1YHnqjkHYFEM9AlUQRcVlFQ OivgR+nEpeQR8JYUyaIpmP0qLMX87jK6qqvU08Jo2ZJdbaVfWJLQnKM3i2mhIHsosayFY2tVRm1Z xgWPoHyJzSV4BdnQTsE8YhoBh4xh1FNTkvY880lNTvrJkpswG2iCsP2OXrzO4QAgurEe0DrQ5zxd 3x9D+42n54ozJjXejTmrN3t2TPFI8G+ix823rDF5aDsXaaPTb7f5yFSjta0hVqtTJCCb2/dLN0cG zgw3pkg5+LsBqhrHyHHRyOpyQB40vB3lCVpzrs9WrolDgfYP2JTc/iNOz51Eq+dchm8oB1knh0oe AiMj27Yc/vGpuG1bN6UfNqLImSTsiQ2eKiiRKAqDSByoRE+XyXKLASH7xgiT3vA1PgZi/oiGHEnf F0vFLQYwPfhr+ycT+c1EJQldz7XK7Ov0+dljU2WOuILp5CCrv4UEwz/7ptb8pbwHfxEcnTlFHAfc eqrUqtpGps80MnUtU9Zo0IIa63mE+MfWjNLASgrrttZnwYlHg/F833nW5tTQks1E5Knj6NMXamDb zxWcidu4wFBc3+xkxY5Q7pQ/woYecazlfrLFnANr8nNGi7Z7ZnABkOBaBa8Eb7G9ZlPBVboIGb43 1WvFOis3R6ti2mPboOMgBCMN1ND4o1p7qInM++iahmlzJ3iN4hYjSC1dc3Gmm8r9sbMSdAO3ulWK ySMscIU1NFeS/aC4mIqtfKqSMkidXM3QYras5ct8PZ/kKudm0X+vvYCyQ/p75QgLfVX1zg4844oL 2xpCXIvsHiBdl7+CytGMMYDIkCKzCyzwDxe5ef6g5vZJN4bZ19PJ28jY8QTO/MpLGA/1sQ74BQFe sCpIoYtcNTRo0nyp5jNVYdeXw5utz13lcNwfyShMNGwS3XDutJ6/hZwbEPl89O48A2V/T+LQpE3G csLVz+x0vTuz+LVYPqlpCr+2q1qI/ea0jZIFFYMey1qNAnzKUeXHwOoGO7u1XgF/Fiftdaq0w6GU aWKIaN+1Bs1cOcst+MRjITQaObTuNH3vV5W5US15Z7JEBTo5udwsOS5kU3PtInf9TMW+behVjQZ+ 2Q90NNgeCq+yXL0aXio/X2MV/Gi25rC9Qe6dDYt91vXiWExG9U84rwriwnJ37Bficasuj/vg6mCc imsOHp4NmAZ4QHHogDAjS8VjZHjI6BjZgf1q0goijFdZ5t28wynV5M9kdW7H54Y5gfrY6cFoTrTK 8/v6wdC/Wj8/ettIaiO2xLnrpPmr8uxQEUFsRE7ikA+RTaedcKDLte8LOB4/7qh35DfZAnwf9RXv Ljy4CUP7sI1zuMpv3C+bLVhiFIVfWdxa/fPY28hd/7e/kicXIikZ75XzlH1DQKZS05rCtfPalaHD zJG0KGbuaEm3rz/f9YbhzLLMpCAfPgrGozsCuiUiGpJyXRQxFyC7+2x/xc5eyxtAf6kCeWDBuKkd ZmQBo1JdhqfvNo5I7qSEatWv0HlBUHffZAk/V4nsTZY+DAK7LBgM/PFHc9dvH2qERf1Dm9ynFa2C QwED7l8YuGAVuxR9ar690SVBIM5376LZyNzcdUPa/RbTMy3Ycul7NOYMVQxavAJ8R8k5fl6T6Sf1 JY4z0QJDXQQpypf7SBH7kuRo1zmlmHMshzhOoE74N7aerb3WiPity1oCGDUnREMm00QaBLzYa8ux oV8mzSvcv/tvK1T+2BwNLUlpHSzQ+2Qq4pV2QLitM2+NASZrdBm7oBkqzuVY3cK0WBZE73LjEeA6 E4J4nCbLYePLvrinnxThIxGUi4cVscFkK89sU1VWjmx1DdxdYM/azTHVtHKxkR0YpO5JG+2J58rH DB2eFylEkJuSLuRr7FQ+nAmHfOuoPm9t07A/hHHQUM+nUGc5riyo3gkiPBiY4yFWSf/hiuoZKQOo 3fHXMPkvmvrh2jDo9isQoGFBo0ehIb0DOzINVTf8fOvIxm11/tewkQVlx9ZJHgZvkfP+t0QNe72f BMohqqymyJqTlT1lQe2s6sMJffcGFK0IX83g4dbumL0MGArcPLeE4Bu1IDZc1RUSwrsYT+lFxliY a1NqQJz/Ha41i672McJdAZ/HzFD7GzVQ/fcFvOwdek+TVpnzb7/r99gONNBkf2QPMR94RC/PmHsW AOoCCqOLhAi/h4MU47uJ3j0+0AYoBYYr12mAwyj3CpMwqxX8euXuU0TXpnLTJ3p23mp78i6vGCwn 0cmSsC6WhtvO5RdZVds47mapFshZ7KrNonYV5ah+ybgqIhNV6rwrWLTG8t1XKLwhXti/ShbvL3Su tazBphS81urPKaZPEu1B0YJ7H9BFCK4H3gMcfyXJzMTAIRlWrbkmI1IP+Q28gfN7PjOO8EzVwN/c xU4bgHYrXA1G+hyqe9lshuQGwYuT0F/aHx+7hY9cxy6B3FOLgNn5LrJ7Ja1+iJNSPfp+srcawSFQ kChW7blaOVmr7kDblHV5ka4usz9ChC7MaVKAMhF1qPER7XYCrEV8MgZCOplathogmGfazhz1Fu7+ Z05B5QNDbxP3fO1sqE350dWR7QleNkMix7I00s1ORNOOA1EyHd4ze9sEBTCizj2MQyEm7iMa/vus 2WyZsLwCAGA6g1hmZYL0JnzX97/Zgfb8zAEDiOTsvZ4lVWRYwPFGnQuervstzgu/bXwz2GWDOhCX KZlffZ3j3WHYrHJ7hN4KCej5AE4GtkotaGvEvItw6pIY3Q18+jh1RYXIMJG3LMErazTv+jn7B39w EX4z1QhW5LJH3B9zsiNIEkpw88HmWDmUgNke31zFJjbVlFItPqWAPY0Xo11IYiMuiI138wW8nwm3 uqBhAPmSBRawFV0n2Xkn6nMOpnGIymuWKQvuFQCWSD3gUhyMMQ2ijU8jTp50GHsi4m2/kZoliax8 X9iq/oOnMxzcbfAR4adhrNVkWTvSTJ2bupMyqtg3uArnIDxSrGTAdBqcjQug6P2Rd5dEkNtcVgOM p+ntU7eVSkk0tY16sLu2Nrp/CLyx8iBE1kqZU6VepupdknU03KnJBplKlfjTOeWZbS+btCV/8cNF +4m6chGHF/USoZ1Q//uZFNhJtZJ9myOSEKBGpyshItraqRahpIwkuxqthZaFVMgDwdUg+WYwY3io 198l9P/dpPMUbmW4FEh/CP3XhPxz6/ljB0ClSurnesEQsnn6rGGqSuN0GDAxnw+CDoij8pzNI4I4 TxuPeuYAlunkjGRIV8nK29ym3v/qYABqVOl43enTBFu6I/50ZGZ0Yh4WgN0b3qFLT52b28aTobB6 mcZ3riuuf+9wOj2kuZ5rk+RLNxiIM32xLI3KAEa28HfngN0cInnSAWf3uNvviAzodLGhIUYl2rwj PqIv2lqFIc45mUXudKBPhHaO4g5JKuHoGwZPgzyuTY9CcZ29CfgaFeqYDNpW98w6qEC5IiWJL6WW Kd9lIXobc6po0DSOCIwXpFJuGh2kl5rBfJuPGQ3XL3VygCC1cFfScwJC3yT+tNKwSvhFCCirHImn Ib5CgO4Tx8+LMNTPmTE4ZVYh2ciwel/T90Ku5Suu3lfAarAaDgpd6+W1NbymtMBeFKyN8xczBjw2 HJ7uq+c88439/SVlbTpJmJ4UCuU9crXRsUpL4cvOFIK+7m7NLGEFPloYHov1WTipjAu3AvNru3oo n9PgwYElESySou0YsyJ+gEEYsoWHUWtSR5vv5qYDetWdVgtyl/N+0KlZ7OfDIkWC2/jZYkskq3N9 my5TmcrOnLvJlhNMxW5BPR2tttPbwQr+RIjWLtwWlgCtaSLuKBKsjURBqqjCpb2FiXUi00liCHYH kCNZB5bnJBpe0U4RsbPAa3TMauO4+73EtnJ/B/2NuOrvWTXudGq9DurJnHZx23AsM8L4SmZ4eB4D pNT4YMQn9u+vzXEMbyJRU2FF7+SJD+Xw2j1lUc0LfP5Fk0kQbh/BkykNgavAQbff/oRHdH2KMUha ihYocKIqOsvc2I3XdhhHcEYAKK6Q5oi1stZIuvhCgPtfOZTHnyF4q9KrNJI9+GF0QXLVIODnHPj8 iUot/JabmBncXdd6i8wJitVPlDzbglL/0Pn0Xn4ojTy92kjdAS3bHOO/cO/KQX37qHO6NH3DzTuC bdNXzEQOY80+//+P4OgsJ6FxID0RzCW86Y4yIAbL9XObkIUOeViaAEgQ7qE1BNJNtCJKRWHmldLd 0j9cuoN03uDb1F8KBgykGX/gVWFX6c8z8NbGQr0o0famGKFRZxtLjmKFM4qrvfgxuYeC5ubj9nXg 2fiBMh9GVKuxgNW/ga+7uCib+fNW+k1k1moF7VeQGdPO0nonZps/VhM+jc/Ehl/3BkurSLzyCZ+8 fiDa0L3VgY/rbyFdlbKMmAYV8ZTZ2Sw1O7A7zKP+ZvK92LGmNHk3ekMo6hI7ydHaOJHtFq4SBUEr h1Lt/FS0eLxSPABuVVz58rSt4Vq/p5nKSGwLsc1uqNSnLJoZA9R8BD4UMU/OvJf7bWs3PsgKRL+9 C5CabuFJfverUS2uX+ZcBKs3Z9o3YGrstaNeLj7NnIEEB59RjR4Dc08TNHyTaXxpiv/Dr4s+qunb sKBiLltsFEtZoI/2MxXohNQigkAEak4fYeOANqX5TGY80RZQdXVqSNrsvaG5PhTbjor3O0ThycnE bcH/F2x1Hj1xSeTklotnSibDBgGJ4XzuoN7r9hqP6E19jA0c5/wKPVoy+e3YF4MQOzE3epkIg4u0 N4SlGOSD7YIEB7SqHwcEaAUx8CBOScrzptjlJxDIhD+7ORZ5RlqX6IU1mD0ZISiqh1VLpwxR2OEy F2l56ehhbQJ4t54xC+KwQQ2CkFxFCRj333ZBKgyTX5BgMYdIaBe3u4HOGSQwJht6cjrbprLedhvx nrwa2OTjbD12lpokMZeqFdKxivCz3T9sFeGJhnRLXJZaLSWLcLgYvS64xRAIyFnaVdNZt6NlLPMl OJG39dgwM0orRIcT6QWQMEa4DOoljtBb3/WGzVm0+Fzjt73XhZASv9dd3XRb+wTxcdOns50wuha1 WNj9x8Lo8HgvKf4Em63E3nzXRY4RT5jRBPedee+Louf+qofOyg3DzKZI9t0wWbbVwTrhNK5+bgsG h7ZTGml7fjI8UqGn46zN8CzkvTJ1x6V0QQJlL+Qydw7UGDRjViN7NkFPNbzCB1n/P4zvDbVfXU0x FE6PA9AFJPZPEywPV8Wv/OJx8RzFb8CfahOaWdK1P2p+/iJh39bFoFgU+8mLEKRVjq/bWhsiArEM 4n165Uhnc1wi1wykMhlICYoKV/YQqsA6yqO/bQdZ4ghpzKnUTE/aB/oiMsdyJJLs0WxJ/457v04g Bk4seydlV+MlA2T070nP3Sgcv9dnVBuBEKw84fVmPm62gN5D/8vx1wICDAOI4I9QT/SpYRuZuvi0 eQNfUKG3Cxjf9vQtteS2tRYUpDKOQVZC93MJaBR/+FwVv4qhHw7ogBubUuRrlns6su2h8wQKVsKd HmwF4XLgCpP3k/QxsE90D7GsjFOLB9PXuMesuvzy+yxZy/CMRJ7+/hn86RTPHgJv/k+mKuzu9XEr VoP2IAPldpbD1uIpLhv2nyxSmpuu/k/By+V3ZhCuSN/bEYoBwfgB7Y/5/rM4EHj4lZk3fXmRB5Ps J7LZn9ZSkhGOgUXfro3VqiQ2W376GtHnPTwTZSbgCmOOyKjYMkhFdjbtDN6/j0bKqxDVSSYXZ/qJ iN/SafSRPgQLmVBDev2WVWIGCNk3p+MZxtGESUW2IxKMgZtWI/kz7x5+ca4SPrVwrXPEVdRJU6uN 5LG0k1GLWoY0liXLJ9gkufCRRha9jJtTHrz8Oj7swojAv8XSOgWDd30gU1JxntR/xkERNC9PZPjf owJgt19AyIMmPoMf8OUmtzpPm9Bhtn5BT7iP+YmkpK/luydxuM8Eiuoav+6mA4rKHf1tyHG+s3+j iDqN0cxCeGu3+tM+eGCWYgyv0c2m0vbBWwkx1MUWk25e0dUE4vD6Gv+R+je8Esv3rJqS8oCHc3Jm fOgMnA6em2ib6nIVvROMzK8P33amnSdSX6xg1MVadvNiMj+wLf8PyVOD/tVQ2bjV593ONZb45DbE 9Y8rVqxMcqXqc8QXZD5DpTldu7mQ4pc1spYiNj8e2sZVRF7IasrJmQFHFZav9l/AYoA0QeOZq3ER g+gMTqivVfqvLJPDyFLxqq2uQfDHwp0U61ZfcamsA3BlOEmZ04Oje6eBukxvl/nkk3ZWXCwJo4xF +e/LmjgixTK4QyPgIHkxDA8aBzHPBK8M4DvnD8sN/4pO/NqpNgs+Qdd3wC3mvsZnJ2ed8UB/2ua5 UhV+4Yxjnbnu5sPG2VZ8R+IfcUXk3u3V2wV4BIm5qVUF+BGdAdUAwe1mS93o2TWvsUwFT8jXzrEK 8AOXs16gsDH+QbeOb8KAEyFCwvDgTb4AEE2xYd7YbGxnMSmdalEyhbae1mQ0pLdqMLjLaP8CEMka Lf0usXBnTiniwQPTDJJablcZ6b8hODbo1HUOJ9b48Yr94YJ7za2xkPPx2d5mmPvg61EoUFxpqMrO T21woaP/8X+C6KCV8R4+pKfvbNT+z7DXYKkh3tLfHxF4qPt4xa0O6cao2hf1Kyx35Dp6aKnFrYQ4 90eXpF332ZsNwEf9hAdoSADksn4NhaaqEYXgS91BvZz48S4TUU9Mp/eRqrKOkHd55dF3O8IW5GtF BZLhexGV2VPRG1N6q90kv19WbL5H3+SkCeGl9N2byZtxAV5/sgdEfANzgU9h1CNjGl14JR8tsvOs UQnO77qSmlDMHGU88OhjHxv6zfG9HTac1OVw+rSyleYQR8VIdS6vkFlm7qnfAsQ24RQY5nFtX8GO ZdhwPsIWHPZ53Ud+BssQuiSy4pf9Lw/elNpa2XKZCHJhg60fADMB8IhQGMI/YDi9rJ/BUBxr1gXn 4mJ8fGiBWUpd0J6l+TjKm68TVIhzfj1rjZ9RFcCubkdBV5sQB0Ro/xfgJuvEJT1cxom/z/rbyXDI NKh2jr1Msf2CGde/lWxKSwvbANFHyBSqnUul+JQ9LDPrqApDQpKpHAEX1RfRlkysra3IOzRH33KH GZfcoZTii9oLTBxYsHtgMEKbLHpnQgM/7BvZrxX1ZoGWjU/3lJFYzy/b1od6+N2Q6EUPVeFAObnr bP05QK32fxrr4MLHUO8gJyoBqkpz24NC8e5qiZ1LL1gKNneJm0ZEBlIbS1bfanaRpEA82G5ugf1Z MvlJgmiEqW8te72CFlo3wGaS30fsRbtk0klWJ3bt/dzo/LtNKOImk4p7tVPglJbxNNyVrVmuQQ8x udsuMvEPGIDP3oISizw9Qmt0ycnzzRrMxy6+5LW2FIyBugxyiSSs9CrZj6y6c+R4xl+Csk/KxFE5 cD8PwR5n6N7Wj84Apl6fNcHfF/69lmCIAGt9sPGPAnXtDVA+ZtPSUDxgiAfVq24RGko9dzYT+Bet vVxfEPIgzyPLAAJZCFMrUeEOLHGvzFsvzfark/+BHkFZJX8H2cctHYaM7AMPadE0GNgYkBRtEwZC ugHMqNhCSI2CqmkdbmLw8DfOUTcy1URkGP6MxDbgZwF4zoj2vjtSKnIlBepB6883MXQH0IOqec/w G9l1dJ/fVD3LZFxcPHRTXSMcbImbBT+EPtCE7erLQ1eeSFEU6HMJ/ku4gATpbk5uPy7v8MuRA4dC HUXxVSd1FO87nABqEa2MIpsP+rKBmEwhSSSZ6zzZifETkbmJtkeQ3/HDkhEVWlx7QDf3iXeKflWU Pat9aXf1mM/DB7TPMRAm70Suwq6HGZj+J/faZJDd2jt5QUgiQSTvVMUsViYcVo/mSONBmUrTsZN2 9v5BZVh5+aI1/nY7urhd6T81+tAWgJORYYorqNxejuP7Uzl1G0BKHXpErMV/b8KY+JdzqMw3jEfu /QxKzkaJUzYmQHTEk3AKVMRtbblLk3ms0VquakcP91UsE18yc6LaqdrvzLK5PDiQ2XUTL8bTMg+f gXpOW4QT8nriVTMezPFx6ZbqvxWVHjVCOD5NSEYrKQPoAogMDUVnPbaKJuq3f1IZtVQbX30CZrx7 AAUS8dCF1gatCgudj/jYvpG83ueamU52ok0bsV2n+IRtryEAv7pDnZgGmltfwCpz0npUiLYc5kgj KgRv8RwugTZqs28wB7TY4m5/Q5gUmXdxa67kxsQeXX9FwyP4eLG+pzoVXwNzMESECR8Z61Q0vlP5 HE7tL/vhbYEqF/efr4hxIlo2z0z9DS2X1PFMhVcf6jChBZtBuBIMWDtKevFeLzI/oc/pp6f9hvEK QFFiepTPP5h/BY4VH2zTy9VR5cEkAHMKfm4sCuzVVzSuK1VP/iFrguAKGeaThx7Po0fgpjEV3c+Q DJNlobUzBzN/1DL3DpBCxB6a1S1wa5SMNv2ykFpC6Erc0NxcjtdeMo11qPKpXzmIj2/FM2phsN3Z vBmdmNBJHbphRKO+d5CKs95RtkhkqySii5gSolC2oE8ZRrmNBzGyZFVIltr9eca1BKrYXffI4dPp cwAlFUQVEMwDBzlkrDCaTs4JJsvG6CQh7o4PrB6WMkzqJyWFi0muZQ/UgtGbwLMKI1LDloWOtp5i 3ZGJBNARw9w8ZLODVQIVa3ydP5OAqm9zr5a5+MA+RVMUBnsjLSXrm37OL1sKXj9M6jsY6egsGJkh D7iQ0E0lXrkxMqZ+fTdo+QZuSd3e9dUqESQYAGVg9AxcpKtx0Ts+kEb7l2GchtLFe07nQM8fm7Sw R2FbfrMPau5AngH/jhBo70hfijMjSiPl3vHWrtBv4e5s14Bo+H6gncDV5QYqDW8dIys5JTEwIhbE czS2TuUUVGOyaq8YdtQwHSwAiQXQfYLJ6aeSwh4bG3KUUuLhKsZdOfT4Sv3RW82cdLOI16qp0Mag RVadmha+4wUpa5fqBvviz6GSNORUw+Vij8uhtG4Y70ZmOSMa3nbdPZJpxHb2ofwtVRvMXAqiqO3w dJnaA5Q6gqAxbtu+Ng9ryS0mUa0kP7FgS5La8uSabU0Bd14DCunuxQJuX6F/f0j5AmaaA0vcVKrc G+tioJoKGX8oSxecWMJ0uTeiNNJ2cfwobmW5h96NkRWEzybhKgXIuF4Pc0ZHIJZ90BKuvt7qNodr h/Bt9Q0ZSZOLqtB3KZnB0+Zhz1UijNOnaOZdPV+T1jQFtku37zI9FitSLPjLI1UqxlBXlujoEp7E zr/yt+AtT0q9uPeg0EaO9nyNl8YLJp68Y3reFS1DaAWOCENGYcTi+ERQLyD7xPDxLMSY6JG0RpSm vFNYpUzsCAvothg2hoqN/zhgp/9M1gg05icy74YLPYP6Vn9RVT7Iy42KtKUe6lYw6HPIa+t7B9Vr 8Yn6LZYCB8Dl/PT7DS3T8V+ENPNq0OFpS0nlkpXnJiPmmBjjBwJXgCLXATW2pyCrmzUQAuf77tmQ exJCJlrEU+6eOfvDQBt+hZNN0rWCgZIzyuLrFPiG+/w1FoBbTDdoDSYzSD1VEMa801Xfze8VEFfF nov1kNJned3Sl6XC0Ahpdcbpj36b7jQ4Fu9jPeyuQH4HzK9eIJy5e05np7kyGNE5D19tHqlfqXnF isqhWsSmbn4Lwt26uMPMuS8GuHRCQ5SIINKqHnw7CnMrZ9+PDgPG93kmHPMVpHqsfM/RmKwCcmG8 o0DxB9Cd5uE6hA3x+yxFVPJefnmU4gNtuYwm6M2EupF1FG1KuWi9qHfvob0UsxwhJFyeaCRmIW2y hWNNqkKf8IKNWoBfV3lGDt9w9TDcxn/FspAFDDR7mJWCfcp06eSaj6s7UeagcDFx3mVizErAZ03N FP3lJRdtEcke3L6oEtv+CrQpcxtNUt04B9jTzXGEC7cDuZPHS0/uBoVEH1+IKVjsq8BTPLgXdx8N 2hwvwa+lDMM0i9Fbd0AwvBi+PA+Y2Jvl3R9ecPUVv4p+jDPY/DNLHOLss9NEew+i1AtZfrnCMLp+ XQubgYt0IkaDRFYXpZDQskDBVZoS0XfT5Qi8skyX7tF3LjQ4DAuyYQmzuo6r35laGaia/Uz5bqO6 FUUN/tZU5HUcGu0MiKhKjV6KJytJVgJAqogOq+m+9HDCAv3uQD52kkGwYFIWctXWCi/MH984zoNe 44VIYAkPNJ8AVg9nDKijS6y9M16Qbz0KdX0jaScCY2sr1mUqLklnuPwvlyugzw4+w9rmisZV4++a zN2s8BukpwCEmv3JesLkoCJrzS/64M4k9P0DLySPOWheKNppXJIbXEOy8XNLWzPAr7i37i1nzInO fj+dCoA5r4iaHbtL3+LnFWQ9VaHyWagSkVhxTVzN1g0EsN6tqOEsSjB5KyzOKWqERBGm87r3uRPh KUdQx82lUWfBe0Ky6X6yMXz0AiZ89EokhB3dzktYtp9iXSjwHhCnnjurN6JWYdEYbR0QEY7zs3cf AHsAvd9Ix7bUyIh5ufc5U1VDuXgd1cMj8Ru2HRHeviVEWSEjd4l6ibCXbPYI+N48om2oODCEFsBi gfTjIVM8qNpGbhUhYaApTPkQX9DOJXck4KhP0KC6RVCjTR6dARF/nHqZv7yELtWId7JMXmG9BaYf 7IXVyalPwLfkZmPGR3rnS6Yg/JAviS8LVsK1h7jJ9fAoDpdL6jONUob7enA22WT31xedk10FexD6 nPYtkWlLWWWkvsRLivAIx+6hkzpg2TdKf7rYcY+ElcmAccSon33PlNcE4y23D4HYzu+SJJrECi5x sTc2tMcH7XPi954Q+zqVlpna0Vu7DIcOl6swxLkn2CCQWB2WA6YIAtXNzIuKlT2kEkSfL1kK1MIy sw5IKCqtmdoARXVuhIBqKsXfnNf9fJjNozU52T8sbtD/qZMCEhAUl1TlNjps/CmRZONn3xJThm1i q4mg+zw8uwnHffMDNU5vqogYESp7gMfSmIlPXV+FOp7novFgSpIayvRIa42C4dvIMGIH9721/ifQ a2UCKE3HcaSmHBIZzzEiM24QHuW3nn0HxLyUf30Mr6YUGkZQ/XLZ2NlfAzFAG31oRtbv4sx7yaf8 B+gPPhCaZzJD7Yc1XaIH71533BubhZAMpcExCcRdgxlsltcxdFdekx3aovywx58jTebdwfTpY2By WNHF2dEYHC0bDy05dUazkeLv1/8yR+OGAvcBHfxlqjX0W9YRd8S7mVkDj18Nb+qLN9HYI+yw8kPH KWkobXAUXoCujZz/oDnx6mcgZYk+1VgTKjQwVyfC/sTZT17T3B7UWAMybfmRlsFRRASPiZ+M7kHL 57fwqTf9nhXeBN0Nunf3/3ldLhINFcfRIWIwXUaUcs+LOuP5JKx3osYl6ezwgdqUI35eZ30bKMr9 24v9ZupJasZ0Sl+DTaFS1Ef2dibEhSrUT6D35WX6s85twgivjfFLrnDhsJycE9OAoxfEb0m8FkMx WWQR8bp0v4/YS/1guSa81bq1oAS6wGOhaQNolb/6LLW8LSURhOmRzu855ouyMrVqNkfwJThgtYR8 dHLsHDoPxBK5Ne7tNa0c9hH+wd/hNZzvLgWsxcXMNB0+HKC0eP7ZWHPwJtsPm8u03LP6V5QP1zQ1 dOSdQty3MkxJF/bE1cbQq1fKIun8u3OffhXVHcHa/QIBFPnGqIxi9Hc5J3Gt1M42IP9lnpMuXAjv wl3HcDHKcouW4HAup0LQb747Zcr7BAcEECWaW87G+93izQ1vDmOv4mzP0K17UUVpHtkKgA4obBqS jmNKfB521oOegUMASwzfVX6MkHBU7eEy7Jekftf24mD7kZ1leXddwNejtheihst3JH6nc74+DpbI Bwo4pHI20VnSaUPjNSjw3slpFRqJafCUBj5fVoe74k3DANZkviAtiSGK/uI+cQlnzPlskLdz5Z/N afNl9wMwyRz9Fo+KH9yNk7KY9UllsiDlynRNHWOZ0abJboNIZv430QPhId834k7P69VOArkLFXeu k/hmNpfnTP51H5C4LY8kACz1o35Q6eqK18idXp7dzkyUl7siLDPg82ox/BBLxukPyxgiVns2d9uK 0SbPkqVfEEQK505BP/VuxfyEoZ/zS3cYfWGgW3q97nCfh7o2MSVcOJZrVHB1jJIccXeykUOHQufo P1EiRI5AtDmzZAcLgrPZw5gGwLyVwES60fyhG3rXm4SgjrNLZGEtfdJWwfLiRmb35um4420DUK+l /WdvlvsGe8f+ZxvqIJc+Bi3QIujJZXDceinyoG1+YtvFs/0Hv7gJeQxhLWxdlKLyx4j4f1tzjkjz 0jnNqsNmFaOInx0ueZiVz9NAXF+PVNUrxq3yxAV3HnzaEfMPM6LR/9bx87yBXpFt6QP+3ITmXSY/ A6cmwvGA7R3vsqJ7j/1sThi+H+94KJuE0YlhrhOEs+TFMnOyvxWZxoW7B6+9xTHVeVRV9cudCZyz BLWpgwFooKbAhxsm4kb7YsGolNfmmaaqZ3/6HRoAo8vAQfiWDfEFEPpMMOJE+PU76YCueYdZKCdk TWDHoBdYk+T1Upghk5zpBTTd5Pl/19NSjp8kQ6kxnrmVsSaHypgWOocBPandDozNFODPV6NLd7ne tbagfYVADnt6ghM/P/6dIPJAMeYR+k1TN/LzpFEJskdV3+wHMaT20CX8yZEniJ580qozJKNM+X7T V/0PC3Jl2kCdp9gsN9+Mf/NFMoJTgULH7RQDr/9uKkGw6KO2oNVLpRX/cyuVs7PscapgWAd1fBS/ qys5oRr9rYlDQpVOedyGCF3ypE7v7PpYEKPU+gyZHPhVhU+OxLQVwGfLW/8qEGetxzTTe/6MJf6s ukpF6BezbXKx0PUwjX1sUULQNBKQ8BE1PpeLgYvO/nlbg3MzTML+fWAur5av8gIOZnL3ipwXwNv4 l7vEvn0TiLjhtSCQvZ15lIkW9Qi+S7UKtdm6V0dlaUYBIe9dIWpCmdSfFNZL5WojbfJt6n1YFa9R waXFXJEWQuOgBjS+O63jqdFq3HfiDV5bdGom9gnhBMNRPznCdxPKOjJwmPiTttGi59gErq1ZNzqo 3F6Q/kA3Wq0cKPxmUnwqrH4VlpjWs+dTpj1UIGJAdR6Nc+zVaStS+u3nGPJ0CdceWA0an14Rwuax oVvAerRvnBO8lJLwChRN9kxeYbDBVrvR19iAbQcw6sfzfxSygtsRtgFgAKfS43YtOstztMHbb7s4 FegyAZV2XYGYir9w/8NjUeDWwLUHJg9ipm9JbSV2vBhpCAfrtODqc39zLfBQJeeSk4PtL6vSH1hv 7jLENjLTX02Y+xWh6UpPUOYIaadSDlJSdKSFisaKtmpSxJFHb58BL6HozSJ0em8FhMoVqcBvkXo7 jbmdLpr5CO2b7tL/4lmWgPNzVVQ4H/fD0osfkncL4fb6+Gef8MrwkE/RlOWP6db9Bk3M5nubMKsY 28fDRG6NAY33roVr7ehsTZDHLRc3GJDq+o2H2ZPPp7rTICIR7aM7YzQsrGPG/XnPB9EFzRM0TrvS 8nSHmaiCHkkhXvqx4YUl0IP8zKdeAKFgQY6kGxiXgfYdaTx/+GIGpC6ffYkSM0IdO4qAXnKh9v0w PnbG7m/8zmdXQa5XF1CRIHnGuQJuZ85RNutWVpfxp52N57bExYQMd2c6CVutFCNZwl+kJuFmEsgw CrHarOVbvPxnVA4nL3+0kpOVFo6fo1H1rH+2P1Rz67brf5grfKegpEAqDquo94yJLAlsgx37TQw2 /GzMF7wUSsD0i+dlwyT29ijjk+HboE1StajjEI1ATKol2EKdJi0hJhtCuvrFGbJOtainP07DDS86 SaeJ8BuLiabF8aJG99KLiVEBm4LAVfJLRECd5I3+JqxzVml+uIqQjdYdFkk06Hgwxl0i9fcBr3Ye Tidhebcay1E6s5t1I8RNLl/hRgJF8c+lbohnJccm4uQds7KmEuA+s44KnM9WIN069UYpdRVUO6xB +y3y1QZkwGNP/tM5a1J8OdKo92U2NDp0qtwVhL9QipFLE6v38VkCVmt9e6wjx6IoQqzxWFld5EV/ NDNYr10eNRIL96IXkgr4DAeG3H0A/tyvs2wj4TXnjfG/wmZ4cnU6oT44NM69yjBmzyF5hTM7Uekf TVqLPuViJhdlgIGzGxRHL4AsgrPfqCiXklqEFpMpGZCrDSgKJN+NhzmpgoOUFiPgs7iyoA14NdY/ ALzByurYXvgAuTjdvb2MLAVg/sUYTJoR0cc1i9tLE2F/5Vex1x5m1gb0VpgkmxGgG1BTgs0L+Cs9 ud23t1EWzYRipcVwbeix/ZWKTaeLb/anujD/CJnmsCsxXi/nOzAIWwILKNLbe11Q49jbsKhrD5DN OrOYgEkON6hffbVJzMGEan9o8fXnNHNJHtEAKxRJPVwhRplLQx55WpgDUlcemCThQingHLFJCQKT 5YiI1pHmWQk9yxyqPQP72axHGmFvvdHfWprwyPnakUK1nZkTOib3/lfaC+wQqwhgUZPm4KSm7a9I +VvfG5XhtZ5LVcmCWwS7g7DFIC13NwlyFBXGy3CwQPsmaGnmMJEWUUhWqWiI6a9v5XxQiSeU7Lvs BUTiBc4qQdKmaBSkiW3SlKG8yRPZRTAyv1ZtGMmwzTV/G8BziX2h/BvsvmhQ3WoQLFtXFRCwyvDN /5Q6DGoxBl12mHbENLahQlvh74dCv4KNAmZMwTW4xShraDqWnssYAV1Zgl7DTDzng9eLiUGfBs1b 8xyeWXmymFpf85WklkRK235UbYSh1VLtvDxm4GQfU/Vkc6S8rF3z6rfEonpWBu1ryWQc6D7IlMLl c48RXUjwIdYSCJYAygK5TslpCDJ5GeLubDx8h/fO+6e5Wug5jGbpcHkK1mA9VcM8x5gdiOPgUWAI d6FRLSkNkmZXlh1dBW0a6u390HQ+3aC8apN3XhEAmbZ8er8v75x4ueKODOIDNr6DKu+5zzjEDigU NKcGEz90bO3Xa2vpYQ7taJlJEnduA5UzfZ4UQIfR705XmAt2WjwO9UroAjdKzf1wkIWxoAydkuUr keeXj0CmI8Pi/g2v4RqtUz/ijU/lrCULygChBExevTBgreXRzgQ32BZuMPlganY6KJfvIifuGKoQ bH4Vb3zJsI7BoluwR6ZM5fjwuwNQer//grXRi7wgGlnGZPEu/DE0y3Z1eT8PV+5rzAW7sNpTVfDR ngkTTQDFntClTlQuXCUtLcJUQhsrTlneQZL8XkGk6BSGsYCsAPX9xCDJFVzyD41PodRjNV2qy+TB 3LN3M619LF63jqFdp7vF1a6d3EjXblYD5XRvWLkI8dul/pfltll/+cHEbPnG3LOBbhQK8z2tN16p RRF1fVf+bT8L/fKcdPF0GrRajSZdcpvRFKbXVdt20vAO1jn1xYnUcgvdJlmbpIVkLMwAXbU8iDyq nI3wX1Tj6JDfRpVyz7tMu0k+KSKF+OG0nc4XQqF5BCKIUFTZwfdZrZ6syA8dY4I2BsWWgf00sAnT 0zTS3VDVhYFhq3facv+DtiNxhZqtSaMCElw8bPgcQZEa0xvpjewXq27fdTpo+LIuAHoGohbw2oy+ TYLzN+9KSFLHPKsPZM/mHeqVGaMGUghiVCW1ueBsEzlhrDq2bSAPGIL4JvtuglGsDORVLoQrBu6K EkWmCggnA2mQBSpgXHudi+PwdBHry6BLYJEy2jzsuqISNzexP7Jo4T36Nqo+hKxjHorLnxtOvsDu BRA16JB0KnRCdEl30vbgGPQdwRAA4fEC6CH9NUB8zo9qHpqzi+FMkqZSFzdYpT4PO7e2WDIG+V0I e8ZKsyLvx35eYEQ7vdqpJb1ndpH3CI/kwfTKbxpJneVhMJSe+VUAjoS/DopiW2HJyWdw8ZCUFsj3 i7C7rGDC1rKsY8ZvSdC042AIhtQDZ8lBzA8K2k0K8uY9b3IqIaauxCxob0eGcicr6tlZ7IUSwzLx 1BoPZKtggqiW4a6pNSf+FfFzPnd7LA+bRI/xdSk7Yrx/q2VDHGm/T991trksWGiNxSsbSiSbsGmO 4x57QY3/jPZP35X3+yWI92oQfFcbuLFlDAtDa3KWao+ht3dpumatWlOymCf9nQ68UnBLf6RCjVbB T/6tIFplX51o7N9az3sGUuyF5js6Do+N5Zf8O4JcuPhaXHkVqGTyPs1qreTjfcIABKLo2UUUU6qU 5ResmsXG2jhNuMc7rnB5fIC8YMMRojVPFqN5DU0Lmu6fUnPP5nY3y0BifebmM1xSgeg2/6uaauYr 2TrTVCLvX4un1Yv77qOjwXz3J6XoKbi9QTmmVbYDHk3Biqz7yoxGHfznvl2a53z8RyN/VZGuOojN qMHfJp9KJk0ssEI4OibJfEyandch+deOZx1Y7hHWar7aYNz3ZcPivdc4VhHOWcAjjQjTrhOw5EuB hKcKZ/EYUEJHXkzN0vIJwVDSeD94oQ2l+Rk6BgD223CtezQRadeisN+AMuPj6RCH5qULigJjB2G7 RwxG2ZItCqru3tepoiBJT3FS1CqOXu246NP+mvbNkbuPIbptK+BY9+ox09jVr1qdU+w0wzcfjUu9 UA17+UqSRswFxiX1fBudwCBz0wGFT6Rvu1txPzKDpb1UkcIsZiP6FMXjnnE4JjIXwxFEefg+7lhs kLNufkCKD30mN+5T38a/i7Utst8yIw1L41uuXxoAq3Gp6gQg2i33mU0r273pjpBavUjCc/GTN8I2 Y1ozKkPsNeXekL9pu2tO4MqyLxOfbviCWnuJa7yKVyb0GiMOivul8wDMnTFo63DRd9w+bq4W2L+Q tF65Zd7XH23lqNy5KcxFfT0uZj8k3InWtxux5hnJoBvS1FGdEg80hhlscjibKy8a `protect end_protected
gpl-2.0
05dfd248b2274fb3daadb84204c6b3a0
0.948466
1.830393
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/twgen_half_sincos.vhd
2
16,768
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KLpLLNMPqSmoOFikfYtJYCBPHBc1B773Z7Eq9lYGbnqTBMrUwIV+AOy5NZCn5q81Ao3Dj6Tky2Uc Ea028NoGHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jhgTScj99na0MMTPWLLQWgUE09lmghKejv3jk832I/0SsYETzFTjRmJ0G+54GsnpKlo3hftiDBO0 S+lM6GZ8kbduXc4gVZldAnpigjHymVguVWtfmTElnwcLrGe0JUmHVJ5xAvZH+37+xb2QH3Y7E9O7 f9st4TapsprKTmsup9k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 4giZLsoah0k6HsrQ9reRhxXKLkziSoC4mS62hklScIhVPANqAUgtaPsek56eKPUjEfrx4SON4XFm tBLT10DlXepAjLAu5AmPQ6fzoQQdXsARPDM0X/amc/oSlj6KmfAMGmy5JWS4h0Md/dlPZWNE3gzK A1dQBsDVGOIoNPIhsr5xjKvJGOhwHJqi3NnAdI2WSbOf34djfXjNyQM64HmSo844RQKBw/1aJVCK Yz9iS6jawUYjY5/g2e2JN7IOzrn3PhV1H+YRCxMocJCddmd22S0vFJTxN+LUNDJRytWBbXznLOLr C1dyExswsaY+QrRo+XlvKw3W5C+OiTNeU5DJ4w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CLA3o49EnuKiF0SG6Gpu92JkoRlZVnkKbA69hpcR+xKOL/ev9IAP4dl8NPpHbS9Mg5pEFIDP4bLZ E0PVyF7Bj1OGNc+WtYI9pTYySiqr3eUR2k+EaWRbAKxA5rTNoqR3j9ncxgRPD0BGNgS7ghuXe8H8 7Q0nB6vL3dpTFyTnlwI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NtS56BM/RZnQjhAHSSl0vMZ4edxWea8tSk40k/8PhIYl81xgXjqMFjtNrjfGe33yVGJQAYtWmEeM e/xh/Fc+wuvksPl2X8A66YbVmpT2CK7W/lmPo7/xWxYbKz5OT+6M0gp0ng2jkzYq26G7Ji6ZDDTS SPJwhf+7/L/EIDYcD5qIBfu3pLuXMMhxhMMMYEzKWWfM89dR4wGG9EDKK5PGH/rObxMD+9Xb22wc kSpOBKh7nakQIOFwxSD2gW0kdN5r2Z7FEra0fVZDZ+ChWfebyFxwFY7e2SubRixrFzaHV7V+k04r ztOaOrb762Lxc4CoTHLrJdJo0v/OSn4PduMY8Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10672) `protect data_block ZywBn11K4LEyYHGDHLApQqYUQZ8yu9gs8l56VK8BjmgFpDdHCRuyV0jSGSyEfE0aJ1aO50nbYi82 SCOBSbXSVB1xvW8xSyQhjZQLuhrY9DJIeNAE1vqMdt6EbgClUf8j40eK/hvEw/6pZ++cRjM1yVkW tBIJ6lxuddA10WYxzbKQre1Yjjv45Lonutpy3927U3/lo0mmiEBnVG2y+VGkoxigLE3o6TWhLe1v FggQ2QQq9VhegyLdlrBMM7VjEGoXQi//gwTQDGOMPzkFv35hV7ai2znzbTgsVgFk1RGfxT2WtVKN EhHA7bO8mFHi/eMNx5Y+aXXNZdYu/fW/dNPJ+9A1/tNnO7BACiQcpcX+YIN+HiFVFemz+I4XoyZf LaiLjrnZqfuy3Lxh1FF6SZKa0VESwJAy0p7tIJZcIFBG0nTEvvJEl4oLWykN5R/e7EZXEVq70kgO pWHlq7DHy6wIa1VGYw3QsQVbvbWJRVpj4DgAMFBmRJcEUxxFhMFt6RYWjJhKhp8ilX3FMPeVdd9X SuFtGwnHBEbDrQnhZlu9nkFZX+6Qde36QZKd7s6YqrkgTpvHlkzCuYFPwpFfD9Eo2hz8WaUT52Ts qznfAsFBzRJPx5AJfgPs8fZJ+NfofolHmwcp/a7u36xLIS668zuDLWBBKgThPbsiIKSjCiC4EAIs WqXAFGg+C3bge/Qy07hpdD6KoPVeXqPUz8GI0SEvWterwHGtVh2cQRAX1EjSyU8tb6B5KE7ki3TS pm3L8fAyKI7Hu+wNzCgg59NtiOZgJOZyLaf9dpjsUkPjAzo7nXE2EUAt1cVL+/YNzqBA8x27AyIO nMUcCQYTo2YQ4qS78ctaJfwfNj1wml8Icb4lE933kDbg4ejZYN61o+WpZbgFw1IpVopd9x/41Th2 TLE2d/5LLWCm7KEX4EB8292wyrJdvL3MXKKTPdXtml7/SMVPzDLc/EbEqLda3jhr80UITIENGyPm G5bw1IAS196+EARE6jxOqgIZq8Gw6Nh53X/0oTo95deoMYuLqvjpa/7hmjservw88YiaP2nEaWjJ 15T6ZYB+P4LrUUtfjd3bHAHov7aOwb1u0L6lhzbMnoCXQ9Kg+Oxn1OOCt4yusKsu+F6reANdJ4XM GfT3DlDsW8VRvMsUTTJKTbMIEH4whKeiwqHz8QqexNN5anq5Vj3VDFZo2kB98iw68TLj2vOMG93P 6zpSaqW8Ud8UtXPUGvS2JCKqx7hvIFI9FoWvqYfHbnxeEK2sDTs5tN/Gb3BPL7kauvyYhiXj1QRz yLfFvNRnbsom+MmDfZkdQg2G4zWqE2nwjVnbH2i23hhyMhSkXzQnXW/OqRS3fioHXjpGGJfmd+zF asWKZH0ZWPxnOWwB7BfK6PDGVoXS9Pb+vFUSJ5UDvyvHAaaatMkZ8fxJUx78vff1lj0R6eFFgSws rG0ZVMnTLIZ0DPzQRCQjADufXQrm60CimTSIGK5wUXL7JlQT3iJN1OWYXNagTka+X+WXOetihymt vs5aDfYPi8638VfPeuhdkY7TjoaNEYL2flp/NDwGjC//X3SNPnOeeNQLyRx/Fn506+IBsl9RIRic qdjNk9SvkUAKh1Crwq+MjcZg1FSogkkWbRMi+434bzRH6A3GULBJ5vu9iFa1UT4KQbEXWWK0l4+n /TmqcPTEwQ0lSoZNxJWKeDGRoRpsOAdaMaSPh8/ORdg5f6dUwxGqYr4tYG3u40TPoVGSOwXqv8sp O5BZrLr4zIvG/dOswI4aV6ytEkn0mPInm4219vjUtTEYkK2rdDtrTx+qqO/t5hEbf+rqwrBfCT73 2pWgdTIIJrn8czB08/NV2Bju71H7anR/fvASz3kYqfasJEKOqWD1zO6JszzAANFVit5SONy+MC7a RI9quOgFRRiN85k1cWyhKXBw27/qrJAGNEWJMNHfbarwjM2G3n/OiumfStDFlttZb0bwyFY1IfKp 8exi6BycBP7zoT7EcXRuFbRv/pIp/kEcJnL8lrBQIqCSk92z9d3v6id1YhLvXOeIxEyKeCaT40PI u55krHzJ3p+/ENJkwU8B6/ev8melJWPTIoH9uZZwkcvrBVBXCpFyuc/6P4xpkP7dlgBi9/riI/Nf CatnEPV13Gy/gY6Qy0jzGIF7x1xk2H8Q/wVGCmrQm1E24G1dxJPyLO8knp0T//JaWZyWoEtvgPWf meGOyeDExIcfluY+3WMgffV87GZL2/PbC6I8J86ZJg8SETJ3GkIlmkr7OBN2S2MvH4qamV4F0hk0 HP1Kc5WdX21fIr09oTXK8AYyZV+NY8nAdji32R7y3eAOYUrDzWPtGwR6aFtGs7vP1zyPySYN6ssl b9bSqlBxI13aosNI5eApknUOnZkwk6VIEEgC9I8/gv7m402Dc/6CKyaLmhWeac3Q2RT3pPd/VHCR j0sH+g7lzL8SM1ccP5A9oEUgVeO/x5riyVSb+q64U02Fhk2oT6Oj3+zM/5wvzYBoEXuRMkTHCtUf dNvgJCAhEFU+1ZqCy5oKxpcsROoWauzMz33rNSQVn2XP/32lFpvsEGxE/63VT+oE0TXnTo9qTzS7 vOXZyxSDLjNE7JEi4f+O6LefjOUcWxxy7fDwgx3wUJV4aeSORWyXB2jGHs5jQRE2dN+xMq8/ed4Y GkUy1A0U80Mgxl2qXiHPbUZIsvMoaTVeSh2puFV2S+AILbWRusK4pVHCZrLBtqRlA8g1dr16lQ6U YG+SZtyIyLL1/xEBoyw1SdGMvj3txx4QTt8twUpDAotW2k0VM8mvdn/Ye4p8F2P7xGO3l5YxtjuE 9DneSxcb2qrSGRcDd8ASvYNk4hDAvW8Bb7crcXWMSv3WBXxQZV5GS6z3AjZ9DIdEscv5qnTi0D3F gvSnHB3k3rIf8bmvzpmJ0+H/EfH2thiHMTUmSryopNRuGo6BRZELK5g67Knrik6jFi++OnbTWCUv NWRftcHU//06oRa1wY3uQ5Uo3N4l7txYSZuv7bo9I+L12UZuVideEDYxGE4EfZbN1jGS1iOIH5qt H/+bcTjtGva7VJyxWqUEBL5AVu6RcXuvyZZSjlT2nVP1cibuaX/ktIaDFgNcZINJPpgN4q0xQrSX 7VrIxpfTcBjuHPhwGYlTki6pj8F2sLej15jyP7jAeM2ky3rH/Gix18iyODbLziGhTkAmM3y7BnUk UwWgRuZLAryd2h+QBzr7gT8NDppCemzIBY0ZGPv/Zvf/1jJmno9Kd9Z0Ww0ax5IKe+barwvOAr/z Njlmjvov1zY2Z8oUZkblJjGfPqL4VoDj/tcd+7YmkdvN+for4Vq5VsKtIQsmiEPRzSMUgwUDUpDU jxS/JEPsGLWaCNnLEkobfGvig5TDZbLpfgBJ5EVmA9C7IYISkldk7puURQSP87iZVI0nj5h/usa0 TiB41L6eJtuSGfXZrJtD2rTZU/BE/Ct8Avlat37CHZmUNbH+0wXToAMvf8U30kCksM4b5wiC4CRk 53DCh+nPstwU0DhjPEzy+0xRudu4nJRZrF7yRazD3P2+uTMpnpNw8DbFJ8nTtbInjhe2URtRQZLS 8zYxIePCvZMOdO3JpmkFSRoYMZ3gfMy6fzhDqmHZvNqu4nsQmjmXL9pBoKcMtA/FgLn86omBYO+Z 2ibvHWsNu/B0UzuzRtLolx6AzLJuDGDiSF1nGk3vh1hrIKh23u+c4XffdMNG+91SEKYJuhsxmFbZ oCiSjBzu4rmPrWnvzcLFtxBZFxH1bu9CVDh4+RhS2UzieQNTpbKUoHptavdCscr+DOvIKPmYzliE KwOqWlI5mhdRuSH3ALy3pNbCH8C81lcZS9td4z/bTiV8XN2CvU5RRN2hnLQjjQI5Fxw7xxMIBdtr cMvqe1HC6rjbi9MOWkVGw5+JpWEgswmhWj2dswDrNLCq/e+kLABNwLxNskAk8lh5SQ9M9T8VxonT HfoG5fTWAod6cTA3TszI5LOSDF30BlVbVAhfUNCW4FMHquCO+TMTGSNXiXDtf2mZOYJe6Krs+cTk 3LWYAGsmpjW7WLpaweHvdVv/yJ8VNjLVyCwFmTueZWVS8CD7I7sp3kfHngsRh8sKjDIBq7MhKQTm v5upwXuPz69cggjZQj/0uZ4QOhp3AkmNoCVOU1kXh1OibsD7K50NWbn8WtB5FsTxIHudEbQFqLHy 7JiIE1WPyvVvLihpX7YdZsLF2eivMYuSl5RHqU7SSVpY2aeCLu/hwb5T6W2iUt6c5D+qSKKPGU+a 0TYuafbBWMqbUfVTIKaZEuZE6xmIp9vVAiTaYIn35///8Vdo7DsaLZ6uQkbY6yt745eiw66l0w/y 0+CI7OZWUdMKF9fX3wFOfYAb9VW3X3NAUz/vd25W2h/cI83izEpEql2347h7B5GLcTZwynrkUa6m 2rsx3bM58pfNhphUPoAZN0R3dd7E2ynPYsFNxrVvByq996kcN+AuYCqcr+404XNq2mCvhCgTcXYo lNAH1iMv0Xyy9L3r9m3PXsNkIHxl7WSrFhK2AnII/0ObZ0dBcvrtAYiuLK2IXtsjR+mmhYJMmHfX 6wG3cmEDwEkCukF9+zwfMv0bFI3PIZ2OR7u3NN21xsUAhsLTyOAA6IfDONSn7b/eWWhaTh49HDkV xW6qRHSBjs0LXcmMpsgMas22qqu6bjv/4kflq547M6SJrrQes/vD9czlHUslEc6gkkYf2Mq+6sk4 oY7JQapxVKu2OYTyVhxp9tkGsYLoEXsCnT0nsnw7qpEOBF1PYbIPWll0wCwRxN6Gci0tPN3RmpBP tVR4C9SyXZu0gGU6mqFYMs4jcvCWaHN73KG+9SbzKm9wEbCNefXiZxnW5ztB2d4wwV7Uf8vdpo/P /jiKbRQq2usRGYLO3utsEXKt4gSSkrtn4dzIF71rhJ5gqIxry/GQeT5Y500A6f1KALBbF9OTMgGu noxjwG1bTrgL4xs0QnwcwRt06vOnl06PoL0cEywz456xRxdB3qd0lTb5dxRUf9Vwz9AyWuX14yyo 7xvq2CjDOJQaxiNYu2e3mtelDpLYTMTcrUIlcXRFftCm1bohZBT9s3lVqvgSzZU3ryrBG2cDDEzZ 9MsGE/SWu61hDfsul2ODlbrmdPIAt+2w2ZGfmDBJEmri+6i6zSDYtdBjYT3NI1r7dTxvprhzs64c ZzjuG19wLfQvNCJEWEOICv7OcOljx0wDfVWEWqI2lEKz7iH2RbaeZ2b+sy4UJqlhgtnvmESjkNGJ Klki5MMgAWadeKe58/PXchcHJtTxcOwNuHFrwuT405GXhjD7nuq5q88j1jO4Ud7ggjTT4goHCoJZ L6sjGIKskSUr7SYPo8TkeFcOSo247kPF0SD0ksVv9uh+B1RT4RbPBJd/g7woiyi62mUn17Ar0C9z yMdOolwKdF49IQY0CWt5OR13fUOhAtgiq4SP6DZ47wCE8Z6qTmPRt31z/d3SlIqMozofQYN1bMen wB0JdinM9I5nCpuYxb8XdNQuOjpCBzaSSJ6fnZfDmyxMU3gYvycVsvkTMigZs4rfmDBmjZ2RWEyv Iti4cyDlF4s89s4/MLvwL+yLpVkvoMPB555vpoczjc7hmWgNWyU0eyshJ6jX+P7NT5VdDencNmee MkBvMKh59UYQN5JQzPekWxfHD1aBbzcJwu3Ci0/Z9nbIi5ozlnJWloid1ptJa8KVvV54XxzVapao BJ3EOAknXls2edIM5n5Y/WXxyuN23YLTiIGbrz1H38NUuTsQSHXF4a2Y1L6uOlpygbnk8PmewopU FEGp1lM92E+FrDDR6wNdk+OXNGT06YpYsnQR3rr6JwibSi9GnVZD411cWtIQoLEo0t1dmLTE96AD EVi3OYjbCsiFTsLIvtLIORGWszAY2hzRF5hi4B28IuRRX6gpF/+yo0gtt+IpR9urlnCyrbSiPKdi Mv7HpYr5e7evufpV7ll5Iyeb3cdZq8elGU02GBr3MBaGDTjS44s8mQ+hqgLc8tJBZy6xIJNeytRn bErOTM3oVZ4L9frypK6ejHsO5huAYI8pXbPZI+QyvQd84LThI6gpAHLGiN3D+vMOEUJ4w0+/svhS QV424DCc/7GJNF8thqmfalJjlb+Vali/R1emu9mMZl8OT/+MJV1PVDaC/Vagk5uj9xyU91WexR5Y boNUyMCFVWTQ4ZXVROgNbPblwXax0y+wn+eEtNqhniB+VrPhXbJZ9yIx7DPb7+qBrX93aHVrDXD1 2Cn1H7NxZpT2I5eOhts0JrJCr7iM4dTQwaB/cys9hfVH9jG/pFmfCR5cuAa/zWvp5JkZKizqpZMQ gundH/6RetTJ5puqtmX75o2so9Jkqpok9ANBj6EMBGHrr083pm4rmLXN/LsyFtJxl3kjbmhKevH8 tHIcvVxIhdkAh0LsfuQe7WYvqvZkvY5ivTaMfQ61wFFNnNcJ4xOz+vOqG8GW94AR4YVm2kPEcErN xaFrkmB8heW0cX5wBNBSwAH+cmB9JrgEXip7QVgf6W72/yu0so6PrDZnvmocLKvtSyt+bUQc1xch A7WHu/gnCgWbpQknxHQdPyR0uBkqjpKbyuFZNjQLgUjqS1zzUM4g66aa38gOqsLVvxeqOoFWmuLh n5ed6wAWCNozPkgijYpQp0yd2+ii5ukUHtZ2Ua8BF2hKyT8SZ6BcnPppsc7YNFiWLKwZEK3laV7x bZW8Mlz+Nw6pT+5Y1HecnY3STnws7B3MjPYD6/2hCN/gD7/m2vw8hyFPwux6uHRyfqY4zMQlmErM BeBtZSaFiz6fwWlhE8M5vGG5tlCwR+kpjPprY7a2Y0srqwpXJfBZK2YOy/qiiisb2oSquSw0CLwX 2Lg1ptUE3g9JlOUXnHo/LfzKlLuP20Rh1fmCck950KsVLGlZ1PvcS33ScP5feHO0DdckgGYw+xkJ G0sLY2KApWeHUVUUacLoqMXHAwHbbEV+5VVO8VE2yY87GfF/ziDfUb3sQ6yMMU2ROtRo5rg4ul27 Y2DuNocyaoRARF6wG0GIzipe2YaS9Ftj8olxEaXX4GQqg3gpgHWYmoyuZLSAZ6S2C4UbVRZ/neov xWDNGrNMnynxzLzgY2LKWOde2ctsUn3ez9qrt8zs4UVPbT6hv4aZU9VQnc7lVNcJNkJ4tGW+EkaJ T8DM1w7j/PnzZGJyCWCN4ltbQkQFA7RddUMW90CqZ4m9WK8LETBionrLPWLjupIPbVUXzqz17sAA KisdKlmIctAE0hYGXgPRMeAnu/OFyZKECj/m+86vtbK54ZXTyXrBHaJ3T9kewCRdo5+7J26st1yH 92K4LH85Y3YCsL7MXsVwEuw0LSLmET7GRpt7APvfNl5GXDmYhHlyftu9u1PB77bVRPDh9Qi9oUdy CKzgPAN5oxczElntuqTgS4dQDKDJfJPahjVDF4wfpYPXiXaqnuvwLOlXhEcv++rozuwg+yy4T9Ze d4PBW2pBCMlBeSswVdwvNDVEhVyRrAXRMv7F7WO9xBB1+r7ngDnAixF0VhIx8vznoASWpCMWaZQz AJyvTKtK8+r5dAOC58ZUPGrBB86V9LBwScoNCrm3xxHiqYzOKcadlKsCUAvu4N1RzN1clSxVlXQD ByLah15sqRZsuyetxunxISvZQCdo3P31DJ6ZtN1vp1zmZxTAvITEsHupu02OZ971du63jKUh4QmN V0yzJIQ3MxnQhIy66+rrO89DNc1GqOMfWCBS30BtSGH1JUE7bXwdMIlKiYkts00tt1Al8COoeaPr DDqr+FdO02xmUM79DTWEBORupDjG8DSnaJL7jjvWnV3PCCkpNOMTbeRp0ScLgl2fgxdHaxOeDiYJ W66Xo5xUY2IwXGtMd9CrY8FkuuUSeihqso4/HqYx+KTGI0n3voppaS17qmttbKtmQdnv1B0cVIEP kyfCNVRe6lryp0Vhr3QFSdu8yA5uEliQBdd7Qk3aChlg0EEARuvYqKhyxP6hG7YQ08yuHcL1E3ZW bcc+L2aErJginzqUmCdQcAAFjfCHsuFp4SWA+heR5HpuTuDgGXkZVxbyW5sV/b8vhjgRMuXroIbN H5HqEdtaaBGfMQDCNdl27mjdxXHpumZjbFNQryzVqzrcD9PnDES6C6WuinAtwHI6p4IhQGQOmU+K CFpW5LRS9KCbo2/N8d9EAt1rtOZ3RcW0zkLz5KkFxzmjQVJ8bd7Qti0ZiQqk+Nwud4iOVH+pv/Pu ion2IFpZfVOf67dsqu9NhrLo96tTw5WvJ0A3Om6DI+SbN0sEN7mfHUo5nXKO1Pm1X73drt+FSb2C Z1BeCYBVSPv46TPJCIHthIEGkrvGhw0+a6kp0cY977ai+3SYcch0/HzdLDNVNh6GCnykG2C6x6UU 7MKGoCVue1q2bR07XFpyccpYmFPjQWtvQyoKm4fMfmSF6v14BDyDQg/idaakBn/um7hnrbMoZZwT JZXwDxan3MrdFnw3HQ2pRTqvAb+7XNbZySdGN8j2Wr5zej0wwmIZS1VMiX8BaqqT+siRWPiyiow5 51VzwljFQWY+DNY+GnYwhPq4AFo3O2YiGzFpsEfe8uWD4ug0vLHsVyMaBMbJ4eJYBSe8uYM2/7dI YYGErTBbxA5/r8+MnED1lQIs5IK9MaS7aNjPKWKYiLmMzZFJcsKqMN1DqyONaj7AzXcGZ9MB+EpY E6ZwvW5OQsgZ7PyASbTz2rGja1/7r2ueUMUSZ6jBEgihNE8BjG8F/h56DhjNdjMeid+vIZA+rcdP z446EMTl4dp2DwAQgf56gQWazhpfCnA9I1mJMndwjUpkdVJtpVSses77vxtWr+MSi9DF4qGvkvCO NXnDo/vfSE4YbtWb/WL5x4crsRpqe4XrwaySg139nRJNePD+Wq8XvzmYIsmeBCXFGMrfPdp68wqG 3SR+mZjIyOkbJu322MnM8Hd7hCqrgbWR5QgLh4uOGesDIy6s9lsD6MAyqN5EfMwaeAXg/gTGsh80 DHIpkiRQ8oOSxqC5jL+iQsxJvxnc6eGGiXXAOCSvqDUxKI3PefvBINE//hdDSUfaG5hPraQp65q3 ubU8BZAqYql9gA4tLafE4lV+xhlzdVergBNCJ5YW00uat7VDWnKRUQAXmbfZqX03VR1UOOtVwMSh IFrUey5tVKp7SsuFr5IvrwPl4PNkLsDAsujtvZT2F8cO+bUsxJm5Q8EhR7/MDy/+NJGIL5YTpJxh V7qhmie5W8S49MktWEqmPkSJUZtTQO80FWX6JM8Nh1vsrcdVGJMe4+1KB17+S5uXfJEcaJkZW30+ xggdGJurUrAtL2miBU2sXll5mpu1KDeVwT28QWIPNKeo/z+FNPfD54F4abv3CR9E9geql9OAFzmB LeeCJpnuLYITFF5FDmx7ZdI3r/aFsoQAWEBBBXz1R/xLtZh/hk+5m78GBtIS7DTY9H0ZHpw5h1W7 marWBy8S+HmudNnaXuC4BbbF8lk3SqkvvnDgaCq+zcSkeGR6MBsVorCATlOWIyvNQ8chLsSEU+XV /hBbVwW+zxNuNfo41aLoyH1DScUopVIHIhf/WVTLvENCG+oq7WSGg6Wo+Fi80sj1nW+L478WFqd+ Jb2PdhxssNX7+7n5bIf/4zC9dtcnNBQ3ZdvQmH/L6PMSB0IG2IOeF+i9Up+l7vrtOGTofJiKq7Hr pAu6Pq8mmzF+EX90JL4hJvsKCll48Q0O2V6F/hor3Yp/TZeC22IOrK5OozwYGym1fcf28wbOP3Tl uCMm1anURArB2V5rtyvcRmjbdK+6vn1MNiNfS53o/K9CdOqVDMzYKWVd2IWvprutphE9L5KnlilE an89ZtHspQ5Xq6Zpc748WlREtfgeeH/ABZnBEzSCYVKQUhk+MNCNvPdM5G7HOzadtNsNPhQ/d3+b P5wbRb6iu4iIW25ZHowkrJKNeLlWqa9q5uPW3TQqqJlOpRkzTgwHj46DKB/pTevATtsfTwqbFdkd nQbgUjhBh+G8254jqXpehmKC+mnIYhI3zmc7nfaGOm1tEess5h98dFh3RWi+UZl6tVTGR92W+oAJ tIPCi/w8Vk4e0SYQivANVA5HaEfizSFc3+c/drIIOmnKaKU84R9QviNXO78mqczJL6ZGz5VCjycW nIcFhRdiZBr/IWqqeaPa2jP6TEl89ocErhz9Us98dLRWnXCFbVu79wIwq4N1PtfiI8fU0Iyh2zLW OBzr7lySmuWKb8lhp4wzGyc5PrJEKHPeW4XaaMtfIWAoUTD2GiD3RDiyKNjsl11jlfT28jQkSI6y ptgFpPDLexs9FsF840rqOJQaCqR4/7vh3K6hcs45Sqr/2TJL/fnl0tbldACeJGuSG0IwlB1E4JQI Htj8Ytgk4OAINjLqPZJdyircP6aInrX87Xx0AdPj+4tirq6ZT2W4f7G460Ew0Ydb+V+5AUWeqcTd uI/AqRTdop81u71Nck32i4UIejayP4IpC/E7YnBc4kH+KBuGwos1xaBLBDfrGXtbACxiWlOfRJbx tVJHfDtoPEUar/p3TN/G01AmqoOX2abRQNCigE58rRrHm3MJA3AmkeCpi2Fkstub8wRGtJPlCKkC p9YEL8iAmLxWG67HsLynRVYuSeenDHL6vrsgEf6Ac1hXkOFxvQbZ5O72a8uQ3CS9ch+6JncdvGi2 sMPcxehk3F70SrZXL0DyRzL69+c6oCKdQRD18ow1qDnlvKzbZe//rl7e1lb0Q5rp5j0L0UOe7yJp 0kSLMcIsD489PKtXhZRbgMbnk7wcwGabthp7LuI2K81HFPuLF3h+ctxJhHYg1U9/WUoA1U9EIpjD zYCjScJ4Q6CmCB3h/i54AGYkhjeudh5cddRAFEfIAcbjV0i0QjxCHLD+u712KS3At6LQ1YfcXANl OR4/VgW/fqYyG+j/030R6T7EUZ766t58eSXlqEJpbDXrO3K7XTYaCukAEdf28MIbmlFoSWEWw+dR wv4E7Hm/MTPEPULnY1DrwzsNuLTo55B0glQIUOgZDdSVFAlu25ub5JG0+RVzw3jST0nkK43e/fUm kgLI6XtOL0HioqCiwfrlDdsF2BLgWtADKn3SpSzvooy/g25dejQr5QRmgc6AJsfcPXveXxMKYz/x 1uiT08QxRuMbct+htXaC6lDy4uh45dJntHrxIzDaCXw2BcdxyAXzUY0bKeOCI6NCWNnbxSxoyqmU GSnDQBbIEO/W5MmxuXeDYfGMH4LZx39oMPJKo7wKq+TLYGQsAXmi6rGW6zJJLEB3gArpG+30Uu2S 99/S+z+nK1Ubj2IKCjupPiNGoDdQS+Ccl3d0ctxcmx3lnFRC6x/pkb6KkItjLnkLRx4ggOkAwWaA Xnsgio8vF+rAoIanRgalDrYC5teyZz8r3ya4Mb6G6TX+M0huHlzbBG95giaHM/vPgj2rOJVNq81a RK12OlI/CMzd1oZniP1LqXMMidV6w1vjPYB94dWo6rE5xxd5Ydc3oCi/wQ2Wf8c2WBBkU3Iz2ZHr jAvztI13aRlnZgybZsJuip2JnmX4yHJQRskjRq1Rvhwggjd2UR2TrZ8T85ZSKswrPH+m0j05Pc+m oca7Wj/77TPyCLWmyzdjYEDiCizGLqSLlUhm+d5qmPTghULLSFtSN5sC/2egNBIP2+ecL+n7Gxdq zw8Kfr0tOCtXv7jt0zBqhA7dqa+iguICJrAJkQhHI+OjcDQwYYPK7uslKLMNYAl6wmFYtrSMjyW5 qJq/0efr0Wd0p1As5YB/LKO4W9/6BNXj9VWasZgfM1AYHkist7iEzXnTRHyKpYVgaGjpBbrTScYc TzuoAIjMfsS7WoUBZ8JcZ8cvRfZQKOgj/yyUMBeBo9Xq9VlXvprDJsX168s1SzKFBqO1jFsZUjT5 E2WUT36FwirH84iP6ewqeVmTHNCJagz5xk8TQky/jMbcwhINhFqCPPi9/CgE6Y6+QBy5sJJQgEJS X86RhJp7i8zpU9vTceTRMStLOwLjJvBV7kMqvOeTJEAJXPtTgvtli8VAChq9NAh2UtWvFaa2V6sL QIwMdThAaGT1C7n8JPzyZUxUJ+i3KYOc9nIHEtRUHB+6cG2h4GsJhu8m88L07M/Vj3piNfEi0thy 3HpsIZH4rQikeMGvA7lFOfesYRl5uWg0gLIdmzbMk9JNKDvkJ+/6yf3762kfNh5M/XUTySNz5+pq cjlrEe3I6MHkCezgqUO9p+RrQoRdzBarmTD4CEZIODF/DPeeTLdlDXbEmGEzIbqnKJRYoWsUnk61 bFQadqGK86FcQLeZ1uhOhT/Ybb8iyZxpfB4cWjgCPJFallAGSB4uMrp78SkBw640gSX4XY/EpYEG rXbQhZWhzHN7p/eGgJibAj7Tk1VFX7OO4WncgsoVMjpEgYFoORKMoP/ST+0zCD+f3K31ltk22HPN acvYBwLoW21jGcgZeXy8LNIpc5sC/UuIOJ1GckcGcfJX6pMcKnZ89wVVkun+FBB7stNRZJEYLd9C jmh3sil5hcpfsILJrBsllR838Io5NMiRGqrjIwidBDamdWszYuoqKfR1hr+ihgNJ/XjDYeX/khbN Psnx3DSv40SbxAuYEtPIwPa8bO0LlqXTj4RNDnoOx/yCgq4JO4wj2T4S60VsdvEDE7bctQsYX6y7 FkFhghCKCoRE4lO4Qwyt3ZtLqGHp1fONeHAfLuDpxeYImbpAsxiAmWPfCbogV2J8fvpck6gWJn47 ET8JYwlgacPIfbtVQIRVxumPAn8ScLNSbUSnnjPx3CfQZwoICFwy12mDWnwTJJJXZn6HEHj+iEwh cycjSLkIP62Fy+cqA3OOSiYVUf9ZSk/Mil6eOIgKdChOYmr8UeQwo8ngiZIUHsxr6I/1wProGQ1D Dg3p5Rx2h2dP7mm898gGimFXvdHfqTPgmJwUqnEMo1VdBBUU7/OMmZdYxChht4nSnLSRwMxKd24x DWzmdc05VD93oekjKvsfi6JtltYHXu/Bmp3gbirJuybs+F9zDZ+lvDGipyf15YoCMlSkiMQasqK1 Z37wupG5g2CPfMqbUk8vsvnB0TAXGvlvTlipsEKj0WoMKVg7ZEZsZFg3HwteDwtYnsVXmtaVrI2f aic/Ar9fzGDTpmGTKY0+PahioloSadKzlufCa9W7vMNrzA9ZXoopKksCFZUiz1WSBfdQcDZbYmDn PiFqvdfmoUHB0o5hN/wPflOtDYekEA66puvnwnJPRpX8kYB/9r8QAimboIAkMDkOA6nUTqxbBuiN 58s7y8tPrN1r0VhxoD+ywBv2QkWSjSMqnovm+Lwd/Vu83hBJd266rZgwPd4xR5oKw0hkH28KJddp 7RRfbGFyE8jcQSG6HL4OmP0VL+IZNryNXIIPXt0SP6j0NntlNH9dvzpecx5xbW817X9bkYFrjW0W dVkN+BvCYiXupJhMPbk4jfwevZls4Yqc82NqRgTgBOANOPYyHV3t+6YQ36sA15vJLibrLNdNv1cN hS8uViF6J3dg211mMYnlB9aCOOLvuWKn+ymEmGpBARnIKlUCjTDuN2ZhE7jgaE0xb9fHh+twM1LB YmBfTGNSAO5NdeC6xedfv4VGEOi10LvPnhyUNYoTyhfnIZH9XfJmoZOCxEYSdm7us6Ge0njoEctO /r2fd0lNh+UounmgzBsYFtts06gV1TTBmV9EDYUSGs9y2F40nsuD0c6sS59tVYFeXCZUvdalVN3K i7Q963bADODJdoopa74mTlXmwxqOIPOgAc1CY0rzihOhr8E+UYXCzI7eKtj48A/xSa3ySecRv3KQ qP/PL+8OVVJY2o3r8t8TDwIftV6cA5m1ANjWKZRf5isS4k3xBjfoUcJ/fhdcSDXy7Sdclth6jM4g pGvc/IJKs3z17I/vt1jIw+ZQpBpxadeTDjTbFIujH8NycFmIltdWrVJwFGgIbIsWvnpFU+sSLyXn CMv746Zha2swASTB654VXTDuzIWaGUVxlJwXtxqUb2j+PFRlc/vU/erBQCHvde0T70lririaQDWF JFH3tV9MIKReIIcRrMfETyZ8pMCX+A2kp9N5oL47j5LKU6NoXyTCd4cHZDH24hgBuIFbap1Le4sO xU6opqi5EhlOZoyE5+zxtJVAWkQx7Tt801fXL1XWv+y8S7elLwDQtbJvpyaRWCzbBYKTbEYKDPq7 VtHv88JFWmA2P71ZRHaXo07YeH2Yr6oX4vo6du7sRDGsJwYQ5NXvEWiGNx037V5nPRdNXob/ChKu wlqy2hG8tLz08JB6fg== `protect end_protected
gpl-2.0
4baac0df1ac84788171bdd53eb86dc72
0.937858
1.870385
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_viv.vhd
2
12,098
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X1sES/2GZ47O/XP9uPR61xt0bkShd2Uf6lEpai2WcREwuXwfe8E1mQ845FEDnhc9em0sw2z+Fy05 +MOcY+8i3g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Aei27g+UcLlFG3kSqssNUscn23EQkB7J3S1cK25G3zt2Mcz0inyPswlKjlE2Dio/mUWT6SA081Wl j+BMVbMpH6md1iQBtNynYAzI7wOIlnS+vQwjNfW/oaHWXksjFbnVc9XgltxBZJWKt9GKDdFArcfS 1jtu54qIWFww4N0tmvM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gyn3YCrSAGzDB4U3aZTwyTHHwuLT99pzH8q93kJugBJtOK4ziEgkkjVJ+qdz0xak3hW6eJmoHfD1 GDanBakAHKjUj4wWNPRrR/T9Lizc7/2orEYLVqVE3vv1sZAS5950mMvEHJ5DiWfPHXGT8Z2jFQlu YNK4C5BnBKgBvZeYA1DETJcRFTq20bRnFOSUr00r4onFHSFFYCP4aGVCvLQ9bM2jIv2wuF4fCFDr ivnQGkB02uCdyn20/ZD6jXbTigqFl7EFUMacY9HoDcfDG6PFM18Mh7mz0Nl3pz0cbT5W5vw0ham9 YqF5WjQxWaz7qosTN6sk1eUyECL7Ac65j0Jtww== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0sReKiOO/khylrak4xN1EAeCWcxe+bltkXEYzMfe+8lMN1D5L94WN99i6CVZDV8+N/78eEXa1Un gKE4OspdjWpLurrRHM4mwXNJUWXtPx8WqJXG0eyddycuW4CdM+4ToayD8i87T634oFKZFlhqvKDj gjprTBZU9uJXSdFKKFI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block od3ScT2ccI/O5ceTVZCnJFgVoPitZT9zqix6sw88NZUzR5kjMEsHm4OiLF1Cdwq4b7L96A3JryrS EJg3x6ckYgJhCkiCT0fEVKQA00GigG4dYFn8KYaEwsdV2BHe4bTQBd132ZdSFPUv/FrkLWuYoPLz nXPhPLzV3862xV/M3a0+24CwZNO3W/lQLDCa2H5TLyhuO+kpPqiCZZU5OITxmqYzj/IBhzErc092 H+4mgGIXumqe4FBnTOUVnQa3P/dAXsf1B0TNK6m0/uVf/d0lt4PqwvD+jnGPHW7WAFQBzeLXFygO zOiA9AUR36crcIclZhZPyhFss5hnaNIXMLQtQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7216) `protect data_block 4rCLIMdHgpLzzi5lXc1DW2JdkmZpxt90z1zcqoMVU0mZeHyUAlUQQwBRzQgy6mwsCqUYu+JGnovG Fte/Rx0yzwK/gvabXQSzXpH7tYr/aFGANaoBTUUbSFum+908IdsipZxTMN36SbQCF4iekvjVz2Bf RkDVTn68rJYZuERoOx2OwSN78x4GW3ban72UyZuySpliKaNGKF7pCJYiK8Da7Jkt61nAjaj4cBty 4Uz2IevQdN1WWOf3GC45OJJXnmSjab6BD0jRVdChahGLspQtoD33YmJeohCuqms9tWcw1tXIxUbT zdatKWthjM/BcSEtSPRctcpaLlU4YCSu7reo5nXjbgUBsZUXkD3cRuCubLRygxQ/waNFJrx8lcBa bq95l+u5VA9/hH1lBi3YqnFcvx4oaRQ0wW53c0hOADeiRAGiL+HesZJoyq7K4vMAjEyM+OgfU/10 D5tJ5DT5zuR0RY9UU696EQXPAoiGoMWG0jzr83Fwj/XqSIP4fvb0Nl38GG3psrRuLGBc6Kq+cDE7 Gsn4dD4AGcbheasmJsB41hn4AnJuBS7p4/DCk39hC+29I38zUn+ehr6V2P7UO8xpIXnl1yKiRkRs A0AGd5qJw4MFQ6aqR7KwPpzOxzDNcL6az8uFJOsKkEOiJkocebdeRjT4XXm8yNlSb8cKIHGmJJaP LNrIsxxRUbl8P5mi7X3c6ymoYjFJSn03L7bdB4OQD7pIRyYYcn9n7rjc8Vj21pnbKET/ad90Vq6s aVyJibaWO9SBpQS6Mpc0JcVnDJWUwNhR9kBPxIHWLhDXSKOMhwl7i6m2vs3eVVWBr7UjXRNdyxz4 Fj/HoqXd+bRAk+kK88o0WbgY4omq14muCmrYAdqFAc/pQ39m5ftfYJt3qIY9rfFaNbxC/EDvekBS Ei1GYHHLb0FYj+njeOW+dloZHKNxoG+AxkYaxPpQL4rtFuyAeEkZ8XuEf6aIIlYXumzQYjkE3S5J YLNwkL7gRNJdXZHGnh1fGgMLyUqhdFW6SU0RJ+Lxtm7Ux74UDf0cE+BrjVSRiS3IuNMyE+ogvxTR Z0daT/pOXCcuRXEqmT8vzyZ+GMxKJ1EzCiAhQ9ncj0qGdme0N6ddv6ua78ZGCtUaYP5gEyXUrUp3 W+5CEXp+bWk+Ux2BQ2YefMvsQ9gUF4/Pt/tKa/WBiTPi8WTG76VKhPWMc/jh8vjzJkhnSNSsy/er WCVPfwsXcffGORAJhJ2d62/paC+H0mlXOpBpm4Q5WLIDCAOy79fw9cfnKt2B+/wGobGtosLOR85t PfjfHNPT0wFOqICEJHFxrYod5UG9XYFtjA34uz0uJLSfXItugMd539M9eiVA9EobZfU3t8eFN4Q9 EKQTMBp94f96HcIMNZOObF9v/0IvLYELrop94oDcqCr2zUv6YHcePk8P46ieI9JiqH3fWJLsCFay plsyAszXvvKB2+IwRvTHyQsPfZHILFEfkM+sUPY1uEtCNQMfnjGvKCE4104gmplcjGpA748n0dVn Pw2nSJHQ3XSsqFPkuRdRW1yA0lKPrdpGOErdEhG9T2qXBFzMkPkI1lU/GtHO4xQtUeaW+I4bUZph M7z47Mt+WbHAg2kWwNM3P2oGSas2yGXHOsfEB36vU0fD0LK/QR+0eLX+xvbTik18MCMIE6fQXRAl 56pdDRDTWrpHflCESKBmJ7uUbku1yWHITMkCZLADHYgM/ldRDyHMU0aJcrOSOS2xe7slrZt3zw1u ETk7JfxFdqJRBeyG7e4kBk0dCJOHiNt7d2DcSX2cSvrvnU5BO1MZXE2p2oiQiy8kQlMPvd1z/0uu MiVQE1UQDlJv09CeyX4PAjB18bIct7Hj7U+HZzQlTmuvVA/WxVq9yp2MutT67m0Yx7GnAHEOCnkB IKTCY8xo55hD2y/qXLp5wAdoW1z6jpQ6Qwv89LNrkGlIusAzoKX4P/P3BH3m+rH6Kka+aDhTgdFL KHbnjo2762ykXvrd7sxKOHEi1O56OHLleNDCExIVQ31Ez2d8bP57Wom7dLzY7KXK3lcVOYjkvgal FVSah5mG0VWt+AnwGthQjYoOEtRY7HXVO+Gn5AYPB2wSsVU3ZKaGm1BBay3u2DJXDBxcV4S6c4t9 +Je5JIku3q+tIJ5cxJD8+H4zwA0qwi7uHJRaP46WfQzsopSVti8VWZWOoEDDr9ZXvOSWQdmR2iIA gKDu8n8N75P+ZngSx77pDK7IxDN+jBRtfbrlwvD7iDkRjmlcpghV0aLN0/7rMjYv9ssOQJjBAHzK TK1krPaTo2T4/0udEXudxNBRCGlK4aHaN0/cPK+Mv+FKRiOnQ2co+uN9zuZ+9AKNk7ddQ/UCJSKQ 5mamCl7cWhduOxTSK7vFlFgHQV3DJANz2BYXsdZ7XKLPCtTOtawgIRWLVeh8J6Iai+7NB3FPgZU/ sydXolWHJkzV8QRfFSccYT8wj0LcFhUbFFoXTpYYiyKoOkpns1Tky3U1ZDc1qCLfExsZB5lL7DQl cKXiGt9hP/a764gELMRGIVBkPOx99onJDFMdmPZ/I1lviXjE3CV+YF/Zyz++Xfq084za0yQaP5CN 5knf4dI0I5ip2rjA3KAbevpdlmYZCf7NnRCaxn3xEuFXuhIiQtym4a3G6Io55ea6jacCHrfNCfwi N8wmQOPjQ7/zcDKJaCtNhPcJQSVoz53Q+sB/YHLOrQDabOExJuhJRgBjXjU8uWhAbVOWcTDEm0iF 0uY6elctArhSwZGXld8+x01ldhUm/VEB6v/dSlJi/dvfmUaDvOcWC/B/fVIjdao83valj75DZSyM tHiPerhEwq4mXRDtN+qzg+3uh5zMMzAHYESUZ3FF+aE4HrqWOxoPk6GT1nycH4WIW26N2QyMm0s6 w93ymQ+qOl8r3JuFuqNMryM3Kb5eJk51ZeqhKd7XcPQL/MIdTtz+jU1UB/ngSHp+Cn0HBKDFAjj4 fgrZGE08X2Ji0blCfdpa3bakCcdUt41qWoUordJLYGLGwv8Ro6F7cfnlnWBgMwR0TYXLQklD+BNL N9ADmIBPrZL5U/EcptbPEk2SzjFC54RbwLdf1BLRVw0NiGHJUrEO+oJWF+F6mZXsMPtiLL2+HjMH 27oMnZoyTOW25pT0EvD5EW4UmqpuxFtcZUqJpnonD8UbbM7RJEMUILzzYLIX3Xm4hzzMbF7L8W5b O8w2OC6+1u1jWCm80oiRKTWMOZePu7usdVLPLMf4+LE2gpPmt5QA21vsg84buXiFiDhFP1UQLaOK VZdahYrQ4kN5rqYR+fxwCbVuqooJX34bEJCk8kdLFrHQy16F4YoVBQIOKIjn0ivbf8g1AhtdMENz JVVxmOKoj292PErtLHPVQqdC3s38hYeROzlbW93VJ9KbGczGAe597SGMRACw54HWrVDOx71222tl o8PyQaWoZIA0m2CX2Y4/aVj8q2eS3x+/LsGapmJ1DDwDp1B7zQJSlEvMNu0WRTGjuk436HWn1P7B nDNKyuHV9oboE7+eHuwAWo109O4LJysR0h0S1KRBNpqk6HxcINr2kViBWXnKw/yecOKLsdqLxAym B76Ewp2cSW92ayszif/ziUJolkftEcH71RwcyeLS4zcpuZVBN3ogLgzIao9M4F28WkDQk/cc1lPe DfE0ptRRMn/gD8EXkjC7jvneA2ktvc0cUfkHJOeID6LWrM6ArXS3u1JUTi31DSGwhFHLpSCFTrtl MSDokS2yYcwF4aGNQKD7d/UvCGWi6qeNEJeznDaL6CJbc/epLG/szuo49qCY4g5D6PapPhPR9heT A/dl/eHrd6m7zClM60TthQ9Iio0i6U3tBI+PMZnecb1x4J85LIxGeVPCEwT5xMz4Q2C5yIjP6M4k VQ/BKirJtcyS4FHKvlMEl5tOSGwnoMdBty6/fuzJvf5Y+a6M9rla2wO/tPLBNDNa5DGeCHtpKcET SHnxaJaWMpNnOucwbdFEd5VJ4AXA72iXwvhhzVSn+G52+kyiXSSyQpFSzfzH6D5EtWIxW/tnK0wv dY0UHYadm9DyOlhbv+ItN0LqAApMqzVnc+RBgXj0eErIwRcT4ePg7DrqZYhFuPACSrXH8/2vlQe8 8fdk6Dr6DhGJKxjNu0oXN6DPhh/DqePw/J23qHw8mcVuDLVM7J33bE/WCneSVOb7IgghVlNLo+Vo oaNZvdK/n4l0rarJpmTKreOY0py8vBaSGBh6FSFAN9aUpEDEDZ4iSvaaDaHZl2GxZNqM6CdyYeSZ 2uQRZxJRKs6FvItYAUe3sw+PPDIx3lRvZ2Ir83y6bS5tVZQyYaVO1KZW7nPdBhG7K6tCj6ZTDSw3 H3upa9U98HnMXauv7U02QkaTT/EsjRL9Qm77WgyYnYgFYEhYphL2S0A1Jk20cf9EAf4huhnDjkG4 lLHvlVivD2t25H3qfYyZa1mLGCrmVi7+LxHXXp0UWB9X4cjX72miAId+7FAl6NwmnFqG/b62CIlC x+Cdy+mDlbkg7T4eZcSDvcTKRbdr8gqvE0VifMJGvOf58CCF3OAT6LDcp7kZs9nPrGQVgWOJfaBM JmVVVzQMGV9wapqIp+zM31wtQKCySE1A1Tz6aaRTW+TeBgucjVDmMHcvCNahIfXxQYx3DYDxm+Am xGYX2Xi0RkzfYDwGMplOnIzBCWNpP0e2/NY37DL40Bx97puovst3ab8jhGdQ8SAvhbhyfWRMd/V4 ygH3bWv6JnxHpfJXoqComNInaYNYho0+QUBkXRx1DR9Gd0weExyo9JgVqxWMAZXJba6JNsUkU/dt exgL0rrOCWk6MaMDIyJ3FwR8llDyGjAvZOgCNxAX+8QJBG/AP5QqFT4AOrQshXpl8XvfC70rnQB9 cA879lI7OkqD9IIr1XMW1XFy0wmIerVDVEh4cKFSYNhmLt/RpF0BzOvhwHMb80kKqmHeyF3LYTP5 kmQkQZe5+w9Z7OocM8QY4VNMezs/0VTAgHl+tJQ6I33ZJtxzl+jjkZ/7oZ2beGkyrxE6nGNAOA9d dlwIm+st3OaHCXgYvPSpCTHVLrxCORj11CyGj6PrHZSb08aEleQjsuo83aUk70lLUN9shuse+IIj nbXbt6EV4VYQjhkwL1A6I3rtL38ZqN9Z5FtlqstxUAO2lctKOzabus/MmhOl9XrasxKS8dEvJTjK uq+7iJQLcVISWigCb1oGVQgZSu47O8AYv2uygN05dMgU1jVeyPrjehV1ex327+GWXlvHCau6cEiC 5p0E0g8PcqKAZCBa5KAvbM3Xu2yO/p1YdXIl5sHa0Hevn8NNI+2GCDAvcROqxKMi/Cp0DDpsfdwh TIQoZJRgvRIStTPDWnM05vvxItFIE8BeACE2+Tt3vGSGPbOyLIL5JCZ6st85Yim233z0del+gp5Q S8bc2M4HLqO3fJ0Sz8HvBs3t964tTUkXwf94E4LaOW54qQVZW9P11BAsO5MDJYnSisTHg1bIZsjn FeSAFNrUeI9zFE1tgKCIpWaBDcuABp7mhyKhkBycOlmkwW0jdnY0tMJctcrKyM18GbjCwcPD9i3C GEjPOh+gbZy/rgG92QaCjLRHrajaHThODk+RdFxiTeb0CCDFjau84nEvHyt8Kog4yUoX3zySU/RN TKTqg9KU8Z6f7Jeoz0/2ahBXKJvkXasSnFM/L56krlK+orfmqnJRyktPjA+s8qFBaxmerzktBBi+ 32oh7pzcxg4PvqgxrTLVa7AFubt5bXLQfq0jiVfzV7eb7oG5v0ngTY0M+l9X/8cXV+tbm9zpATyq YjoqWbH7WiANdHR0cFxHDcgIR+U4mLDvyBdgznPdGV1829yQvkGgb3QJBW2xb7Kj3UUB6xBM78de j/E1EUqbRnslhESRivrKY55b356FT0nxbuRUy9JF9cs9P3a8MdLMJjja9Vv92hDxzJb9V3W38NqU S3uasH0np3bDElJvDlfMl9XoS2DG2m+w2qgJ7gE/2UpHF2/5bXmgFsyk+76r2lkIpi1z/ve+ek08 QDOfhTGC16naIm7+ci2h3Z5uhjaE2/82HNZZSAdTWUobsjZ670lPVC8lDbnhnphE/fjYIAw30M4y 8y3Onn5kxUfSlhABaYB0iBgh3itQwCAZiItfolF84nCz0V3O5hL3E4e4AI4FWGwbUum9b9ILHRBh zdvA1Xi8GqnUO46w7VXmtjGsHLfZYRJE1hsOKrtToUsLDvvpWw8uKen5qG9MDin3IpMGLoMmuZTc uzRUABcQFIWdMgLSf8CccTGxAcESg+/3MNa+Xkq+AsO54EKcn4Lvo0UKubTe7CVOTcLk9aCOXApo 7uxtQIrtPiC9RckVMIomOCs/f4ijsV2AVIhSYpPtsBkMEU3sJnmqgYvDiyc6vg/ooMqy1wyWtUOh UJEDo622YP28uMEvltiv4Rz8q3/PxR3ClmMJG6rrUUf81YgXi/Znh7eOM91xIIWmEhsyOaRwGN4y cvSRCehyRXOK2xPfopWK7g5lm5zPVrJAc7hpTuEXsm+Q/STHRvAAWU3zIac/LrQaGNwluWXe8Lev J6ZFcCLAUJ8l0ds8/RbOZ89unlIlsGaz6R4ysrFo/ttJHzS+ikaP+XjwwPdLmIWitkAJxTC4HZ6D MbN+GAJoqBbkXO0tujyBAW3amoSOr73BmCCcZ3TQS9VU94lGrbPT8rgkJSrHfUMPRLn9HPL2Hbzc Gb/ssS1uv7MVIGMxUWFc/U/z6AgJv5xjd70X5My6p3JN9KoQKEwO55sGBnQ5GvOU2nfhK87S3jY6 k1NJAcxWz8+7MF5lll+j69T+AOOxrIv3qMu2bGMY9/BoUJCwC0EHT6GEMX7ubEvhOhfOcsWhbglO 3Hju3FCZefM3cLsaxQdOH+HTQ8EI10vfEyvNFnI/J4ZsXwpqGMgBPQoTbTHATvlvQN+v2+b9fZag fognoDUSE8nzIo5yPYhBnfiTnC9xtifRh101ufKCNBpR/JryLaIqkLOjvKb+ADVmVX+iV4b3zvOR bwtfsaX3brxoBW+cxck8mu+sj9v5Y08G3REBUUk9V8uyTU6FkW0FLQe8l7lgh3nQIqyIr3DplZMj Sp5B5mkNy0i9mA/rN6RhUrAmneUnd6mVnI4gVUucKi8ExARst3kDiUEwpvhbB5o41CmD0R80jmE5 g47krH3qAXUorOwnFk1rRPxS42X+C2/51GSl9yoOTyRrg8HsRjwZ4pO7bCbKpQ8IHiFcLVPHSPaA Q5yPqHThfaTasNSB3zSzbnXeQvtHZzEsEIcc04tysLVazyMWjBHqAl5wyOKrguWAfFti8vlHtplZ KjcmtpGt3prafQCyAz5p7bSb5QvTYh9Yi9LEOio859JZCE174wtbEKN6z1jxq5f7AL3/cK1EMGkB npK4K3BZ+O3rRU0G6mOutoDbUpb85ZK23zIsXJSAZz3EKXOw+l244IB05+Z0cImfheUR5SJ2Eut9 BE+69t9So+RlrTvyVKPFd/qB0ur+h4JGXskhDzAI/wqf3OpM1C4xkjcYeiNM1DVVDqJAEbhtR4cp oYx1I2/1rah8BWZiae9Gz9l1tgC/a1lUWTm+dx5vz/FE+7KYEJA7RDA0CAmgdGRxGkQmkNDO+LQ1 D7XyYy2shl1iS24l5UvRNwuSreIdKB/9iNNfuW6z2GKZPeJaK8B9t6Qe9XXEVFUusgKtfGuTyN8f G5gmosuhW/CZ3xUM1v0OEL9y8Ul536J+dj9QFTY2PUdToOTEFGM+YGFnearJJwNvwZICBejc4nRK 1T8lzCidk8zjKj0rNUGIEPpwc23T+oeXkCV+QNe5YYF6Sq1/HMj3Lk6ai5NuiUELTMBxrIQJ1FRn BVnlJ1Msxhj1o0sEmcio5iasYKGLi1elpr9MOC3DSW6+OIySBw891QW3wgqi6Z4z7YjDyRzWWpvt 5pBx82+nRjAId6CwWiY5qz1MoNkzN87cHB1wqjVAQSJzZCh0MZkCGjzNLC01bwQOnAJMXqwGjspK QRuqINUk9B+GR1s/GEDgT6Ly8NHHnBKvUfCuuBP4fS8Z4rNOi6vBojMGmue4wZoppeVHJx5WKJTc Z0js0kkM2XVHIgQUuF1/t4T1MW59xqzNoY6G6iQeM9JHr09AOQn8K28LVI+2FrY+yL4bG1g2Ppn8 Ctme13/fqMBH98ceVQtiwduuAghYQRppTeL3IjDZZ+0vYys9kdntcfkR5ocQauPWfGyii0WfxXDm IyAVn06/L5/0DhmAHxeUq7dFmUcO/Oe4rxCsXuuBQRPvABWFzHLbSHq8IO3HUrvAigvBu/hYfcQk ZvoaMnMBRADVgeDv9KUG4tDr2geCItbZIUiWLqHOGGDT5E8uiR1pXQIheAZkZhqtktAvhUyFs07n RaYqCf+arwYw5SFO9Q7/Vtu3Usor2hhWGMQcLLmkSKdQ0yOXUyq8zUCyL18uJdyfBSdd+edMkOo6 XWNpu9EEOYy+Cw1Kaj2wiNB5HEPGrQX2tAXmmAD5Lp8liBDbYDTvxIVPi6nkpSQIz6Hyk6MOV7Wm cerNi8yegf9UpL9YayyUp3W+WUk0COKmmGmyIs6FxBK8TzcEbuavyUtVs6uyRSMUYsd/tdiiwr0A EIyEtWhDeZMAd8NqUkIdMHQhuKLOdSt/kknlsHfSYBcForCPvf8BkEM6hjWQHYWWRw5thOwFVVv/ zxwBj+ct0kcUxajupr9y6ZUNxz2aTXpisAnzMztfm8TcnK1q6K95jHxh1sOO4Q/qDKRhcWaY933w w+1lJo5xAEc2cSPsR/2KmEgWnwVUTGY5or16yEYNPIn8DuFxPGUFR9IWiFlPKbuMV7s1spM8ManL cjO1hFshfQpyav3W1aBNarj5opEzn9iAaGlKr3OQHiL7t6jMHagmDloYP65wYwJMcNnLTRxS072+ k8j2eiHILMZFAEJOrAGudWFL7cxBbVm1nZ2Qvz4eEm1qiC9s88zctWnkKlFcRydNw6fiw7hyer3n btgXeZ4o2hJWV6Twre+ygXGAnvgnIAKTHfKS02KwgNqhYVDTEYS0JPBC//pj18P6Qi3GVFRUDTNN 4ehnfvnvt9N8PvIKpSBkWbaYGy7tqOU5vpXNzNcz3lH+h6IuJjJvNUWfiWGUjWgZP91AxfIETz1V DFxMnb88tR+Y04nnrmGVnRSYQaFWrOpdwRWXdtgnUoS9B9YIsczei55gkk2RtLJ7PNU49dtcmi4u YSGtbE02JeX4+pwGLofSIK5o++b5FV+13LBVcPocswplkR02pF0OkLYwEMePVvA5x+mI5K45v5Bm xt/d7C2ctKO1BGT1L6WDweCVa6WdNeosJKCAJwYjc3sDJT503vDq4CNsUkMgyVtRBSlqAToD2gvs 3v5oc6OrWHMSI4UdTSbbwOF5+QOqxWhXGkPkUcZW+9jW40forYw/bpauJcLbRdBaXjgebM2z2/Xu ECDdUld1BQey0vOE62By+3ZeHb71BYPKSZEeSmjOz7wEMvICa5yMkSG8G4HBYxAyIVZeUuuG0YSt h7i6Rz75TFpptkVkz2zcFJK4gG3khB1wibcUWYaUoek93uDXDENIUTaVM28xVD8+YrURSqZnziFp E9QARKZkoVum9yQbF+geIRxBGQSJlzaAd6gTwyA3rSaCzw== `protect end_protected
gpl-2.0
849a9ab3606538e21bc716b805e6b48f
0.930898
1.884717
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_rr_mul.vhd
2
20,245
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Rvwp5EPfpEhcrcRNmIO41E0vMlvKlP2i4Ydhk7ZEgYcfx0HUz4j4V7X3hr5VndDdL2qgRtMGOgqT kAaEdIMhDw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S41SWhcMH8PO0wxqQ0aWU10EBGFUi8nttyLAB0SHa72ecVX51QM64hRqZafFf0OoUUdmr6y7BcMY QMwQh4G47B9tp5kJsr7GDZRIq8oAuDpMgkkMjshLOC4k4/jKbAyjJpAF7RVI74pgJN7T8MWm1Eni s762QsGYMDFjcaS1qgo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Uw4HZBkusWhYSdXu/RiLDvboWYhdEi3DKfZivigGCS58Yn97RScPQG0QGfBM4yfpf2v8myp+yXQp fj3aaXso7sMC1HYLM1yrf3N4ktJcGIibSPVNYynsoKaXBqO/CSx95zrLNxR1T5TqVkX/j9h3MGaB LgRu6A1iieK8Bh2HOgPXFpNa77Vv8hhhqlmd6/IreYli9qNwl8gaTpmS4r6y36u8lgnxc5QPCRQJ 3uSdRqDvzfremIDDJYnKh1siILHHLzBcyrnoysZFUaImJe7duPoB28Va7Yqjb0F+dGakV/K+isPN jqGZUZSq2qF5fkdQDnuqjkAMEulbTgRqlETlxw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CwrRQyUW9iteXMfNnymRJCWEr7Sv7ED3RaslHuPJ1s8DkvyS13DM+Reok4hMDnLMXMZYIm0s/fLA Fr0j/N1ZAwAcWONLwnecKGwxak4PGibno8ZNKaPMzFs4eIZwLQ4m5nOM11nkttdA63BUB6yZNbsX 0pptcVlglQFpSqsbqVc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Dv/lZbGMVtZnXJoLxkPg18fYDB7aJxGa7eeQ/fXe2psQ8cAUTSbk8XoAMxEoa3za/QEErpwdQ5+k 1Y9NNaADsKLnDZixB4YasEIeOB/EdRYCTQ4QVoZFRPFF+sWf7AOW6w30O/EQ4r37cC1O/+9qwE0i UETW7QJJ/P6LBHeHfQJnRZavefS0BI/hl0zMTPAcpWubXHQhGst+XU2AmqcJwc13tduwHORWU0Ww nOKVv/Af07yOF2yZA/JPz5Vqrh+FqAXFY3ehZki8pro8W0njKCLdslGXIzNznFabjzwdUyVJv7B+ es9S8EpW3JLI+au6UC5BYN0SYFsctsUMnoi/Cw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13248) `protect data_block dHdMbBVooleduvTd8NaJy3iuPD8njG3bCR7Lbu/k/TxVV07H5BZOkeR43efgCUM5tPS/LQ+bjo6D ZXuKWxRQGsiuBvqX31clkgAEzuEkpcLapDK1UG98PwdYyZlKKt7E7AVesC7LJbFckOCp5jWPvDqt n5/9PenFby/a9hAw4A+f6gOvl7qHWDy3NYBrCERqe33AxRtQglSi/oJqzv1888XdlgmfRhJF5gpY U2N9x/UNcUPy0QxMu0RbnXcWIb8H46vuljkRJUGpOt4D+rE1xCuGHHy0ivmjDCvHy137VI8Z9p2w P1ZYkFRoedhp5gKbYejRi1YLFA5ZjfBcHIZOfZDo8j8lyVeJf+CtYOC3jT2cCBLiXEpxjO8qw5w5 v6tZl23PT/J8XbbqD+o75WJkDfQ0uG6oy/DbOUjMBziV/TEIIRUpopEcD5YljtD2hvSZ0fpj9500 HheEyiS9z//uo+ovwJ0TYLIZVVT965LOBh3TZ89RybgTVgRL2Ei9ww+G+TMUvToZxzIr8JLE0dOO pu3JMjhTsBmm393MTb9qKq+Lr1xkQEp9mWwhsp7eyiiT2hmHL3t22nTMk6gn9Wqiv3W++XtLp2VP aPEHFxA6yXOuZDLkHGzLvBFpKuQZ31zKJE5QRib/UDXyvwy70zxCx9lgoJM/A7sUmBWh1dKvnpE5 MI+u1z6crclzTyv91ZUoPFCjMCAkpQttsH04pwlYRAcpqxG2LHEWfDWWMAJvVqhHuVq+1Olqr0R3 l8RllxP4qvCW0Rgt1MJXRzGsE45KRRgmDTU00L+yARFL3nq+982vqTENFBfuugOqrM/u8tqcJVJ7 LJ6Xw+U5LB3Q3QhbwRglDfZzIt68BjbXRcpN6L1LHGgl9CsDdApyI+bzi0E5ok6wCUOGyX5gWe9D OOYpRUtcbBFOAquc+naENH4+CsAOV+GmPQEusYRYcUkaT0iydZMJ+TjqKAm+Lc4QpvAA0y40lRuq 7rwToJTdIM4Qqa0ZXGom28Clsic0xDMRtx4CMfPZkfXPfbh9deCJ8ewtIWSTku5rxChcU+zuoVfr 9g7YNl1NARRLmjVK9UbZZT93saq+rulgAyyDTHV7q3qLCmuJnvg7d8X1IUH3pBJUSv9Hmf/0xT/l +A34AHsDeGpLLkGg7qL2aURTngHX6ArCz1BEW6Qj0CWJZ6JbWu0SsvSRKIHDehcI0e+jAI/EQT1d dKMkmqwtYk7UOtz2QveZQMFVIzVa4t98X4pUNFKHCxE9qA1RgNm97V826NPQhASB9S28FVnA79JV 8QPn0Jhst+yCtmbG3bkQT3OksE2t40LnL31oZraIyREz/qOX6fXkZXunTyBqRHHwuj4kaUUvdpxy 9NUCvTgxqilsegI/2bAPGtrxhmbH7gGhwBo5zgxNhWa75ElqGC+NO1kZbvUDnX1b78XjokUOP2Is MQVaDFoN+I/9cb7IBGhG+SzSQFvxF+NSS8YwR3vHimqtNC8likIq5OfvRr1PW30/slTgCDSodPkN gyqGMHqWXMoI5IOw7XALGxQ1Dt5L9ADcjuC4RrKYq2bL5Jq/4/ee5iXMj821nHguS5p5tawQdM0q FjwCrZBqQS3Up67CEhvHtgL15eO5Mnxhr/59IJTPo4Uryf2r6M6GFaqsXaFWwt/6tcMTl3PHafIs TsUIYM5OTpF//PInOA109JJedWq0zrDN6k0Mu+Avnv10FtWYdL5/BO+7RLfotK/HvsC2U4hj3Kiy h98WiMbhC/U2ZN3Cee+2C1YXYia0QwjsSPSYH0UpN8XnhFFyATX6RJGiPu9zQu3T24ql9KCuNXK+ KV6evXH+MDppICWOJrMvxQCU/qsbKNno8sVMUrx1Qo5IkL2sbk5zt39e4pccM7VlJjrj45SSLoUl LcBiywqqUNZ0r9+YCIN5oA7m1vpfZHFECcQGpgDzZJaBi7TON6P2zikbkGF9gNlL4sxLn+nJ2lNs l7pds62XXFQPSn9LOXWxXCciwcr+HJ5g3kk839MxM/+7hjHoPROls1AJoZ6EbeaypKBvh5Rq0WBH +oS3iI2KHhK6WtpfbytljI8JzYnHDYZrFXw9tLwv72peGmhUChiYklT5qKh605cntpEGZvVLUOKI 7h5Obnmqtjm6djiAgzaEDa7YNBBhLXk7cZPhjB4y1c6I+17+xG+IphOqNBjgaCtOcOekW0OhrvBm qkkJLsICY9fQUkkPiRa9mFpJRzl2s5W0rdWr/Uh/8+jKKMGfldKsyHuwmbYXL2RD0CjjJ70SgPyq +LeKet1VQ7N3YnVijEiCYFUWbH76ARCheDeYDWLuMe/ySbaknXqTlXXzHQYhhhLeRFIDDlwCPd6O BNIulCJHMJ02KGwqm3yCskhhc9bmDOJBd+K56pfe07o6sznx3geu+9cfhgGN4zg5cP1BFfeA4Mio Ri3SoF3IeV+2vkF58trS4Mt/QjZ3WAA4yD6HgekZo1GtH/Ahue8D2FY5WTwQ4j03RwAR+ox7DUN0 7DPK6xcQyGeXEJH4kjJbTWZ+9N+OdFdei51Q0typayG/eRYVP5hRNlQzffOIpviZhNWfQWyiNvM9 0tepgFEH+B/dKylIlXJGDMcm5y8CtWLexmEJ5sIxxTMFWPVyhQ53zN0QnwUgZZgw6T9/AMziYGUB TqZPUptrBxUV03epEKgy+FUONAcSROhJLm5fMX4O4Se1uRYKNCQTVD9N68G6pBRkAF4JVd3C6QAx cEpBZe30veCvJx644CMkjFwa6P9S48xhMXn3Ei632HIWV7MOhGbLBnGerQ/UQDxHffV4HVr1yrDM 2lUrgxNmhXYiJV6KgDoPpIYdX54QwHG2kZO/MMRrFVtggaZNwcW7KaykuX0ZvAKKQH/5hwMH5hSL qXeefvyqri+u3S/nshlCtJy/D7lMAF4ctFozWWHwi6xC1LwTS3Z8MUET3Kq7GdP8/7iRoefmfYDp G5X63vuVVYHlk+l6Oo4qDYn7oH0TJFGEPPcng1QwXf2X0BQXL2Yd63h3ePMR8hy6qDgX7UnsXsSZ MtgJqfuYsP5bL/V+XwnnMUaGiBqhBepDFXfLxnKsXPMyH4gfMCa7gRKL54Mxft4CJr9oMYgH0Qyj PztttFaz6XGtTMuwdC4cEr3hPordiPn0hpCF4MuZ33/yw4UjIbM7h9xh5Q15Mq9EIhoiP0btBVDo 8DCxnMFYSyGZ2+O+0PXLRHFqIAmJv46s9xSnrqu65tWCocgawEYBo7T0WMREIlb165qntlvx4mAK B7mIqd+IkMPpPzARZV2wnJFqwPCF6C7YHu2xM1sKb4AIHrGSUL+dcZYFCtpUYgylxVcpbwhOfCjh R5I/bwHehxbKn1NL+2bdALhL3aVY0ZiA+lO94G/qK4MYADaU6jRy5PngbK0HyjU3xA5khq/volXg GqzMqKPPB0nctxIZKK+By4LTXq9b8aPKUAn+OIxgGsXEOaTRp0U4fUBLRdzgVAyu5HH1dB00EzyW jf5IyNhOKf5yXRvQzvwTq85FvuunrMpxJiQ9SXYWwvSccQYkHiHRTwKW0ZTSdrzAHuFAAkBuQ7DF cDKAZYpfDT2/ydRJwjBk/Edc8DmYFY+CrXrh3m9DyulqWNDnMxI2n7pA3W0BU/sxbbDOkgL+iiSv lR1V3IDMJth+VqA0DN9BS1uhq5OHoXaokv5rDey+o30DFd/WIy1jVi0Ddqm2ZR5++FmfiPFx43jF GuTDynMlqUxyvCG8+geKw752nMNsP4rwtJBdtfAS4+UkPbZokxlU8Jdnz3pJ00hXXLcb490Q033R 8vxYQfjwHcmMigWwHCJp5whgcMZQggOjFraX86c7E81wfqCRhU0ufjRcCpogq1MZaVideg5LT5kc PsM7DbKdFzH/VhuVgYEcz2Wypc79lFFgp8Gia3bewNxuaXZkVXuFhmgqc/6r4j9o+HLJ2Y/7nWaE Zydtpawmzd1hEgXoijl3PeKBBXW4Mg83zgJQXv8bt17gYHq6jGIxNLz6/zyfGguRhUYvO9O1wOHo kB9olYpMZr76uCHPE1YcNC2qy9WKzyHyFsF00Nq/T2UYC3U8Dnf6eU40Pk8MVqg1Nxx9yJdjWDgV 9rcebgkt+FcptNc72N2pweTRauJkgEjBx6RvP7QRzVCREXusrmVM4HRBxE9fQnuaqGt1IukJHCRM Db2MuUfPExvuoBaXo/SOoGh8zSEgzLNCQqgXLt8JA7t76CE7WF9i74U2e8w6QWcA68cQ82Rtz7I2 7tBaWU655Kn/THMVvPnzfMvohRh8ni+XRXzP+736oDQfjzCMfUzZYcBaxYVRnN8PcnQMtzdrE0LY 29wYr04RGCYkJVJWMi2tisADkarLtTzGBMcPDFyD7xBmx1H/layqXNtXiYQjKw3Z9bHnuykXODdd mAN4naf8fWCuGHTRbUPgCk/2h6HGfvB9j5ZwV2yud/gt9VMJ2rCNi3d2YQ2DzM8phehCqRo+IwOi GovgYDKbB1sM3BBRJKHoelf0y1Kumj9pd/ySH0QOdAzt8oCKqofFSF5tpXkObtJFqPDBF8OaXgr/ tZszZeG/MpRKSJEGSMNxCA946T4p0dtnC9sWCkesukm+7Ov73zw4ZauznhqTxyj88zr3DCkXzJLF fXDcZ7ewjYWpglN4t43BAG/PUmKJBnbb3qYqahH0p9RudsBrswjrD2T63ylcnzPMg1ZONyjXvUqy AN8aO66lMmSR4soTUacRt85MvluQCSi6CkEKq2fbnmnaHkJC4+h8H2MQ+/k1sb12x0G5kHNiuTcs K4d2Fy8zWeDkCSOJjlsuWXhyAWPRI4VhufIeJj/XqlWY0/cEUgKIfIb7UdWQXrz3kluSkWXdUKDa Pu9jAEHscnSIibY+pA6mHY9TsSoxOkb5Y6T6e331+m3ftH842dZedagtfUDmTMWEP+9v84suRYeq jFleAcnGb71yiCtvd4E+Njd/sIRVQNVgfRUS3+l1bezJBuiryAph4D0HEZSuej7/WxDirCGQ8Jsa ZmWiv+2Ulc1NQD4i2j2E47KCQLeHdSHP3Zdnr0E/dJvRIFsA8MlSSJEeuw0H2jQ1MLWfyIiTFwF4 PPh29zI+8CggNrxOhrjeXXEQvniK8qh7lU0buUR7p4hJUFaMjecy+euf/Hp6rlSLUf+n1WJJTSHz +wRT+RH6ce3l+FBdUOKimBcAYBLoT0zQJFqNjBbTpbEj4q/TQirICmFig5hF7exCIYJmKCAoEznX 0j2+MMhPMH7yWHwqTTb3fr7EtrkGW6FXwCBFcHBQE8NEgajJ5Q50/oGuP5icbS82bf/dBRuBuM4m +rLF7UcpMVRidFRoC74T6BfQhE1DprcnwWh2Jhg8Nubqwrl2kWVTWr/9zP5++LeptemrmPStVuKz 2cjQ2I/F0OSjUzkF9NyrhwlxsJdrclzDi+BtvA9mgz4pTJI4WgB/h8Cs0SaQCMoFcTj0EOQrgnFL 3cOxbRoqAZlb2YG1Tll1CHzPDE8S/HypnOIiLVeo7FIvjxc+ly6eKnCEjHBQOWjxjB7KUI2wslJc UZ8KzgD0y2eTRYlLGSyLQF0qwCBwhqkIQLqURKY0pxbWHlUFHn4JPhPeNP9wNH2dMC6wezonoSQ8 FZRzeBg/dG7wQDEr/gVIedG845yeZrBHHvfY2feSeatsAvaGlj8D1lpe5fj4HQtVH/E3QFhtq023 nm8rM4WFXdNvcUhly9ZPPRU0TdQJKmLbavPGcjbYQ7ggyvB3/z2B1R8s+JSg9TMxzBlxNBjvKrEY AsRCG8cO/UroFOKejr7LRBIg1Jt4/wRaZBbtCJRsFnb9I6/q95x1bL6S/VCTpwkiPpzyCFvQ1p2h xmSeNp4VDxM3wd+EdYtZh0KqdqVIhsm+8g25IgaxmfshnZD9dg1NPGr2JKwgV7538dKjtgzNYIXu OGj3Kb93XEszpiZQpPF4GNKZ9XJgWZFzkRwg6NpM4cRPWUGtRZVyIykgctVqEC5ZWe8gft7b53ky UA4T0H50D60FvRu7R84aMrwRhF5sMDuVWCoixPEwnu4QpyRe88Ot7gX1XRE1kB5TilvJbeM7UGOo KbpzgDFstKIpHR5KnuldH9KPpRMy2KC4+bpcF8cLXIiRar4FEU/xLv/PXcZIkj/1r9taushJsvt5 NAXhA2iKy1NuH+rLvmlEMBTuS0muOFFqqOEWXVWee2XU5wEpIPvs22RGGUl43BtKk/D7gb3EK0iD OEkCwegz4emWNJUO97t8XMaQRzkgCwP+22M7B4v6zZmcKlbGIJE2dpDGZkPe0gPa6wptiKvKrXHV FdtpEcGI4xqsEa0NgzxPtqotTo3OcIJD1pfzVIJXZl3+zQzQVP1f7qMPEkLjV3p39vtcifFh+2Ap FNoLh6dT8QbIENXetskABEcK4gxi4oLlP2iEuLYM/uyZLcENCfE5/qRgvvS0nNWPI3dW083Vgu0o l79fV6G/rzgPQvj/EHk/ZYALxe4Q7Mj0nQdUov3dF2GNwtELw0V9CFkRqa4YvtxE86Lak8jpmx6v ffoWqm4oziqLZjHtzhrGFH7EBeNDF+vpEbrjzQQXCli6iZS3XesXF7O66T5bH5vOBe9Ge3UogHeV eOYENdsDgqwLrtYeYoMI7uluJbsf89pzWJjCEDDS6fzL6VguK6hTU/Gz6asQDb2e9FNS9rYWlCNU 9R3LV79Lx/kAxCvMrejryDdKtG3XxxLF05S6iC9vJTM1lvChhRnEXG3LPqSAeiQy+KyxKzC7EzXj BzhAXgKiZLWx8RYROTYP7T2jznihUtJVwf1RHbmjkDaFmEDSSXN02Qfj9LgFkBY1NKqK/H5K+nNc KQPzudQpdgQQ9AIDFrDRagxKhqeBU2/lCh7J+hCAQQ3fLkOM0Dxo3Q0bzNGAifOov6Kv6WrzKsud XPQJLAUIqxJ9/1Y2jrIV/bXYwWaAwPdkkdfUU6AoR7Lvszp5cmcjPObVy18HY2MrtjnYbgTXUDUz SkIaReVIejLsbbdRgyDF2dTOP9Y2l4zk5wBaxjO6G0h/odKwakgX3RNDsAH0s4DKZa4oTKotSNs1 VPSAFMuaTd70kunHfPElKIQfXNKRKrZMnSuNJ8Uat1PCKrtRvdzqoIYPuYao88oPaAKpznnyDfcM NxgDFCR+G+BmOPrHaRwFMw4/FCtTjvFS42p7XmL8e1G7K0hYXqxuPje4Z7MUOSFKzVYSJXqIUUSW YQQdZfovLXA4rT5rD/8sBBDQeLJCiPMGZuXEWDaZGJlZwJodBJGtSZkJu+RJIstVhgUOLNi8OZsq DNeMqb+QfamJKddekRG+0JpdtC+VG1edI4Dv35ztBz4cZk5uYpKaINp0yFql0dpeKQLR1Sd1z7gJ /wIssQ9xCCY8CgGH2iupL0vPZkb9fyZq1EDc9X67QNSPj+99wcHnAOXU4/Maffwo0AJMstbUSYs0 6tYDKtZ4dKBMcAan/QZdS4N91B4HKAJ2GdGMXWGWPVDlgQsJBHDNwGXiuVWr6PElvDO2PZkPtFQI e9tovwiXZiujwK463msn4/qrsdPqGXWco/KXcU0i7WWk9lKT+SG+Sq9DZAruXaheWzbHCENtj3wV YnlIq+uJL+s2nRJcqqKRqiO2/G0SpC0B8enCpybAhDJhKhBYshmBPs4rQwg3zEmjmliLuwYumpN8 drwYtLC0Mh5brRjOi2EyNJJv8qlbW1tqcby+2qbrJHE4EcBq3MtffHB0LlAIakSD7d5YdpAGoLnj 4uZ+S5PxCDWtAh6UpFgf8QWoSWdMJEBrXUCAr9b90R1SkjkgfV0RURQAYM/8ucqXv4fTaGkcJ+Bj i/IBYgjY+mrJaZ1XDM/XjmeTtlc7tauWhF/b/BKXTlfKkBU7APwWr5DLRAYZaXhqdzoMMUb+Dag1 0sd/kiqCgUGTXizuC3F8NV3AuclwGAsDclGonWIkCov/5dniu5OmIfACRopK5yw3/juNKEgVz4hV NMKuea81apikPm+ClBYasMoJ9+BsMTxHHomrNBRfNA6q/yM/caZaUIReVbEDnye/fiLZygmkrnDZ TxkM8F5TjAKVi7cwLl20qIykSAo/NotH87kFB2h/v824EGDUnPhA43oX7Grxglsij8A+Q+VWBGWF ryuRvJ1x2CkhxGjPQa1U07X0YD9M4Skx4JrxTcfpzbkkoiWvGHoaENjUvFEIo/69/G9w3ogpyWoa 4nIC5HcvKFju0pr1XinRcjRlfH5DTwNEmiM90igVlE+4hErbNe189fBYY55n7Z3Cq/G9+NGirHXz RJtsNncVd/byEnlBxnNB+0V4wsg/ZmB4LhQZTQTKh/SgZGfT+m8olU/hQup/uAgVBCcu2YYX+aG2 ubPMSaWbz8/rRQuTjAmqPnwU35xVQNpoXhn7I6MssksA0tSIjCJdEuFi3+V6c2FqogcVIsXY/P9I rSmmAmc+xNQUn9ObDv9YlLIF7v63HIqfm7PGyMhnCYG6vhFp+qSdr5cmvoFmBhw9acmaVKah02sC EzeS6CRzyEkqY+nJC2a7KJc4mVSK//+r75Dtg93bLFS9WRV8nCcl6Tjuie7z1OBsjyP2BsA/kFqP LFrLUKWO+m+Vg1orvnLBFdN9WtvgTySc3hRi8ddMqChJOcoazUK1RjPHnroa0hEC4imoJuxh2KJB dx1iV9v5rMo/BQgPAfR6B3FwRPybtHQBUBZk1ev86SPlvRf1PpcT8bh9htlgAZm3pJBP/tQbwDgj nViir5KhUdAiR282Ko7bpzUmT4uuK3nsfHxvXzLJGK38Z2foVtF5hIIPArOtgFYFmnmQjeQz4JBy G2DuHcapaEInFWo5uymXi80fpYfEJHqDomKDPHWmqfHrnQgBBeVOyCkCvNO6N9CyPel8mtNcufai FW+/dIacfcd8xoL3qQmpy4Fk0tKWuHWrGWPXKCeqwJmiSs3vvDsHdQX2tPWwHafoIeTjHGUsV8bg mPQJz1zNn9iuKqa+ioW5rQbAccEqu61d7VFAr3dTaC9r8yOTp3kAYLeuqJ3fs740hS5VRtYhEMke qYYPDl1lauCAClHKi4lRzcW2ibpIiL0w0IWIxzcuWYIW6ehGRAn3Sa9/I9wEb0Jm5wSnMsnpWKV7 MAqi0oPGQcbdJjuItocNaKn5AYOv5nAToqtx1W5PeJFFzfxaPzNBXf2ae27sF87Mdv1gmp5Wdl5j QbHwnQ4erRs76Df+YbJ4UYi8eqdNmZ73uWQ5CsubCC1jD9e05G3oNSN0sVHiSHXkUFLgnOiJPgwU hjUOj15QWID0crYWaDcqxFMdYcZc8FfP0hhNIqdIYywzc2LRqslZ/wdjJqTYi/C8iwZArkfKVr5F vEMcaKF6YG3ErlQo/hQ99Hy6AE0FaWfFNIbuVCtBeaVOFcviJVSe7XyBFKgO7G+zO/QVfyikBaMU aWZqVIPYbz+ZErHBeCQng1yMOBlLspZ5Cl6obgq5kwxzN6Jw6Ck/1rrfv94CQa8dU73tEXTPZEFs st8tFQcA89ul0KChznqGYSV3Dpc2VJtK/r/GCvAcC3wILOP6MxJ48BJMj6RYiiA89Yojr1eJJ6tl IAQZE2v6SgYGfMZglYJqvsfhkoCdRENbLHH1k//LJep9sdUghu06R8e2kZ5jPCbkgM32Do+okCug KRMY57mK3iWq6t84bNWZzXfhIEgdbs1lipSzK3CmGIMY6GaKmpxvj1d1gc0FzzrKIsYjKqtNRZsM MMAYeV6GpoGY8mr4suuvJEzGOPkpXOXgnIU3sAxgmLFOKiRgrL9TuNdKzNg7u4SWZus+swX7HNwV pDddukd8r6Ad920q2UQNPJwSnnQume6d9giPdRpBu6L8AM8SiNURNKaMFI3YPPb4OmaI9sCmg/o8 CuAJ4c3rXNgk44ZcbBhNIM0sGy2aR8iNsU2V99nwFF/TamsTXACL/P6y5DCEk4dq6NHhIlKgvsD4 afUNoL5K6siK7TwvsLS38b2mpeYumoXSe3b7lPxKBWs/jDlR7oY3Qf7sc8SolztymT/SXP3f71Mr aAmXkmpPs/oyHndCAE8JvgFmZ5YeXofk+1eQCVjy9tSPg/4eDzjYsTluzsoB7VWYqP0Ly8gE9TTh BuhW4Icso8WXNzU7qihvFKksXrtMeZjonBIkDQh/nMbFG82vDzWCqQUhl8lNCSipkA8vJBFf4qj7 utJq8udvtBNwxfsq+agB//exDnmA9FdGNRVEZLNuMB7Tm2qPIEdmZIPkd/yQu0R5H0Ljq2tRy3np lQptXXKlrpdU83OLi5P59yvH9LATWAEeQWIvJyo6NOj/ncIaeZr5ViRAeQuZTpcKBxAh+axNLsIf v38QQSchb0a1dgMURMkNiH5WYSlGIrQz4Bo6sUxHSOBDqhkWxqTmOLVj+8faP78rjFsa4gaND4LU VnBw4bxECKs5xKZM4XJlyLoPgnu8RDbFYfenZ6K1SMNnClYA1fZVGRMPBCVEdT3df1xqILzrUyCP BOfC0NeIfi395u1/GTowc9FaPJuXeRX3o2tRcxTXnIOx1HeA6U1J9xLTsUcrP7Fa1GbNOAUICE8E 6zO7HRtn92Vs6tqk1+2b/oNf/Ndq48P6gAMO/U/ES7gfaVGfVOXb8XuDdjy2iKWj0VDpmz1vy09O BtxxxEIVWyGeU7RrOjinP4171wdr/JlY9QqPvd/EiW+4Tr8GH8ksERHsGqnF2tmVQSnpccMBidUp m2dM2+bzw5w7YMc0pPnbN2VQ+xpyEMz4ESGxVrq8+yf5PTIHkt0ZFDZ7DW1o4xGUB1gZ5+qUkFIV lPPEQ55oLtOc58uXQTkI0cRnZkfOBfBP3KbEJXsTIkUuOI+3dm0/0sCLPwz5VOXZKkxVmcz56AUp eCPbD7jO5LSz3GbYl3OzL5VW1vrjMSmnzmIDWuSQoorpL94mdmPYVpu1X/XhtR71GwoLNZpTTqEO F4jZTC8Qzgw8OWvLhAlBhZnCGNuLDgXZs4JBOC22xQ2tc/S4aVc1o+hnyl9DXI9Ee428yB+eFvA0 RMtLoLJ0UlqasX49jvp2ovpg+5D3gI4lhxZuOsQwMWMntJb4BJRcWR3D/NP9WlHJuPZXGDPH1zTA Y45emzl3aMn/pRPX/XBB6oQZT52FatVx/KZWqWJHjm32DJ5GFAErHf7gYV/8cN/IgXSRmSGbgA4C iNUdgtdLBSDjVk9LsWrR+taKVMj9dFUhssCDob1zCDo3KcKNQawTEZZjkIQW9EZabILuJk9V6mKO Yczb4aEKPT57x4V9uOZ/M/fwbaexJrana1YT/mFOF8Jo4NgQ4D2/SqwPMl5Dhfekp1Ql+M7ceTTm ThRQlqfyhrbakMgZJzL5ehxf3R0PawdBAw570Zw1qLv+XXN+GHnXgout4KoCdGAIMBlwRGksbdpl aKMD4wPnfRKpVZcLgDDjjS7sdZZykBcA5LU8dkhoixuttr11dXSn6FwPBx+qDdwLBdF7WQFa+Izf mMFsIK7CsB1eJiGOMYCwsrmZZeuR9x9mKnKpI74OxrQ2rDbQgEUN3CLMAgcymptzJ17O5FnqTDnC 03xyyCBlwCK/QMsBkwRbH9M0C8lHjrwK5mtSYrtnI3H/GUJX6mAn+EJvc2JvaVUzZb2UttKQqCEA kO9WfLfCe0MM1XOiCGRghy0e6W92GT2TqdytUxkasIadJd14p5QWw1T28wVqrkhgNxU+BdqM91ws FEzjZbZLotuDUUH5FKCpXgP0huOw2KEA2PxUgsV8m+I0clnZ5Vy0RtwImR898uF2cm9RpYk9dbi/ IdWsvhvGzGaVxQ14HrRtzI2TYMppTcPfG9v6PiNDBUuRITTVZXvT9AWnsIH2/0j8/MIfv1kVU7qA g5DKRSY32hLgXdaB+hcW4c3aHOZBE/7VT9YzjAmMcG3aeO+jqNs7wvpfSRl5BQDZxkgTL87pWWNC SB4mhOFgKbOHJ0Z+CSI+Ml5drrQTmgNSxRJrwsrpxxu/XKUBVPhxVcIIbaXxlisC3U2K7ZQZk+as xBhV3hH2EurE1rH/25OVb+J1H+A9FGJwcViCGFZpgRNzl1jhm2wJHnxOn1Xk2WJBJh4Zmfg7G6Rb q9idPst82k1qBZeN8NtW0N2eflk8vRe8ZZFoS/QLmowRFV0L6lujHY5B1lbc90eFum5sGA34nnAP oANvWAjlKMbF8/fjYMG1QyYsu/3E8m6u9hP8A4h76NZ4a2JL+8Tei6RGvbvxJ2U9RklM16YVJx4B YgoiN94OZitB4pLn1ZjbriD2t87pJzYGKMmiC8G3sKqSRrnhqVqgnX4UPbAakrmTb1fc2qI7wG1P ROqQas0fE6s+PooRrxELyPWwDt+AHgvYdF3elNMa764AxppGj/4Aj/xz+x8P0WU4QHNk2+F1pdHm NgLzyDY+TdMHBKiy9b4+KWTeP7c870IZdZhFD3/ureJo+ANF8rV+YgmkB/R3LEZRE0BqqovCeylY fGXVmG6PeboHzywKa8cVOBDVi1QuJ+7cqhEYjEqfOhIp049QXql0q3NG9/I9g8QiIxG5SfFXG7U8 RKiyH7snZXdt1KCC89ZbdHqOGVZsLy9JVcoWY6NxeGGLYqn+ykDs8WgxgdPGVlv2EZKaDQoAQjau Ko0pek/fytxtv36qKWVIvS7Kld9mU0Iw1P5ftFvOM+jrF8xg21RGpJ6+esC9Ia9xF0P6uVi2ZFS4 I2XgtKfeJmYPwMeUXzLBLJ/tvKG6M4Jv4K14iv0kVu67md0VZNMpmITGAti3GKfMjdEU6JEHTf4s 0zw1uf5Y000HaTLyIR4PnXn+1wt+tbeNqJ0Vxie2chhEguk4wK5nly0D5SnlKpMSIdwtrO15sBLu E2KH1X0foApg7+fpgHxKb1fjjlyu3WmdknxHUzgG5urlYa8T0oJwgfRvPjPUe+sjG655Sp58Z8/n h2yu5RDmfUOVtXBdYm8Qcbx7xH3CSAIPiVX4FlSM6FICdc0zk3I3QYQI1txhsUDLlB4ybQqCketc 9PV4Ji0idQ3xXCYAuxZF0PM5EWcYFbuq3OrSc01B7/Phd2BQ9OgY5qhjWYc5AIvE9HSsTZmR94vy Mr6xIoTMRr3DNdWx0Qw0QDE7rDO0rcHD+0UF6XAaZ4UC6AItUMGFT6dWrNvFRfbm8AUxBTwJ3KGU QSZzj2xARm/MiE2b8eg4i71bJ+W5mbCZfV6MyyvuVPhBsd0YKWhgICiqq+YrHOHM6ZnmvYFnnZZm brT3iEzoaDehmZpXuONwEmf/G7jpq32BFKefgAmhMYR52/PGEcEOVE3KfVeKBiBnk4eDnTHiiHU1 rcqJuGq19yHK1ssPv4Ncxl0Bj2cNvW9eF+4Xl0vnKR9wycpqmjaZpeQ7ShlSfty1DcDk7HPWaWv6 089s9BGcRgn6u8kQnw2n/4Bu5iIvAktxXyslYqAsiUtfGJZVRo3fw+jdsw6wHMtTB1+Oqz7kfEJV ZXGeD1EtpPZWECf1iwHPRqRkS2qYmreBTgx8pYomfWRZFqX6IQPEkO1kOxMvA2K1Ejz9O9Fik8gx HlOstKnAelD2tCUHnFDf0yzew8R1NUIO7+dPczgWIWLpeQJmnXyosA7LrlsixnIX/TwHAswLOsXt DvfeeQL9ARm4dsdqnOGHQsFy9dRxF8GAccNMKzGrs/FV1bJfOxObbjbriw0t8XzmWMEi/5BW9OTO 4yGZsV5n4F2M3BoM5SIQBAGUe1LeYPYFrr43e8xRFB0AerMnqou5ikwK+N6soClXctk2Z5U8nBkx 3DhVi1G6AHs+KAvWSGiQFs+7yYMa2mASh07ZPLUD3Tiu5o8N/ta2r5czpJ9YPSAhW/4UDXau0BHF Qdjp3MEcbl7D6dFdvAJOXuXnmqu7ze761Qc6JLe9PgmuH8Qyntfhzqdb40W1XqBqdMoT7U0ja2Wa TvaPFTH8qquaLCW22cK5oSvY2sI2pzEqbhgpAsPiDEKQwh7OjRSrFMegCdNeL4NR7BFZdCQtyp0y xeqKaQn359gTfo2ZvbcE/aB7iEPl2w0v3gZQc9jH/yuHXA/7CcQ8U6kr3c/fZkb5ychHRGD+WtOq gTIQAtF3KzB8wMC3O6W9rQo/IKMfBxOAXp2Z8j6KjpbIvJiGi+PMKXzziG4lZzPxLHMmr86paLWG kBDOg0gRj1iUfhA6/+5MkRhWR3HlkPW0e4zl7R0qIVfb6oBDbvKKA0sfnkJNgrbm3DigFZYMKaUU 7rmFUwoGrugl7kwh9sSJZbKjYTQuzWK8KQ5kT8p9IrHtl1Nt7jrTGYDm2N9Wciebnmt0fI9u/084 4lmqSTlwBnnzZYxEkyYrxo5Lsv1c1ARw1BOBoQtauOgk6baX+2/Hnc3B550JSHe8eBKykeC/C30E FWgRoT3lr4mj69HvBwtovudax2GZSHgu9yR/+GD3qrzIRFnaFiV9hu66cLYj1UetQYzB+Ti2vr4w a391pMbT3/IDK/URuoMfqLr9opJ8Ad9KyqYDUgdlN4zfcnmxXWsgTObtJ7gqWguBXJW3dDnOKOsM pFjJA9cm28cOdzRuhT/JpitOOulHhXwB/fosjZVoGb1xALxOrgWrti8Sx7yV2y7DMF1VE39Jwr6I nNQbTXlnG1D0h8NogvXFI070gyDOlLgvj3UmJrQgrkyrGpDuKAu3UCcqaYpMzvyow4lRiVn23Q0t Vj9EMLDoYX6QMcpa7X0FbgeD0moKs6D16p4lHMzgAITtCjAkQKCDfals/qBedKsA0ToQzJ819cUt 9RzxicoJObL3Ks7Gj5UCP6VWhKZyo3uz8OLYvD+McMv40MJwTy3vijIDfGLsEyZ1nXa4bOu7sfa4 iokMMaz/M9jMiSM4OBq+WkyzseQDzFGkjPzz6pIkmAZShEOQWsEZJE5rN2ko86/ELcY/LLRT/pBi 9mFiMYi4gXB3RnBj6+obWwugh7LjwFFLprZVwb2CETiak3jLAQ+foR0/QURGaBxTf9rZ/1FRYZ16 xQnxPnUuGmOiKmWPqM3JibMF9bdI1oD2EQy7/4SD5yFH/hApvqP6tOnMmtWoDatminjEfAdSvSfz rrNUmOVTwo/o9XCCgvx/8wmTtAHVbRFLeuhLPlmiad4WmQL6SDnW8tBEjKe4P5riFyIBsZ5JzLfO yXC2CJp5pNYUb8WvOGwhn/CqQlqxdA6MczA7Xzuc1kUWsEQKDqWjANB1v5mxvX4urznp+i0zZbKQ 1YBC2pQX7opcAz+2v1qWtuHk6kvNGh5vKJe3OdvTDePZxdkFd5VW6frBNRGB1n/s5DW07rY97Fj1 iOB56c6LK3sZXqyH29TB+m/LRkw4pXMItNHrLPzAOq/2nDWU4FJxoRUF3+XxTKuEM4UwodegUzuO OiXaleNcKB8pTErhjwNLmaXMPKb03BJ3AUH0E5yl4V3Bkjx4JTMUhuPlrR9wKk1OIWxTFlTk/+iR v/4Qj4U4hO5PpkcPo7/cyJp93XtPSfVEwPTj9F44leYbINah0bskyqsHDPfqr492b90GztpzW6tf jMaoO/ODpnzzr0itsdc+Mw69NjoY6AegHSVV+9dv602ejUyB2ufX0/M186+lvfNGnjgBOHOOMD+2 zEOvOYOuvbi9ojaCq5M3NSCt4bkIW+GjvpZALOJgg750xZq1hTuYP3MYDumts/Stctp/XUWtGHTl eSwbFMceSTvxDuL9PiSu4zNzTo1jZfCz4jSIfnaCKi8vyIGLmzKBn2z7RSKyyb4GOkMbjih7C8eO rHWQslAurW/xZrlZ48exrZwZa0wJcGiCLdG6/Z7xA6gc6WAznt2PQyTwSb02n3n/Elu9grT2OD1S 3ERyPACE1naPn7rrj9+WBbjRxVKt36MckoxHXQXqy1K+68oiqXJrAORC7sHN9SjGuX1YQezCbBvL MuruUK/zYjks8ArjnMFr8rlVwD877TfY5rx4DsYy2iObbXqYCKWHeMBUX6OohpbFmT1rFCqMdOQQ amX3V5xCl1dsWKF6dHMvuFyN5btioEVx1revNvSkrreNPxHJN6bWNB9j5rAMWnkvjfWVWasDv76I fgyfDnMdHlgZ9OXmoyKuwdMpMdf+DAGK9iEallePZZh2zeN1eqmnGy2xXE6Dv9/QJz1H+qHUcP35 QKnBDrl6FcGZNrhv1gDqfBNOYUgch7EEX6tcM3CoDhvXfzljtP/Q6aSZ9pHjOEnQYuAK10g2TwZ/ B7ZVgJo5a988dCfUXMg4Q5MHVyQTL0Q/h5yzv6CDgf1DMRytvt9fqJGeRFzp4XZH4Crer/ESpN1t cqTtSNZpHST+QziSDBu8ZdSkdLLEODOciTs0PPoIU571WQpwQbXfn/tYfcN2BM6rQ/vxdGzyYZCy E6QqNxXpsT21eks8gzzuqSylIEKBODCPKDOiT0iYkTon1bKVIh9peWRqXzmPkPyWYzWBegB7AjpO rpeG2dhchCeC60Hto0O6Kh6r9mNKUHrd5xPcgj0fJVNRxtwCDL5GTPuploOIdHur+YGFQMm7BYKk 2f5i2D/HH01rKeNsed36OKoWUBIZfRycWE//Bvkm1A2RMvG0EyynngJ577VSKoiGzfcmNnONnDvI MNA25uZ/6oiLq/gSlZgN6FVCGVN7IkUKzoGqeGjnckIhy0B1qGb75Fzu5cIQU50QTJIa8NI2UHR9 VgrD5gss+Mf3ZXqwc8UwlCEDvgyihPc448NL9LipPgzpFTmpZPvDvzjvo+sF4XlaB3stuCk4Wwgt JutDVgxF/MEZMKtvOmAzBSlLv72grfJbReq+kBmMD102jALyiIG9dUNfeD0MOTz6PgvSmGvhlrqf oi15jINHBXH/C2m8E7/kJRjkiaCl0w09b+saHYvGfgeWEACXvmH8iP0D6miMsi3tWywd2Apo/oa2 mWUZdFc+4dYdeN3DcwCjf4fUB4vCaUPhLITim1a4prJ5cDSjzpN35uL6hO+ZRD5KIfjZ3Qyaf+px tLfXDkqXOeVbMl+CwgqOyexxsteOU+uVD0b1vdnfXntt7mf+QMQefTB9YRZoy27QjwZ34drnj9Sk caTNnXotxYYODsYIkxjOMaXatymzfyVYqgrTsf7JhwmQX2jc6iteLa7xg9qf7S/eVdoRrLfebtsl 49jK7YL43XZ9bz4szUsyWz2kFvfoOysABPbaB6tUQ/pAWwH2zgP/iYUZgtRHFeloZd1wDEBbo5qg oogXBzwMjVoV+L7kXKKXFXsWeGVwRS8D60afZIoYvFZfzUSgcOk4NCc3nnWvJ5BFuW478JoHOmbx 4fWN6w5xH3NS6collCTM3vRmiRlTp/nFK/nkygY4i7c0lKt5tQ/UyrUBoGBbl7x92LyuSy26OBcQ HmRr9dR3hcIZ62N90Yzbcn5SLqnlf1zQJJu5REo9ZregFezCd/jMpftmB3YOZwwocz8h/nduHhw9 v1LHfZYfxGEj6mcjLi85jB2w3SI6/bjnrmvh+CYdyTdXYdTPt6QjClksb5ldCx0FnNUGO2reUvJN al6i4JNtfcOY6wCodGZL/hRs6uE2rzkt9U1CTwRabnNwTwH0dSNmwwRpeRW/L3RXSOxt9ardRAtD y09vSIiYUq1qKPgglQPEAQTYturevvoegniJWibIpSWEV2a/6Pxx33g8hWO9U/37oiCp42F5dhV/ +x1Y6GuqHCwQnAS5gG6uRYMvYjdk/4lq `protect end_protected
gpl-2.0
cc67b31cad5dc871b83fb753ba19d7ad
0.941813
1.859386
false
false
false
false
freecores/light8080
vhdl/demo/c2sb_light8080_demo.vhd
1
33,448
--############################################################################# -- Light8080 core demo 0 : IMSAI SCS1 monitor/assembler -- -- Designed for Cyclone II FPGA Starter Develoment Kit from terasIC. -- Runs IMSAI SCS1 monitor on serial port, using 4KB of internal RAM. -- Documentation for the monitor and Altera Quartus pin assignment files are -- included. -- -- All that's really needed to run the demo is the serial interface (2 pins), -- so this should be easy to adapt to any other dev board. --############################################################################# library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity c2sb_light8080_demo is port ( clk_50MHz : in std_logic; flash_addr : out std_logic_vector(21 downto 0); flash_data : in std_logic_vector(7 downto 0); flash_oe : out std_logic; flash_we : out std_logic; flash_reset : out std_logic; rxd : in std_logic; txd : out std_logic; switches : in std_logic_vector(9 downto 0); buttons : in std_logic_vector(3 downto 0); red_leds : out std_logic_vector(9 downto 0); green_leds : out std_logic_vector(7 downto 0) ); end c2sb_light8080_demo; architecture demo of c2sb_light8080_demo is component light8080 port ( addr_out : out std_logic_vector(15 downto 0); inta : out std_logic; inte : out std_logic; halt : out std_logic; intr : in std_logic; vma : out std_logic; io : out std_logic; rd : out std_logic; wr : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); clk : in std_logic; reset : in std_logic ); end component; -- Serial port, RX component rs232_rx port( rxd : IN std_logic; read_rx : IN std_logic; clk : IN std_logic; reset : IN std_logic; data_rx : OUT std_logic_vector(7 downto 0); rx_rdy : OUT std_logic ); end component; -- Serial port, TX component rs232_tx port( clk : IN std_logic; reset : IN std_logic; load : IN std_logic; data_i : IN std_logic_vector(7 downto 0); rdy : OUT std_logic; txd : OUT std_logic ); end component; --############################################################################## -- light8080 CPU system signals signal data_in : std_logic_vector(7 downto 0); signal vma : std_logic; signal rd : std_logic; signal wr : std_logic; signal io : std_logic; signal data_out : std_logic_vector(7 downto 0); signal addr : std_logic_vector(15 downto 0); signal inta : std_logic; signal inte : std_logic; signal intr : std_logic; signal halt : std_logic; signal reg_h : std_logic_vector(7 downto 0); signal reg_l : std_logic_vector(7 downto 0); signal io_q : std_logic; signal rd_q : std_logic; signal io_read : std_logic; signal io_write : std_logic; --############################################################################## -- RS232 signals signal rx_rdy : std_logic; signal tx_rdy : std_logic; signal rs232_data_rx : std_logic_vector(7 downto 0); signal rs232_status : std_logic_vector(7 downto 0); signal data_io_out : std_logic_vector(7 downto 0); signal io_port : std_logic_vector(7 downto 0); signal read_rx : std_logic; signal write_tx : std_logic; signal rom_addr : std_logic_vector(11 downto 0); type t_rom is array(0 to 4095) of std_logic_vector(7 downto 0); signal rom : t_rom := ( -- @begin_rom X"c3",X"40",X"00",X"c3",X"69",X"00",X"00",X"00", X"c3",X"87",X"0d",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"21",X"79",X"04",X"cd",X"7d",X"02",X"cd",X"11", X"01",X"21",X"ad",X"04",X"cd",X"7d",X"02",X"cd", X"11",X"01",X"21",X"27",X"0e",X"0e",X"4e",X"af", X"77",X"23",X"0d",X"c2",X"58",X"00",X"06",X"18", X"21",X"0f",X"0e",X"77",X"23",X"05",X"c2",X"63", X"00",X"31",X"b5",X"0e",X"cd",X"11",X"01",X"cd", X"82",X"00",X"23",X"7e",X"fe",X"3a",X"da",X"0e", X"05",X"cd",X"76",X"01",X"cd",X"2e",X"01",X"c3", X"69",X"00",X"21",X"ca",X"0e",X"22",X"77",X"0e", X"1e",X"02",X"cd",X"f7",X"00",X"78",X"fe",X"18", X"c2",X"99",X"00",X"cd",X"11",X"01",X"c3",X"82", X"00",X"fe",X"0d",X"c2",X"b4",X"00",X"7d",X"fe", X"ca",X"ca",X"82",X"00",X"36",X"0d",X"23",X"36", X"01",X"23",X"3e",X"1d",X"cd",X"e3",X"00",X"21", X"c9",X"0e",X"73",X"c9",X"fe",X"7f",X"c2",X"c9", X"00",X"3e",X"ca",X"bd",X"ca",X"8a",X"00",X"2b", X"1d",X"06",X"5f",X"cd",X"05",X"01",X"c3",X"8a", X"00",X"fe",X"20",X"da",X"8a",X"00",X"fe",X"7b", X"d2",X"8a",X"00",X"47",X"cd",X"05",X"01",X"70", X"3e",X"1b",X"bd",X"ca",X"c1",X"00",X"23",X"1c", X"c3",X"8a",X"00",X"bd",X"c8",X"36",X"20",X"23", X"c3",X"e3",X"00",X"db",X"20",X"e6",X"01",X"c0", X"db",X"21",X"e6",X"7f",X"fe",X"38",X"c9",X"db", X"20",X"2f",X"e6",X"01",X"ca",X"f7",X"00",X"db", X"21",X"e6",X"7f",X"47",X"c9",X"db",X"20",X"2f", X"e6",X"80",X"ca",X"05",X"01",X"78",X"d3",X"21", X"c9",X"06",X"0d",X"cd",X"05",X"01",X"06",X"0a", X"cd",X"05",X"01",X"06",X"7f",X"cd",X"05",X"01", X"cd",X"05",X"01",X"c9",X"cd",X"03",X"03",X"cd", X"11",X"01",X"2a",X"8d",X"0e",X"e9",X"11",X"c1", X"02",X"06",X"0b",X"3e",X"04",X"32",X"98",X"0e", X"cd",X"3f",X"01",X"c2",X"5d",X"04",X"e9",X"2a", X"77",X"0e",X"3a",X"98",X"0e",X"4f",X"cd",X"56", X"01",X"1a",X"6f",X"13",X"1a",X"67",X"c8",X"13", X"05",X"c2",X"3f",X"01",X"04",X"c9",X"1a",X"be", X"c2",X"62",X"01",X"23",X"13",X"0d",X"c2",X"56", X"01",X"c9",X"13",X"0d",X"c2",X"62",X"01",X"0c", X"c9",X"af",X"11",X"8d",X"0e",X"06",X"0c",X"1b", X"12",X"05",X"c2",X"6f",X"01",X"c9",X"cd",X"7d", X"01",X"da",X"5d",X"04",X"c9",X"21",X"00",X"00", X"22",X"8f",X"0e",X"22",X"79",X"0e",X"cd",X"69", X"01",X"21",X"c9",X"0e",X"23",X"7e",X"fe",X"20", X"3f",X"d0",X"c2",X"8c",X"01",X"22",X"99",X"0e", X"cd",X"66",X"09",X"3f",X"d0",X"fe",X"2f",X"c2", X"c7",X"01",X"11",X"79",X"0e",X"0e",X"05",X"23", X"7e",X"fe",X"2f",X"ca",X"b7",X"01",X"0d",X"fa", X"5d",X"04",X"12",X"13",X"c3",X"a7",X"01",X"3e", X"20",X"0d",X"fa",X"c2",X"01",X"12",X"13",X"c3", X"b9",X"01",X"cd",X"6d",X"09",X"3f",X"d0",X"11", X"81",X"0e",X"cd",X"ce",X"0b",X"78",X"fe",X"05", X"3f",X"d8",X"01",X"81",X"0e",X"cd",X"1e",X"02", X"d8",X"22",X"8d",X"0e",X"21",X"81",X"0e",X"cd", X"16",X"06",X"cd",X"66",X"09",X"3f",X"d0",X"11", X"85",X"0e",X"cd",X"ce",X"0b",X"78",X"fe",X"05", X"3f",X"d8",X"01",X"85",X"0e",X"cd",X"1e",X"02", X"d8",X"22",X"8f",X"0e",X"21",X"85",X"0e",X"cd", X"16",X"06",X"b7",X"c9",X"21",X"00",X"00",X"0a", X"b7",X"c8",X"54",X"5d",X"29",X"29",X"19",X"29", X"d6",X"30",X"fe",X"0a",X"3f",X"d8",X"5f",X"16", X"00",X"19",X"03",X"c3",X"07",X"02",X"21",X"00", X"00",X"0a",X"b7",X"c8",X"29",X"29",X"29",X"29", X"cd",X"35",X"02",X"fe",X"10",X"3f",X"d8",X"85", X"6f",X"03",X"c3",X"21",X"02",X"d6",X"30",X"fe", X"0a",X"d8",X"d6",X"07",X"c9",X"cd",X"89",X"02", X"21",X"77",X"0e",X"46",X"cd",X"05",X"01",X"23", X"46",X"cd",X"05",X"01",X"c9",X"cd",X"3d",X"02", X"cd",X"60",X"02",X"c9",X"cd",X"a6",X"02",X"cd", X"40",X"02",X"23",X"46",X"cd",X"05",X"01",X"c9", X"06",X"20",X"cd",X"05",X"01",X"c9",X"2a",X"8d", X"0e",X"3a",X"90",X"0e",X"bc",X"c2",X"78",X"02", X"3a",X"8f",X"0e",X"bd",X"c2",X"78",X"02",X"37", X"23",X"22",X"8d",X"0e",X"c9",X"46",X"3e",X"0d", X"b8",X"c8",X"cd",X"05",X"01",X"23",X"c3",X"7d", X"02",X"21",X"77",X"0e",X"47",X"1f",X"1f",X"1f", X"1f",X"cd",X"9c",X"02",X"77",X"23",X"78",X"cd", X"9c",X"02",X"77",X"c9",X"e6",X"0f",X"c6",X"30", X"fe",X"3a",X"d8",X"c6",X"07",X"c9",X"21",X"77", X"0e",X"06",X"64",X"cd",X"b7",X"02",X"06",X"0a", X"cd",X"b7",X"02",X"c6",X"30",X"77",X"c9",X"36", X"2f",X"34",X"90",X"d2",X"b9",X"02",X"80",X"23", X"c9",X"64",X"75",X"6d",X"70",X"0b",X"03",X"65", X"78",X"65",X"63",X"24",X"01",X"65",X"6e",X"74", X"72",X"cf",X"04",X"66",X"69",X"6c",X"65",X"41", X"03",X"6c",X"69",X"73",X"74",X"29",X"06",X"64", X"65",X"6c",X"74",X"40",X"06",X"61",X"73",X"73", X"6d",X"b7",X"06",X"70",X"61",X"67",X"65",X"25", X"03",X"63",X"75",X"73",X"74",X"00",X"20",X"62", X"72",X"65",X"6b",X"2b",X"0d",X"70",X"72",X"6f", X"63",X"e6",X"0d",X"3a",X"81",X"0e",X"b7",X"ca", X"5d",X"04",X"c9",X"cd",X"03",X"03",X"cd",X"11", X"01",X"2a",X"8d",X"0e",X"7e",X"cd",X"4d",X"02", X"cd",X"66",X"02",X"d8",X"7d",X"e6",X"0f",X"c2", X"11",X"03",X"c3",X"0e",X"03",X"cd",X"03",X"03", X"3a",X"85",X"0e",X"b7",X"ca",X"5d",X"04",X"2a", X"8d",X"0e",X"eb",X"2a",X"8f",X"0e",X"06",X"00", X"1a",X"77",X"23",X"13",X"05",X"c2",X"38",X"03", X"c9",X"cd",X"11",X"01",X"3a",X"79",X"0e",X"b7", X"ca",X"bc",X"03",X"cd",X"1b",X"04",X"eb",X"c2", X"66",X"03",X"3a",X"81",X"0e",X"b7",X"ca",X"60", X"04",X"3a",X"80",X"0e",X"b7",X"c2",X"7b",X"03", X"21",X"6e",X"04",X"c3",X"63",X"04",X"3a",X"81", X"0e",X"b7",X"ca",X"8e",X"03",X"2a",X"8d",X"0e", X"7c",X"b5",X"ca",X"8e",X"03",X"21",X"73",X"04", X"c3",X"63",X"04",X"2a",X"7e",X"0e",X"eb",X"21", X"79",X"0e",X"d5",X"0e",X"05",X"7e",X"12",X"13", X"0d",X"23",X"c2",X"85",X"03",X"d1",X"21",X"27", X"0e",X"0e",X"0d",X"1a",X"46",X"77",X"78",X"12", X"13",X"23",X"0d",X"c2",X"93",X"03",X"3a",X"81", X"0e",X"b7",X"ca",X"c6",X"03",X"2a",X"8d",X"0e", X"22",X"2c",X"0e",X"22",X"2e",X"0e",X"7d",X"b4", X"ca",X"b5",X"03",X"36",X"01",X"af",X"32",X"30", X"0e",X"c3",X"c6",X"03",X"3a",X"ce",X"0e",X"fe", X"73",X"0e",X"06",X"ca",X"c8",X"03",X"0e",X"01", X"21",X"27",X"0e",X"79",X"32",X"80",X"0e",X"e5", X"11",X"05",X"00",X"19",X"7e",X"b7",X"c2",X"e6", X"03",X"23",X"86",X"23",X"c2",X"e6",X"03",X"33", X"33",X"23",X"23",X"c3",X"fb",X"03",X"e1",X"0e", X"05",X"46",X"cd",X"05",X"01",X"0d",X"23",X"c2", X"e9",X"03",X"cd",X"07",X"04",X"cd",X"07",X"04", X"cd",X"11",X"01",X"11",X"04",X"00",X"19",X"3a", X"80",X"0e",X"3d",X"c2",X"cc",X"03",X"c9",X"cd", X"60",X"02",X"23",X"7e",X"2b",X"e5",X"cd",X"3d", X"02",X"e1",X"7e",X"23",X"23",X"e5",X"cd",X"4d", X"02",X"e1",X"c9",X"af",X"32",X"80",X"0e",X"06", X"06",X"11",X"27",X"0e",X"21",X"79",X"0e",X"0e", X"05",X"cd",X"56",X"01",X"f5",X"d5",X"1a",X"b7", X"c2",X"51",X"04",X"13",X"1a",X"b7",X"c2",X"51", X"04",X"eb",X"11",X"fa",X"ff",X"19",X"22",X"7e", X"0e",X"7a",X"32",X"80",X"0e",X"e1",X"f1",X"11", X"08",X"00",X"19",X"eb",X"05",X"c8",X"c3",X"24", X"04",X"e1",X"f1",X"c2",X"47",X"04",X"11",X"fb", X"ff",X"19",X"7a",X"b7",X"c9",X"cd",X"11",X"01", X"21",X"69",X"04",X"cd",X"7d",X"02",X"c3",X"69", X"00",X"77",X"68",X"61",X"74",X"0d",X"66",X"75", X"6c",X"6c",X"0d",X"6e",X"6f",X"20",X"6e",X"6f", X"0d",X"49",X"4d",X"53",X"41",X"49",X"20",X"53", X"43",X"53",X"2d",X"31",X"20",X"4d",X"6f",X"6e", X"69",X"74",X"6f",X"72",X"2f",X"41",X"73",X"73", X"65",X"6d",X"62",X"6c",X"65",X"72",X"20",X"28", X"72",X"65",X"76",X"2e",X"20",X"32",X"20",X"30", X"36",X"20",X"6f",X"63",X"74",X"2e",X"20",X"31", X"39",X"37",X"36",X"29",X"0d",X"28",X"72",X"65", X"76",X"69",X"73",X"65",X"64",X"20",X"66",X"6f", X"72",X"20",X"6c",X"69",X"67",X"68",X"74",X"38", X"30",X"38",X"30",X"20",X"46",X"50",X"47",X"41", X"20",X"63",X"6f",X"72",X"65",X"29",X"0d",X"cd", X"03",X"03",X"cd",X"dc",X"04",X"da",X"5d",X"04", X"cd",X"11",X"01",X"c9",X"cd",X"11",X"01",X"cd", X"82",X"00",X"21",X"ca",X"0e",X"22",X"99",X"0e", X"cd",X"69",X"01",X"cd",X"66",X"09",X"da",X"dc", X"04",X"fe",X"2f",X"c8",X"cd",X"ce",X"0b",X"78", X"fe",X"03",X"3f",X"d8",X"01",X"81",X"0e",X"cd", X"1e",X"02",X"d8",X"7d",X"2a",X"8d",X"0e",X"77", X"cd",X"78",X"02",X"c3",X"e8",X"04",X"3a",X"27", X"0e",X"b7",X"ca",X"5d",X"04",X"0e",X"04",X"21", X"c9",X"0e",X"23",X"7e",X"fe",X"30",X"da",X"5d", X"04",X"fe",X"3a",X"d2",X"5d",X"04",X"0d",X"c2", X"1a",X"05",X"22",X"77",X"0e",X"11",X"33",X"0e", X"cd",X"fb",X"05",X"d2",X"53",X"05",X"23",X"cd", X"eb",X"05",X"21",X"33",X"0e",X"cd",X"f3",X"05", X"11",X"c9",X"0e",X"2a",X"2e",X"0e",X"0e",X"01", X"cd",X"d9",X"05",X"36",X"01",X"22",X"2e",X"0e", X"c3",X"69",X"00",X"cd",X"ab",X"05",X"0e",X"02", X"ca",X"5c",X"05",X"0d",X"46",X"2b",X"36",X"02", X"22",X"75",X"0e",X"3a",X"c9",X"0e",X"0d",X"ca", X"71",X"05",X"90",X"ca",X"94",X"05",X"da",X"84", X"05",X"2a",X"2e",X"0e",X"54",X"5d",X"cd",X"d4", X"05",X"22",X"2e",X"0e",X"0e",X"02",X"cd",X"e2", X"05",X"c3",X"94",X"05",X"2f",X"3c",X"54",X"5d", X"cd",X"d4",X"05",X"eb",X"cd",X"d9",X"05",X"36", X"01",X"22",X"2e",X"0e",X"2a",X"75",X"0e",X"36", X"0d",X"23",X"11",X"c9",X"0e",X"0e",X"01",X"cd", X"d9",X"05",X"c3",X"69",X"00",X"21",X"84",X"0e", X"22",X"77",X"0e",X"2a",X"2c",X"0e",X"7c",X"b5", X"ca",X"69",X"00",X"cd",X"cd",X"05",X"eb",X"2a", X"77",X"0e",X"eb",X"3e",X"04",X"cd",X"d4",X"05", X"cd",X"fb",X"05",X"d8",X"c8",X"7e",X"cd",X"d4", X"05",X"c3",X"b3",X"05",X"23",X"3e",X"01",X"be", X"c0",X"c3",X"69",X"00",X"85",X"6f",X"d0",X"24", X"c9",X"1a",X"13",X"b9",X"c8",X"77",X"23",X"c3", X"d9",X"05",X"1a",X"1b",X"b9",X"c8",X"77",X"2b", X"c3",X"e2",X"05",X"46",X"23",X"4e",X"23",X"56", X"23",X"5e",X"c9",X"73",X"2b",X"72",X"2b",X"71", X"2b",X"70",X"c9",X"06",X"01",X"0e",X"04",X"b7", X"1a",X"9e",X"ca",X"06",X"06",X"04",X"1b",X"2b", X"0d",X"c2",X"00",X"06",X"05",X"c9",X"0e",X"04", X"1a",X"d6",X"01",X"c3",X"01",X"06",X"cd",X"eb", X"05",X"af",X"b8",X"c8",X"bb",X"c4",X"f3",X"05", X"c0",X"5a",X"51",X"48",X"06",X"30",X"c3",X"1c", X"06",X"cd",X"11",X"01",X"cd",X"a5",X"05",X"23", X"cd",X"7d",X"02",X"cd",X"11",X"01",X"cd",X"cc", X"05",X"cd",X"eb",X"00",X"c2",X"2f",X"06",X"c9", X"cd",X"03",X"03",X"cd",X"a5",X"05",X"22",X"75", X"0e",X"21",X"88",X"0e",X"7e",X"b7",X"c2",X"54", X"06",X"21",X"84",X"0e",X"22",X"77",X"0e",X"eb", X"21",X"33",X"0e",X"cd",X"fb",X"05",X"2a",X"75", X"0e",X"da",X"a2",X"06",X"22",X"2e",X"0e",X"36", X"01",X"eb",X"2a",X"2c",X"0e",X"eb",X"06",X"0d", X"2b",X"7d",X"93",X"7c",X"9a",X"3e",X"0d",X"da", X"99",X"06",X"05",X"2b",X"be",X"c2",X"71",X"06", X"2b",X"7d",X"93",X"7c",X"9a",X"da",X"9a",X"06", X"be",X"23",X"23",X"ca",X"8f",X"06",X"23",X"cd", X"eb",X"05",X"21",X"33",X"0e",X"cd",X"f3",X"05", X"c9",X"b8",X"eb",X"c2",X"8e",X"06",X"32",X"30", X"0e",X"c9",X"cd",X"b3",X"05",X"cc",X"c5",X"05", X"eb",X"2a",X"75",X"0e",X"0e",X"01",X"cd",X"d9", X"05",X"22",X"2e",X"0e",X"36",X"01",X"c9",X"cd", X"03",X"03",X"3a",X"85",X"0e",X"b7",X"c2",X"c7", X"06",X"2a",X"8d",X"0e",X"22",X"8f",X"0e",X"3a", X"ce",X"0e",X"d6",X"65",X"32",X"91",X"0e",X"af", X"32",X"9b",X"0e",X"32",X"97",X"0e",X"cd",X"11", X"01",X"2a",X"8d",X"0e",X"22",X"95",X"0e",X"2a", X"2c",X"0e",X"22",X"75",X"0e",X"2a",X"75",X"0e", X"31",X"b5",X"0e",X"7e",X"fe",X"01",X"ca",X"5a", X"09",X"eb",X"13",X"21",X"b5",X"0e",X"3e",X"c5", X"cd",X"e3",X"00",X"0e",X"0d",X"cd",X"d9",X"05", X"71",X"eb",X"22",X"75",X"0e",X"3a",X"97",X"0e", X"b7",X"c2",X"12",X"07",X"cd",X"35",X"07",X"c3", X"e5",X"06",X"cd",X"ec",X"07",X"21",X"b5",X"0e", X"cd",X"1e",X"07",X"c3",X"e5",X"06",X"3a",X"91", X"0e",X"b7",X"c2",X"2b",X"07",X"3a",X"b5",X"0e", X"fe",X"20",X"c8",X"21",X"b5",X"0e",X"cd",X"7d", X"02",X"cd",X"11",X"01",X"c9",X"cd",X"69",X"01", X"32",X"97",X"0e",X"21",X"ca",X"0e",X"22",X"99", X"0e",X"7e",X"fe",X"20",X"ca",X"77",X"07",X"fe", X"2a",X"c8",X"cd",X"79",X"0b",X"da",X"38",X"0b", X"ca",X"20",X"0d",X"cd",X"8e",X"07",X"c2",X"38", X"0b",X"0e",X"05",X"21",X"81",X"0e",X"7e",X"12", X"13",X"23",X"0d",X"c2",X"5e",X"07",X"eb",X"22", X"93",X"0e",X"3a",X"96",X"0e",X"77",X"23",X"3a", X"95",X"0e",X"77",X"21",X"9b",X"0e",X"34",X"cd", X"69",X"01",X"cd",X"66",X"09",X"da",X"5f",X"0b", X"cd",X"ce",X"0b",X"fe",X"20",X"da",X"be",X"0a", X"c2",X"5f",X"0b",X"c3",X"be",X"0a",X"2a",X"99", X"0e",X"7e",X"fe",X"20",X"c8",X"fe",X"3a",X"c0", X"23",X"22",X"99",X"0e",X"c9",X"cd",X"66",X"09", X"1a",X"b7",X"ca",X"b9",X"07",X"fa",X"e9",X"07", X"e2",X"ce",X"07",X"fe",X"05",X"da",X"e1",X"07", X"c2",X"5a",X"09",X"0e",X"02",X"af",X"c3",X"4e", X"0b",X"cd",X"f0",X"0b",X"3a",X"b5",X"0e",X"fe", X"20",X"c0",X"22",X"95",X"0e",X"3a",X"ca",X"0e", X"fe",X"20",X"c8",X"c3",X"d9",X"07",X"cd",X"f0", X"0b",X"3a",X"ca",X"0e",X"fe",X"20",X"ca",X"f8", X"0c",X"eb",X"2a",X"93",X"0e",X"72",X"23",X"73", X"c9",X"cd",X"f0",X"0b",X"44",X"4d",X"c3",X"46", X"08",X"c3",X"4d",X"08",X"21",X"b7",X"0e",X"3a", X"96",X"0e",X"cd",X"8c",X"02",X"23",X"3a",X"95", X"0e",X"cd",X"8c",X"02",X"23",X"22",X"a1",X"0e", X"cd",X"69",X"01",X"21",X"ca",X"0e",X"22",X"99", X"0e",X"7e",X"fe",X"20",X"ca",X"77",X"07",X"fe", X"2a",X"c8",X"cd",X"79",X"0b",X"da",X"1b",X"0d", X"cd",X"8e",X"07",X"c2",X"1b",X"0d",X"c3",X"77", X"07",X"1a",X"b7",X"ca",X"65",X"08",X"fa",X"4a", X"08",X"e2",X"53",X"08",X"fe",X"05",X"da",X"3a", X"08",X"c2",X"5a",X"09",X"cd",X"3a",X"09",X"c3", X"b3",X"07",X"cd",X"ed",X"0b",X"44",X"4d",X"2a", X"8f",X"0e",X"09",X"22",X"8f",X"0e",X"af",X"c3", X"51",X"0b",X"cd",X"f9",X"08",X"af",X"0e",X"01", X"c3",X"4e",X"0b",X"cd",X"ed",X"0b",X"eb",X"21", X"b7",X"0e",X"7a",X"cd",X"8c",X"02",X"23",X"7b", X"cd",X"8c",X"02",X"23",X"c9",X"cd",X"ed",X"0b", X"3a",X"b5",X"0e",X"fe",X"20",X"c0",X"cd",X"56", X"08",X"2a",X"95",X"0e",X"eb",X"22",X"95",X"0e", X"7d",X"93",X"5f",X"7c",X"9a",X"57",X"2a",X"8f", X"0e",X"19",X"22",X"8f",X"0e",X"c9",X"cd",X"47", X"09",X"c9",X"cd",X"ed",X"0b",X"c4",X"da",X"0c", X"7d",X"b7",X"ca",X"ae",X"08",X"fe",X"02",X"c4", X"da",X"0c",X"c3",X"ae",X"08",X"cd",X"ed",X"0b", X"c4",X"da",X"0c",X"7d",X"0f",X"dc",X"da",X"0c", X"17",X"fe",X"08",X"d4",X"da",X"0c",X"07",X"17", X"17",X"47",X"1a",X"80",X"fe",X"76",X"cc",X"da", X"0c",X"c3",X"86",X"08",X"cd",X"ed",X"0b",X"c4", X"da",X"0c",X"7d",X"fe",X"08",X"d4",X"da",X"0c", X"1a",X"fe",X"40",X"ca",X"da",X"08",X"fe",X"c7", X"7d",X"ca",X"ae",X"08",X"fa",X"b1",X"08",X"c3", X"ae",X"08",X"29",X"29",X"29",X"85",X"12",X"cd", X"18",X"09",X"cd",X"f0",X"0b",X"c4",X"da",X"0c", X"7d",X"fe",X"08",X"d4",X"da",X"0c",X"c3",X"b1", X"08",X"fe",X"06",X"cc",X"06",X"09",X"cd",X"47", X"09",X"cd",X"ed",X"0b",X"3c",X"fe",X"02",X"d4", X"f3",X"0c",X"7d",X"c3",X"86",X"08",X"cd",X"ed", X"0b",X"c4",X"da",X"0c",X"7d",X"fe",X"08",X"d4", X"da",X"0c",X"29",X"29",X"29",X"1a",X"85",X"5f", X"2a",X"99",X"0e",X"7e",X"fe",X"2c",X"23",X"22", X"99",X"0e",X"c2",X"e3",X"0c",X"7b",X"c9",X"fe", X"01",X"c2",X"37",X"09",X"cd",X"06",X"09",X"e6", X"08",X"c4",X"da",X"0c",X"7b",X"e6",X"f7",X"cd", X"47",X"09",X"cd",X"ed",X"0b",X"7d",X"54",X"cd", X"47",X"09",X"7a",X"c3",X"86",X"08",X"c9",X"2a", X"8f",X"0e",X"77",X"23",X"22",X"8f",X"0e",X"2a", X"a1",X"0e",X"23",X"cd",X"8c",X"02",X"22",X"a1", X"0e",X"c9",X"3a",X"97",X"0e",X"b7",X"c2",X"69", X"00",X"3e",X"01",X"c3",X"d3",X"06",X"2a",X"99", X"0e",X"7e",X"fe",X"20",X"c0",X"23",X"22",X"99", X"0e",X"c3",X"69",X"09",X"21",X"82",X"0e",X"22", X"77",X"0e",X"06",X"02",X"cd",X"a9",X"0a",X"c9", X"6f",X"72",X"67",X"00",X"00",X"65",X"71",X"75", X"00",X"01",X"64",X"62",X"00",X"00",X"ff",X"64", X"73",X"00",X"00",X"03",X"64",X"77",X"00",X"00", X"05",X"65",X"6e",X"64",X"00",X"06",X"00",X"68", X"6c",X"74",X"76",X"72",X"6c",X"63",X"07",X"72", X"72",X"63",X"0f",X"72",X"61",X"6c",X"17",X"72", X"61",X"72",X"1f",X"72",X"65",X"74",X"c9",X"63", X"6d",X"61",X"2f",X"73",X"74",X"63",X"37",X"64", X"61",X"61",X"27",X"63",X"6d",X"63",X"3f",X"65", X"69",X"00",X"fb",X"64",X"69",X"00",X"f3",X"6e", X"6f",X"70",X"00",X"00",X"78",X"63",X"68",X"67", X"eb",X"78",X"74",X"68",X"6c",X"e3",X"73",X"70", X"68",X"6c",X"f9",X"70",X"63",X"68",X"6c",X"e9", X"00",X"73",X"74",X"61",X"78",X"02",X"6c",X"64", X"61",X"78",X"0a",X"00",X"70",X"75",X"73",X"68", X"c5",X"70",X"6f",X"70",X"00",X"c1",X"69",X"6e", X"78",X"00",X"03",X"64",X"63",X"78",X"00",X"0b", X"64",X"61",X"64",X"00",X"09",X"00",X"69",X"6e", X"72",X"04",X"64",X"63",X"72",X"05",X"6d",X"6f", X"76",X"40",X"61",X"64",X"64",X"80",X"61",X"64", X"63",X"88",X"73",X"75",X"62",X"90",X"73",X"62", X"62",X"98",X"61",X"6e",X"61",X"a0",X"78",X"72", X"61",X"a8",X"6f",X"72",X"61",X"b0",X"63",X"6d", X"70",X"b8",X"72",X"73",X"74",X"c7",X"00",X"61", X"64",X"69",X"c6",X"61",X"63",X"69",X"ce",X"73", X"75",X"69",X"d6",X"73",X"62",X"69",X"de",X"61", X"6e",X"69",X"e6",X"78",X"72",X"69",X"ee",X"6f", X"72",X"69",X"f6",X"63",X"70",X"69",X"fe",X"69", X"6e",X"00",X"db",X"6f",X"75",X"74",X"d3",X"6d", X"76",X"69",X"06",X"00",X"6a",X"6d",X"70",X"00", X"c3",X"63",X"61",X"6c",X"6c",X"cd",X"6c",X"78", X"69",X"00",X"01",X"6c",X"64",X"61",X"00",X"3a", X"73",X"74",X"61",X"00",X"32",X"73",X"68",X"6c", X"64",X"22",X"6c",X"68",X"6c",X"64",X"2a",X"00", X"6e",X"7a",X"00",X"7a",X"00",X"08",X"6e",X"63", X"10",X"63",X"00",X"18",X"70",X"6f",X"20",X"70", X"65",X"28",X"70",X"00",X"30",X"6d",X"00",X"38", X"00",X"2a",X"77",X"0e",X"1a",X"b7",X"ca",X"bb", X"0a",X"48",X"cd",X"56",X"01",X"1a",X"c8",X"13", X"c3",X"a9",X"0a",X"3c",X"13",X"c9",X"21",X"81", X"0e",X"22",X"77",X"0e",X"11",X"80",X"09",X"06", X"04",X"cd",X"a9",X"0a",X"ca",X"67",X"0b",X"05", X"cd",X"a9",X"0a",X"ca",X"da",X"0a",X"04",X"cd", X"a9",X"0a",X"21",X"86",X"08",X"0e",X"01",X"ca", X"3a",X"0b",X"cd",X"a9",X"0a",X"21",X"8a",X"08", X"ca",X"dd",X"0a",X"cd",X"a9",X"0a",X"21",X"9d", X"08",X"ca",X"dd",X"0a",X"05",X"cd",X"a9",X"0a", X"21",X"bc",X"08",X"ca",X"dd",X"0a",X"cd",X"a9", X"0a",X"21",X"f1",X"08",X"0e",X"02",X"ca",X"3a", X"0b",X"04",X"cd",X"a9",X"0a",X"ca",X"35",X"0b", X"cd",X"74",X"09",X"c2",X"5f",X"0b",X"c6",X"c0", X"57",X"06",X"03",X"3a",X"81",X"0e",X"4f",X"fe", X"72",X"7a",X"ca",X"da",X"0a",X"79",X"14",X"14", X"fe",X"6a",X"ca",X"34",X"0b",X"fe",X"63",X"c2", X"5f",X"0b",X"14",X"14",X"7a",X"21",X"27",X"09", X"0e",X"03",X"32",X"a0",X"0e",X"3e",X"81",X"80", X"5f",X"3e",X"0e",X"ce",X"00",X"57",X"1a",X"b7", X"c2",X"5f",X"0b",X"3a",X"97",X"0e",X"06",X"00", X"eb",X"2a",X"95",X"0e",X"09",X"22",X"95",X"0e", X"b7",X"c8",X"3a",X"a0",X"0e",X"eb",X"e9",X"21", X"06",X"0d",X"0e",X"03",X"c3",X"4b",X"0b",X"21", X"85",X"0e",X"7e",X"b7",X"c2",X"5f",X"0b",X"3a", X"97",X"0e",X"b7",X"ca",X"9d",X"07",X"c3",X"21", X"08",X"fe",X"61",X"d8",X"fe",X"7b",X"3f",X"d8", X"cd",X"ce",X"0b",X"21",X"81",X"0e",X"22",X"77", X"0e",X"05",X"c2",X"9d",X"0b",X"04",X"11",X"b9", X"0b",X"cd",X"a9",X"0a",X"c2",X"9d",X"0b",X"6f", X"26",X"00",X"c3",X"b3",X"0b",X"3a",X"9b",X"0e", X"47",X"11",X"1d",X"0f",X"b7",X"ca",X"b6",X"0b", X"3e",X"05",X"32",X"98",X"0e",X"cd",X"3f",X"01", X"4c",X"65",X"69",X"37",X"3f",X"c9",X"3c",X"b7", X"c9",X"61",X"07",X"62",X"00",X"63",X"01",X"64", X"02",X"65",X"03",X"68",X"04",X"6c",X"05",X"6d", X"06",X"70",X"06",X"73",X"06",X"00",X"06",X"00", X"12",X"04",X"78",X"fe",X"0b",X"d0",X"13",X"23", X"22",X"99",X"0e",X"7e",X"fe",X"30",X"d8",X"fe", X"3a",X"da",X"d0",X"0b",X"fe",X"61",X"d8",X"fe", X"7b",X"da",X"d0",X"0b",X"c9",X"cd",X"66",X"09", X"21",X"00",X"00",X"22",X"9d",X"0e",X"24",X"22", X"9e",X"0e",X"2a",X"99",X"0e",X"2b",X"cd",X"69", X"01",X"32",X"9c",X"0e",X"23",X"7e",X"fe",X"21", X"da",X"ac",X"0c",X"fe",X"2c",X"ca",X"ac",X"0c", X"fe",X"2b",X"ca",X"1d",X"0c",X"fe",X"2d",X"c2", X"2d",X"0c",X"32",X"9c",X"0e",X"3a",X"9f",X"0e", X"fe",X"02",X"ca",X"e3",X"0c",X"3e",X"02",X"32", X"9f",X"0e",X"c3",X"04",X"0c",X"4f",X"3a",X"9f", X"0e",X"b7",X"ca",X"e3",X"0c",X"79",X"fe",X"24", X"c2",X"45",X"0c",X"23",X"22",X"99",X"0e",X"2a", X"95",X"0e",X"c3",X"81",X"0c",X"fe",X"27",X"c2", X"71",X"0c",X"11",X"00",X"00",X"0e",X"03",X"23", X"22",X"99",X"0e",X"7e",X"fe",X"0d",X"ca",X"01", X"0d",X"fe",X"27",X"c2",X"68",X"0c",X"23",X"22", X"99",X"0e",X"7e",X"fe",X"27",X"c2",X"82",X"0c", X"0d",X"ca",X"01",X"0d",X"53",X"5f",X"c3",X"4f", X"0c",X"fe",X"30",X"da",X"01",X"0d",X"fe",X"3a", X"d2",X"a0",X"0c",X"cd",X"bc",X"0c",X"da",X"01", X"0d",X"eb",X"2a",X"9d",X"0e",X"af",X"32",X"9f", X"0e",X"3a",X"9c",X"0e",X"b7",X"c2",X"97",X"0c", X"19",X"22",X"9d",X"0e",X"c3",X"fa",X"0b",X"7d", X"93",X"6f",X"7c",X"9a",X"67",X"c3",X"91",X"0c", X"cd",X"79",X"0b",X"ca",X"81",X"0c",X"da",X"01", X"0d",X"c3",X"ee",X"0c",X"3a",X"9f",X"0e",X"b7", X"c2",X"e3",X"0c",X"2a",X"9d",X"0e",X"7c",X"11", X"a0",X"0e",X"b7",X"c9",X"cd",X"ce",X"0b",X"1b", X"1a",X"01",X"81",X"0e",X"fe",X"68",X"ca",X"d4", X"0c",X"fe",X"64",X"c2",X"d0",X"0c",X"af",X"12", X"cd",X"04",X"02",X"c9",X"af",X"12",X"cd",X"1e", X"02",X"c9",X"3e",X"72",X"21",X"00",X"00",X"32", X"b5",X"0e",X"c9",X"3e",X"73",X"32",X"b5",X"0e", X"21",X"00",X"00",X"c3",X"b6",X"0c",X"3e",X"75", X"c3",X"e5",X"0c",X"3e",X"76",X"c3",X"dc",X"0c", X"3e",X"6d",X"32",X"b5",X"0e",X"cd",X"2b",X"07", X"c9",X"3e",X"61",X"c3",X"e5",X"0c",X"3e",X"6f", X"32",X"b5",X"0e",X"3a",X"97",X"0e",X"b7",X"c8", X"0e",X"03",X"af",X"cd",X"47",X"09",X"0d",X"c2", X"12",X"0d",X"c9",X"3e",X"6c",X"c3",X"08",X"0d", X"3e",X"64",X"32",X"b5",X"0e",X"cd",X"1e",X"07", X"c3",X"77",X"07",X"3a",X"81",X"0e",X"b7",X"ca", X"6d",X"0d",X"16",X"08",X"21",X"0f",X"0e",X"7e", X"23",X"46",X"b0",X"ca",X"47",X"0d",X"23",X"23", X"15",X"c2",X"37",X"0d",X"c3",X"5d",X"04",X"2b", X"eb",X"2a",X"8d",X"0e",X"eb",X"7a",X"b7",X"c2", X"58",X"0d",X"7b",X"fe",X"0b",X"da",X"5d",X"04", X"72",X"23",X"73",X"23",X"1a",X"77",X"3e",X"cf", X"12",X"3e",X"c3",X"32",X"08",X"00",X"21",X"87", X"0d",X"22",X"09",X"00",X"c9",X"21",X"0f",X"0e", X"06",X"08",X"af",X"56",X"77",X"23",X"5e",X"77", X"23",X"46",X"23",X"7a",X"b3",X"ca",X"82",X"0d", X"78",X"12",X"05",X"c2",X"72",X"0d",X"c9",X"22", X"0b",X"0e",X"e1",X"2b",X"22",X"0d",X"0e",X"f5", X"e1",X"22",X"03",X"0e",X"21",X"00",X"00",X"39", X"31",X"0b",X"0e",X"e5",X"d5",X"c5",X"2f",X"31", X"b5",X"0e",X"2a",X"0d",X"0e",X"eb",X"21",X"0f", X"0e",X"06",X"08",X"7e",X"23",X"ba",X"c2",X"b6", X"0d",X"7e",X"bb",X"ca",X"bf",X"0d",X"23",X"23", X"05",X"ca",X"5d",X"04",X"c3",X"ab",X"0d",X"23", X"7e",X"12",X"af",X"2b",X"77",X"2b",X"77",X"cd", X"11",X"01",X"3a",X"0e",X"0e",X"cd",X"3d",X"02", X"3a",X"0d",X"0e",X"cd",X"3d",X"02",X"21",X"df", X"0d",X"cd",X"7d",X"02",X"c3",X"69",X"00",X"20", X"62",X"72",X"65",X"61",X"6b",X"0d",X"3a",X"81", X"0e",X"b7",X"ca",X"f3",X"0d",X"2a",X"8d",X"0e", X"22",X"0d",X"0e",X"31",X"03",X"0e",X"f1",X"c1", X"d1",X"e1",X"f9",X"2a",X"0d",X"0e",X"e5",X"2a", X"0b",X"0e",X"c9",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00" -- @end_rom ); -- i/o signals signal data_io_in : std_logic_vector(7 downto 0); signal data_mem_in : std_logic_vector(7 downto 0); -- Clock & reset signals signal clk_1hz : std_logic; signal counter_1hz : integer; signal reset : std_logic; begin -- Program memory (it's RAM really) rom_addr <= addr(11 downto 0); process(clk_50MHz) begin if (clk_50MHz'event and clk_50MHz='1') then data_mem_in <= rom(conv_integer(rom_addr)); if wr = '1' then rom(conv_integer(rom_addr)) <= data_out; end if; end if; end process; process(clk_50MHz) begin if (clk_50MHz'event and clk_50MHz='1') then if reset='1' then reg_h <= "00000000"; reg_l <= "00000000"; else if io_write='1' then if addr(7 downto 0)=X"40" then reg_l <= data_out; end if; if addr(7 downto 0)=X"f1" then -- FIXME reg_h <= data_out; end if; end if; end if; end if; end process; -- CPU control signals intr <= '0'; -- CPU instance cpu: light8080 port map( clk => clk_50MHz, reset => reset, vma => vma, rd => rd, wr => wr, io => io, addr_out => addr, data_in => data_in, data_out => data_out, intr => intr, inte => inte, inta => inta, halt => halt ); process(clk_50MHz) begin if clk_50MHz'event and clk_50MHz = '1' then if reset = '1' then io_q <= '0'; rd_q <= '0'; io_port <= X"00"; data_io_out <= X"00"; else io_q <= io; rd_q <= rd; io_port <= addr(7 downto 0); data_io_out <= data_out; end if; end if; end process; red_leds(0) <= halt; red_leds(1) <= inte; red_leds(2) <= vma; red_leds(3) <= rd; red_leds(4) <= wr; red_leds(9) <= tx_rdy; red_leds(8) <= rx_rdy; red_leds(7 downto 5) <= "000"; --##### Input ports ########################################################### -- mem vs. io input mux data_in <= data_io_in when io_q='1' else data_mem_in; -- io read enable (for async io ports; data read in cycle following io='1') io_read <= '1' when io_q='1' and rd_q='1' else '0'; -- io write enable (for sync io ports; dara written in cycle following io='1') io_write <= '1' when io='1' and wr='1' else '0'; -- read/write signals for rs232 modules read_rx <= '1' when io_read='1' and addr(7 downto 0)=X"21" else '0'; write_tx <= '1' when io_write='1' and addr(7 downto 0)=X"21" else '0'; -- synchronized input port mux (using registered port address) with io_port select data_io_in <= rs232_status when X"20", rs232_data_rx when X"21", switches(7 downto 0) when others; -- X"40" --############################################################################## -- terasIC Cyclone II STARTER KIT BOARD --############################################################################## --############################################################################## -- FLASH --############################################################################## -- Flash is unused flash_addr <= "000000000000" & switches; flash_we <= '1'; flash_oe <= '1'; flash_reset <= '1'; --green_leds <= flash_data; --############################################################################## -- RESET, CLOCK --############################################################################## -- Use button 3 as reset reset <= not buttons(3); -- Generate a 1-Hz clock for visual reference process(clk_50MHz) begin if clk_50MHz'event and clk_50MHz='1' then if buttons(3) = '1' then clk_1hz <= '0'; counter_1hz <= 0; else if buttons(2) = '0' then if counter_1hz = 25000000 then counter_1hz <= 0; clk_1hz <= not clk_1hz; else counter_1hz <= counter_1hz + 1; end if; end if; end if; end if; end process; --############################################################################## -- LEDS, SWITCHES --############################################################################## green_leds <= reg_l; --############################################################################## -- SERIAL --############################################################################## --txd <= rxd; -- loopback rs-232 serial_rx : rs232_rx port map( rxd => rxd, data_rx => rs232_data_rx, rx_rdy => rx_rdy, read_rx => read_rx, clk => clk_50MHz, reset => reset ); serial_tx : rs232_tx port map( clk => clk_50MHz, reset => reset, rdy => tx_rdy, load => write_tx, data_i => data_out, txd => txd ); rs232_status <= (not tx_rdy) & "000000" & (not rx_rdy); end demo;
gpl-3.0
5ff69148757dd7850d9debaa597f23f9
0.493632
1.598242
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/raster_accum.vhd
6
51,369
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qxFABSABOjauaAEkWenRG2NKa3+R2oYqsph7GWyP4octxvVSn7n98xLYMOgqRTR7JMxCQbJnMpmA KtDSnUh8dw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ilyXzYvWDnDOLR7yqIYKqEpaPqYwZouGSH3XEWXST7LZYUrrkQETVkOpibDV60xg0O3fklbNE0pM qWjaa6po+dCv4BCB1IA6sFtF5ChZ/0SG6rS8yxoyQYmIAgGpa8Ipa76RNBvEYokaYiBOTOfd6X5h W5teUueA2A44bobzKXI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GRPqELA7JpvkE4SxQcQnLorxNBFxrGY+lPYLLi/RYQ4xG5rDxnzt68JRRakaJPhtCeJB4eWyA0cW BpAbTGnS4WO13Q14/T+ss4qv+TYDbgD9EeMsVZx6y+2kozlumLTB5FGbSlRAuRu6GB5gxBaMSHaW DwO5NbE+nLsaiygU99H4yl0d2po9AphQVLBU+G8eq9qZk0cyzaAEazHnvdWd6VKGEWyl3Enpdjc+ NZCA9JN+1uXMPt8ah4OJVTRUMPDsjVhJjcWbiYl1OqGNlaw7f/n4JKD+sElkKeZREpS+SS7/9DiA BSe8sW/a85eRPtXxEoMHF0irVRzLGJCojUqCeg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vFyaKroHmbCG8KI1yUGLpTEb+aMhU3kwqeJMgKEHiMaLjY9I+39A8kkFhIsmzaHg4UP/X6PyKAHQ zXRKg1d0o6ROTxrqXn/MmTy8GZgTsKsH4gQrEEecfZZkiIQgBs0iHT2XGaMmmHSMSDWNiD6GaSK4 X0pI4AiMiuueuujFVZc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lvcKO4ZrZWRxjl7GZ7vzlQF+BJLnDe7aypyuF434nqzp99Ih+AtqJyQNfpgL/WyeGYFYKzDO+o3a DD2iTkaAAz9qvtSweDY6PHLqeG6aO5+gEd2KgRsCIuxp/4NBs5wr6wR7In0QfpDacPmqhXX9n0ZE 69qnz+vtRT12q6d+z8wkjRZ+w0ZwZHZ4Hlls5+uStgN7li1o9hBSM3T+hoeWgn8ELyROUSvq9buf bUXfFeY4kpRjSfODAUgzPh313nWEgAm81+FcMZpY3DRPUfaBm21EyUKLiDFJke8GT8NLPZtY6T8c oqYL/bM6MNIzelkiCeG8ybp8hR89eEJF0I+ICw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36288) `protect data_block Rho+tH3mCNlBPmSynbnJ/QH/GTFxS0x8fSJ3aP7Hz6clstMjDCiSFGPYnRuORNxRl7Sf2LBqFrdr rPvmwB4norSWCnmE1vIFuoyndCEjswxwftZdwPTTyAuGooMCs2useeGv+mz7D/ETgOqq5bs8QbyS 3GmCFTLelH5vqcqsl/fmiYLiYDV2rlQnR3IHCQrlMYY7uIEFHvgr2lOTM6jhI7UnddvwlM8Fwq/P PZJwHcsMvAxIJQo9qNFaxGcCCeErBZXRSQY+o5WSviItYRLRzly4l0RrS/W9O+DUf1Gb/cTS2hvJ +qng3DGKK4XuEElN+rSWFl0XUpihczsmXw/+kPZoSqlscmET4pOtxRi0ZeJwa0ay1Fnc+d7TfjFb PMFeJGji+lUML4WY+AMkZqipwozrBG/XmZIeH07R2Dt+aZVNnUFRUj8YyPogFhKRB94c9Ua+ukYq 5ZYF4AnD73FDqFlerfNXnrj7TmrqBtnDxR/s9ToGQqrPoE5s46azeOOAy8k/eVeQqVhnjJ4eJmRr SCS+Hax9djBjPN3QiReXoZffFoxLYqgyCSYS5sU7fnfSLWwyLbpuThTvPCOCi7bSBSJduneH7iv0 mMRsOpckaUBSe0y/3AuPdgO86WlRmqvR5Q1EJFOaqT9PHoH6euS0czVMao/T2J2ql7Q7Syzc5mkl hB/ChwRujq5AC2W2HMRyva5DpNK68KM3F14d9454Yi09ymfBvq8GZJW+RPU45GVZiJLweNiGCkcq rb8TFZB5cTqHXzJLhCvDc2dAINAEqWDzKKf92M3rYRTKye46KPXin4jpQI7lT6bnhechjtaRkTxV ZVBbett6Ad98Cz9AJIroiq4pOMyWF0mc23/7smk4Ezfs/SPYiEhFMW2fsNTpTZ3uEK1CehxQ8B9s rjKASdmbrx7OV6hEeBDm6vxQV26MCs0ZgNQ8O5lFuAWUFdtb3d4ENeflsJxndHxYe1N2eIDLWvtL uDYMUAHpTlpZ3pLXxmV0nImKrCSxsA7C7xdJjikeGs9cu088Br90AuBXICovSDSwWtYQXqiDJ//4 FJVygW03Bs8zEOJs96Y6Ne+9UF68JSFZ57YV/IoJ8B4usn2kRud+E6qEDg3SKkCLjMKBB/bk/dFO Gxl1NzDgDZkMO9aN9ASzmNiNCdhf9S4EjjLYXQ+1FDOuRdD6SpruT4+c99frQ4RNVyckLZiZclMa cqFKbVAxcwm7ts7YxZH/zjl6V1tN8Vb+WhKGnx062yFlKl4Ve+1D5BZHeWSwN3ziUaDVNUhqMUnN hknw3xGXImkxin7E88RFolypm5JngjxRNAKmS+OKn9bhmF9Xo61heNZH7iaekfwMJTZcOEC3ZVgw ANdeuVZRoOD8fRB3wDTBBZlk2uWPdFbL+BQKqv4Mbv8y2JAh1V8TXAKw798OCMyK5Efm3Rk5Q8HC 8gRoZsKQ34vQQrblun95MIHpEyCQ5CXEv7sHFlebthWkiZrKctU4YI0d8vrtPoFyCBKdqxdGDMc3 r4pdGPFtdu1eir8xWRW6uzBDbf2/YtO6Si1Ufee54NJF6wCfvFPvu8UdRLUSz9UyyVi5Mspw6HIm ndclnIpscjX/PkUc2xkAP3MTUTLEW9z0BX60lj4lFVb7Mdf788/I2F5EvmFGglwv2x0gfy0Kw9g8 6AbV6hzUbVIr2TH661OPWBNJTIwVDzX+50XE5sF9obRqUD/aLAMc8y17Oh0T+BpPD6H9KbLYMF/r K0i9pcrqvCGQmriWsm9qOab7hbGlmRx79VWl6oQrnfgA3YWkcVM2+ZKyLznuXC5nL5ugI7XbQDfs TnvpOfe30cTGi4DbAZKWAi5jgajfGNnhBdcfaxguTiStTydU2GNiygQKyI2pqdhLQ55zxYMRmPfr tqGNY8ChGqdqPZ/TeHxy7Heo/mZtBzSqTAhPHCwPixoGIF7MxNpxlbAcjew+Wh5WrUdTq8yvqobX Vz4ivf5shS2arDBpG+OexACRx1eYziYRyyKJd3uZUlhnnWPgqrf+djTCXlDfF4EiixASIIx9hSNT n5c/d+9b1geM0KSaf6cnRmOExwvT0Ja9Mnyd6xM+WitJAgYavU8IgHof0qTep1KRdh3I7FbuaBgo H59rdqVsm9gx4tDwT9hzAGyzYBReo29I0ygbpGoIsMU/FQ008bj9ycyCewxP1kAOQZngTREeP35S TQBHJsTbF67LcEyG4nKXv5n8e6WowvVs1m5L5CgO+zXX10+bwGtyMDjqjLbRY6+ZD5OzEyId0qjT swcWX+0kfNCPRQCDAm4gdgnolrgKVFhK0Q8yANBWdlh2AgXEMk9f+6Aj+vcAfzUXUKINnjZNDmST U3cBz6eFqEDwZemkyYNVOcAk6Rf/MqaQmIUAgp6xeqfgSX8zbw9p25Sl6jakv5b7SIfvZu86v8+Y AlgabBPQwyHeEaRiy/G2n2Wn4fPdphwdrQawS8ydtBgs/ZPQY2o4MJLG3PBWKFZDYoFRCisgBlPY 4B9/4MoiuUe159I5uFiICSxZ4qeAaF/wqrXBbMEIy1lwrw7a657ht0VUjQmeez8i3JXcADajdzH0 qsOqTrBcWeZskETEqGL1WeEGHFKlqO/DUHfM2zHQuvJYjWBxKAqZ59jjEEjLw4A7YzJq4WNnYSaT 5n0p3HmLW3KIGeQD+IK/QoR+ATqj1tPK1+qpVrD+Tlq3S0rT14mXIrAR79OmFyDdFeQ5R6MMuT9r H02FU1WcbDqu/EBVfGRckyN0qztIjHTx+NUwtyBO1vbRi7cU2goLAJTkifLdhxidrWn6wACD6SGQ 5K4A8pvvqn1mAtMNILwvG7cdrPBjUqGLLBXz0v5o5D/U1CFKslufHtqGd2qLBcO071lobWapOfC+ LFypaweNLnlFN7TC7+9QDTzZglo+u8hzIc/+qrRRqqjUzbK2JQFRTG1kL+s2lgPVY0NQ0sAVB/PA INdY1dgVbleF/MvEzZ9x3SJX9Ard/EuQ8YCYR7miWSO+rFXxFlFwF8MXG5JKW+yUd5wzmvoKVwcA FL/IiK20RiYbyDBgMnOPfzkTqKk59fYo91gXWoRZXboh2RZ7m8AKYOr/5dZ41S2GDZ7+38Eatv/L oDgxx4epJ2+9vm1aFiToHFwIfZppGoXUmDrMEPofDU5/do+MslGEP4vezH0aQ1s0FX6uK2rfEvRI 2feCltojhZJnN2J4tmnutcpe/X9NtX87kd/SsqHBc7y8J1Vc7vIt5OzuZSMDtgw+sHuQRExBfPRF +Lkd1oiSnYSsh9/L1PYzLDnWpj0FA+6mNRPAZk1hA1vgh75kbb+SZg9la8NGKp7ga74bPw88s41a EpIRJ8axEdWGjihErHzWHsd7txurjtJP710PAQKBFYv/GMLey94C81caDewj6JAFBzUye3DmWKUB JyJlDOUz0ux21Vnp7EUhd2p/lmAiEz2G0YGQ1xbxAx9BFGG/sswVgCVVSZDmc49ih9Bw0vS9TTFQ 5edEryamrInzlNM/y4WZjiQjiPYUN852fKoOPWikMix/JudiUKq9I/TmPmfwQ8zkNM+hM5V/9i5/ IJzY44a4738ZyElTwRDBrKn4UlOmJNha+kRr8OakvSokvfI5iyYzhrh1WRVFLH8mnNeOkpTjgOen VK2umwPa57jKGrHTQm/NXOgALeVidxH+ksoUEBfqoSlhC/0mN5z+sfBwwfHi9hS7Ljj1ciOVQ+BJ rcocnVDtP5d4FvS+iDvNxnx7umjUQXwqO4XtPBPZZmbniBvScUDv0H3bYaikFKjtPbxoGBXHKUKz +PuFsR/p1Xixe7dV+otyq6NXMgU7JU+IgzeJ4+n6fjaOMhUBkBheUmqlgqs8PplDsJc6AjhqE8Vo tTCyTTfNhdb6MaklR+SJoYsrJSgwqkSBU1Jx4u1HNkj9yTIiYVY5KKgCSznD3aq6V35IQxOAeHrk I/n2TB9HIzBdlehrJtb2AQ5rKebwBH4I88O7cCeh8exwud/mor8geLhWaDWE7fBqaicGgyaqQKvg W1vIQGlr5aDHXeIBE9c0+do8yqPNsALlYjRhumccThzboJ6WiAH+sql0efwVc8hdk1/WTQr+fG4V +Cj+slvoxx6o8Dn670SB5qVczjjLBvWbyQB+7ZWISHbXhyXpLwJRh2zsscbYUwWtB+Vvxwv0hrCB odb/Cf6HSeCnyb0j2Bx4YCHH+ld0oWldwE6tNHtyB2PK3m5udhPBIvANoCJGpXDdvmS4evWPHbgP Zq8Fm2yNO7cJKiseElosdMbsdhD9J6/FNEAE+o9o3seJuuaDrh9iEXonpYtJoodcvZUdnUfVDCMr jASr8HAQ8vhhn5CoUIG5eC9cjod83b9x7Z9JWFwIDd4m9jhipYt/uKERGiinSjm4tEf5ox/VBQzR 0whsb56ADXg9Vg5sX42Ehjr/vAKYPP/CFXwIy4JnJp56ub+wGtrc6CaCqVBM0mjx1n3MBl60AR1N NldXiDY6nPaNlfAyCGugK5RsbCdCYBaf4PG1kG37+/B9Tg4k9zHUiMPn1MRg5/TPKrcwaz62J+/d 1t8CkLJiUE/lMhaKAEVklYlGY54uTbVsk7v2iIvqPpng7vFs8iXuIXjBWV6LzFuQpA3/DErQSQSy Veoo5NI6kVS42L6CX0Qk8PuYZtU2gm3HrLPI0Jlq7jaTNIJeMcbosFcNe345dnpNg/nBcDnbpVRv 3apBON92/WA/LS1nTDk5qMZFL3R+hXbih/Aau2HcQx/RKRYXH6e5xCNIbfwO0jYr4faDDIN3SBD1 OOSG1E8QDL9jGzTJqk4zxZeC6SeWAMowXsxmPDBX7ZOo96D7xIVty59/PvsmYPzdzqktEXhvD6Nw cQvFch8Oq4gXyAF3WNUdBZ1gBvHTK+Y6p0E/0+9JvrnvLl+XdU53ir8f5m9/gPAZpT/mX8DGIcyA VP3cTDdl6+HPerPiY67DIkeW0nx9oIMrxv/JOLfGt441YTfIXDEQZ2IXiaFwpe6tPiwzVYc4gMeF W6L9f2Hdl2xFtD6OgunxutntmxcytUV6Slc4cLHMYZhxhnhmTlOuiUwGzDVBLaEJF6p+BkBHwn7T tstGLCzdYnz0IKcqhqT/hneKP2JFBqd6UB0wL8EpZngJQboVKiYO1Bt598k3RHxzfpyvvS3bB3ei FzOjBOaoYZfmtJJXWH2BRzqjcCS+jTMXmEZLyvjfXxigWCQJ4Llrzfi0UwPZXUKh340/YWDUft6u MuGcot9UONuU0CHTY/MuZWkBGa7juk4dw8HP6KD9tkNX+1GZ7TupbPBOtAnQIxqQlUGj7QXBkUOz IIZ+h65rgLlD4iV+4+d7Ew3eJpuUVnH08VnQfCWb8dRr+iotFgDnCCfk8oKiIoiLZ/DQ30wsp65Q lCubxDgeVn+OTm/HO28BDGPDK2hNbeH2bMAJ1PX/+IyMUXwzyAffXZ/bqmuHXoY9BlDDQ+QT+ux6 fv6Xkl/q0PWVHwkKUR/I2kUcGM9jA5NK25z/75bRL5nykLtWFcFyNyFgaQVLjvl9kZYv7z4XSXnv WqlwA4I2ixOzP4NnZtigaC6heRTxVgWWij4JEe5Ow2C47Ke//oA34Exlz6wH6vBZBP7620y2uaIp nFyaFR0SVujLC3OeQyVGtmVL9h3vsvELUAgZWPVc4MZXrTJLCSgguMwRgCT6cOg1Djrz/I+sYLul xlwqGBnSrkvEtK8PnfwAF6yD0Xw+2za06NkL5t1QiClnhJ8BTr2dJByMJpUCGmDx9PGv3FBLEXT/ gOdnX9d6Vudncnhb0IhKLRnav7KtWp9qbOS85MHJ73f73uXmc4eSlmRxSgJjR9lYLW0O5emXr62y YmsftlI2T0BuLn1ER7LMBqEDPstlFxdCdRTmdZ6yDhUZvGsW+8wIUIY6fsyyt32dq9EF6OJ19LGp Lkq24lUNVU5rfv4LDh/s8pH0xsyAgLiou8Vja2WGzJzNIwmO+uTM+5Vqnk1dWs2L/unYpijvUitg vqSxZoA0J5JQ+yAqs9F02fsu89seleTIIS3k9lsL9q7/qJvuvttqYFTeA0xCvOJ+KUN+yWJG6D1N hV0/bHGLDWh6bssSVqjsmzC2yFfmpq0KxTbwqIuwrlqPkIKHZ92i4OicuyIe6KEIh8SJVA4gbJia mlNA4HIXCeCr8qbHOwKvYHrBbszmqW0/OhflKtQh1qJ+EHXW2/3xji4cflC3bkpJ8OyJk2K9bW+n 4d91ZgZ7gi4wuj8jQnlpdY4Oa5sEpEnsV3HMzi1+aa+S9GXjZdZJWBgpj7vcpPVBKViUa8zocWd3 gfB24CMJehW1GgybjWbygP2lyI5hzgdlJpuh9ihCQ0qH6wI3/h+5iNVw5y5aDa4aSmE0Cz7O8KSe Sdu0hu1tvEaDoinWHZNOqsILXGYExtisE49eACtPgRUuvZ5xn6+aP+qMnHuzRgfmqzsCOIeQeMxR IMTdcA7KCC9J1J+jv1Rjq6HNuWlZ82A+4WuDMQQwOom3J350saY56X035WT0GGgojX/47wLjjjBr WikDcYBev+tHiy/8lI0s+RvpqiZsl5G/1AW1FMuuuqKfHwXkczFfExeUJsUdUawcIRbbfhG93AaK PScf5H488gbeIbVlZXwnIQzvPp8zEwQbDYKbronuh4mNmIgyQ7VS0fkrtiQ71K9j8EqcFN5YaYQl HemlwXvENEWY4AIfSMji9wQ4emRKKt901O8TnhbrdjZ2tFrXaRuRrKFU0+GBFvKD8x2UKZAuW2nY foyD0FrVpIdFDZRh21xR9IxpQQf+jTnOY22CdBdFGtzvmd0xvLT7uyIycufxbJo4Pq5jqN7aN5dN fnM4k0pkfkNxemEzooZbHBkX0v4y+Y4P4UTWaHZqzX74UZR90RN3ueBMYJC7rlhXMwpDhElZGpGs uZj8kPmUIxmcgWSnC4mxlA4WZWot8C+4g/gziNudTMAwHGwZuRSzYFjzuMow8LiGTy17dO/bnDVP w9LmkBNKN3a0ExblZP66wzwU//n0nd5FxuI3bX6cjg3twPFDyLLe0YfFS+rI5apw6MGRpSwClDGT 1WxoIMZSeP4Ve+5aYdLKEvwqtMIknuZ/tOY+/7x7XE/uG3UzkqY2ZR0Ep5dtN5WfoKrkmdzdzoGQ UQte14i0wSxLrnSmirftOF7ezWCNFoCvQ4hlFCpSn1YMSllqVxh2r2ahDBarx6vbuHkxsj/vKwIL 5YWhoECNaupM+biZcvPpIiD+mZ6LyYY9nYsSNXYXW3AC3zl41wE7DxnoIQVfS3NnOyOA/nHP2vqi xe4UT6KQo9qnoq7WeaoPsOEYrUlq2sUlP5QkZOt+02+PXTLxOcqCGFuuXnWe7ZtW4JtKtRPCI7tT eZBZvtBI/5PmyUaHXhC/0KC77eXzWAAzvZdeGKqIfsAqdz8JejDIQUfNqSQszWaQvaQ5qKHGwKOo DgCCI2sBbNtnT/fko9TtN231FYYvEj4jlFgjlonCqOJVfup2TMm4CCtycEDpd30IWaosRlfOsK2X Xzug1KNgHYX1uWu3I+XHut8Frpbewmz86uw8SKnVxnJa8xkDSk18Qd6ajUxfq8MXAMJG4GKJHcFM 20daKcg//7A6yWm6RauRtvFgHGhqLQkKXTDR1TFPNhwL2i2fpnyBuejhWeBeN8lr/aWDIn6hBOeb 9ihxf94ibd0VEob4ynIbzG4SqdeZWPkZ95jcDVyb1J0XRm4jJjxn4UFjofJj4VUx2aMtvAOA0tZ6 oBXDAPP+eHyiTwLJ2accCZH8pC7AEZBEg0GFiuFNC3nyqygdMsSE1VRo/6gvT0KvqXZ7WrzqmaWz SdO6yupxyNfyhmY9vbhk0gHRvVkcvCUSS8j7yxup+PPGwJ+0H28ggGj96i/fktVWjFvNIdjZ4J81 W1mPAZ6B+Mfm3MbV1dQLK4n9BN9FJBnOiFFdVmpXHA6Nb5R5VFS4R4NCZFmjECxz72YsMwVC+5Gw WfUSnhZbNEhrhpCApr2AkM4Ltq3iKm9KVXc4OHtcM17CXwsC7Ahr6hKitHJOtUW3XbUtA70RNafb lDPW6MNYk0OHnT0+tRR6foSVgTCQn3N1mhcMIHni/+RpfAjoMqvWJb5DXynDVAtFsqf+nLC3gove VFYSj0wLYzeVWFdCV3jCw8cXGeKZGCzvxCGxoZ+b+taJQxu28uWnWuj2zyRsCkN2gL8zhAZzYNf0 I51Sh1LwKjvSEtUj4xfejk9f53P2OESYOX2FJTzMF/oAG4tVgKg1i/PXm29PpZMq7IxagyNyHbZy K/12ieZtLxxcA+vrSwBf2sReQggDy4D14A9X5bsDI/yNCw5WuyBctIe5u8/ktsi6TQHHXtDc/TGN c9lSUhM/Od3T8WpqZhuzADeaYEo9aTbARB/bl3t0sL4v01phJ08nSVTGSlGnxY3ly4/bWv8cfU9r G1cuCAo1OuuCy88/bdAXXl5oqrgECCp4pIMAcZcEPM4PPFU+9scm6TaIifMhMWIrHGJuWmHFsbaq aKdRgBSZjDCtLTI4ghTjvWftgFKbhhtoTSnF0a3lg6lMNX0CV+KWgyOh5U8HS9pLg+RM+jjVIRi+ lzOv+3onW9bb2JyqaOrEtB7XdideNIoa23xdklaABjxrj4Qz9gTrNAzvI6bJehfxWdxLnnqQf2Q3 KQiEtGblGCV84No+m6zzsTwMHH+00Yn9jvD5qfOYT1l52qgKm/cONzB19nFVvUX9oyUTH03BQnly +PiJmFpIoIFza+fEMA8Bo1SkNzhfH8cXxGd4GiQcpSH9chHuxcv6iDFLplDuslTHXEChApWHiHex 5D0pjTf6pHdjjydGEfcBce0R1KU1xyDoAnO6S4qS4fqyvZvBgoqXtFWGuppNWg4v2Ph+NAFpMXMb h9vxNxHEXkGG+fJptaf9KwC+UK5b/Aurvg0EPrGHo5ZQ+aWzY0CX3Rel9Pc0nmojG1lTJtsosGFl EJOJYwFnanpJBDm30Rql+HUVX9TgNt3eJuoIOVIYGCbgw+JJqMKLJqd+l2+jj5hnvy5IuiansoM9 uwi3hHgSKIWbvecuu+HrR/fCHLMi42/uClXTtwRBnwoC1vC6zvUjpnYWLWBVnYMC+gd3fPtGRa/B lqSDwA4N2kGggZMhLMkOXHO+gY7+V/nSO5n/2heataYmbO3g8yMXprWSDbOknjr5wPcVyGGJ0fug vvcd3HQU/oRhivA7sAIVUkzhm8Imwfc07kVtB4dg3MsbCev0caEtZB0XWq5w93lMsn+Og+tWrN/W p4Tgd0BjWzlD/BI2I2SAu8jhNVbDi+S7AqiZOOZEOBKhUM00exiB7YkNzKrK+p1p7uzpZu0whqsK RKcKYJ06TaXXiwEJfpsxG9+m4upQRAZuVQtIJNMQoXikLzqANe3JbaXcVU0nBcrJNMPTQh6gVgTP DhFhbchJG2Xl13cjL0ALKG/qX4yaBeiCo1podx3X3MOJBOkNRYcJtW6JMAMzISg/iVAiNkqQ+XJM L38I/WuIdDz3e8WmFwbPHf107+yJ/itEluOmmUFcsTtmVlt7m7eNqR+qGnybQQf3uNrCcEMKOeHG a41z/6bFPpPp2NmzEd4Ct01B9+1ZIqi+wBAmjOaoXBPSQTgMsQ04v6DvdabIveSMdVzRJLfDFLX2 VKOidN9q20Ovz20LlFYzRpJLJQyjiyjBx76ZjSlacjnWU6cM4WCQMkDZ1NkI/9X93JqKnM8Gq9ZO RJ+ieeR+A8yn94LTglRvoJ2DVPZQ7oGATUWqQfzjaGo3oHsE5PrtB+e06Fc2sUDnvdBP03df1k9S 4wNkzsUk+9zrFO2Wr8VBZ8hAwv55Q3w9DFMzYcZjnAqN997gDfhzkwcrYVa7dJ+hm+fkpz5aKNE4 tmF3U6J2sLwduwrXNHtVZRcp37P9LeCOVPFxeFIFHA1nhIFCo3DLxDaEgLJKBQXf0RDLBIkoagVq WvHLmxJ7dIgJfqwbVFsTxFrqa3x+SN/rooOCd7ZTrTfr5aYfUfyU5Qq0KDmGr4OhdID1e0PDmBMX YvDFaTdvcA13RH+rv4HwTLfgn9ynW1fy6RzymUuT+txBhu9lC2LveErc8QuK6uB4R4rmUn7qCyUv g56mRC+NpzExaCLHdQgckOQSVzcboDCLpHiTha6fQtm7nOFNcuVJE2c4/v5ejfXKBmczq1PzJJcd q2/21YISfBATA2hhMMv/871Vi5JrLm7ExSuC4LExVX9HVBzJj+Wv3UtpsmKOQuReaLU70NsIeFwV 9xiDUgFgp53YEA4dq52LsYJtMTn0XTPl0ySkB5Jiea+/UQ6OA07TcYzjzyQVIQnQ4VrYWhdWEzTp /jdnTM41ZKP6xR/j83pZI+Pzkf+5IgvOCFVvNj2lAsrJgHeleD6bU8qbNNdG4lxgebeRr/EoGm6p JkKOJb3xnaVwUqHokmhgsQzxIioT6DREIGjECvXNdv/q+9OskWaV88RBqlCaPP3jt1NVmwQEkcJt n8mrn3n/St9B3Vyw0IZtD0XyGZzc34W0gRMgEHkljWSZjqKyilKn6fNMNu1eX7iZZFed8xkAuDT2 eGSphtqku3gi46BQGXVyHwMW6JaiCiHSrQSgpfU4PeM+bnNGIZaDWmGjAP2Qu8pkVKNQZkfs6e5Z 1FWJ327+obYohSi5dXMGOv54yFs+moQBDTo2W0oPh+fwhJap08N8zER3NaRHQ8uuVBllx/pMMXGA ZkABLv/czB8F0xi1VyoQrkf2OlfKP7yxCkI3v0Ob0AoUKBKaeX/lXBnkgR/0Z8qKfez5PeawyFOi 8wdEWr+gikyqiNvxPR5PohYqzWJ7zQjxKeVnTj8h93SiBDF5Nn5VUfakjDaLiqx1x5ylsPa8KtRs QGybh9+EBk/PF0TyHQQ5QzunoNWTGRt9ADFI3vyfaXvlLzs5id5hUsn0s5wr21whgxmN2lwlMvqA 7eCTgRHZZb1xj0lnvEGi37O1RJBs7b4j5L//GhFNlNtmLbcdJWCWxsEmWrkRAOztBj2nskaWjuiF 9Oo9+GYmBllLjzkFELHw89uWHGJv78wV5mOezuvt0ydS8H86vJEE/b74FwYunED34ugbephyFbHT 0sNx4pTQRGYHepcDcOJXaiUlvJFhVQyahEHswkEqzHQt6CZKhSDyLailhANwj7KpUXvMk0BuUMAe QCoWGyFl+fLsRsjOD0UX69orcJlByBzbFvLilzcnCcLLa6WdlW/XcFRxnyKko0Ldl/VgH9JztIhP Lms6ZDKmYHMVHtrl4SmyQXVOtRXdd1QcO7IawuH/1uRuMcVlCWJ6NHQd79grngzKwXrJPU3zqgYh MP6iPmgUQip6NGSgbtHpGChex5mjw8bVm+rUGcworVvCAJYD+TKXXrtmyhfMkf+ACxId6UFnNvzy G5FS3qUyqycHHCw6XcwE69jjwc6qt/LkArakCAKvumw9mrUt4RNmX3hkbtjh6pqCeQVtam0++27w +RGjlU24nCM/Pnr8GGTWBcAgiAmMciAgSFbD9aEkjylI2zqUMsWx8/me5Nu6qJm3AuRWgcDNgH+7 Xq6HI6Q5nuFiPI7WfHAH2Bhof4JVlm/8X87V6ZNBep+cACOBPvSh9c146HW99vw82WLbEqrWp6aT lVE6wFNU0JWuVaTVDAyBtOO5ezGVVFPRxSSvemt0NX56vOvBoqWi8+rVwR4VPqCgmzML/DKd0oil Q7/nPXc2WWMF0HgMGqwsaMNSkXgki75e+C61MyoWTNUjk0YuM4LIfdlx+k5BQN8WQ1+Fin2RcDua 4YsPiCmuSziurOlpG189F5c0J6blHz9PEztHAY5viBqihTKx8WZmi3g6Rf8EkRItKZz59N2B0qST f0b8H5Ors0t43wsOn3fN3P77hmNnbMMTD4kyYZv6fnliQS+Rtl2ZIM+O9M9AUep9xOX0jMfXtZy7 w7VmEsCQ753nhQVT/CCkWNTrPhDSvYKOY4rk/LIF8BsDgfovx7LQ1RtJj0POxepoeZLcqrmbpEko 4UxKEUizJ+71NSMnU7jOv27bhBSxXwsHjxTWw/xgeq5xQQWMYrK9KM5dBtdGOvPr1sXg41Vxb+Gn DmJBKs1hqANpRDmeIdFPVNAOZpEm5tiLcx7Qkvw/g7ZOv1pIOL8ly7CJFXAZ/i8rcluUa2FcGEMC m/xNrglcim/UzGmF2mQV1TItFXxkPNuWQE7p2lMIpmg0it6Xnhh+tqkGx8Vy2uKxZdaWvWuaQNzp wQJJwkcVYmoV5WLGGfOuLFBcye8sQf9hSdkwogddaAg/9KeM7azSOqfcNFGl70hYCL79NxUcKVAp GrZVmlyHcfoQvVoMW1SKkh7piybziyMXyK2Ibb4pVU91YSg3Macur/PpeVnXeMsbGBE0QMtyvJO8 uhDkiI5YsYTp93ZP3KNmb0X6IrDx4E3LTWK6Y7QlkmAdivrFEZOoKnOTkCyTl46c7JZgqF2A+/qj 2tjloMLOi3OxF/lfkRFgSDFeBoaU30XfK5MXFDQ5pTDwobSdzTd/f0ko/mm3cXfEQdh2H3/dLIm2 2HAtLhtIeIj0GZD4DMIsu0D+y1VgH8ZFjzBrbGCviXpXPg/45wUT9fni3KzBYDT+YPR/2d9ntjHq MT+fr/+Ww6pZ85ZMBTUU541EgtlPN33Wl5IXs7EXC0fz42Eozmn+G1PTGFGf2jiStAqOhGPCqAYO 3WE+u0/vSTL9EYvkQA7vbDSTV5O6FwEL8vgVFiJBzkx4akwNkv210IaolXC59mXRPeunkHze5I02 E6VNgmJIb2U3NaftU+Nq1L1qNdMbz4qt3E/9XZxPmOSJ7BL/NUYey8vIgr7x1AcRtwJ7f20QD3Ib 2RFTDhcDjfLuEibX9B8xhM9pqG6SuKvJQOlZWP3JvdSeYai9aYCqBH6Fh2SjxI/1ARZdIAszJ3Yo 9N3XWarQrQBsPGEqF8lQl3Y2ZSD4VwPpS5eWXYurEbTtiJ1ncYKkNZAqSMro9/PSHOaV2nxyO0OX 3i1b8tcOUDBma5tIpZ/XE8yeVycmze4L3SK8P70tf9hR5mzJUGokeEJp79CDLgLjXXSgQvdfR3jU odIvY7E6UqzwW5mOQgkrecYEbaRMJ3O5UgjlgxZGXyM8AcRujvWrgb//JT/q6AGSgPQX36M5Y7Vz E9Wxh/qZ3SQzzlcuWBt1ZPNpzbFE3I1nIjmAzq7Djwv2cFKFGXUoDE2bxlU9x1Upd9YKYJ3PKUbu ypLEfyiU0ZbR/0sgSIcMx2jSf08mjqiEtGlk3IsJr4ca9O+xsYPy+/BrzRqxIENnrmL768u2yxVk LpVSp9Qryqsqwx2POJIZXdbz/WhRH34BZiPZ0CvUyDd1X8kr79EFsXuhhTAAqgnkcMcjDX1EURiQ tsuga/sxN5hxZpwFbTm2wZLAv7stwD0CrHoSKqE26GSoqxkU/LBBRpJvQ9cDdEyjDM+P3GS9P10X 30SXVwTK9PIgGadR+rroxwPuD364QuKWb4hQ76Gb1lurFjNkV3hUI7812TARaHU/YCC7bq/t0K1/ tBLoFBP6bYhEKyQ8Om2om0IObOSwzslGUt+DHLt+wxRUv5PQ5/C3sRQaWc0Hs1cIDFK8R5w5UVDW bX2D9XnZiW9Kg1dvow5aGHcfBwN40aBUHu1K6zcIBH51kmJqvzn0P8AIGJ7A4oj4AGgjAqjqvjoM m5Oj5Zuosj1a27Cv1dTgA6Vs+CnplJhjqUxedj4ZNF4yBHY7+n5xAuY4C1EeWoM8utSlMpgMyS1J B0TLbsXLoKJV1BkiT6MId9raNTUDg4oeYHlx2Q05mgKBm032AwhaAcOG+6JLfUlLXRD03bleuBbS yPPJ5IjK5Nufw+Nt/W0lH0srCdD7WlE7Qz0sCtTiPofYYosPB7hLTBQbLeCBP1UnQA9nC0vi7ljY GVaIbfOyp8hMO2D6o2smHYF41mqw0DnfXkVJcqlZfXVD+u9BHNtF+spDEqC/7mSLFB3wzVnK1nfQ asgeMquX8+hfwHXlgH1RemWjfkLbdZzh3tCmTt6SK2eNRbFF2xYvblsp94mI5NOf4vM26CpGPj2Q Ktz5FPDAw51Lhud2lt+1NNwYrSbgKj5qXyk4RFtDwPqTQ6Ni4l0WOZMLh5lbVELc8urqziBE5k+h WBRZbAA2+UXCbNGLufUk74G33v0b9zQWAvo1cu0OuIcb5w2fwnMTOkd2pvnfg+5HjN0wgBJNG+gS HAbwqwmLXED16kc5v91eJ4ZPFx2tAOhdV+lZkTzU2xba60HpQ4aBZlON2FD+jT1eNKnhDiIg3x90 x/kdFpCVCyvuiWDH0hzt21c55yN8yW5oIxHZl9n2cAEpZcqjnPZKg3ValV5Y/tKN5DqfBUoC87u0 mE4SPMBthdAuJrRNN5BlmwTjP5avdiHmxtCBzGH3HtrJxKNAkwyj9qnaVreDUWgPgBbpUuB7hCl0 ExzyONeY7bvzWI3Nxc5Pieg8i8yveaM7rudFTquJVol6wCvzKKrMq6siu3BB1nJy/N/mYH27Bw/x c2Urh92A8aFYfPVRJlNdV9w8hYxR3ZFjsUPN7G0KThCBKzuNcZf9amny4DretOukjBolss2Dj3i5 ZSz3AHtTKu9jGGX+PdIfw0ihBEE5SgXnZLKi+LLgzp3N3p/iwtR1kR9HztpAEMTFxQuld4ThzPV0 cc1dLzhZd9mTDIxZr9z23AzOjkt6cZugabZ/IBzEJbwI1t9ya6p/fu44lJlWc/BstAqW9i2TBUJe rDCyFy2PQskIKpDkb9kOrK5EcEtQr4/XRfXbKKei3g926zvb6tPSx1jZtzA6S5ypfOE6Fk305yzp 2+Dl1eWsWbw02X5Lo156342I+zjBIaEWAa99n1VmIMymUyyWigcjx6Vtcp1kNdebo/GqfGwn99S2 X9KBslMY/n+FzrCc/vzbMUjr7tSnzWaZCsupMSqvseQsQOcnTsYYuzGs8inYT6oV2icHDD6nHEMl SCBnU6VXtpFdCcX2FhCl90dUvPz7LZTuZXkQlu9IWONqCGFZAB8iQkIkUIOo0oV0+E2lUjLxL8j1 ntCn1MD6UnwzoHfwO3GRiIVJ1LhRaMP9zMXBuXs5Gvj9uvIdGhFE9ApBWI4axKf28r4fbO69ojyh D0hu25SrthI/tETfnEbXDwnZK23ntoQc1KWcW5hKba8Vz0UBgKX33qvcQT9PlJrzPpwJRjFz0yO2 5NshC2rqGwA02UPBEMmwW/oTXuUpC1TTdLB3EE2CnCfOrCS01C2adkiBqg4KTELeJll/3NZeS+ko 0refsKc086jlYWfWrgE5DemWLoAAduAVeEZndadrErGoI2571VgXkMAgnRqgOoYJW6/rNHAHfn0E PgY7sks0MShu21+mkTTqL4NbQH/vuZSjBzo+2QVMfiDNS9Y7R94U7fYCYtNjuW/DJvzLSQLmbdWr RCIKn+w+iRX50bHkfrSgon5rL3UkTIYuriB9BMPhNUPx76en1TUiUEghg3BIJ3afSybUBf5ITei3 rb4eb0hc59NpYeWRm9HAOWfW3r4s/Dt5KJnn+RSueU+NkGOEm9MH7WnyHhwpAY3UgtbudEDnqwid RRHjQf88AEhKLNO7da7dt1AcEineT/LlrKTpHfUU0lLiY5H5jsVFVsrXk58zQQEbBxpFFcuOmckl VGPSrZ6vwJaidvKV8QRLZwhPxuuRc0sW5JZ051CXNeuZVq1ZtLH8QdeQBByw4LPmetyfGDvS+Iif hKo5TRU+9O95o1dXAPsnJyF+Qn65oyvRbnTTKoUt9GD/d8bMF5rrvFmwOIfu3H0f34lfTw1ZqtCd AanIZw/IE5MCWKo87b4QZB+vrKz1g7DT0lT15TIpsud/qaL29RkOs5Phedm3DDXQD9F2QHKY+lHo 3rEf0pqZKxajULDYa2H/oK+nSVsq74PEHhQ8ZfN2qF4n2bEdWj+ACVnfoJuwaQS0e8itodz/Iv4T e03mpx95HxIljg+ptUsIKqYSJEaiIv52VXybkUhcrUyfk81ksHbiqGYMyN6TevoD+YEBG6xRjM0x 4usfSWb4v3ZZCjRuGXJXZpz0ZdAKMA+P2xXGGvdD4w6QpGBGdtBi4JoOgtG1ClrGBxVorlRyjUhx RNKWsPVgGvY+LFRh2yXsVcfcNz3hRIXKlRopn1cD0C4TL9Zi50RJcDJJhycL2i8ktviLwmhgRNGr 00G76I5+n/IQyof5lY2Bj7czgVyaiFFOiUCJ1V36MYEkyREO5c7BOgbWd4S+I3dUwd07PZJFwrpy 3DRspmRQLlLWDicHWQCqi07XpitMVKZg5dElTKbuXnOBvu3W8a7R24nQ+MDSr9fevK5Fd6kcStU5 qx9Uenx1VPL3CpiwaXUzILRB2A9dODCVsCQDcPe98qo0LwfoyAkfiljZY8T7LDYVRKfeCMOlF6QF pIEjccmon43xAI/Lhc3i4cnMvPj6zKPiLq8kr1t/2nwddJl9z25QG6m8iFGav+zb4Uz3ZXRefx81 hzWM042/XspBSgNpqg0fvfBp8vwzmGBP5CKlGFvxZMtbPO20t15v3iCBfU6kvtZsz4Hu0R5O+hXd sjdqnFwVtt6VM8MfJ8IPEpuDkKSnCGIKb/PWIQuVmC9xyJyEjWaEJ1h3dUfPcSxxnDMjR6i8gNu3 ufnXDWZK2nIK0ofeFpk5cUNGNjXdja49hxDWEHz1+CC0eH9CM1ZwhVTbfS9RxePXDcAsVgc6VnEr AGb74pgy7xp4mQWgXG9KyviTWDXGBdjOTjKRbcoATF1uAWNbTeqGnXXvs+XA8ym114vXjSfEhTcC s1MbJvyHFsvsNBtY80yMU8lBGBJJBfx3Eprw0N6H6OhevRzmEbvyXI2lI+BU/g5475WvqJQcWJyz XZvI5uHylsj2L1hmANd3mmVBIqrumfwv04WsB6RJ/1H4stmmxqJVjhPiorbprQRv6jWOyxxejbRP SRMkl24C8L6aItazaibLmHrlhnpVF0SuZ2z4lU1tRTKqHqmDJWbqS+nSxu0P5ZO46VdLpY2w6LFp y0CpLK/AWXrpDLIg5lU7x/GU7HpCm5ZVGo2Yq/u7JmpZ55RHA9vbbcwOkOGVXYC8YahrKHA4pzzj 4hBgfzEltWzzRn2cDQydwWLWXkF8UplTQUSME2LT0M5CAUpEF5TwtZVGb7GHy9Zz3DX2z58ntx0s i+5r9N/Vvrd+/pmRKbDW4wPyzZwqR0UsNafWMlJ7pzez9Fy71kfVWE3XODQiFiwFoU44Q6KxYlKI 3lkj/uSQnwuPpsk7cXmfNRfyiQj+nrbY6d5Q/B7Tsw5NHISstTDwnSVxJnINZKfX2Lz73HJQo7G8 zns5/BeFOtCewP3K2b+4oeOfdmgQzbnoDv8LCcrpGVZP+GsVB92lTV1OT/MCQw4tF6tQMplXFAtM D2J0vQVw5Y9lH/p1fAvD10gadd5gTRmDwQUcV3QqTagoGxmqhjuGJxLDv+xOpC/C5glMLQnaJQKV GbU14Ejdo7uKHkhnLk6djU9Kr0617DDgqaZQj47xlmIitXVHdN3GCG98dt6SXoPBpTqcIUzZxIpR xcLuZAp3Vgh2xbZpgkNB5Pyzduxgk9Q3vg7vNBBu1KD8mXciGSmxyYrLVuBdYbCufg77Sjs/np3l KgaNbd4eUVRv+u2dOgpUXIsuSuo8ro9alaxVWun9TqIK5RCuhd0tVOwVWKWimb4EEAlkRhR0pddY A2vyLrTwx+YPA8iHEDXngIOaY9UmauQpaHmPjU8DucRzjQz79HSfrcPHmYxvaQATau2qINim3e9A vKCXMF8e8PjfNSLDZyQKWcK9lolfnwWN/M20ZoPhitZbmiHt2q1kmlTExK/PCLNIkpqxZW2wd57P sS+FwlO2p3ehe/wH2Uftq5wyTUUBVPgyPV/EjWfRMBqDriVrfopXzRcwtjzRdcDY2euY7h+C9C1l 9uaRQdQiEa0YfPaD87dkC7Zn3kqFb1iVCMo4PNEQzEiGjWbCl5sHH6mkc3vU5B3x8zjsYZ8YVdGu YABg+xUk4rD4rO/EEErJhcYxW4EN3qbogfsv+YgLWSGTsArnA8YehyyNaD4oKbLmm2EL1hCUMora GUCSbvuZPhEPeLWP1ihSMlbBftqvQQfVgyPfYdWwDlB/3ro8foyDYdeeE+if/0mWcNfBV4zm2clt nZvW+85o0kHSLuxMLX9SOe7L+lFPk73Zapqg4BWobcdAzoHbJIj4aI0kK1d5vrnNjVbq1EQk19mS OoaR05JmR5xczUrb99ZapvaKgnFwO/enoUvuKeckFRoJppq25qHi5FtZCG3HzzFb9Zuw7Ywsv3/Y 9vLOg6mBIiT/ZQKQBe6hFNhEP09Cs9XyadnHZFn+50iKwajKuETlc6JiUltNZ5vhNR22yf3RSqJ9 Hm2XAZ1d7id/ZpSfkQBd6FIW4g8I8gsYeXH3CKLMTaBNLOIE0wU6A9JgkSPpBIxCyAElrzmEHeOY WyudkD1VWrQYbJ5YMpKAoJ5JgUfN26ma1ojO+m/8Hih0ERZyTlNcQc/npbidWEco47NjvXLDsVKm vqeKjQiPntn665XbMJJwkvOv2TMoQXDNHcfcJK2L1neXQFqQ99coNIN52qu+mM2Hp8LXTe/26erR Tem8PU0sBZgRMLHQPAnYXaITSx4AyN6ti0DgAcJ1dHNWWxZr9AL20c8fHFRgPCkEDzqavSZHbwOh S5JoScpG7QLTZsio64+ZXxIJZ+gkAqDD46JRIBvFOT3F9aHdhtSIIabUOI6fenOevBV68ligYHix i9SShbtY56Ih9QZtg9kze2qZmFvjUKfNQEPGYYvIhlCqwmpX6ulyi1mIS6mEYwMkE7IBYrsC9b/p q1K8fBKlX+9YSjfkn937YMJw7kKwrAYN2gBIS3eomjFMAGGEcORDmdDfKPi1JQ7QQ05//PYBk+Uk brwOffEEiEQrI0ASHsUamHm/byoBvwAZJ/862+CYjb7X2EvERSdXBS154J4JgWHe5DdVB/1pHLpw LSGDkqsnQ2ongnX5j30lYlP674RKn/X0EfcZ4TpfLVzRDz+iP2nrNC2sgzOYJam6saH0kOj50EIi W1ETIyPBZ4f3D/LchDXFKgIhrXkkKVQoNqIaGpz+WZDWLEbSH1JhhPQO5u/S3MlvB5EveyD9Hfjx dKxdFih/yATSc1LfRbfX2F0pQJuhuIRk2xo7cHXTHheBHenFkY4v5N8SRxGuZBXpfBmpzK4tDzKJ m+cNgWAUk8kubPRMPXEl9DP+SAns5A+FtCa5a2x0lFc6ddYUMdmonEzytTvGy9SYN8Lg6LzsSuyD qlbv3Ekhe1lUWjb2l9WBJb6aq4RRov7lFHWctqTPDN9/Gp/rlz62T9KrkiWpssPybmWY9LguWZnh UV5n3guU9b0yyGMZFap0ALhYYvz02IBaohxOBf8gTzoos8/yJXsNZskLG0g3DPISNJnLENG5iU3K AECCa5v1gIH37Vmk3WeVn+MAH4j6pTTN4UfMN0bDSKCxrt616aEaVcnj3xn1PaCAmpIm5Yl1XVTv ohZAV+ps/64pRKDUlv+medGFHGwu/H+6HaqyooxMjimQj2fNDwM0ogVwKgJLhlCz0rriLVbvB3gL gTZr734TqAu11vQhH+zX37ePdPUEIkGHqMGa3vEp94/T27M6DjAreLK0P76mK+xxF1x8inNP3oeK V7QPWIceUQKn6yzIyI3HHw3dJ4Jps6a891J3fSdeux2jqD5YbIQ8mXNIAj72gLccpdsY/CZ7F5AL GE8wxgVL6vckQS+0U1Sq1h2BcwQU/CKiTPQhCNcU7ex0W7Y3wDHXFPrHEEXbDPqftYZIEx9f/vvV VXz1ZEPY9DcZ/4Y8VyrXJIkQqb8/YUvAJIORayhHVkztHreUmtIPGzruwlMrpCG0L7y108DDJUaI r5tzwM3RgbqlE0Q9oPpcO+2RfmmY63mWXPjNgeP+Udjms1frgyzH7lfQM1gJLRJSBs8/hRs/cp0O wO64HlnbHLMQN746Utx8++Pg+Xtky9+yFbppYzSgM56d2dCdprkwsFK7qC7ZvYqqJ7k3EsU4mM/0 zDmpOWfiLYKy0mmPwrd7xFvvKlZO/pbMPovCLr5Gmza7a7jqFxpfxeV6hCCBHly2J55c4PNmdks1 lYEhcI21WYZn0OfjRv3EH4MrYgRPrIG1IME8XfMxCjM309VzWzYwSywI1aqDiq1f+erfcHR0VdsH A4kQdqxphLMVa+jrdHNAbIyk/zBpffWkGuSnhWJ8aBlaO3fjgWqg5zldThvuLTHn5RNSpd2qRoF7 7UQc+ac4kyrTRU15zVf0w4ViobSYP624BTJ7fK1WScC3YHyP3QcOA2xJ3Zyplioun1+M8hloUK00 eI5VaUBBv5VexRvWq+h/GO9HsBx7zXjz2AASCiEYKV7odmtz3Y+V9NOTFNo+5S2K1lNinHecIAqW EBPb5U9algg0/liQ59E5XZDtPiBEHSpP3Kf97XVpYQLBEoUtLS+/bcPA1XlndkB/+EzT4OSkY3gW WhlWvq8kNFX1xDnZ2LXjIsZ0LYC3eeY57ntbtSpLdqTBEFu+bwnhaQmxnwNtaugDiXPkl6VmRLG0 H1rUBOI3+eNNc9kUEf6wzgkDwXvsx/fCT1CmZ7V6caDthqKv3uyHub4t85XUMpSAT3eQh6IB9Iyk 5bmRG43MbbPUiP69kYtZRtB42QiEKHxwifvAB2bmR60sm135XAxqijW2YuXTphyqMKScZfgSocDV 85R/YX/kn6+NFKLyQGoBN3RjMQi3x5OEjcI89EfIFZwmErUvbBIbPOtTlI6YgZNpqdKOhFA0R+NU 56y+m7SiaRe38eFA8bZlHQ7cReqPTxrjdzMa+vuRYM84Di4o3ZRDYSYqbWHC9gDZZK0VMiHO7RmD ctKFX+O+k41kAshpFEO/O54117wAuYRX9cBGEJ01bjdVJsAt+QMyQhXveu4LPbdKuGKr6X1bdiX/ SZZWbqyEoQG8q4kQWgok95aqbP1Muet4UKFopAkLt+QIYym5M6y9gBZGUjNMJ9c1VCbnTq9qvEuK YRqxO1ZippsruJn4bT6HFg90wBihPuToNWy2LLOkbiPrSLAKUgyxE5i7I50kKHw0S61U+owBpxVI v7jnNem4ZH9ytuzVjwNfFXOngmf01i53hX0nLP6r0AnOC/+MTPRykZKnef55swVdTmyqYZAT6aZ4 EbF0adCmvSq6d5ri1ZP4EgvNsmsJr9X8FQ+XQkKwJ/UVhRM8xRQ697nM7iEOaKQ4OX+kJQFEGLhl Rz470ygTjc7PV13JmpVl8d955jZ1Vk+3a1RrafAHdKF4YR7SvZVKZVYNAGHm7erje9Iv0qx1Vnds XjhedRt5uvDQK5U91+VlVOYxU2ZYrpOjR3qJLP0fu+BUZtBfzF/6rVVHZYGeRvHA+Ljohx+KihQ6 TaBL3oTYeKWrloxRaZss9jAspcTPEB5MPdGxJCibmkGljd0NrWBdMc3ZkHb7Dw7mDzYAb2tm/K4D CflEG4napO+1/HhpBfrSGHDUkCjhFFpp1WcqZ1TcjhyLNefFd5vATITx2SUlfIO8t+sgkBfy1HUa mS2wcuHbg5zre3JOMdPnVlEryWArIPBaSI8Bs8pcbdb+UHQeX600pLfJiOtE3lJ4euFRoIBGR+ty QKNEKJSKsSBs4DkN2heVlGd6UYW0Y2Rn2PqlwyvKuYmPGs9BgwI7RrdH/cd8DVoRKVseoUGGKdMM DnE+xXWkqrR7mjRBiJUz5sAVwZJJ1RlzC6rX1bzqY5TAzVW0VKSBf98Xt2IpPnkgyenDdv/KMEef xIGVLXDJ40i1UhvUFROhHLMvKUd5QPagRwLvFjirLEiDiWy18yYjghM8f+fWdyGDqoTaW7DN//pA aTH6KEPC1YilIjC3Wwa16zU1csv4D5sx0O51dQra2g4+Ov9rwZsskTKwIodx443iTkoDX8dZF8YL HnUw1R9ga/TF9xJF7W2OZv+YGNn//2c2LtTJycqqCBNgtCwwr0+fNGYRdEAfOXjrjRI6rnL0DTWv 4yFbRzDtCAc8po8o0NKm49GsoLR/PBKENht1NKEG51B2X2feAI3J/G+LBNeI+DryyHx9oMh86lky yjtbTqwrkegW77+qa9BW8HAkyXuEQwrCtPqdxleZu2BD3geJUA4Oly74NRANKoUMdRPcaRPEw7mk 5pTKr4lO/+XNKSzI63LegoVS5mvaNXFltd9Z8aVfyu6fjcKAupv33f5xgot+cqIu50Ds28r/iY5Y /7AgAVhk0vVzeTSgfMAosNirp371HdXkqrn8afc9DMTji2MRyXdS6IXZB8Rihf1ltvJjd9mfeqfo z/PVtgkgZweHhx44TTvXFLocmXyRJn40pLfvlgFcS65JdP325DEnvk+Q6/2n5RQXnUsnap5y8Ty6 LM5W9sfVpAJ5whJYaWuoD7GSCyQbplYnn6XVhEu1fFZehKygJG+OatKR3aGrY5zftI5/K6jTRaow oPN+2fzQCG0wMI/0q5ezuzrefB8FTOYwmkfrV/ZtqlK78mP/eoN+4ysNudgJi8Qr844lMkx4EW7l VexE4ql4PK71XPx2o3fQTRYlYcRgy2Vsd2hCBE1wN73OQi2AZ+gJTCl/JnHRItlPhF1rjK9WH5Pb npS41864+YumPyh/veULCASspdmTGI6xMWtg22kzF1Rk0H6WRNrnDoDPpol4CxbX6J1mw+9PZcB2 PBgK0vmYNpOqPCpS5Wl+BWqPahQSL6/XfSkrv7uHAVNpJ4itsnJ+2M7GIXw4J5fIs57d6EJGZ7EI 8xdiOTpbKhva9MCaK1kSN3mWLrETb9CuPuqLSZbs9gGXanh3MuJzWQ6u+rKRepCkTqppUpYaY3M1 spSRqhb0scfeGKPw9kLcsbceMSyWqsHyRLHenM/Q8ZBGRUsrQq9gphNsiICqIsBv4V0TWYaqUmHQ JAhuYISdJxeNNHjbhy9mY8C8bthuOdzsOdTE2vTAIQAXT5HDD/e4zXYvLO0bigSN6DBgAONhNuvy Z89tdops9EmycpN2+QnRb7ESG9NnqXusDuZ6YOkTKpawIqfeD9CrM1otAIpc+rQJRXFt9fZkxdJI L+vvHDrTEk7NhaFjk4KNGvzOv4bBkXlKwwdGs2Pgl72rzYNqkbrqn2IRevQQF04FFD5qsyils+BF YzkQdmT8qPr4zYpEQWkqz2+GIW9pYrVEZoShBsZvhbptqfV0VRg4a9RP4ZAK5ARmJK6XLzXxX+l3 HGsUG5JfjKiipGQQfhkHJe5YiKbwwZV5x6++kr+jIkBg/VHP5zw2L65q0mlZXuIOH+lbes51wPx6 1p0lhvd/hPF461f2ZNrosy3uH8GINESAQThs9Cna1B8mKg1dq5H/TEDYr6EJQK0IL2aBBfOZ9J4H S+dtgpMgtoDfMDcfIHYceKRplUsCO43r65zVUS+poYvBnnnURQ6A/j1n953wcAFSsCkjHvzyYKtE ubHmjpxr034x5WeJ9nc0ShGHdOJVh4CDD5uigOkKMuWNzpqWkiE6MoVihiEghoVBz9Bn4Ts+TbHA vQLqGVVEfhC6+u11pDP2T3BHSPyraPXSS20lyXQpHCua9sqdHeHPqYAFBaQXqcnu9YQVgEoui4ZH mZq0pyDiFtZ4L1xKh2Eogo/MoyeVBDj4JIg+4imIhPHiMmZek4cHyFB8jtCzwG0QoHZjGNZCRiXU 0rfbYalPKqRUwooSUDrWH7V1Jn/9bjUjl3tdxBCO+3r1vnJ+wq/Ud5N+P8JdayEPfUxNvTbDMcrg 9MnPqii+0SDbAdJAirBS72DSCQYAKGFIcJx6RLJQtMfLidXq+s1xt3zLi7HFqNi5NuY3SqmtZcnT YVqx5kjN3A9IpMw1oJgviumbMWwC2Edp6EjjshK8qjvZJBPlF2YIO+rZ1WERMCqAZTNk0hVVYWsD 2XUQo6gO24OyfRpytKcoVJ5eX2mnZjI8Sis0pYPtGsVtmknlIspJU/39302VVV8k4htBoE961Ufb dk064wE95VjQplLSuF2+IJT+J3MgDyHQZC4Pybk0LKythi/N/qFxwrpCthMyVsGzhuqwrBG9/dKd RhpUWrTnmRVB/qJFI//a5V8R0HqgQQGJbpQ1+vX1sMFh0zfHlaKbn6bknVfpW+QlIlVDNRoF6C+9 0LQ7n7lLxb4obZNLeC8z2k3bHSNChDFNlIP83BSFVjfMs5XPw9HbkP+dmHTk7tWdCDc3TJ6u1zOD 2fcCs8IFqPEol6QYdEKy8vqOpba74T1Te7BUM96fIvSjsuS4S3wt/8M2gtodD2u8AYtpW9VudQEb LzSQUHbujOxe6KEc+u7ELR5ILk7NjRsPp9t+Buog1geghqQPO9nmrJ6fvh2D294P2vGxhZod8jgx 7gqOZ3Spz85OpyT63yer4uTkSiGU8OX3V+mvuT43usEUIj4csGXdItQkJML/rVzMdvPSV6SuW5X+ slg5IYDeS+gGWKv8GyItgxKluJ2zhr3hhdGZi+VFCYCGaFXamN5VVY7uOeg1dIPHldfIPZbASTbg I3rwAItz/nk3B7PlqtMWuk5g4CdyaRjhHKxBTWwo7XZj2DIBIDuHyfV3Z8SBNZKDoTeu5r9EcFph P29Gocy+uHOjCDnbAZGAwAgPkd0qxBEhtTdTxNyL72nURNV/Yvad6Ud8TGrGVUVBXRQBJNjV1wpJ ZFp3hLquXqC/1Xuldzq17yr4MODifr0dqFSrJcPTLPHRm45HbHYHLKFrDEFu+mDpRtCm4HtVxgC0 UcxH1Ek2hjkMOtKDTmgA8oR5SswmcLMBsLo9t/CmoRKHJ2ZTu0vcgRZdWUpY3C5NaheMhPU5JgRg V3JmXVDMilIG4Y/pAuYPVcOmgeqRqk16hL89d42K6BLsVMPJYXhOU49bTFwKJtD8vf/ydwu2NaH3 5teWcWjv4fixLfdhJsL9cXwdeztsPyK5FBGeGZ9avZR5F1azAu9Vkn4damfaSAOuigUtv4eDIkJA Ryu9/5jtm9ub92tjPWyznmOzMnlUWy1SmMTkFxd8e1HtFIoplF5Lbv3LEbqgCP5fBXcFuVaU4xtK y3PNAjXWv6U8W3CFRsqoHDshv5Z39IFO7syOlNsAepPlzadPJWtZg1nn7+8P96JfMkSedRl1t/uN SVwOr/qxO0UWXX3yDlsEqA653hTUecX1Ja5WnuqnGshtNrqKCJKHH6xclScC41/8sI4/frI5iv9G D9yYPd8UrMWwymSvI/40jUWxMffc7v947tQ3aLw0/HwIzhYuO3XnCzE4MnEaDYsXzcgKFrclYWq1 pmIYNMqsBiZyQs2suOTQ+F5v5YkyaWClQG372JvGGmp0bLXm0JwmQnI5QBf1etP0+gexUtCmZ1C3 6F9FklfDJHNIZMP3pBjX8Xd9oQrFz9F8/HtUZ9dxNc82S6rdyuPZ2WY0TZ89xXNLyp70ShbczAbc aAPJ62nh281roHvbfHXB+PYn2t4X0xPMIYIIBhGzLbgo6WeqshSuaL4ncoqHjEkO5wFnWzgl33AW 44zdujdLVf7v/64xXNNO7EqGZDr6RxGaqtOSylHQH2G5ze7HOy/POiucqLVMjx8UjyQRbfJN3gKU VVDptgSvch5bfUHy6xMm6+EbPgnlBIXAMjjAbmbcxAhcLU6f7wEqdROMi9lebeddD0G6fb+INiRz /Gny7+psoHUYO9gVnmokRCpo9vU/BlpQbSbrBCbERj9VMdDJ6txy/nhcT6djwrMISTarh4r/MGCb O3+3tlI31T5I5gX/sbPXf76C77CKqHQbSnxKg3keB7jd43pBnUQNd5dMkvWzkfBD+8EQLdkzTRXD HJl8FmDvIuojavvDq3glxO9aB0Bg3DZW9l6ezN/tw8Bllr91f498XdNG6+kP3xER0Swgt2jb0EEi zNo2Cd9fjmysNzx8RrXrs36zx/uMbyxYHOTWFvU+iCBZCyr0rSOTSn9SgLjucOLVEVt4vEAWM9s4 TLkOXWofwaVhrZSLPKuHi9X5mI2kmyBKuXfw9wqj2pz0Wnq3tQ11B4lOi+eX8R4gAL7nLVkP02uv QFSDV9jMO09ow0p+2c/PH6lgUbazGhHWolTuuzVYasFJvJKj+LfWHUd9O37HqBgpoP24KgC7WgBM dvzieW3nkk1ZOBANkAN5tO2+XA66tonqjxVi8o7NYVxvUN/9Tp4kt343RR5EGzgUI/WvgwITtAF7 5NlRElQfZEielsOg7CICvS7i9EAe+xAHVTsMDlSG1Pmh7fmJyZvbJ5SCvWcx0XiqEBWJ+ze5NAum UYlbtNkrQHV43ssphyE+BeCTzr6C1ihlUYqCsYa+iXUulpn2OhiGPPmP/x4Pv0zLuErofZ0jgWxD LdWZDLyOE1L3oOMRrRAneHcmcDkV7x2bOE6/pF7mOZgPqTdKsek4qtboRrwz30RJUAZbeRQ7EjLH zfMItWqSlIyrsnfjjYpqoMopJ8rQFyRD6z4yib7iYLBSpanEb1ikqAweMuE5b9HGd0chkj0i/iq5 i71FTapyI6Vg/pRHnta9Si/aDE2iVZXRDphI4UQxETP0mPPad0XifdUgMqX5gQF6lH9r2armT5sB unCUakrcnlsRrIT4l4RUpQfG8DKgSwYmySBZhxc4sAlWCii4SxYvtDnGaId0ZLftBnc3ezErZh5O og6QG+xi1CCM5Vq9oimbRQ1HmKt1zSnCdDw7hQZCl8GUIuMjCeMyEZSqo6h4IA7nKRle38yicmmB 6cX3NbROwxDIU/CpkfxwOG5wFWeTz/mBL9wDVNIF4fCNixhqbzqGumBUX0vCcr0neg7mzPBtNRUc yKZicPx0OirdtTyMqj0gXrFwcV8X/e5y2gHhRqNiEYVzYFQH3/u+5NlHh3pHrW5eKExF3XGp6hWZ ki/cdqgMTbfJORi3mokujlqx7fuI9eXuVPkFVE82VixYGiBy+Jf2mw7/MCzMYEJyYrgq+t1dpRte P8rUo+XhIjPwVtqwW5pbIe3+wDEANCpEmVnyS1rc7WzHWt8DS09dk539KtJupsFIEOT0a95pPLG9 9MSeMKr1tQn6xYcjtaimvLZkljA+eHkJLyB00nLl8fnpZP/ndoVqWeicXdEbARf/W93BpcIeXhxk eRwJk0hS+G9r2gyH2gsGehbGiJqwmYjM92DloFL6ilv9zufrPLPbDdB1QDRy1mT41NxCx4aQ6j6Q fA4/To6e/dtNNkqFvlBR3/DLIIclFxPIeUmK8X2325yRytv9naarb7RC1VoJ2Zc3/AEh46vf12RZ 5v1y4/DLTnpy5qgd2dpvqMnUiTm7Wju/HozGdZVqxuXNrodXK0GMMkiQJMXrIXL1zszu/DP1aAWO wKKxr4kgBivco4yyr6L4VeF3U2AMuJpUpDGMN+0UFUzVh/EIKVQCUeerep5HKZrMwwmT7VaVmKuu dZ/n1OsmCjD4EhL4ZHkUXdKqwJ5wyq5h9ytTCxIBoj+tdXmiy0UgF9XVDdHmmJz0TWaFMTPp4Mad CgMNU3W+eXFZkzIUQVwMNXlF+BGy0nrCamOaHb3S3ry8X8rkJ+4rBVKEUBVpOJ59+X1+dHzVcSzv /t8bWyOJGFyCW4xI0eaz8iiai7A2E3OtnA6gqgRmNjCMoCypEEVxN1FKrpkDQ9nouoxyKTZu9rjX HKKWleUMyMectZEvZ5ox8APJDW3GW7O4Vax1b07Z0fuiS4uf2dCyJzIiDP4qsNyK/BN67z59AnAX EGpbiXCYtJ/I1Kj6augXvKyO9dVDUJqNzeKm1hNK3e9ywxGXxRoU1ae7A2Broafadc0V/M8UHVV4 ejHVS58Fu/YAPL4Y2Z1C5nhky6c5wi4LkOzGQIx/T2fgBo+mizvUR7oUXVZZFzKLxXdSFwnUqIhb rO9WbF1rUQcZ2/qVqVKiBfzuA3aznH5Ix/IgxicImjrXf4TVrFfPUgILCq9GA8KI+hG9w+Sa8TJX 8DChHVFVPkkLX47m4dSUzG0zDiJ1MYgcbDPEseFkSS9nCPz01DupfrYtA1IsRf8+jHue09plxpFJ yYT+qKl9GhkdF/dZ05uzm10vwJDfRQSdeHvMex6Hr0e0ssq4d/fFZjC7x0/lFL2NKEKLxnWtFYAV LoPLGs2/tHI5H5tQW22L49wIKTxMz13zAIgzw1P1huSgV9KdMAG+fGR1E++o2Yis18PJ2gMrXS0u jh+zHFRMfkVFdrrJbwmsB4O2y3gbalVcu6cEAkLpdF5x3Q8l8l3ZCASahteyM/Qojr4WqsgqM/af MsEqwypJ605LiKlGVRjk1ZfJ+XngOi8T6up4tC0/nmnZpPU0dK/dQWg7g6KagDFQCSvbi9sn/kzN YMtshka9SlUipmeCvp5010nho9vmlIm1WuEQq8TuCqvTbXOwHpbLfBTh3KvUvPVPRRJT0qtjNB5f L5UJ3wiOivDPssGnCuX6ldGMNviM7QzCaha9Qye5vc/iQEQQP55x/Xw9DxQ4y9qi3oiacc/Ebcgc FgiOqfzKjPEyv9uSa3yzsGDaErSZd0xJNJRUaD9Gwrl5B/WFhL/xQx2tnZ8eG/gjTLShhswCJT5t JGNIsTuYGiW4b+tikASK/sw1I3F/DHuZxVHm68ECeAjmpmmg7a/n6BvScGpU1+UTNFnlc2NOlu8m u7bPdbHG0FU+t5Myx2ZC/GfJ1f486WVPzoHPj81LYa8XD/rZndOzruCaox+KYx8n3vbYx3qx0/LX hP21BB5NnHcmHemAIAz5cwhZmnaRJIB9h/WzHdW53lHa7fbkUddyo5SpCaqvJZwaBtAXOHLfwg+1 zLYXSv3qRiFsI8PqBDbkJCQZHWsaVMgzIVaZ5JkUl+Hee5pWLrNw6gO11VIp//zQrZ/AAYdkBLNE kyu/82feRxY1MXyxOm+zi95r0gZ2161rlRwwGSRnIZ3jwOlRwvLWKrMRBNJEeWpqB7LfVjQmIjci qijsSo29RUoa5eH/s3T7bFJ+wK9jWmC3+iGvjzbx3RHWEFqsqtV0/maCooM/0hTe2OvIFJpus9ca w5XvGeqz0Rki+qjwZKl40kX4HwhUEdEuDeJm84pjVkuvh4VzjmN97uDQBReJ/OM7AJTu7wg4UKoN yAFd2ORmJCE2VDobzgpti1ZVhJeaUM9BNeno0M8YyBrnLmmV25sYUQbb2g+D6CLwL7o+G/N6IvQw 7di7V8hb5xo7lPdQngzZgy/U0yuh+OH/KaD0lFrmovgB8S9xDBOhlbiEMPdaNXPLbm+auRl3FBZh uzL5rHHuTx27ScEvX/M5Oafvf04p7rSezDIelY4EI3SwJYiEUZJEm2xXEn6sRA0ocgbKwIYKrgAI anJ2VpI9LJ/398XAlU4A4VzXvqLjoAs44zbSXiO55DrwpvYZseJ94XBDZjquf5mM+w5ZbzLWuVR/ 9G58fUuLrp/zuG2Fw3dzJL1pbTNynBdwYvz8Uh87X61htxt2JfXp5UArcU22srvQBvtW/yl4y1Iy bRv3WWGsTzKXeH3MIlE+XVdoxhg0hhypQgsw4exb0855t1TW72tXkYc3RMHythYEXByodaHwV/YH w7wRf3/KKOsjCmaj+S0iGFVH+Yl6ZcIfiS/7cvMmjgLLwCuG8vnfn5XlK76ZJVGU6Vc5p6IorkYE LIK4mQEk0BPjrN6Vn898JlKBaHoihBH0nYAgEOrFXE38mxRby+Zxc88YcjVxKs4hDCLLk+4AtQKv XuWbRBRf0a2AIygdYAhmxTLU7aMtIB9b4Rnlq6+6wjk7+2arzCk65ZSjOb1cSTqXY0GiGwOuZ9py Iwq0b4We05rDanWb1+OBNhqaetpWEq1kPv/5KHCToSSjCCbGiFPp1x7b0sU0Vrw8uT9ikFKxrJpd AfAnBwEN7iVRuP1A7AFL+2IOVnMv4+GCbAaHfts5HEGXhyouBRZVIzfC0SzR+aGN6Ps4dZGlCHNl OXzkYZseX+ugMAn2g/wVsbm6vc7bGrkJ8EmBSNkbztRxqgplZPpqrvz7dcXPlVljSCDMqUBmEdbt KsIYtSi71tFQ5Ft2/DMNDxhvy/SLRtus2M/BIodZxWK/kSH7OjyJEJnSslRkA6rhhaYzw82/3HbK 8wzTiNtkg+cHVD2iF6F3u0mW+F6gSAIg+nJ+GTjAHY9B/9gWnSlH/ndyjPe7Jjsc7mWA7kWWoG5f 3bv/DHUhueKwlFZ87OxKIq1D1xTlfUdSTyjT6dXa9DpBGqb925XFJJK80MC4j5RqvOyWad1d2vs8 aIMiD2a+n/R0vuLtX9bpqrx2MYcPwgqo+jtqTDDRNgKnLS8SjIOFXmho0izhgmVVWGGle2XkkKHZ aWEPKFgd1eJ6KZte8f8ISbHxBbL4Lr/utpS1F1x/vV9zgaaBHY9uUaTU/Ugfr6S2FJu5078h6Hi+ K85ydKzKY506j+huaDdbaiDg2wI+Xr3Syhsu03XXSuQYo6z0cc4FMb9k0YBlXk8jvqV3c8M3UliU GqkIqbyobUA/1NCv3BmSGu7EZaExVxqL7Iu84AEpv7Oot1fsbeWv2CdpL3IFeTD/tTion8jQyAir P0voqq6bm0rX/kdOw73wNGVmTS3prmRgy/ISHwJK/7KZP7hO0sIeHV3N7R7dKTFsiYfDKGEzUyyQ lXY8b/lDjuxQU3fNxqwrPjDzLTHlPUlcsP+IN4VmusNI3eD9l3ZD5EaYIuzuu7qQ4+7J9NCcWTif kcWxJy8haOCi+iMVOdXcQicNAQv6+6jrHJ+rL6bLMDkZ1jB3mHHtbJvtga8N9AKVQ3e8S4xqfUBA 0FvZnkW3+KEfp10Ugd4jjm8lAG2f+4rLemKzIJnaafil2t7ZQbH0NqUqrf0qDym3txt2Aw6hsfOV AMLb1kjhHZqeFXeJXhG8aMYKrwKLqfV46cYtszPkrrR78SPAQUqkFCdm1xyknTNEpDWX2mU2/DYs iMXBxcRo++lbEXecmr4pZpXc/CFaEsTnYoy8m93rTN7eWKQG9R/UAgA5BClh3r2a8M9zqTk2W4tC PIQCiSTxFenwxtLKhnJ0hMzpmrsgW70U5lMBGSH8hLdRd07cJlxowTHp3+qr7i8mSb3TE553ums3 DtBIAxqSfpwJCVB0Y6kfULMsLZoI1Z1KVUhoEPOcF12LIR7cWVZrU+GkQx6wMUFEk2PpU4rExLDr czWZ3OMQtYSDtuy3UfO7jl+0A6mLzMMjhtVZekTTFapnltDUVEj6Sjo0gpjNguYP+jXrBg7emxM/ ne9I9k/0/7UYuFiVwiJUpGCYw4WBFkqqwLs7yL5P1SQJvC34PMG4txoGDE/AFwtyeWUFaS3qZUXj lAd4c9bPOc0EXXic4cd0xycZW8bZHQwHKjxgmb4Qe3lzeaWiN8YDzBthYHR/XyijpX6isXOFnQ1t cyZ840sZ+lAi/GAgnQN0fXpky4hGFmm8/wAHAQvdSLHE9tbIrT5U5dBH2ChatVQfzUwYJznIZ9ZQ JDMAdEDEd7Me+982ymfZImq88Tp/EXeQ7nh5bggM3lUbLmvtjX46ILpDSAcs75sWc0YFJ5EksoR4 zNFiIENc7EkCPXStoNAWTeLYPD6egjU+iCVdukEWu0RPPcpiNGC4jeOy3ff+9o4GwGDCxFlNCBD0 b86s89x/8OeC/c8476gM2zKzT57flVezqMvhxwDD6AdCU0smkOYPXJhTJ8500UOvAMMGsSeTuY3F eFOXEJey/6t/BycP97y92/XjYUGcwJuJNy+FyTvEAGEsSkj5H5r2atsG9wQHAYnkcwldWe9jV6Dr IbtCIgl/YZF66Msd9s0Ap3aMpx3hmOJqOqLTN0jk7clIz8deuyC3UBPsMppGBj8LT1UMQXvC4g2c p4rarH+2r6NMEw0kDuTNbVTEYHuSIrTKrc/s3ogO+kVlG6o1ZOYVbiz+JMiveokwKCOhj7FLc/fa 0Py8XV6ckCm+pxxvasWULz53is6/CHpI35zGDsyEbsUl+r1S6pkI5jkEAnyBITuOVie5K7rk0xax Idrs9o3gJBnshWKf+QXUCubr5KXBbL8qavESblM44QVn3T51S5Qb0PpQGvc0m2cmtfnMb4Av8wUF uDAmhJEfOV9xkcKCHN9cp9b2pR8REVL9XotpkgFeTvgmPTTR0HPtm0auK/G4Z7quOM+O+DYvov48 GtS6k/vH4r5d8/5gZ/+Xvv5bVG/wyk+/PHDI3CGYLD7EYdLMzysvg5+SorGMBbnZkjgA62VjUKsc 64KYON0N35Y0yJT9v0a0b12zMo0/Jzy3zLO1g6O4FMyxVVAcUoBiFoqZL3pvjX/NQrt86yGyYfMT DrSNvhg0CiNZhPhz5SmCVHwwM4T7Vk+3YePGgwyNzm4qofgdFpyOvy0VFznHkgUaXMLlacXjN4mN 7/Vpe17BmjFo8ZAQPcaUbHu079WjnunNclchiLr9frQa2fhkJeDLoqfJuf68HjQqthcF5lfLDwSX kgS2ot7Qwbg0yIW0fjIcG5t+q8cTdU1gNGOPfE/siROFkoXqMBoml6X4bcFDdcByauavpCc0/sl4 y5MwaJsGrzM/oLA21thCFB8BrYCXX9xa6Exaec0dQkf05JiEF/PSnnONOi3h4wkvbdEr1UBVGaHP WRKdjr35pkucElG/UupJJylQy4raf42AeMAvy52UUNl0ELOHrVdJDLP6M7R1cMy1mZA0y07Exh0f 9F+EGP1pDW28uzocs6d2saUvcIAHhuwZHs1ovPREPmqGmCUK/qZP3ordX1u7rhfQfYU9yuoEJNzW 65bqw/RdkWkxPfvttkHBEyZv9L8gQikvmnXdvQbtSpQzE4XwjOQyT4Y+NdbVUW0/Fw12z9tQVmZs aJfA9EU1xmJRKYFW1WeH+4WuS//nIY04/nnufHcwjQAOBcvY7Ubij5oZl+TPMkzuxWPnLk95I7h1 TzGLTUC99r4IMxFH6xHrRv4iwXK3Iyy/hv1rWEVRvfk6AWrufZXnvUF6p7Y5NdpLbc/HODMQ2MEk VLWNWeoXjKYz46ARNejjPp35mQ7WsXJbn91DhrnX9ESdlepi5W5N4Eyj3hgA6/4OP3oDY+n5VmKr CX6bMBa1NC+TBu7v6xtErDX7q1b5R9gpZUJ6eBxc6OHJ1Ifqaqq4LAkNHXe6A/bu7twiMGZuamln W/1UUi6pIoiAUzxNxM6C065db4Y1m0WOJruejzV5IKeIwC6Jd6+tQucrM3ghrRGpxrEVyevTKG0V CJQ9rUcVLQn07QZcCst+TJWki2NpWAYk8PpsXkdHzbnBF0z+Mq0g+9+wugTCD3ecQyTRAjwGdbVu 2PvPV65Ec1d8+R/g/MXB/M1kjp6pcawltLyvO3oyHtiKh2/yJjrzxqdz3xYuR6XZhBRSHKZDhTs4 +wtNrqf9CRLkVofva4LDQ63WHEtBCp4xaKHRzmMbe1JJPEtuizYmwmuERNsRL1/BbZWQo3luOOFx ajAmcyx7/c6gSEU/YNek1nVhHN+6QKjljn/A1f1za/+bxR+jcRvnex+YjSoqANTjy3DTzVcPktIn pjynR+R4bDSqmwF9caDX2Xqcqmi5AKTSFV4D91C7vzGZ+/K3v31j4x3s/VWHqt63KwHTE+Foe5Gv qxx4Ih9VyfX0XSd5bwR8u176BJ8MQYsMSHDbhisZ9fkHipZyU8mjB8H5OiGGO3AYuOxc1GfADVvP bNxOneRwLD7SkHr7d1UBieJ8lrSiaEaUVFvChXevyJhBXADZXbYDVGQE2PIiYM5D6wz3QGTyJuDb m42FSUn43AohyH0Rs4Eb29HdInFd4ptG1H4fwVncihfMIVgW1MBr3aTOs5NB3qicZHDWoFZ4dw2N y2CWFQpMtIzAYha9UognB+RPinuadbBotwVWz4OF6EhDmkfgm7zqlZL/DmPa3TzGRRPX1A3LXN43 3kpJ07IbtZiUFTkhNcmZC4D6XZKbfcEPH72PEpXtbCguEyEjne+S7lihLlQItKQdtTtu+X1TkxUp W6xalZ0WyjrBoMh3sxRb/+VvMHfmq1coTVFxMFFDhla/u4bqTynTaXM+VLRHqkSJWiH14hT/bS0X VcTAfTqfMYgcY/c1xrqmZt5jkJVHP7NpmPauXDNRk1np01VOq5CkhnSDu01Cum4WYwkLcq13X4Q+ 5LbZezY6budbNkAn++keePpKKTAEdLEsAu4tNg+0SzUwHrxsDf1Y2nVcLDGWoy9ORPm2K4TnqzM0 CZdyM85Q7cxBOy1Bhgz6ylTYO8tM6lUwSeALRS8kkr3W7j40YPosHkqm7BElwA3J+3D02lokMjjS +QpWcoCnnsz4swezh6jSaTp1jk63gaGZ+ZVNZ07bsuJBl0ErlUCRL2huej6ZseWThtobhwkzmBkk iU00Q5qAncWSlqId3LsZUnRasiCQZBr/hhwrngDqx5fStcvnWn0B4NfvUiLDybW4W9PZCWy3dFFV S6+y+jptm8rPUT2ADVh02QsL4OVNAwzmdLx3t+aLNhOCEdMBK1K8TPazF0Whq24rMQB1aUOsIvyS yjwucgNLteRk+AG9s0Sm7LArsYhsnIrKNhTWscrxUcZ6QJuvConjwEz4EmEE05qCo++0hYXzZHAP pPisxmWZlDEQTC8Tvqr8FTsUnva+WOL1K5PS9q/jwS1oesyqLyu+6opHxaCFsMEX1noCUlgyrvUh FAOQCqoLvFh7MfzYGyBL04PT9yZLaRYxlo+6WCOnPM4YKQ8PNzHgPl7wdF+1zUMuCsKwS4fP95u8 aY/cnd8XHuxXj7w45TRN4nqP1o16i8WEg1tl66f18aBMcH8Zb5SVdCO9YZDFOAJ0cvEjlyVWs3el Jh0bWygpZ+IO+zBW0WH64DXiIBkbtJZvUGWBcYdTIN7cU75LQAry68X3wovxg0T34+qR7whC8xoW MZIPcVbjnVy+VQwhU2ORJu8JcQj6wYjTaZZKIpEt/nt3X3C4j3INOYrT0AkKSnAaNrYzS00pK32Q WiVLcyQrQNwTPc60Laq9qyK9WAX7bM0FrcluhCe/bVU7y3I/rw0s8PRSlagi5zKEvksiI72imrxD a3BMmVcb+BThap0ZOWcQHmrSa8hDJOS3oP0VssTftsQT8nsvI4Nfnj/QmHqi4He+OD3EiPb2BDi5 RBov6fCg8mvDJpPqlPFhO/YOKR1LnazctUP0LWmtphbnN0LfFuz2IyCWAaccT3s2ydXyW+l0zuUP XD791V/N5pf4e6wv56PFgbLJrGFizc2WwkTRzAD3EgTiwVixWz6D9tlxGU65QoTE8Q1Y4HOO+CGE b5uBS8nVDSTmuBUpeEq7EC9zac445kgj95Lmu8rlMlGwqpUFDqzDUImo5fHZOY32sThSeSFd0Iww 3s7DYL+9f53HTwSUWmRe/Edf3+wFFTMaxy14CXsoZFdZT2yWd4hkbvCRI73VT5lQS3To4KXH+No3 Wiv90Tkf2O7CombBrFxEVNgx18zR30pKIJj/XF9BHdTyJY8jp8gJJrUOLABwbnkfWqqfwwDZ8XNl j1LgDWrkGhzhM0NXmJpaTDJHS3H0CxfFg18O0sEg4XjxhqeXcCWe0eUWEU4ug7e8ZfAFhJCbRenj R+zqq4GQ7SMP+Top1gqd5fJs505s3TMv3DH2NCDhXba3+azfdQCOQlqT4UPuByHxU+6SrRDE1JJN VUMxR2ax3YnAjVKPN/3YQnoL+wsJqNZfRKMhlvybidF3S2bditDCvaQq2Qep5FeBKsKV+egBSK4a +XapEPVjovd2FMne06SVLCEKh5wOVcJDZZkh3UAPYKAo2DTaNzXwhi8UvkcuyzD0tRvIFee8f6nf D6VIjASoTxGHNUqxhemywVPLlCJyxhMl8zY9SJYVloq1bFdQ7tEWUS0MifpYPSJNByj/0m+Zi3sY Dgo0imruz4CKJY4+nLgRjXR1fTKrS/J8L+/KHF+kglvocafeM9vLDdGu2U1r8Rg6biemiWS9wUJD kC0hznx38TED1r7kPV7qhuzugvSp+mYoyZ30kY99lHkJpWrvXCubx4Uzb7E1r7Gvp54FI9WCt6Ne Y0XD38W18nbmJQiUuQ/grcmYSOTYNrRVNrN01rBLAmlVnxWr3jXiF9TVENqu5AVrGDRDJwwUI0Qf OfXuEIp6bsUCUuZDV8Y/y9cKK6kJMTFigu3LhXXWl6Ak9l/nHqaJF11GcOZXY6IMbuJvRSRtQ0i2 2OdJjzrF3w3fgh89rYMn4qZl33uMHdaBG4eeLwP4ACVTfNmlLxgSzP185x9O7r43lWRGNgrCeLtX IQMd0euEFLtTOKojCAazSngSMhUkb3eJI7FAi6fKqJzKFziMCi1mXdcno9ZH0+AbsvT+y9Us/nhA CQfq4QNPxO1t/6bBik83TIj7qd+xySJ/YCWkscJrllnv7eJ89TnrXlnHYLJ35NCR2ZeeBn4V5s1i agk8ht20cgjFXkehhNFnceD11YvigCHfkfFybnpximb5hYvMRCh64OwSB6xzh+/QG7pBjpmFEBOy 6Er5bMK26cJYhLlay4jY3pKijFrVy/7gCsbODhL/qm6OFwyiME8M7cLjc7dtgQ61oqCPTEo/HvP3 mlBw7L51Dg+83Qw3GM70cTDWXUZH91ho1gLuWAIXcTXG3h84j/zAgurMTmOq/aAJRZ5F+n16diT+ Vb7s9anU7SorJwTnyKfe75DHkbCEKF0NVIRf//Bku6fyHEKVWDBOpLt7xVDyngD0CB0fd9x/sCBa wMd+8v2ieoNZqu9Sin/aEpVvN4L4X/7RMkxvHB2ptg2ouW2/acbiWSIzL9dYaLy7rE9gfIumckWQ kbetSNLA4LlKcRMUueBjOoIKGhBSPvBgPg2WBPa/TcBoSoT1x1gNv4wnSK9VJi8RdYXvBLL8Qi68 d7tCkSTc8RjXfD/3dTT1aOLqtKsl579YrllsLThEByYMwxobk5mRodi/PC8SIC/WYBrQJhnHnLhr gEOQuNk6n603aCDN/DvWKb3bBykyPj3xxdcbCgewk5AIALKLgkIuKRN7BJm3wCcleg+X2l0jTGII nh+D7vrMETiKlTEeR13CET8U+kmq8ZYAn0XpptXZ8k1dI9M6L/bK9msNl0yUHF/4ZzJ30W1hnraa nLL4fU+QuJASxvQg5PI5M46Vj9dECct5hJRreDkdipKGbhAFiP8368sN+gBLBP6axElurh16YOxu 7g1/L/hu0Vt9unbiJ7O099VkgwAr1jYtdS3PuDkFfDUBxs2U/I0G7e/5bx96bPEVhUF1xsU5GFhw LLdEUGp3ZAQjqbf5wxWeDRqXP3HFjPcDrb9wMNni3F+lHRCVressJmyGIqXwaouniZQBKmcr/wLy mc9nrHJKehRU7qAcVE3liyDHXbnFXPSwoAwhwzmvA1QbKZmBpDgFoQ6TsdBfMz+3kvTu1P/q2xoz 97QowetwbctK1TNuUXLZB+x70hjRh8nSA88VvRxg1MBeYKj89go/3Qd/yyuJTiAKJD/5c/BiA6Fb RZOI8MH6YuXmxbVmoovW4NfJy99t4F7eczQWw6B03tiF4YkV/eQAI1/eR9CJnw3r9oIBeE7bmwkN vH4Ff/SG5qFweUkEB4Aea44E1FqhgV1AI9C+NYHQN7VONojLw5qsjZcAvjWu9sULVqHPUoEixJXZ 3OQvxjzFy8Wx5CAinCyCRaUuSshq4mlJ9eIrGIcrJcf5/CWm4Ux98WkhUaxj02XuNIFzumbEkDTG KSxi105K/zHeMf+rt5Ze89aJbKtzDnz/tfrbyBZ/vyqf6n6aJUBH672GAmwGz9+b0XAnDBUVpDu7 EdDs2vsO2Cyi1kwFQBg4/BK8PbdDi7YubMT6XAtt0FmgQtBeUrVCU4cR0ld5iaVj12ivku0sAdwX MDrXVwR45QTC9c9J6iUWEpGeN7qIZ+IKzrmk+YIR8mQ1KcKQK2vPzohl88/v7vAS4T9rTC7xBqNY g2VrwSiRJtiFRqocjXl6tiuG9WqFovwxRVrDoFpysPwyUSgjOpwIedl+vmSbHhTGrxpK2TwIdGTz 4IvBQzMQooN39Mx6nd9vCxMdH8FT0nq7z/IPt2Say3Ikl8gbNX22t+VY3MGs1frKiPeUkdk0WiGE fRRQsel5lgYDyhMfI5vk+3nSsiyp/fIwDWjRWcLfBLq1F1d515ujmKxb7VGGcowKx+GTgXv6+ZuU HgUZSr8mo9AXyC2XsV5Ursrw/2Wbs2vbiLeLSvv5VroNkouZEK8X17UxB4YDG7+OpZ3iO4qAvHn6 lkNac/Kpf/r2ZuIE/tdkH9fR3JHQSEDFXnf4P5mw+Ae3tMgcwGxvivbvy7LM0yvq7n5FP4e8k5/H 3f1D9avEFKplasAV2IJWfKBQL2vYqBCcfNRYBcno3Hn/h5YRcsLO3z3vBuoOv64IZWK2/av5NWAz iBW/YXzxqpU4yEkLCtSmpLXVmlldiprcH2MWqxonPS4HWIYQlhPVpGpKmpY4tCU/ZY0U0V7yb3qY GgiieL+sYKAWAOfCBxN9O1yvT/Rxp1dCHujzbdscpWwh/AW2DeAhWc/5ME7IZNfetM7hxQBn2rpV 1jpADPIAsMRXblYOl21vQDysrrJ+Deus9KIJA8sh/7B+NxZ4LdEiMkycrnQBwCrNWy8oxJNeX2kS 0xCyVtdXM+8bkKyL4e94qplwePEEmw/Ru2Z/nas/VIEBkg7yFM6HzG+8LbU9cmlbpC1lBvAW+keg M344ege6tbVws/kbhiUgwfvtSAVisJTEZBo23oYLTLdr5gFj3zLbhuOQVkBWaTYOQ0JZbvfugSNr mz74byMWmfybwV7GjunctBQjbOY2154BuV6F34JPtSI0NiyHuDZIFfQ2iFNZWwF2UBzYHKLQt5NX WYj2FIp0mjdjt0MpXHfkDpofF167UKIoB6RHqjJN9wXV9Nd569KmAqyu1Rthk3nekO7icZ5XCXgj J+I5GPk3zUQFLBtw+ctDOcMVpMz4vx7sl6tSjwqptYBeKCXv9XLbM6h2KsJtbmgVn2Izw1e+ZryO IjY+XKH6D2CMJLkIlzGcORwbEp9J/OmYsbP2DZPPtgueW0XeHjc1mL8e/Wl3+3yKTl8dNmaRaysH dxfxqir4JiVXh4oCmRW0FfoCu0Dk5LelssQ52TUyRce5R4ZXIBX091lrL1ghVs9cZa0WOHYu6IWa 6poeTHb4eFjpxKEfy0RBK/d6NZ5Kmxh/25Spl4LkyRedEUpCmaQCtMp/gH21I5+Y7ufuv2C06gaH DUQg+vyew4ZXZZr8GuJpzgxizDgfkMBJ49whWw94R1FWmQDYnYiZmieBSIddMXXyLwi+bGP5O0cw iw5xBoobiO5gMEjdeOIvmFlsrdOKnnGgSeQ66zH4wai3UkeuqrCI0ZwfilA4vrLuiE/ounW4fZwD nCGzgYN3riuOkmrKz8CC2i7/md7qX+KAV3B10zjzB4MBybYHEkZXdhO0QsIdq5rxKkePzd4StmpU 3XyW2vtvaM+D7I8k2GD9NZLfVGDVQNGkRylSJ+OMTL/Ajw4JVBoWovdXUYP/ZMTSL1xj8v/OIYcw h8J0zeYO6YGgcTtv33M5cDZi0EnadM/z57o8hJAejdZ14hMwk3nFAz5bPhslM4+glIDGqsojAOTz 0ad/TQBBM4+QnmuqWY9pnpdkJmSRiSOMrYtsDkK9Ga6whevem8Ol7KUKzkPmoFIftKKdw0a5wXY3 OBIzDBB5lEhjUFblG1/qzfoQ4dJ1vQlDpr11qIbQyDSgaYv6KkEQ63n9uoM1sKhVWFIEojm/mZ4D 9JXT+NOPLyNUIUCPA/a1L5AijzXDZNSSSzocd3NWGwOtG/Rc1f+V5lj8FU9FWkngXCdjE3K05KRp T3XURFjKYaTa4PqIpmgs2FoS0v6MltCeJJBOTy4gvhpysFZbH3BuSaKNRJlpiEe0e6Yj6s4UWLa2 xOYUPFoB0A4FxL9s+Zno3JRjVmZiC+o3WebwOKQGrLBAh2G5/LKydtXvKhGaO47cmaHUgDJtYp4Y hCqnvogWhPR3yFNr55viC4CBDY4l894uk/rveJCW9HkC9pw2kzbWDUEnf9BxNojJnvWWjc3pAle2 l+wB8O+VIEJRXU8R0ct+llt30ybN/h3CDPiSjXJAPJzGevmiDqikYC6gZJoZkoSJbOV54lktP1pe 7+jB513/yFGSJClxXvKK8Tc4hsRxtGZLVfce5JKz9BjiJt9Z7PGGW1/M3Ufsd+McJAgODAbzEDza S37n3WcNob8YKZHpzEKNQxONMcCRbIzVvildHtQhj5xaxfLQbp3wKVrZcGxlILda0YWFLcwvh0KF ooWUUmIE8vruEF9m2e1XGbFTGo+w3CNYD5UyVmSkv7PKmF89izS1HJetAR8M5lm9L/jp0ZiqBvNu pFQIsalsWRjOUVM792eTnQScD7NZSa2OyXH5J/sTZWqU9DweHGBIdBaTuKZ+8vtgYW77XX++PJoL YDmO3vfprBhND1CYlLoXptscAyfSs9y4zHhCikh0vshj1o2iMLiSzZZyFgDMDdbXOcihzrlEncK0 URIGt2e34VjMct//U9+T3wzgnBiGJnV1hjMQJel2qi2U2JyRDazOnVEWrxeI4/4yEm+Mbid1Vil4 jU6i1GHjeJQzibUDiLJvMh2W1rjPlZJvCxYeoCGOdSQisg2bzk0oQEs0IwDPg9QB1SABk6hqXRku UNLRJyU6H+tlOGOV1jB4w3406vjrTmVwEvvbh2Mn+QhMNAt5VC7EBwXLFZ37HbWkxc1EPCS5BHKi PjjSw5i41QGwui5ZNFVEamM6G2MfjmgfzLPuiBuvOm3zd/VksP4kbYxOIymZApWd6E+eONxCj0Ty yGhqVp//pgTERt4bxlDoNSRwl1GNWTC1bkAhWlRQ5NzzflImwULldRhRHC4TU5lX9jJ+qV0koNqK sL0hcRTOUrEn5KqUo28hvjj1EqZqkLvx3IGHK89QxCKxba4y7koXKk5HSlOI8N9mhPuRhoAKLqY6 1uOoiX13XgWZQxKM7hB4eGNk1T8Kp5l6LeY7GxlElhzzqk5stVihOGX17mmVAU4xGtIIfLlLg53a yi+hf+0otWqcD5Gwtn956A3e+Z6MUWNSom3QNWIgaoLOIkA1CpvTGynV3RWjeVO0PuHEiHr2gXKd k0YVWMi8WsURgEAnZiQJqeLfqbU64H022xa5CjwxQdxLuJgtSFneQWzdX+86rg8EOBcfxmEeV1Zb ALo/TUO1uYCmOaxPMRM7SSSfIpdCokGVUmE/KV1k+6QaqB58P009OlpN8rfEl4p3v5XfRFviV97b n3xSHHs+YA91Ba5i17UmKHBpA8NGoCeiRXy8gOu/Hc3MFiioSXrF27GGNyaH8dzk6vKI8JwMAEGd pG0TZ7QzyxG7pqqI/e70CIP6hNP1CP1H2mTJqlEdSWd7xCQKSJuaa0vorV7ERcs+bIDCRhnnp0bG GJe3k1zM5RG063/Wab3Nsv0999OhIxNTWerVsINqwiLTucZzFWB5ID/3lPp6E2d2ObgFLTtraG4L k6i7eed9yDByJs75LO94JGye/FtcK9DOfYTwjc35b21X3sQw37V8xPjsxI3f+dBMXDJp+vbGNIuf hOGWKucpkq06H6uMPD4iPzFOClp/b9Ckqo8OBC8i6uS5L+0MXNnskCUkUdQDRKcY36NN0rzAhufx aDq0PiaMo4aXhiJDA/x6c4/dj5mcVltK17Me4LMOgzx+Tt5EpLTaVJRF6Pc/pVVxDsXg9E0DrXHC P3FChCe4EsYu7kP9CNCwveRBPNRIiELH75fvZ1rs3YvPL9X+JoPsW8TPbqpvSjrd3/6HwKtA5M5+ AJEcMnaXBnIHmoJ+u1N33WUu9W1PU4iNZ7VDFylePZoRWZvi/yobMz0b2sSYUDQO/E3o3BxIjoqE liSdXUjw4ipd5nNYxoeE55fu9eBbjFgc8oQRutdODal9aVHARUSwXUWptou7mzXj48gtKZYibwGS j47H3vm/mKb+LjUklt8yOieQvfgSPpAyuszgGARhNMz8OGhYWhir2KwA2Do5UvNYnOWbS7Bn666a Y7bIUhYPcMWN5uULX7tYzvjrSdk7e46LzHCA16yfbCUzkCgZtXbW83fV/7X0G3+VCw4Qe8w/SehU XYEOzmT1mXkq0xQLHc88xQHyNi4C7mTbbpeIe7egqotpwJm/HoPxkrzLEM6jVBQ7c4j5SucJyuow 9FuKFCe8PEuYU+l0ZlXlA/7GFfdLf6Zr40j5//xlNsonevtRiMdvOTR5afm/fW6GcGBAl3zkIR8P 61k5FpM3xq4X27y1aGmAQ8VXnu4VDRB1ECBRNVgTWUWSrSm4tHmjer3k2C/XBZThAiUTGMVMbVIg Nrm9+vGVqqq9dB3SWDhAakPPKr4sIcOiJFlypVQiZH7yvjnXMx8VpIX1Pw1gT0qF/E3UADJeHZpK 8Kg20KvAg6lGS4k+FXvJGZzYBWh8GTcYbseKNTi7X4yFjaGAoEMb9oj92wyiiaPcuwwE7yLC6QA0 vq0L+eQ5QEvkonUj7GpCzVJF16ix1Ex/3d5IjoEOCcPGxL2GihJx45lltNvoo+Xh/e79UbZVsATq 6SPR7y23aM7OBhMqCByK+NxzPV2y/WdkDkyPRrUyswha6HI1Texq+62jO3B0nG5/dCqTXHT0I4Ij sbLlvb+2sr9CWp0ehNPrEW9uK87eoSWWxh6++/Ug0Ss3R4SYSys65dq2uYiakgdGNRVrqXOpF25T HB5dznOxktR23Rbph4UA8FuiZZvWGox1duw5+8OyQyVGN87bpVE8QfOGwbl7/vuNZ114PfENtlkj xHbvD8iR5l8LMZwwQJu8wzG1BG8bjDtJZB7miR8NRKGELXZQWp063kaXRpmqNyK+Qzua3aQG62xi CHLM7P7hEp5oLM67A3XOUbhaUEQVTxOJFfqwf/w7shnIW0Ppzl1y56Lq1NUVRbkmwbCBWuLYx0rM 0LKj4V4CuUrj66lxIHj96E794r+7h06KfmxymWJdvhuNS+Dmp4arn5o8zXo29NdsN/4kwG/n9Fag n48gFlfV9deZl8hLfEpa6op2n6b+MWAIjBaDiHwW1nTtSHdTzWtwhW5c3oFvmLICjWE3SMOtKrN6 ihCPYYlIPP8HoakipzMPRPItqz9qQ02jmF+rCLrl3vlrYoHAigoG351bUXMs9PjzYKmkq6FQ6QUl rowTEWSxaaJHUadC91FH2qUK31tNbLQ/wIvkwFAadhsHSQjNfBPoR0kq2JI249v/QYom2qinMfMp 84DxGkSbvIzktCP0dQBNTaiXp52dBLSYBjSU5JtbnT2FiKbWSl89l+bl3Hj8RBDoBF6wasit1SNF aLpoXf/AuX6YXM1dB+ZQU3lJ7PzWw4XbuyRQwxtUdJnbJuMXIGkoiZdcN4bzLM6d5Lef6JQXEtgh Etgkn1aUM8Q9A5zV15LpHk5dfSJpVFCITMl47nEElrEakQtpxivry+B/zYJcKljqvYb45zBHrlgY Zh6alz/1Stpt4LyUEROQY3/Gumi5OEx//bG0VueyozDu7wyO3FoZbtElD3vCHwcCgh5x5t5R3odh lZClmeMqjibPb2hsrk+BfNyOVp316yAr5Cufb6Iq5I9y9WQDKoy2h4YXgPAQZYX6lsZ/NE3++sPq 6BWG5QyhrLFmSDeaoskoMCoTTPEM3H5NL+3P0EXkab8Yi4SwyR48Wi6kKMoQRKiZhNn26FPf8pMC sbDcfHl+62tzTpr0snh918LqId/xcsGQLKS4DuFrtS0bQ7HfIgg8Qb6NvCdN9/b24x4kPnTnMx95 VIdkqCK2scnHeAl1kMP+Ga8OaDfgSTIoDBv/LMHp6npwGyFq5rhTh3h2XZPr4YXc1Q9CbJ826/qn MG0+L8eh6wK+xw2WUnmq1o6Dg13KuSsqS3sjwbDKipQPj1GukuHB7YcQJiF3zIuM01uRxqnn4CB8 f4uHeHeDkSSRqn3kEbYNGExq3OPwxubsjtDXSyZWRuc2X9rajmD44/s03EC43pq6+3uh2g8IekEp c9psVhYyzX/2sYI/I/7MAf10ILNZdFVb3/k5t8BZ1Cv3y0bP+jrOx3kzhR6yeQ92YKo00Of4zYFZ r4eKU0gf4T0c24ChQCbkzcTuHlEEdnTQ3beZntmSGR7nR2SNBCFOiqsuQs0hydedT0eVFcm6jKeR GwlkEOZHaFy3fmJQA+IrVQOM0GSsZ5V8jYU68U/yakuOweUZXMfMI0qeaX5O6B3h4qsNKoTz7BA3 6FQXu74yhNb/QwxAs5RsWMGLnF3vAJTw5ad8W7XjUUYaSC1MY6X9iKQDohX8wlPgnZCFqBanqF3G 7rkxOTg/sALFO02FnHA5lWEnMUYpBdBRQ4UF/8QkpPKn+wgyLjScaf+ikVOqapexDwurty0HbjBo La/uBao9sPuQ812vmR51R9JwuGj57atD9s7QMdnyFEXIs9o3lcgTaTJuj/U9tXwmdHwBWePdPleU zw2umhs3oWjk5S7VjuJ5P1/2dNPmdQLKG3LVyz9+fwkHp4mrTwsaLh9EwA6lEMjV9Ai4t9ag5K2X qzx+r2JNifDiFrTr0JwDsDC0l5NTDs2Ybj4+JBu0jq9pcpy2SEja3qdE4L4+t4a9ivWPUoDbFpeB 5jPOK1NfpoTd+yAFZvbJbTlKEv+eWXAQnqix5qiYHq8Vv1KkLUNUhRf6PnkaF+F58wge8dhvoAEo xU0Bv0gqz7fyWSTtyDjJud0JapeKsRtn+5VdzqHgsn4uEL/QmvNktQznIk3drRaEEwCOkMQxP4Xm j0V8CyLO6i4XjVkYkDC5qSW2s32NzWTDBTsf29l3RMW/ubs64Fd0Sua43vQouuIRrqZMVXNFVlHd C56cWZxIErhwqqsMVmfTNp5VaMvveTMmco/YKOwwxPsQjp+pM/puGnO63owQllSp9FDcNFx0nYGO oFdyiMKjih2N30l9cdQ42TPU47y9xlcqoY/2Wizv4LSb6y9fxwQKLzMZmjTBiqtB6d7ovBULujJg DFCK5IyE1OSzyt1CLXTOzlj61peQuNmsbM3YpgzIZ4obJW/o4/WmP+uZrXy/AmPIVGhs4C7jBbbA 4tw+OGSKSeZwhUzSDrr8kBQ7UtC0JV0rT2CpEN8E5VseKNKKWeo8oDHxN5wn9QH//oKln2cdkYW2 EJjABNZr4PpQ1zT5gc8UCI+Gn5KJBrWyWDSKDg1b9+Z8Lp37WSw2ZpBSpv0FRh841cIRvoRSpoUr 1a3KYYRsH4PSvUnZoBMuQmFYqVTbpj12xNJ/2SRjJQnsuQIMoKUBZdyxPN1AIyLdDeEUcNm7GahX hsq/fxd3RrGxyvzQpJmuM5BN8XBFqW65djDd0NXIMwYtnsJmJwy9eV6roLN/dQmC2ahjC3ryHHE5 w4L/R8zWcuYE93SAEz5NL7cWesMf2tahIqblRJlxEIv9n70FgmcGEdZn4QgXYvx76s9in80LZLoS VgfhkDwWdgQSCQckWeiDraOtSR8oxTIs3jJoPYff3fORINk5wUnfk+Xf4HHTNsB/Od3NXXPHdXdE rkku2Lt8oiyur59eettHBiODwMXU9QH6Btdz8wcn1X4dxwQLTQeSwKl44Jlnli9q5l5aRM/OzDf/ C+X3HWmR7pawyywHEylbw/Pjqnmy6oNltYJuvnSwd/uEhSbfHct7sHyj3qa0yDnvWSZQLp8OsdjS jkLDshihRJ3xceuWs5dxDL/l18sDnlgougIENNV1yaVs3ZehGi71qUmQGIOMUhGelhlGpL401SCx gGK9WUAWaLvf+KKC1U7A7eBqIq/3z3bJbizWuM4zcSBCHkXtuY/N5qcnOTT8kdmJ5jO0o66K+pvS qNsfokkxRmGRmkcwrtMS/c/LoT0olYb6G8jo4Rir1OoLE/L/jIZmUO1eRrZdJe1reXMngCmTEfOM 7+diVuOfewouY4J79CV4xZJqgYSPonWasI6tgk7Svm+4X6Vy0GwU91i/RiSN1/X2h500oa6WOZ1p vr3kBII1eVNyEmmepohbC317chuhItpo1MzDW9mnLyKRl1o+ByokQHOzJKNmKhIYzCncthKoXMcP yGy2SDUxVq5MtHVkUiFapE0aKUfmiOR0cJhMFOSizQqpAlMUb0Hm6hkTHgwIuxew2m0SLUNM8fHv khyETNOLkQgEbcLvgz028uysNsQuR6u2azn1uchvYhvt/9rsNiP3ZZEHtxSEJKdQxZxgeI5OYhLY c/jvGsNjkCBfuhSwnA2KToRcHTtBvBfRXKXnj2JQ6LetcJodTOPUO1HUnc0ADAQR+kob6l5QEpuD r7Ijl/ot+SnW62wt5pwKMepXhwy663Z1zKoZC90jzZBH6aidRNcP8sp+0Ny9i4DM2fCRJmpwkI2i vyftGuoI7VTKeVW40UMFHYH4XpXcpJ2gzacf/KGD6Yfu2vLBXkbouf7WtwI/9UfXO5XE2nQxUcAL YaUgEJLdTWld3aUuZdQ41AqSkeORyfhMjJBqk7F/KXL2Sqmou7f32+ehFdXiZou+2RcPLX2wDTKB ygI6cIT4wTLCR3341gQHouPeUdMsf/51OzCSMsk7ff6jxlV1/wIDMil0aosE7kUseNATuGlluR+h peWVHtffIvu+wkJ8YoLRmyUIvwCBQUYkQ6dFvdUsg76KktG3mS2e5gKN03jtSksqhIImLAE0vEwN Vr4BwXPQAWoBsPxmTQDgTJL9BuF5p4JHVLpdSN8DIYNd+fmB6VTAeqDuENymwVBR9eqK0qaG6Np3 2l9gzMNz2IIEkdclBGbkmx9zJShKKkDWX5bHm/kqfv679QrnxhvCmhbVIaCXbOkT3WzhxuzegHqA Lui8HchM2uWzX+Zpg4NM13XqbrGQSe4H0QZesGRaJ6mBWjRbZI3gd0i6VARFghw4DRIrniDhkIAR 6y9uz1/42urx4ffxjcg9YXhVfYfwfu2W0eMmGTQwQlYveR4SJen1+kznhhSgdF+NNVYqRs8EJFKU MD59Gn4iGJiCi4Yia4wAYVBZIKTlwnSjvzKNynitjDZfQywXwdS65RA7PltzdFG2EHlmdlvgW9CR pRhUKYSOLujzvyLgmDNGd5VKNRXC5Jtw8WcWxd0cuTff1EztBhNCA42XMIu/2AQHYgRKtTBPdHOZ e4jtwujEJtP4H6etMHsMJOUgRJiLoV5m5+5oMXNRAQftze12lp89mfjMHutMcgRateOt/xnBSFUw obTddBUox2sUcFDp+Lu2296vv6nVEsSBFjMuJz7f+McEqMN9n+ns6L4tM23iwNIWUMqafzJxo1gx Mwrzn2gzkRY7FGLRqSRprPUyrWv6tW2L20eJO/3PfYLjStLKlqCJB+IQE+nsZjRdcYpapvJ7bcB6 U8xiYfL9BpTGt4SDm98tLRq6Xy+O2it0ZnmaU4fXWJ78g3+LHuMggTxFRP71e2zMMCUErTHj/Pas sLwNrDMoCtJd33HAs6OnF8PN/68bL0keRH8Ys8wt/6p6YP276ppobZ72F7Qxx0w4e78J15+oDpuf nkhgYEznXnyvFedTgt1KPo5QNyaEwMg+0LtciJtaRlcUNWixbEp6HLrnpvvwfYvR6CCWuth39rvM pKT3o4F1Z/Am98PCTQ94O/NURToRNCHLuhBedCxXIJpMO9nZFhDpqqRZSbSYqyVQqYBAM3+1KMlh jYGyzXA1S/b/Xre/5uf/CYKATgJM8EzJ6qBohPgSYsaK7//JMHRqybq4SYHAZRwG8d6/tMo9wOr2 bEJMhuOOo+Un1bv0BUmw6eRl66B7b6FD0tKzor1xieZEwYRYao3l3WD55vuVeF3kQz1lstAQ5rtH kDPvctNuO5w9FHZwdeegMJpeCVe+f4clfmXDwOqvJctsaIwcVwAa0ZVAtlhtaE0qCUfO+pb8eEnT /hiAvjJ4/im+Y3j0hcm01o0CQ7gtFpGipyfZ1kCdBYJrAKBEFbUEnGUv/JaMRO8ZOhnotfTT2q6t SC2fI5J9TmdXrQcgbhOLTiRZm1aSanNId8QiEG7C3Ukg59Y5pli9P7vHTbYOOSBoGVSdFFhDps2a tEYI9lIUB5DOBD2F/QfMxLGOm3RLltHaXr2zfBprwpTCJxuRTHwmZkTQV3Xt8D87fO6k0gH5Asy5 yEVnq/2C2I4QxJc/bvjO//gH7/nLmExs++0GXIG4oiq7OWPh57e4gFiLXG6+VWyQFPtX1GnYk7yb dL4YvYC7C7mfFt2swJBRwysNv4GA0GTLepWTQPY+pgHb6vVCryBPtoitV50/1QXaN0+QHRWRVHV7 Ia2xNyqYBN0wX9k040Q/KVloMALiJeIzbmlH7V0aKYnHYMbDPgJV72yDMhkdEuqN2gF5I0F4Ed7H 1kJA1hqd1xQIbJjUvrlP8FLpvTbDfXwyal3h6d+uvUzb/LFi `protect end_protected
gpl-2.0
8e1b0d3cee2339e30fbaa0b430fb75ac
0.950164
1.822953
false
false
false
false
skordal/potato
src/pp_fetch.vhd
1
2,389
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pp_constants.all; --! @brief Instruction fetch unit. entity pp_fetch is generic( RESET_ADDRESS : std_logic_vector(31 downto 0) ); port( clk : in std_logic; reset : in std_logic; -- Instruction memory connections: imem_address : out std_logic_vector(31 downto 0); imem_data_in : in std_logic_vector(31 downto 0); imem_req : out std_logic; imem_ack : in std_logic; -- Control inputs: stall : in std_logic; flush : in std_logic; branch : in std_logic; exception : in std_logic; branch_target : in std_logic_vector(31 downto 0); evec : in std_logic_vector(31 downto 0); -- Outputs to the instruction decode unit: instruction_data : out std_logic_vector(31 downto 0); instruction_address : out std_logic_vector(31 downto 0); instruction_ready : out std_logic ); end entity pp_fetch; architecture behaviour of pp_fetch is signal pc : std_logic_vector(31 downto 0); signal pc_next : std_logic_vector(31 downto 0); signal cancel_fetch : std_logic; begin imem_address <= pc_next when cancel_fetch = '0' else pc; instruction_data <= imem_data_in; instruction_ready <= imem_ack and (not stall) and (not cancel_fetch); instruction_address <= pc; imem_req <= not reset; set_pc: process(clk) begin if rising_edge(clk) then if reset = '1' then pc <= RESET_ADDRESS; cancel_fetch <= '0'; else if (exception = '1' or branch = '1') and imem_ack = '0' then cancel_fetch <= '1'; pc <= pc_next; elsif cancel_fetch = '1' and imem_ack = '1' then cancel_fetch <= '0'; else pc <= pc_next; end if; end if; end if; end process set_pc; calc_next_pc: process(reset, stall, branch, exception, imem_ack, branch_target, evec, pc, cancel_fetch) begin if exception = '1' then pc_next <= evec; elsif branch = '1' then pc_next <= branch_target; elsif imem_ack = '1' and stall = '0' and cancel_fetch = '0' then pc_next <= std_logic_vector(unsigned(pc) + 4); else pc_next <= pc; end if; end process calc_next_pc; end architecture behaviour;
bsd-3-clause
bd43c823d347a5cf56bb8c0a534decbb
0.653411
2.975093
false
false
false
false
skordal/potato
src/pp_csr.vhd
1
4,501
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; --! @brief Package containing constants and utility functions relating to status and control registers. package pp_csr is --! Type used for specifying control and status register addresses. subtype csr_address is std_logic_vector(11 downto 0); --! Type used for exception cause values. subtype csr_exception_cause is std_logic_vector(5 downto 0); -- Upper bit is the interrupt bit --! Converts an exception cause to a std_logic_vector. function to_std_logic_vector(input : in csr_exception_cause) return std_logic_vector; --! Control/status register write mode: type csr_write_mode is ( CSR_WRITE_NONE, CSR_WRITE_SET, CSR_WRITE_CLEAR, CSR_WRITE_REPLACE ); -- Exception cause values: constant CSR_CAUSE_INSTR_MISALIGN : csr_exception_cause := b"000000"; constant CSR_CAUSE_INSTR_FETCH : csr_exception_cause := b"000001"; constant CSR_CAUSE_INVALID_INSTR : csr_exception_cause := b"000010"; constant CSR_CAUSE_BREAKPOINT : csr_exception_cause := b"000011"; constant CSR_CAUSE_LOAD_MISALIGN : csr_exception_cause := b"000100"; constant CSR_CAUSE_LOAD_ERROR : csr_exception_cause := b"000101"; constant CSR_CAUSE_STORE_MISALIGN : csr_exception_cause := b"000110"; constant CSR_CAUSE_STORE_ERROR : csr_exception_cause := b"000111"; constant CSR_CAUSE_ECALL : csr_exception_cause := b"001011"; constant CSR_CAUSE_NONE : csr_exception_cause := b"011111"; constant CSR_CAUSE_SOFTWARE_INT : csr_exception_cause := b"100000"; constant CSR_CAUSE_TIMER_INT : csr_exception_cause := b"100001"; constant CSR_CAUSE_IRQ_BASE : csr_exception_cause := b"110000"; -- Control register IDs, specified in the immediate field of csr* instructions: constant CSR_CYCLE : csr_address := x"c00"; constant CSR_CYCLEH : csr_address := x"c80"; constant CSR_TIME : csr_address := x"c01"; constant CSR_TIMEH : csr_address := x"c81"; constant CSR_INSTRET : csr_address := x"c02"; constant CSR_INSTRETH : csr_address := x"c82"; constant CSR_MVENDORID : csr_address := x"f11"; constant CSR_MARCHID : csr_address := x"f12"; constant CSR_MIMPID : csr_address := x"f13"; constant CSR_MHARTID : csr_address := x"f14"; constant CSR_MSTATUS : csr_address := x"300"; constant CSR_MISA : csr_address := x"301"; constant CSR_MTVEC : csr_address := x"305"; constant CSR_MTDELEG : csr_address := x"302"; constant CSR_MIE : csr_address := x"304"; constant CSR_MTIMECMP : csr_address := x"321"; constant CSR_MTIME : csr_address := x"701"; constant CSR_MSCRATCH : csr_address := x"340"; constant CSR_MEPC : csr_address := x"341"; constant CSR_MCAUSE : csr_address := x"342"; constant CSR_MBADADDR : csr_address := x"343"; constant CSR_MIP : csr_address := x"344"; constant CSR_TEST : csr_address := x"bf0"; -- Values used as control register IDs in ERET: constant CSR_EPC_MRET : csr_address := x"302"; -- Status register bit indices: constant CSR_SR_MIE_INDEX : natural := 3; constant CSR_SR_MPIE_INDEX : natural := 7; -- MIE and MIP register bit indices: constant CSR_MIE_MSIE : natural := 3; constant CSR_MIE_MTIE : natural := 7; constant CSR_MIP_MSIP : natural := CSR_MIE_MSIE; constant CSR_MIP_MTIP : natural := CSR_MIE_MTIE; -- Exception context; this record contains all state that can be manipulated -- when an exception is taken. type csr_exception_context is record ie, ie1 : std_logic; -- Enable Interrupt bits cause : csr_exception_cause; badaddr : std_logic_vector(31 downto 0); end record; --! Creates the value of the mstatus registe from the EI and EI1 bits. function csr_make_mstatus(mie, mpie : in std_logic) return std_logic_vector; end package pp_csr; package body pp_csr is function to_std_logic_vector(input : in csr_exception_cause) return std_logic_vector is begin return (31 => input(5), 30 downto 5 => '0') & input(4 downto 0); end function to_std_logic_vector; function csr_make_mstatus(mie, mpie : in std_logic) return std_logic_vector is variable retval : std_logic_vector(31 downto 0); begin retval := ( CSR_SR_MIE_INDEX => mie, CSR_SR_MPIE_INDEX => mpie, others => '0'); return retval; end function csr_make_mstatus; end package body pp_csr;
bsd-3-clause
bc420bf2f20997aeac521af27e5bfd7c
0.695845
3.180919
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_L_memory.vhd
3
28,804
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ln1WKQWZcSrsGNUSwBl43d6Mg9hLywllEn5W4YJ7nSMazz9/FDGjo8jeAsJatWN/u+yeBA4o3zaT im1SaI/mjA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SZkQvlIItrEsjwuk1Gt19hfUMVbxxBw3rEnixB2WHnW+E07ZTHPQJUZ19Epr4gsowTuoeTY6aCAB F1JqzEFvFg+HshNPL1q71rb2369c3/AmVY0N+/x/JdtbbNMAncu0wCic4oVDNI6fC9Xrldsr26mG +Xm9pzDZ8BqjH4wz8pI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VPVdRAwb+IaozHKMGjpys1Mmc4kLzbVGoC0woHBKPhKAtooskdPTStZ8qZryzEVJUEor1PdUu9/4 orOnSmshzVVRy8qScaUQ2xHRM0chxPFdV+R4FuVk7+o6tObI/d0J2LCKCtwc9wAOglwJi5A/CGQZ dgOuzw5eR6vhT6G5MF++R6v5/Xsxw9kn5tU6ZLhOlsEGFTaiTqSnoDXv620llLTAFRNJCI5sPIM2 BdcgUWyooddeUy2PvRc9CikHO/DygC2vsq+OVemOKqpY5CNdqOB5x/1Gl14Eegzkr6XE2i/Cqljf 7G+yu1cgRheBBKBgBWy7YbxsB6TBizfzNJEL8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EIjYcFzY4MjPY41/Zpv5KFdXwZI/5i9Gk0PbCjUX0oi2STJdxLeB9ToiAYy3c0u7AH/XNVaqJKES ZQqpBmJ6r8AymjbF+AFXCLppFfDkmVAfsIGokAacJxHRAOXCPYQ9QLMAoimZQ6aSM4ZrueYsbDQA WMaPd43YM4WiZDNxzkM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RvJYE5c8A/PUH0tRxf45XLe3iUB7eoW1B+1krLBwS4IsTkSWhxBLeKvuhh327VYl+Sa79irf3KEh V31Jt+ptWPju4BM2t58OiGm53DHPXKDWzqgFFZXdAIw8W66s79r5UeVuT7b0aHG8pI2G8v//M3wi jFcc/GVz6re1KYYfk0PfocICazkmdniNXmvCsq1yUdKjYy/cNRfqgAU0KBPE8hJwyDO6FWK2Hozn K2aeN1ZsMAu4Y/oukiLTb2HnoixpHMZFXpO1xROqtpi/EcazpfgvzL4oFI7gdSl7992c8NNDUNrM J2JYhlxVcZWIN1S2jQSOqdNPBpLuHoW4rnqTmw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584) `protect data_block m+E47GJKJftpIhl7//VmXK56h/WAjTE1YYNfJj4/Geq4cZICHH/sm2IZYUmxZ5FFAkG7+GO5qZXY p8/8J74WFcjthZ9v6eJ2a0s+lyyID2UTIJ2qNxstyTjKQ+y+1HPPB6GeoG3NdJgyG+nFn1hvohiJ wVz5auW9wZV/GHNy9Nw/QgrspTqbYzlY1CmCOEZcSEJXnvVxFz4YTe1R7nIT9Gg0SbpSMLneCmwB QbyxWrpb0bEohRgUl2wMwQ1aj+yqdzdU3ocp/OZnWHNODvZTqNCNXgwdUaRcrGMHy6oiyBQKiYp3 i0IhUvq0f8XEPVUMmLATeIev2HgIvHMKyW1mO7y6cQXNUmFX4lGBEgLaTk3mbSDix+xZ5bZ3QSap H7zJmOuvM2Imrb9in5m5zFMXsnwqYtvZIASnG84vO+JiuJcM0virusCv0aiaEijSEY3R2+YMKWYM uDwj6YV2kjfi8PgOyo2nZLt0fS1OYHjv473ZhXUBMqLoV8JvedeF/CU1QFTKdIlnccUBY0nk3V/F dievVid4jqXkvrsKjZsd5LkkazXCg8MVnJxPb0M2m7b4Da9eNy9E6Dv+5vjQEVbCc6rU5SBAghlt lGJ/AQ0lyEb+bFUnZ+z0ns8ur2ryUT04bzow7AKDRezm8akIIoNWdv4cT/s1mYBya/inHvgdV7dR VAecETHZ+IJjSBKyJQQvuOhD8yjSPCnHpItIzIksroAlLgePuJ7WUI0w/o4tE5oDOGnAKe5MLbMq +HjdguGZh8lUOf/c35m62wMEclCzXZKM4C7n9SeMWxu//6C0cB1l0Sm9vf4e99byYAgc4NqSBqnz 9yR2fHWRFvH9dm1D1U4ZUjOCLKSH1Kr4e+bR4iM1WtB/htzWP6lq0YbX79pI7FKa04T4pSXmykVZ nNHWLCAa7VUCU/2MVNVFitqg4B94haiOw5F5igESu50b04jEFOqwOZGOK+c2COOxjoFKP6IQm7wl bLneC6Tje4YB0L/EJ5UVVQCc29quFnhnZhP5Q4gLtBloyNJ/m8aAudTFVFeUHKyOW5qQ1BC8opU9 nSgLwjGfC4S2RJa1+UQcxOeF5IEulONsOYX1sgeNyoNk7sFAwXB1UT+9WkR+OB2M6CeTsR5LbynL 1cxZ29TSRQMZAjDLQg4zOoys5zRC3ZNSq9eJQ5P1X99LYABpab+tBNYiN/526a2SQeiwn05MiELS MeCDRp5fA0pqHYQc27eZe6+yvNA2TpsSIivQyKOpidDQpXblXVTHdI3u34f7tvhOwcRfR/yvDNTA ZELFW2BXg+wxa4lTNfQFbYOIE6Z8zUx8U1nVggSyQ2h3u8QwZrfViHFCyUXCW0AM2UNH937RZNKs oUYzEKAzlNxHcVXde2D3uKgn8fFfY40i+VMjwP0/m+4aQjN+YM/ENuISSuxh9sDpjfJ9Xzbqtya/ ugAhw+QxE3tk1doVzFiAKa8A/EhnDXZA73i2ErxZam16N7M1u1xDAKo/NGGo4qXGq2cJ0e5YlYvD N/zzWZIKpygC3SBsRmh0N062pJWJZhcHTT0UEM5dcfwGj+13SfOk6R3of5i2IRyclpAYY/ScuMqy JWwJ65Oii0swSflix2hD93Ylmc25dWNs+DYPRslHILQTz6sX0wjsnIxQw0SYlfwGp9D8OCAoo/hm mUXDvZMqKKd1wl27/ZlnB5ZG/wpM4S7GRNDxIZyDKCS6wsjL/JvwYxoMqZij+s6Gj9TdaHctuxlD dTaUanLlqnUV0yrbfXH77HaxVKF172sMg77LME1vBrYyWRr/3LhZGgnfoOxlklRqvCMKh4KDgnbQ 34IppRl+OubiThdJLbDQTHam58XsOWRwncqDSXrz5+SNUNfuWqf6pBIS86EbWxCPTKZAOyB/7U7s xe+YYnp2sQNw9+H1KF54EYwq1Juq/RRlOTV8ewYzJcOsvJFlC4qKUhivpvn51lmnskLoKu2pfBju exJr79EWQkOJP0W6QaDUKd8BSiVfS0drTsjLZOqFnALYHLL21mhFRhJKtz5xnkZZDkt2fBVRhefx BY+Fb3E30WHcM1TGVGVIfaI0Qmx8oMQy67CMWHnMXNV0Hem4vbnYRltzY1SqSGieFgJV7jKKsn+h 7UZt1g6+GPaQIqxbRGpzp3MM+JRnKfcWnDZfoqasRBpcU1H8hlzcG1Gx9Lp/486ZctP1ncW4MP8D 53GY1bpk8LxwqmFQF+z3sjbe0009sG1IIG9KL9T0FqDvmkNJGSfU4nWK2JUhwrVevrUhhXONz1qy zH8fwS71RoN9CPlRDXBzpghGh1pOt4qAEgY7xY3PGt5v52coEYO5vNHPxCR7lIPpeV6qp2k8l+Lg NtVVdBM7XArEYvP6a9a10I93wTHWxQ31gY1J/h10gteuJzCr//flPlcUd6soi7f4Mxh4MIUoTT41 2FHUh+Vp2dDiq0n2HrMUy0RJhQdkv5tdxbJveBrSPmjNLtVO54vn4Uz3sE+HoSOiV1TW+u66FUg2 m5ZEpAFsTp4LOPRESApnT4rjJ5gIxf/J/oZT95afWxbBEDZrlwotlU1HcQm6gPiwJFP0H7uNYr5y B5kwvA7dfjNpUqtF8RVduqCoghsBDFCcJ8PUwvTl3aO5qNx3AtOL4jYRL3PPbkFL4pDTnLjb5oZA jLVL44tGIGqrpG8LK+LETRirDwnHkll8K1EnUXcNTagLRfGZ+lmnDZ8a3JxeB675/rmb+/TOvXOV 9xVJ/6kiI0EAfMv3vmEISW+27yhAHmqwo25WoxxDDNBuKe76tNQmX/2PsP2bZ9LKLJiZQqF9O4Iv Sej5WIdxot5DWUvESBGZo7CJ2zlmQ7Fz3pTH6TKoo9BFvWcvB4qYtk2650IvZgaiWn6DYpzppP8s 2GO11cTUWipiiUe+QAy1O97FMjmdHAx5HovBtfv8BM72n4p6ckrA9sNWEwmvd+esm4KiuwPFm2xG soiHSFEnuQNrEqE3ZQgz4XRBhjyIO5oshOX+qj42oz57GcbVFCl70GCWuG/P4IObj05BRuwmT4hT i7mCYZBemZSq62mtnTb2AT+BNwy2Y268AYUryUGjL1rk+dCip59BTbnHubMOrTDivfyy8rgnG6RV G2zidjAhdNWY2tg6SHvfqXc6hWgjAtYuM78ZBOPlDdrAsX7dX72z90hACV9zpL+y3AJvOQGlqM4g YpOdZJbVzhC+OYrkg0ceGu0d3egyj7XHMyczF/+FIBnn/9ehoklDLkIzVUtvZ73le37HDtwgXLBQ 66+85rFizvb0raw+GAQrt67/ELl38o80Fqg3u/2aCiTWE++Ud3VhzpydpIGd2Rce2FXhBBL5WxGu hge6Mm+O9apENKJRQBJ8aD4oc+IWJ0wSvX9HO/6ZpEB4/cqa1ryu6F4i1St5oS33HWlpbk4WL1iV rzMNImd99jMUjWCRGGkslkvU+OHliPeNM1D1ZvmcUazhcjSGhLWwy0Vk0DZec9Pg7UUWpw+ofjOU Na/wFtNuCI+GggNDV3qffd4CXQQgvZxQvXhtnMcOQ9smZ+0CAsJJmG8l+ePKB7OnTgRTtvIqmNry /EaWiq1REDaZKnHz4VBDXlQYi/hnMKp2uP+6HnTqMiwUmlEyRTNGb3KLO19pEItaPJ5aE+NcIXYU AMBynvxMn7B+8ikmSAl30olAPcZQnfKO4Oi8dnOeDKOSsKdSgw64iMYMKCkny+qsrOlgaskhYxOm QiEKW8XrPgBp+/gX7RKfTgsBdreFcDOlXWqa6ih2nhmbLfHM12KznDLl1lcF9a0Os1g34MqPNifN x64PHUC4FxUdWAtNJHbvTfAD0EqCWC8uTecoy4LLYXHS7ybEPETc1aCQIlx6k3aEtVj86pKl8yks HnC57ETrSa7SqohpqG23xm9b182XEfc3/VH6haMUvOZLKANQs/kjql3cH+9UoY3fwdbAsZ2a7xnk 72oTMXyisS5RoAfcQq2x7KT2DWzpYLw+pj90no/pFB0if117LtzGImQK9HEoDLkKBE2HwcWaAz+M s3dMsP9aOgQ17DjpXqq/AvzqcTvuMQo6Xee+C9jJVt2xpkiccS9Oj9QgiubZQBuG9G7VpjdJHVAc JWzlPyrYG/ESN+JM8pWdB8i2LnAoF5NNanRZTl3iEck2fSMMt+NvHpORLvVubvGfb/TxObLKKtml XyoJcnOIWjTBVvCkWZOASpA59YlarW0kwiPX9o86W1yM5n7l5xb0AWLhyfjYMOrxUINOUHHPGDGu PLT2KaS4KiucuWjKsPb/jsFsvjxLOpIdmBEdUH2VuABMsE92YsU4XqEwdnUhkR1wuGpJkeJS44y9 w+xLoVOcRDjgPhBj79a2ZDKt/21pyiJp6h1OSHpIuUo4ekoNZsH2aIR3d8eCJOZAswVwmYFYXi6w HmKTXpYbfNwSUoKYUgrUme4aP+0nLB8kub6p2W6nHwKWrlX4g/0ZtshGZtg/c8ppU2Fw74I+BNWg I4X0oWedBsV4UCKuM64GGTV5TJmjR4Iz3mFl0drkguCRkVNzGnKeI/aZAON0RbAH0olnWyaF8KGd HFbJ5fhHANpKAjqjuNKTRUPx/Zv8PpfmeazDRFTAXpbfz1/JQ9RJ+VMqFBiJJmAt5qaVuDs+ysjr CgpfV9CFRuOT6mIyl9wRR+p+BwAEy6mR+lQGBo1AR8OVX190G6PzU/g1VIUjV74ukujdHyj2kzJg QHLP4kmfGdQyVFaJNXQO00dNU5BmjZ7dkz8Fyli32pcv7CvCRtgGS46rGLHTjWs4CXMYC+RQgPZp UEmobYZTRxKjo01rCISNiC30fjyNFWHeHZXmYG2Jbl8zpR0n+dQZVPgX/lCdnSRMu07JBtRPOpOk oNmvIU9prUTPnxx4egYZoHx7/PQKV8dRO8CHeSijQVts82aUGyMvCDAvXtTHMZvmUpPKGQKkxlYr nObvmqdaQ+/TnleeiNVUOXv7db3m7yCfocusvyPhAkyj/2mYTnBRkl2juGSZxqgeILxITfq1adbp MZs9GxSDI/zuZhP/kSZug+J6orp/dqu/hyfAHzBAfLGoMgUobT1AqrAj1YeSCHMJ/roBCMAgeWij ZOx33Px1f5uPYvZoD4gqNayui3WtwIHmeLfzqpMPyshCl2SHTJPL6CWc95zH5Cb/cVAJuFP0QyyB MTil+GzaFc8UVF4DQcYlBgs/i+tsHHKsp/QWujnJnYhkdSeE9CEEHuh3EKSAxmm/MNGWZCaZXOSg iMKav4pPPeRxj+uhycxw61U11vgELezoVd8gS7/zy+l2/epk9mORv6IOXU51M7LZDntg4BMqcv9h HzJVrxy9k9pv43QrGNqb3gHPzVP6W/rsAsMscHkhPlKClcWJzgUZYCUvWaYzDOLLL6LUsAOKU1aa 6BOW3SsvktWzuknJ+4wbLTCcanSzjKNT2T8cMXrdMw564/qTW7Fqg6XDCc9Zndln1w3zIC+Nmq22 PFSuldIjBqlBxUzi9CtcG0+I8fAzl5T49LAvXTZOItZoc0vf1xXxaRPbdG9CWY0iTLjYtnWHX+7r YfjAJd82uZaQAv0lPYDErlE8wazkLcucP7woVjl1owCB2x803KjtQ5mwsLTfDsS5PxyO8kQiwnDF sIPSR7jk+TbzR5+KLmRI2wkZk6p/kXHCS/9ZIU4qdgULTGq0M4C1AqrH9RJoD2euoP00M++2787S oPw8EbIynGLXm2OVfKhfRTaT6vOffnh91Cp6HfsbroTgx07sigXKTwFk8Np/nkQp00oPS9ME2Q2G 1Gj9GNheAWkMOCO8K6uB7gZ78We/uLwnZLAVkwRdnhOWG8sEdyymREGqGBQWepbkN9NSUH4DgULW bM2WlleZSPeKV8RuAUC8RGKQwEW0bbvaLK7WDwKBlPvbdXJ1kDXSR8UzoPycbksT0Qn+IN+gHvZn tVVVui5CfLFxKWdn1GJigslO+wcriBjXLnNFI4msRxgcKxcmsOUCYaSxOCwvIrAYVTRK+Xw2S2/V aldUjVoes4wgcaDTnSYnpSyLdPF+rj0D6QerC5TcgLxgF/20OxfWC0LKGa8RccM31iZBrr7vTuUg 8d81D3Aa91UbZvl7qmUEqULfGi15xDqGwatPu6wu1tfCd9npqsrzA673qOmBQsR1b0/UOq1TWbCr XnTsRhGHPlqDOlFrPQ8bXPr5f1CvQcZX3Hlp69fiBzqpOGIDprhop6W6uHQgpgz1z42fwia8HD/E RjwlE2IEusH8qrhRl2PIFr0fL/MsYFZsuOUJxzKSy5tt7oBQKLUkA+jg4bRK6+IMOpI8oBor0aVX pZ4ApC6pNiiXJYX58onBnWd1NxJ38Cd8zI3glzH/+9vbsCGwUis2rVD2nSrl63KdHsVrTRAjhARb T2fpaLVxgJQignX1zXVTOm9gCEMIjt/zEqndOBdIU8Tc4uq1jTq9A/idgJCgR0+2zV/fNcxBjbRu hRxZOJBBdjy8WPNehbv+WFjQ9akOir8lxRtmR8rFyY2wkYZv7svoYkK9qWSx1Fy95QkLuf4T0Na4 252bPowYEl/05yGClg6ST6oVBY8g5NJtCARqPCQym6rVgGeCpDcCjiz+mti4ask4Xy/PiFvM4hgb dehX4AmY9LnoWNtYy+Bft0vxlIMiChf5+9UzNxY6zGw1a2dBEwx+PYD6TsT08Sp/BrY9hQQT+AM2 4HAi6eJy/Z/JmSr/l5BRDN6YeJ7GJUSQ8lb7wqtIAxj2swbQYHaM+z01O2kwkx8ZNIAIVIvHMBP6 SGjEfXVEqjR0pdBKwKKD6gFZzLzHGQb5+hEnWacxf3NHx/O7Ilm5Bj/x9EYfAVfPUb3262K5pa3/ qagPcJM4OG3QRAG5P7yudgbgf374YYcNyDyvzuT4OOhwzVNwQj+E0gAs50gHobt/Lj4phrxjL0Lj fufVemyTTNtAZ94A78I2tqaZVXG+/tT912nu2jBntoxX3IfYUpySZcvTX9vXbe2uc9IdXjHtmNhW tsj+fREUDubcTbuDF3I6nnibvFohg6zFtLcC8oapI8E7WC67Iv4lE+Ct+OM+bSDDfISgZrcZYiDC 06+hG4leC2g636nq4Lb3VkD2Nr1golGmCyntP6FacPOy1OrZPSXlI0L9QErv9x5+vXOI1WcqM5M4 50TL3Hej6M1DApd9UaLCQKyOrfPHnrNRr0F2dGQdmOnyBAAHbsIe7fkAETq2cAUg/qVXVIuwUT0w urUkI6rLWSuADr/SYXEyt6eDJ0cdRfqT52ENXMLdBgZODtDvuuOTT3wVLFeaSC2O1nJTQ+3SZKBE k20eTJswVV5aiRoR1aTDjmV9/cnqGoXNBxl03w46M4/wZCAgIpDtM2tNutdbzuwSk91wP3tTlJ1G K+Cme/DF5tEYqOT5bUJM4cmOKtuLYgPgae7AMBxS4aKPgq3QedUh/6/GC3SKYRiArolvJaqA4H7j yEEPjq3WThXFwnNNEStX8c8QUwvSFNMSyX8VVewNB8EavuWdDltQibzLMP54Y/iavfAQ9Ojm5FeX khQEkUxdOoCKbvXaT+NmpaRGFun+16gCcB0KNihRPzZhxJFl8Z6c9FPRt2Cjf+s9yHfCx9zK2OcL fmJPfX7eq8kk9KfogXOz66lkwMY5Sli02s0jy9yyg3Uzrdw57frK7GaXEyJ8BcRMDuIDQ6/e+Fyi ckW+m2oZyQp5OKpSZQvRMm60Y2NCLgJds6cR4qYdwbyVbis8EjIh0I5DO21r7Mo0Jeh8v5LtE62E dPwXf/Akxegdcf5YjrMvCyzJi/RwcoG9h4IZNGbKBGNhMX8mT+bYD8fLWD3VjaQRGby1OqCqz/Lp Cs1uQeCmP/eDzHk2vfTADqmsk6FhVGgXET8UbjglQnjuWALNmCPhmWBH9i1Lxgxr6PLP74GWEdsR paE05PPl4afhvY2l0t+9gpjJKjauw2l3mKqbc7705E5TcBMLLLMOOAoMvU7HOlr3BcsNZh8dnD0j YtiIwdyYCJkJra493o4vphpcgMttS7lOiSxBr66zA9DrJlJOoPxxZsOgU4CL9aNAVjfs464fVN/j juLI+GypeTvay5wEF7sJ3/k41aGNd9aQeK0R5n+TFzrsIlrOANC8b7T5NwkpnjpZEqJk0/JaDFPs r0pOgNqCeC11vlvdWnkrBECzAfycFtv1j07j4BnWrf6YwXx/dg4/i7p2UnByD6QdyX6pwoKGuq1+ 6tW07R3b9PSGP0UqqfjB2ugDT9Pc+DAspN04U7hLln/eXJ5LyWgbPiQhiAbaWvNrGwpQ29J4oD1r zLvJnNOMCwZrQWHBNLD+4Aw7v+SnDf8h1ev291cpH3rm0FPQaM8rBrybAuj2osAvd/+Wwe+2uQ+y uhtOfAgR3+bNUiPy8HQTYtXu0/SZtoCgBkM80dCyimxcYoWpfJg5SAWmBMLAmhLeP14tALZ32Q19 +spSg03/FgQjEa8FUM+vyIHsEhc3G7nZOwEsx8g0IUwW5eft2I0n0hPTq8dQy4XwuFxUOxhOPvLe uZLBlu9Id1tySc54bVMrYLoAYdqdAJEFmoJTlIxSLRJG83vtBW85izQFjUZVP3YR7Kz1EcNQeqa7 5zR0TIKFx/Zbau4L3ZnsFz3mRjQagFX4M7LmHD7NeLg4vbxTkRc8S0NTedAltzVwR5XhgvllH+8i 7f9DolHYbXZzIgGrfhFuyiv/4TdGlNN+MEQ0P1yxpbvG+VkIaRnMYyObpKiyKndE9bVhRX9mdc0l iSB9weAnuJvxs81v6zqxqZyBj+s/Eh3ilEcNCweshCWYdP2nXZDtREcIObAWr+PqkGxycx5igDpL YRkbedHbo3FIBowTNAhjlhQtbWOUcYhy8ijZBE9JLBCEfy7rRUOYvZGcwR2YZgcTeWy7rz1ZYogO 1GGH5GNCNah5ADvQP/l//NakIKrQkJd+t4eNp6VN8Ey8H5TMief5N5yghJl89aBRrGJh24CkVRsJ yKXP7oTgdSHbah+ESoyaQjM4BwTzbccjGNzzB2A24qecMMvCXz2qvnynWhTprl404qxHDc4UYnP8 8xlko/by3qoN1Or2miMVPR/8DBR1/wsOYqWDz/F1gLnijZs/bn3PkxmdmDkeQKeS/PRDAo98Sgp+ aWhwGBewiZYWfDASvDLIPL495avDUDffemlup+NCvuZb8oKqj6RfmoSH3CkwTSFMBS5lPiph705N Ztbz1Ga20GSUXad2yyouQKGtu1wIkdCeg8TLYtDStnmXrlcPPs/SmFy19mzpOVLmwF97wV3EQGsf GlxiuYrnILr5fzckVyLot2U0w2Fl4aVz6VRAIRJnPQKhMhOxmWnSxUCIMaiV4MP17t/dQE3AIoHW EgDYiJ5uL+qyh/9RBdAPxFEuItp+mb7lZDQISpN9QIEKTu2Hc9DOBX3B7aCX2ssIwO+WCFKP8fkM 2+Msd5dz7z9zSqW9yx4meYpohv3yzsHHUwcZpN/DgraQTIU2Dz/MY/swf1cS1XVgD91Ts2g3oeYr OaTQkTiejHB3qUFsBeDHXvEUnaFB4F4b/G49voo1w/l7OP0CoPQUsW5YZHnCqVOdXEHUUL3OG9sp K8gwjfKCLPu2tVYfERk0p5xS1f4AKSa5OHIzk2xg7zkrAcXG7l/V0hcGojjhaR/kBCNp0k6ckdcR /ue+np4Ht3n+K/dG+LkR5DeUjWSu3KnbO2PI2H7I70msvVgqjgaQ39sbLKVhuQsrk0Ig0Jt3oN9I o2z2goT/KQw59dmR6zzqK/WfK/jqzZPChcLf4yG2oQT4rZ8AF9V1s4NOsrj/9wJBG+bUr3+VyTxj E0JORI4dL80lO8ntWdJ/nR0lI1kDvzlSmf2X7fZVdcraeArBqluN7dKP/vlEadZdt14J0M2tN2mc AQ1EsqW82Scdri24xNGWC4PU5YYUwi2ZNDpqzXQRQN7pzCwngTbFjtu/wjCI8of/slBpDoFdKi19 dgVJ733AeJtUv+QBOIHjQmTf4nKXK/e86izVZDL3vGUwqtF/hIAOtIRectIaxhGXu3r5DwYuAWQn EkIJCu3x7P2yOrwp5cwNonMuZgdGsrQWeVVrfgrv8qraaB9xcwNtp2tfBJQETwSjsX8JtBaAWSWX drNITW3giIlArXtP/GnjXR2INABwzDLFmwJbva0cWdVfr1Xu5nfxbJWUkua9uZLrIE9xoi2TpT2+ KcTYCGJKBlQgQCDTYt61tL0Frwx3dugYOfglqT00FJUQRUAJJPwpMETOj+R478sj2YZv1HFRrpSR sHU0zV5krPcSqkqaQu2w6VU/yVtAXwbGbXC2Zhg+aCY1XB/ufE4bUXncoQFzoSstHvMRV0Z8erXv JpTMbUsPqTZd16Z0D6SxWqvHUK4EHR1RVzoXB079EYLslO+p3t0epmbiCWlcXEjngUaJeGWij7Xc 93+3Ij5Nc///0ar0s+BFtkLLVfAnIryhEIX74z9g/nV99ZHdifoERjnHkdNRoKYOQg3jOA0foyuL gkpwIKoJ8U918kuGm3Z9R+0kmGAPi8LPKTp/dhhiQhAcvWRhvJHLETBfpgdRAk0zAVioHqDgn/eI GT5yTlpJBZclOafgrAxOwfiC1fWjNpq5Fq/yD5+Jbw42x9QnAe1/PWepDDZITsZyh1RVoKIpw6bk UrcMNGzM+MIRekh2IlxdqhNs4zbJhpV5UZN8KO6QjJTxhN1Zm8GKPDp1/IwrJN639dp3CaQoXbcy fBiBlitWex5zO1guJoZWtAyBX4YVZXyeriOXTlSQQTEoKOvnNpqrgMPgwRMxlEjjf9rMmFICuy+C L1o/48gmuF0U2+PY4qT/UTc0q331XyEN5SaasbgWVDCEoR+LIei2DqStuLONR0bjGLiMeicQZyji r9eIuhNvQsgJSGsqH2j6Q7UbmkFOIJjDVvmZzl15OQHp+FwPrBBgKSDnvR/5iGjMO4T++oqzR3P+ 5N9KfYmNyoxxtMv7mezmcPHsq150PmSc1xv2rr/1w7WNjclCIUASZbL6fU16cKwdJAdYvH9L+Uja Ej3gNC4JqXlZ9iFnZ87psn9d+yLUW5Fmew4BZ3G5CTEm7qIpeIfXwIiA4snLZEE3t805RAQ6Lb2H sRZtbkQUpg2SGbijslyafTFJ2F3U0c4Dd4TsfeRqlLOWafiUcCs/95lOV3UYpGhAfdi/8bzu4qif XLBSPXmMsu2FCO4X/aKlqFd3nKs5v7yNpIrUgaskOrT/fLyF39t6KnMnQZRvEvmOvH4/Kc0qDPh2 VVDt0Rdx0ac0J6alAtOo8L6Bk9mPZuOChGzE4H5dkQI7k5CiYeoCbO7t9umSjgFgNO8k4hFD1xY9 UPbHhRJhr3UGI+7+2mYAS+9/hwyCw99FRnVBbPlChR/13jJRhyAzT3/iCnyA7+3CqhJzpAHk6PKg 70JUO34OQh2hgLWtp2PgzClKz0XxP9+fILemBmK8Owjg7SbNwGWgDMpA2vOnaMVoyuVyIDrLnD2M jxxnoAXaYJlFZDaqWcr/O1Lm46eHkBDXsDIdyZajmR57hc17kJhKJBi/h1IPjR3dChsMqrooLDau ISzn4Q516PHz/a6nBZ6eQ9wgsw9xMw0v7Lnl/HqbDkE7ISNdvd7IR8Fkuya/PEpfJxHwn3wbScyH e31qWKKOgyHXCDwPdQADV/hmevRG7aZRjiC4QrRLel6iHb/VTzXKZOgSC/MdUd5yzoYVFcufoAR9 jCuQKDOLAoeP07J0r24bqyY4qq3wkO/cBqoS4rZz3rINyLLAb3OpEaLVRzY7EqXQWgO3ZEyVqy5g sGp/ZUoE68cDQFJr0KsDNonCrepDVgDTrMUJdHltUK+tHbqZpGyVK4xF3+AzLxkSKY8aakQOASpG l9v72CDCNxk+QWnk560uYeSKJsN8PywwK/wbCG899m0Ld94mb8Pia/qW0r1UeU1NBhdY6kMmqJL0 OZFSMCPxlTKtitwAHUfgpz6S4t+URKI1AYYUL4ycWPOZlI5O+6RMXYqIwgZ8mx1CdgJrlIzHttfI yOuvG1wkI10iERs3MdVH/NlRHnvjyXNMMFmrcLapS7vHrIMilsN32rVFNS9XdSA1BtfyzYynVgY/ WkKN5PXukHYfTaAZWGX8i5UrrRLUuwRpW2OzvcOZ4I5Q6IX0SsW821aDzOlNf0WntbBDIo/cN/gM KtTrVm0z7tWCa8S4xj6RFUgZlI6LYL27Y3hdJnL9NYx46yAH3MPUmIpH+2c5VuiPbLKspSEStgtI oAddrD/PQUCqtoCf/D8p5DV5MFRTHAYiaqIASP/bKB08BmwysnX8q/cp7UUQhL1GxLrfRRKKYy9B XJ0yxW6/EyXReRPVY10xAxL1Ij7sQCv4l/EKtmOgM/7d1mwECIu9FK3LM6ylG1wxIeWP759RP00I bm5WdUa2pciQCZM/EsF12OVfURRwGU9U7XsgSpWoK0x17aVuQSt3qbH3h625Tdev/BGIHo6ZMUm/ DolaIuEtvLz7w3BxsElIbuBMYcqtXKNWUtN+lo2Zevvafc8BVwWUvkoSLODnaglPd5fsOaKG0Tx5 3cJXgQ6lCM2ZMT5CjU+jSC/8cplmb8nq/zvZzhn6OZ6P/h6RPML1mEjyqjMHAjniKdbH1LITcemj CvX2yOL4GljoAxK8KUHoO3dtIWjfsnLfOyu/m//NiddMVrRkxIZjeWmVPUuKMWH2NNXEOv7OBeW9 5DmRAn9qvQGNmggeA0SuvlO/MoVxEXUdgydU9ynTKiEhJhmWu3xvoxEwQGwkp6EXwbhqK7g/zQ47 QJtzDNdTcFIGq/rXH9mQn1qjzLh/QL7qpjFx4e5sqfbgPJQ5UlbiWv5uDdTJLHBjYgCcLhC9gwAK sUvaTxds4gDVHtmc6L9xaQQNTlrfIvgNX4amrZb97eNsFO4U5Fja8cdqWUyW6aghWZyjky9eaApW PmCnaytaKob2kSoP0FOeeuafuKogPW7Xeu3aGNsgSyXUNOlz1+VtcDTENZr309tAnfGitVpQjueB Z3loKVddvv61xAKHucvkbWUkae4cDuaBp5NwP7FoFysbpUe9jfWT/hoyD0AwdGo6Y3Sodk+cazCL yhWijPyPqmK0nK1nP2DThU65vsZY/D8DUsV3Y14CCocTCf0d1Cyf/bJMgI5ydU1ve8parbd4LUF0 O76KGXGZXykLguyf7ujA2c2Fk5aKrONe0OWdXVL0pZowp0E/3EHNfVWgpMh5Btqkz0D2ibLPcOY0 BOAgPxp3EIirO92Ov6UQH8bWVG1vW5sYk8lz9t+32gSngN4sSze+jrNxpOQfKjx6zfCy+nFwicv4 Y6vixN9ui6sxQgMBhEs/bYKeBRqbpREjSF4xDtqR10OSLWb6nm8Pk5uhQQhdGVzBqeZMI4iGmUa/ me/5sM8Mv9eUKV1nQWGzg8U3LbYd4u4cuzrxPAPuBog/VzotpzxhRn4BRpBY1d7FYikM2IH+pLVj hpu6pne8BH4HWznGXnWIo6XwlWf7/7FgcY5IvaEuhM+8iPyTBeFL0W9nZq9hmSpdLlGSLPuSq0Ci vo6/cQRunsouD1kCFG1mO+MfbojmH2Y/sgq9fsC/b/Zh2o6GtUgu3NrfLBLiVYSsaceeRjyTzrQ5 M9o5R1QuFpxY+xbqtvzNlEMy/yPQZY1VErolqPBrjhR6WhLa/2VqjrfKOibYB0pWin5ZKIFn5YqR jTUnTGQ2Pzt9aaoYWv/t0zBmswfKkd55et9arJRvs81PWO7dtQszeo7sE9KIJRBxBpMrsMAqNshc 7Os/CWyYuAAGmHxjKPRBtfOVLWeTTgV9Ev4336O3rx1nHLdMCcsuZVx6WAOVHn2X6UBBa+yPjuYV hvx/dmt4L4WbsSILURYopynQ53Y4VM7BXpRs4K2a+vnZFq3Fsh0ByJlvVkxuG/S4KJXV6sQZMChk iNBxpEckEzHvKLijC9Ba65chPNVFpJ+3M3zxBbOPOvv097g5X9CJRr9SJfrOFGbS3zXDm1EoZBdG gSqBsqpwYUEa7NFkXbNFPs5XAouhxxxWoum2YjaqJNgI2YcAB1hsefoiunhecFwtjgcMIC0ClEF6 L3G0fk02zQ/bViDddeNb/ztjjga5ZOE5wX0MeVdmEHMLMtHJlUa8yUjc5IylA/v0L3yJFSZ0WMqt Wk4sXTTZu6t9CABeJoxnbJvlUrryvVZNruJyiozxZd90jtHVu9TDLgln8t2o/uKGRkgAl5Pdz0ym awD6g+Uu1RLsSE/wTpMEUBYZPf/QacHExrSa18n3eNQybsl9mQRfDNy7UdXq7Lo1YHx6mXxR+b8S RJk24CErSUSDdpir7X6PjyWe5LZd8N3Zgg+BDbDAVPWO3Q0N2PjV5ubvWVTiT/TbXzj95xmnoGZF d6gnYWhQuMlEXB/iYHAiXT6i3m4pPeU5NoSeOhun8jCEv6+XjD29lI7lwSynOANTe7RlzXskcjph vMr25K/nK45UCf/CySvm7JXMmiwhFgnorVH32fFP8WAPy3U6C7ueQZyPohTAl+2rEigsd5SSnaVr r8vBGqja3RteDYbfgtX8Dd9xWv2et4QkwRYii3RSK6Gmuir7oCi9whdjrpEVJumD21InAbXHFom6 3nLUOE2Uk9aKXi9QgDswgBPxRhQS1/9WgDyQcvYp2/Q6lmpFSVbQhb4WQvB4Ku4qIHyuiG09yG1Q GljuayCa2AC9k8aAjHA6M099WdQYj4wN5sq9cEUXClkvmLK8Sn73oY+MMRTldhllH98hQiKz+oN6 li4Df9mv19JFA+PM95tzSiAQaHD+u9ASLlJJeQ5TlTzeRhzsLwYkN0q/Rg4/Em1J5wxolb1oCQwz hg5j+bqTvdmDwYGOxPvmtF+RUNlI9hplF6opd1jiTxtOUjs4iXRuM8SNMkwO50KJG0fe8n4ULM9a 68oJldr+0xBKby9f+EO1UYVznbtVyAlSdJAdTfrD/95ztRjfwe+dL14zIoJ98Yhg7NEo9teRl4c8 VfWWB/++RYuSQJ5EUa1IYqIbnx1AV7QnDFotPGOuHtK8VC0HqqYTwStnaUkRJK9t2i2WyrjsMa7V i6hVxK7W9PC8PECLOgUe59yKSCC8QGrPl/LNnlRNq6xhflRbVeCDywYczN5lGhI64eaE/7IH8X/2 AjZZZ+ILlPMLwFSTKrRBejpaHjCbKdcQQAsJMC6xc/FvSvZP3VJDT/JM8mYfzwdIYgLv9p+5bmQt bSPaCwQ2D1KJ1n4pmq/2M/1pxtvsNgu15CqtZqBp8jMOxjv3tNSfsIWMWBz4KlQUJpypDepzF1YA GJDZhGAIdzMKyRU4GPAKtTbF5B6DFgLSUGFCuUOxPWqxOa7RfnOqfgi9xDsGlqpd4kePxjYVZ/gT cP9OwnOA+zRD54IeU1zQm5SrePFxotdTL68wrBEGQoqAQ7ceSNKWRG9QmtdluTSd6KyiSMFEEQPp b4BJthT1aLaM1Ykpnw2N2wMjjeXhp+VVgnkHbTlYlda+twf699Lf/qGpe/Rr3LnicFf2W78MYNu4 LnxWv0zIPoaaEqj1NN0djB95K+DgwmWlAJwUB8hEVYtudt4xXSEm0ZgJ8aiI+GP9uonkACa9dAsR Dyw0klkaoVE+MQLSRnMU3SSjj1BTFEceOqdEr+z/tiS9w/osv1H0DxuSrNMUZx9WWILd+SIHkb5x A9mFKJiTc9Z1iNzEMURqjqID3CQyozfchcFKLAihhsZz07QGej3QWeYJ6dbgVSer7CUrkZjtqaGU i94//mcur5VBD9Qm3SCE0xWeLSZXquk3JNLDmAo8X3FnRIjJDRdLwxXXghUAI2gGSaV3bkRiTQ3i eI5i7UtkjFcxduFy2FWBtH/H0F/1BCjWcUYjJq4BS32SsIB/sUfMo+IouneLtjtpavXxRYmKADh8 sCHIGfqyXxFML8Rw95r3sfLtXLYtKTQdOsNikYnpcWFRSRFliHFhg4scl+2sefr9q8SM6VodLwtz ufzHd9u7Pite57QOEGHASpYejzE+866WYe2VHPwEkxJSSDDF34gWnHnGEXg8LZlh6pjCQIL2ucMF Sd4ZuO6l03kH24PA7F8AjwQ6wUiI4we7KZqshqIvKBua2JlAkvff0Ofb8zST7zrwqByL+4lyeMaG NhWEm0oontbVHbUwYr+WKQDVauxHdMODWAisU7LKD4AQoHvMOmxL+lNBe0/ti57Pqa5VOhFYTTYo Wp7BGuLdrmK5KBgdbJ+ukQtB0G1k3+npiq8qzBDp2JCHadblH4MntbTcNR1M8BTXMSv+xRCLWXYw VbZK/xXaqYb82kJaiN+gvHhDXkar8EOEy3bTLkQqCaoBWy8mHFLBoBMCm2UCqJs3cKIXRuDLKrog 3yFCTrpePlSX6AB0hsYWp2aLS6G2bbPSH5X9VKJHtdnSqOxHNjc4hYXQK2CHNl2DB8igYFX1AXHO 8YOwKVtufnddOEKSUNIQBmpX8oNEEjXn+V7tAhLsdmakTsGM2IU859Rey4AvqwXP5rGjQD0Ki2Eg kb3k1irEfnpUtqaVN1Jys/4RyiyYzA1wvFQ+om5I34LLA+SQLSEhmky2Dj8vHKuEuvpTdejvDH7c qg6e5BsYVLonBkT5+wt2wkS+SNuqkq1qwVZm3EqSCxXNSatpAQAKnehtWKF+MTnsmvOZjXPy9/TX o+5HIbdC54DtW+wz/lzeURcyEj9UtxpUIkvybfmEKGwDvN+9xyQItQbrc+fExx3kH8n9IEK0SIj1 eAWQV6ZK1hSkmZYR66t3MrH702/ao+cYrRRa/g89vEl/DfbvqJG0/HBezE0kwCjmo1sTJGjpfLT6 z6TUyd/cFYbDc0nxlyAvZ3XpeRUprSJpIccX2cmiPFscXy/DH/nr8+ADxBM+b9n3RkbGx0LRJIKb jRrZhzELl/XoRDco0z+tX+97F7eyEIC6E5gst4Zio/eww9oIChNZr8d1M6HVHSjdt33B0JfwKO1G rMkHHz3asV9U6nX6ALx9laIbUog7ZlnJFPBn+sRujaNXyamyLeeHMV+VuHVLPsmaxRUOMMysRE3l z9wU5ppz+9T/WpsQCEpmGWtNzgGE9mXEf8Jv1OE0DsXa+i6gPf89KS4XibEAOK52QAogA+I5ZMJG b2VzlQwb+YnkqJ/Og24a5ALyLT+oZTDsN1w8jn00sqzs++4ZJXWOHNUju/vNZ9A6tP8ODUmtGaO5 7yuMVJocgEPwlz+1U2lIAmWmiAtbEU2Aq05XNRdER2D7ZtYjsT9hCKcxQq7mebMQ0IzKCNlBRZ1S RSmNeKoPvQe0i7OaeGwqtHzCYWoySZzRS8lC771edYfRNDO+FEiv5+9IHkjiw/BkfEcj8H/QumyG qCvFKqLx4FIUapljiBxQ7apY0NVEjyPEtgA/OGUzMdvlPZHFp9c7Ni3kcYCPkVs2TvZy6NvQU/TB 87aOcqEHifh7rvNCJdU1S9z/tCHmd2wF1xkMb5tVtjYUXMD3vUxroqOFIk7L1UcNvH8FwG91/zym gYAQjhVcgstZf7Sd+BVdNjobW3gYHMHV4A9S4ipK8SbUZelOXMnQbe8PZPKt6EDct9fd41VXHKxL EVIYr7v1X2s2jpYIg9z5pIOXKynE8ROpzom9fk+bX0SgEMh5gIA/VFkorBcyI/ON8ZRseWfLOCxE FWkEpI+wWo4+XcIfwkJdKqFglm14ljNstPDhGkXt3TfS0N5vT/OIwitpoDg00YuQfHyDeaNP0ipl vttwr+pZD27bpL5F+PzHkOTcz2L94eKdWj0idHIcd0hIBhcGkuNZ8EreH3hsFH1TqI1aOBPgLruP GmExBR2maj8lChmnS/cEZIOcKpUnzcq4XMzZ94mtVEqU/tIoYzahZw4Zi3/b69volxVX5uZyLOYL G72UZARYCvhEUHQG+ZiU2FrTTbYnu21K0kJoODtAFB2wFRKOUaIYsIPrpRLvn8Y7LHYp7V5Npntr T4dx+eKDKyGr3WldSR3T/ko5312Lk4HjShMa7H8/4ex5B2y1DrSiSzMbGjMZTG0yWU2Pcovwg38Z AFGuVnIx6cucEtrFzaNU7bpotjkJ1LpSSAe3JQw7nltzKFf62gpQRVRyuQxwJucr4/0o6Nk1le4H EuV7MKQ7gnNHcOJKYw8tqCuIk7L4DE90TByrsL4YNuoWCqTOFL+m8kxpGKwosHqpVv4N/DGqXLVY RqD2foXne+JncQ/1VQX1HMR2T5385HcfCGKwIzNzKY3xMriwjXt8r9STHGl+R9hhKmXaH81inv7t IHh8glIjzURgllO6fI1GU0sSweupXZgKXIfrsl+2uYQJOP4aZ+3yXfqk3eKOD+gbiQvwdD65UJmS df48JRZaksmVp/kXgsDTBwa1nbrzFKlIyMH3/lmfexY/g39XDYeQc1qaYJbGWmvDtLGIOsnY2JId EgOt0Pb/yIRp5RrS/d6XE6lVMn4tHl34hrR1MmvRyD8afvWzFVr5ulJJJZgobmyf7Q/hcI/Ql/9F jy6y1n6T2wEvkAQAUHmKlwYGPU4AqZvNMLOBL7kkAz5Ce7Rq1CXvmtsrNCgBZ2aUmDnk3+UqzXWp JQwW/tSjkg6mxbGTByCrv0Zv3YsXDXuC3eNzQanqxWglqbqRiYz22+mtp/kcezwSZqv7ZHZPOwzQ X5JvlF8SEYnoCqFEquD2/tVyth9wRBpRBm+qdUPaRdswgezaJv2nxTQiyBRvR1KXPq4FtemAEYRR Un8yyP/Sr41GZGL58CYpqEPg5f8Gu2RMXlGvUuplZaCBeDbWfWwwGXGYUJ8/kXV6+PoLKlJdvlJb tt0nm0Fupz8JBcOLTDBuxhMbuCnUPX8HR1IrL/IBa/iZW0JX2jj6O9oiRpoS0tN/pCbP/z2BQcD5 Xl2zeRof1KXeUX8hNR4TZqwau/RlrR/KT9exAbDdhlZAQjcbMk4je3QbT5jQ94TcGQk6iNxW9jfQ khvQb6UrFx7TGcFopxaYXWrpYSvPYmdOl+MWOVg6cdWRmauzicPSWrNDht5SitoWLF2PTuPtwAIJ 8O60DiZT33JBwzKqmNod+VQyPu3eXiQB69G2kHEdhuR/iwxrfxocwWmyrR9zeotJRpArtTnF+3FY GfT0tOOD8Q84mzKviX4MtN+pcPYoGbnv+1gkHCHjo+m7pVNYsQvJ15vcq0+B1i/Vf42XSZu0i7dG 6Z91KJ+YrYfhBJ6csEsRakkCZkcHFUUlVgwjpfi8s301VwrmMTzPyYD+CNBN1SO4jPKTQ0+bwPye zrRRqynKxaFvxixbWucIUbUccqA+afbvP5/tEqvV3tNLWz97kHUu7wIo9w66SWAI9WVOdWkQdkox C6MzqmDJ/N3TEUOGnDCAVbvsuE3K8+UDHBaPpRdZi5R2LxLy1+1pkxBQoGXn/LCfUxG9cQ9q2ahU fhhAMYJejqsiXYORfZ2ywaht1RCBMyYOGvVOZL0Vjtsu6fp5Fv5CTWJAGLe1l2VOFX+P2HB4JPi0 YUNEsi29NFHom0s0T3Burd0hfty15qA3Yo2ve4+zipnMKMlBsv3n2Qp+GkWsw7rA9M3LV88kESD6 laPuQ8+pJ7vhCKlT62UKCTRZU3u5jyPgu8uP35w3JwuIwHzb4n2FyDuPTToCDn28vsVD2hSh90YG WgYrGnYHxK0qa6v7eaY8eOabEwDLzwLSC22wZcPI2aCYm1CD9PFJ986PbBwzMC+iQU0d96QX6MZ4 Cfa+A1IActyEgbzocijzLpB/pR9Gc65qFlpn2AyDmYRkOFBy9pfm+J1n0itIKGFYdR2SqNpqtxp1 ZdNXcu4JqgoUEW7dtClwTYh0rgAamRDy0irN4rzXWliT8zB9SMIkxodC+Xr1JgRMFBmP/fxGBlZf pNjsBLIBZcl192U9jMsUWU54ApxGPYoql4Z0wYZpsXxmJVh7yBuDMYxjvoDiAivkkLt1hoNPWg1D KxGnDB6uqO+Q5RPk6pQpmubObrJ0a9DiU7vXfBVu0HlD8IoyRvJvVkvsxJqnSI831j62q/ld5q3r f5qtbJv+92cS3uryV78Qg9x8+KC16jqOF0nx36yZokqLSY/1uX+oF4oyr7BBGZClVH9wzBNvynA4 pkvUZRQfJMpUI44s7+ZSqOzZJSeDxY8BSLJnENH647+IciP5fc/h9SB/aYZc0B8073HogWWjM7qo lyyR4S5QymqecH2mTbPjiJuXDbmnMgugXMZXDcUeaSuNqnhqSIp+7njmX6siYqvryAd7kr1NUhcY GG/2lbc0+NUGHtIAv0yC1Xpp6cPqpY52jrP6kLS1bjkWTPYYiJNDrXgc2VradSv8Uh/DZTLfwKCb tn3j/c0uJ/CPDQ7AhKsLI8BkQLsVaep/13B+BZqnX6qGpt52Y/ggjALEP0OdI7gxdCyIk8XJrwVF 7Yo1osPJfmo/ZrzaMafDZeu/n5qaMQLc0H8aHkXc1yrJCSemQAjXIJzSWwZNySp5emnMZMcTYaj/ F5lFyiW6XQT3ENmQZs+2qWmkIQC85xee/JnX2HpFahIjOCKA7efwvfp9y+PGEBDfHliEXxauidMt 0vLk6VuF4wGOkyuVfeqSOAPbrovir7vD+6fc3fho/0NMQHdzIpsAZhfnpnT8HXp/Fz9spohw2mZj fMicH50+pNJKhDU+AhqFp1hlXvQDlv40PMZGCEtPFiiw5YWcehbNylA834cNh84VS7QQvizOmf8p DomJPfHSQGcMVCq+plHtKh3C2IDO+vk1F/ewDjoEdDPqe7oHgtBM0DllC3OpRRHC5GKPkOFoo/Kf gB6nd3uQHzUf62gadwMM3fAZBWMvtB0CidJZa0wtRcR7Ww0csvbq8I26frNFGP+dEsbczSUgxzwa EgSUjGI/vwJhUcclKAfbItYZa6lHPZQCw25XEQWlVywL5yIIn0L53KI/vXjGK+J5OUnydDowCb3r Ja/nTylP697AbfyeSnHjQGZUrsk0cUXn/bcjjO6ltxN64Etow5KAUPjFbE8p+c5sI2PGoNpMz6wI egP4dz7EW6xFhJs6b3LpYtLj2MTuGLy0T6h+UsmpNkNIbbF5ii+DZFteyC+Jvg4V7FtAKxw7bxBP A/JtwceNIUNp3ECfE/POqXjXtq/EAi2NIJjQBr8mD3SPstKJVaEr5CkjeGiszXgevLhz4GAv0MaO e+XYI5G+VArbaVJbPTokzBvEhO+hVXFzVPxypHCjnMmPOSVb36AwucVtcuokz+Jy9jltadxR6Z7a FBb5YRBZC7qnGAjnASlve75MFSXa2n0H92JyBVPifqwbWx7vFo5UNGB/SjSeGQBt/+Rc3wOUJcDW kVv6Xa/6C4tWl/7BQMQhavr2PszsJY35yT8x/rF1+A3TIIiIevtpt0ZeqQBKwppTj6rQ4wfFxyTf WVf+ORH/miHlkZPTHnRH5WRIRhroijIKG+ZChdZVH1m8HaCTEJjqvncKvIB2Qr4tFwgc2/iyu89y 3U79C9fWpBMpP1lh6nSGHqTQR1PfBSCgjJhmPRpx1n0j4LYR+Nyail6zNiP+1EPuINOjM6r2ECiG Y7nuuBjMPd9s9im8AaGOaETUZCQehWm2pEqWP161NHG5//2+HUQBpzJpK9gRC2SjW347ouZCTH9F U3Aj4JWPrwus0PJfWr/b7ju/XpngAIrxT/q+ad1+CpzGoTabcjctd03L4cMtJ1Zo7qWn7AKJA/te nqRDHovF7WueOG00IgmlIokIqQfJi79tOnFAEBKFjs/x5FxjTFpw2TAYjB739IOcXCryGheFzTKH 5Qo4DBKXNRULXPzx55ACDArbYykmUS0cLPqYeBnE0syGbJXghrZ6tz9Q59LGRLi1lZO99F1+1W3d eyTAiR92IyY/NmHqDDOWHbfilUYE2QR+iJ13CW58ZE6v8ph4tJHAAmIVw0fREC/EyluD0IX7LFju 7XC7zoUmekHhH1waZ0aAPEAQ3EEwDdmDiyDYe3Lslua+sIudQSfcuFpfnCIhuswt4XezjcZbIwsk KSyilkZ5H2+KNVmdaAmIHlkNhfEGEMrLJQPiFwYU/VNfUrNb9AXUKdKTCyuShluwq5SPYo2HI5Z8 q3MUISZqExOAhXrSwa5kxkf7wD6Ce3ch4it/IhGm8ZybeBArLjOTJO3c20I6epkZxI9jNhGTg4La VIbPzNcoUCyHoTe4BI+pw3IQ+O3nSHzzAJjZxnm5UEU3ZjDXawZ3SGDpyTJNwXKvJQXirFTq8rk0 Ui9pEgpH+6B4HdK4Imphyv56Va6it8Br6ABX+pThbiSZdHFw8VPmc5BB4JfClsqMoLvn5uqi+CNe nYB26h98PsO+Ip0S1mXOUNBqtkdGIQDVKVTYlL+NPDbEVW5ANyqxtKPPEgnL2OBx4wiP8xSXHZ/F e/jCJye9cxAIwia9uRh3JPVVJrE4Pan/zPGKB/Kh0nOchFgYLWaNOjTgU291CK5RgAPx+awEGNlQ IT7uxSDhA6o+220XEv1LcUDUvUxzhhs6l2Qv0RrmKn4ArrNS4VadqPC1EcglHHqhqEEPlmyzPbfk bXVPa5a1FQuOje3hShbz340h8YTTVg17/e/JP+l3gvcBDdJ/S9Si9GwKI91v139Ab0bGIpGHGDf3 3GYUUIpw5uX3PtquANInVMCjM+ZVFUgJ+NM6aoxuRr7szydJTINITNg2pxgv3pwr9nOwqLyobw47 DD/kywatmyB3soGHNpMFREIACQ6WDeXhCDXZ5R6SOAUo5GpWO95K2eTnVlwIGzs05Q6OmFamWCPA +vD1W2vuGWx9+5ui+4G3lgyXErKyXJQyErKRDFIhxbhJXYQKeFwsd6zFSgvB+T17PEJUM4qjXRMk ek8UM7z1Kd1+ScnMYYg1ftl0xQ5qiaiaiYvl25mZdPWbhJem5ZQlUhZ9Z3dP2umkYJYbdm0lpigh EJT54a/xT0RBBbPA3FFkC4wyW7kCkT8c62ZEQ0FPC2/u6aY4IoiP/lLakIPAgOMORQvL1ebz7KF6 oebY4Ten7bjQ8dLGOY9no5NA9ra3kX7O/iJOpUGIiddbjDFSNp/vbVhn3DvtyrHZFulcr/MedLAb 6XSbV4Dfecw8A6crSqQOFNyS3Li8SSvxRA7LKJM2eONLVOoBCEnqvMlOGavNk9SenCkKcmY+lzD1 uCzK/suPFbsX91jFUFu7vtC1OYFfQraqTCqjqRrkr+9PBBRM1qC14+zRS+hTlXopcZylH5vRmwX0 5YtaHCQW4KoVVcKg021FuvaWOQvzZ06VHNNn99jlUpE1rQmD/YZUmmRMRJEiYQZQC57kcncuDTNs BdhnpDJi7myki4JZ55kF/o0kJt9U65bxHUAmCIGLtBNPj2S2jjNQ3aP9DkTI/DGB0/+68g6vTN4S JgSTc+hW5jgpTZ7AfvGNxfcHvP6PBjhW3E9kZj7629s86No0Q4N77mu/fLn3a/pBsMhSBLsFSQjh cI73wImVUnyo9JTn6mbGf3ogNRX3lQDc6VTKg2UekTGXNF73t8ycI/nw93qQzMU0BM2ffgzCE2hE 35lvOKxNgWdn4z19w8SKnCQIFPfYzYnexlkH4QTdHET0WNm29apf3VFuVq2HbO4wqPUx4mK2bbqE VIAQ0vYaA6MctnrrtSpHNdCVJS6vl4+b9EHq4+wO0ZdgmVUujcRYNSSukyQfSMiXyjdwCZZKNdPL BWA796SQ9o92PTZ3jFHGu5sMWIrG9+Yh8MqjmnKgDicj04LlJFzgUqfX0MI9HKP/j3ETreJsl8qu EIQc9FKSKeY5LiPnGqlVtSCbUlEzXeSOPPmmavEiOXMhZ2wlkmPtYZ4mAJkmVbDKWm+cXjKuMRPi mqldd2j7FVMIlt+iPrrr5CKRkv02dHG0yzjEgOlI8BsTlizWSB8lsbHNXudDqc5i82Wkhc9StsIV ln4hDLVqq+PLU6an3JHUqAVVXgMlldvn548t5EyNoAZ6HB1JruIWeRFR2F2ObjsakieH0oQsZ/g4 maDIC9B4w8c0P4LZyCgFW4uG/AeKNLaulB6VShONSz4VJY1ivX+DPcJo15/nXOJ6ZTs++gbxq7TZ f4/uvwiQMFpNgotLeu1+FlD2yXg5XfalYHjTcREVAFbGhPsM2k3qD1X0Js/Abm2f3x2XVJ8Jgr77 0DADjU5RBIaE9yW9iq7ZC7zg1FJWtxtGUSJCO+zlEgtTAFPkFjzRyk+xNqGi7oTHiXRx9RgE89fu vAKvVMcVuTmedLrYOnbYEvgZfI1pgnogA7G3y4vu8dX5ahKlLeQRjWqi6QqextIUO7SJ0FxQWQg9 MRy9RbI3iv/gcMOahC2MQZidYFLAFMbkIuQ4DvD9oemPpw/UqDNMRdlb5eg+UP/PySc7dIQFYRU8 ClX1bnwT6Cvy5rLfvgjH5YLysOoaBCbJYIRc9Ry2VCcIB/kzHOlLHqU+cYlwGQq1seNOLI4pO5U9 Rotny99z6dYg4ct6tt3IXEBn2cu73AkBiF5TB3In/w2Bv4ctmwqlw+KkK76o6xdlMMaauS49cMf/ GmfNQfpOwBI1rBioPtp22V1iEPJVklsmWISriDUk3DSJp6VDJM4+cnu3FGS/g5FddbucVcJSKipC b8DH0FUMqM/5wF1bIhMs+zDKTdSRZ3fK9ZIzO1oKWSZZqUBZjGHQGqq96rF4QIK+VlVouRemSEfm yUdP0QtKqBy4ZbiISc1GzrcWMpLUM8glMN5vcqkqHr4s5JVKFaS4gi1T+bEylxs/nxuAANpYUAy5 rB2etuB503Q2BeLrtFfxD8S7KJFar506S3JZNVIKDVWAuMa4+zS8d4qFde3rgbeFQvWv8w7yun1/ /KnIPlxo9Nzh5sHB16hm9L1Pww3rBFbBZFxr7gM51bRwrBdxeJUJqe9hp5grQvpC6FtMvp97xRvj M5PZgXFa5uu2mG+K2cGKRyJO4PMCsMJ1LbwggZxhtoVNAIF5FskyCsMHpzpc2gsp1x9tA7JfPlB8 m92zX7fNk6dCuu6p72G3qpOTd0cctBPGkhxj6r2XR9UPTgdTJowG4ppYMSwZ5oUihnGqvyhqc2iu Lk8TEf11uhc63baAHPWm7+vREBw47mlTJA1a/u6hmDa729x47JxorT89XuhObml2GXwwSv4slObh 0N1wPP0ap7b5RQldSDQ8nxat3DSb75kAmZHBDpXZ/rr75woFpphCwAJ6tR6Mrm3BQF4s6Jfn0FSg UGoyLVhLVqkAOay9XvE67ZUcXeSLe7CgEBGGpRS7XIHzeQuN64HACE/M/mOf+YC4DHmp7c6fxzUC Mcdu3o2DD6ahqzG46Vwgk2Bd4QCsWqRQrDaU50QQGrw68FWLuL+9BXzug3kjkqWR+SsOGHOBY/az +l5ZZkE7Q4nRkctmZs0klLP45Bq9vhbQTcLgtahbVGMhLob9S1eKdA48Gx466yXhNvXh0mWbgB80 6rXSWJFZl5XV5Z+hBQfCTEcqE9jOEPRiXspLZFbK7HjxnYvFSj0WOEVbzpU1eLrjfAzT+ZVQA7qx rxiRLh+pEEIBqGs0d/jaQhG8S5rjFzDLFBBjqNkHj+/vcosLaM6V4aADFGLs2SYXkOS6QM5WiZRY 5/MTTprwjiclhlNS3H1Jg8DZabAVuXtUC0nzdDMjGQQx6f/vCqzxmqliLthC9YnxLLAqzky+ZZI9 ck1WocRdBMCwoe/daEZxMMHp49BDUMJoHNRbD31HAvifUk04xGTTs9JZOK7zdiUN1VgShtS/31fh UaQdF8nTJEtkWFfjGwVck4/pY3aIpEgRrjrlI4BQVfHYivYceO0qpRR76R1d0W/fd74I0IfYnTW5 ysT1YQuQwJwL7Bneyf9n2rTefssn5j7PRmfjEhblg3bjgFceCylpX8wigyH/XjP4B87mekM/ulW5 vWBJF35qSRaPeiXIFLW1GZIOFUfDXXdqi1b0FeRDLdyKPy67jJy+/GpY4e7W0Ngk/coRPni5AHoH AAR97qo1TkTMLUiMKvEKo5mSI4WAtfxrx/jUZ2gEYeLN4afww3jZMnbRpFnAgtzwm8TZjaXIxFUe 0jiRLeveBF5+XbHQEsXxGMdjEGBOtvLkzvTKxrrt+X7HIYDLuqFIcTeFBcYgAX/dOEFDkWwQEqwD KCfagSsmtn/ai9wth33DDbm3hOiGznJ5kHlsT7RfMRbj `protect end_protected
gpl-2.0
39d8c0324aea8428f41453c10addeeb5
0.943897
1.838045
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/align_add_dsp48e1_sgl.vhd
2
30,644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUEQaFFrKbW0yLft2uZ45DIfGr94Szh93caLRvNA8E1f1qoJ1bJQIGMwpwPzNJiNAu7ddW0aM37G 9XjJB0JmpA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jdg51KTKDJeHo+/1UUS367o8WFQ+69Iqdtb7eo+5EcoWMc7RbN7FaK4oRy2Ty4Iq2muG8eaxoS1O CxfVhdQju1i/Eu87FPtIYLTNY3NtvzjsDb6ZQCSaSkuoPkShG4+CtlOXucd96ciyOjc6xTUZQ1V5 ys15vP6F6D8V9DZVUxA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mTr+1Ttgn5nwhCJD3SJyY++enMBx7ZdIojOikZ7C7LUPdU2ClWtkVZlfDnLczchzXOeQ9cRLu7sh /uGKa2pMauoQrdyyr3b+/MHeyFf8PNUonmMLNoFGMHy6DPgqvH9VFjkacLNsuNlFuy00qiFAgAEE yNYYb44XMFUshEqEp7286ah3opdccFH4hXOFIS41+ENt1gD/rRI/dDT5ONcVXNxLpGvnlKjf9kCk 2lm4wq0f+XugfN0u4pzjLBGw5uwToU/qWRG4926TTjvQW2nuieryDFy4Rj5Rg+xi1lwEQ1ruKA2o k1fSm/vIcAIIYJfMjav4iq879/boXVpj+8hl7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BXaFIlG/9CD1PawSX/qRLAhkZQ67b/VDnPQYUk7274Iyw4ecrR1Fu7yJN5incgJr7uxzV3xQX7bo 3Cve1cX0roVVYTHZGBx/sfU6dAi4oIwPdIVVVyUSNYgeF7vYxp3qFPHbYRTWVUXXWbYx1CYRKg4s Fopeqnr+4SuQdtbitAA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EAm+V0t/7F+663uff7mvscW+VQq5orQwR8iM3QmY0zvsK06WalEIZUMcUol4O/4Etrs+XtV3zsC5 yKe5+CiaJQ/veAwmVQ7ewBcikGP0x5zAv2mI2tsOsSwZRpJMV1+7I6Qn7MEVMadqH04vhQJRjHxs j0iciweSUuhZGmQ3Me8q8+p7Fh1MjZuhU/Q/z95BjRbYePmY4zl2prnMZsuUIrh5Wmf/egAjyTjh ZOI8Db+zRtE7zeMpgF4RFoargI1KwSodXpovowWfCVhJFqCeYHs9mgffhhdOdnaCVGJXw5+Acc2+ zujS7S11quP0AVBFq7Q+8Ni6iaK/5woy31O5jQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20944) `protect data_block khKRye3MGkgZXOjXoaB5cSluQPmEJBHKE7ske8I+5xz9e7p6VbfqKKswmDoMWi9LD0/+pGD6j8JK APnfg4Aim64xEFQ/cjYANN0QHkGZnpAKQtpQGvWLokrwracrCGz82A3kn3N/Z6qISRYJtCv8IGlg 2t6AgO/KuFLoW+JzQO0rSLN0aK4IpIXS1WzQGXKRV0CmsIhZ+lvjwiV+mIqufZ4NHo3n35po9c7Q L127Bb2BJPL2KsH7vbQUBIBd1sNBieBoQq9OgFquRvzndI44PMe4lZFLueSRZIf2R/zJgf7lpYTh zWO76hmekTI6IrzRevcXnge/YPKwQc8q2D/ykIK2IapjCF61s9+C9JU21uirqM8zK/XP9fuZGBTo nZCggPW1Mizg6Itm2Ib2fzRcPoADX+mvoL722YPl+zFX8mxSgf47DYDXtUc2PhpOAnKaK+8JEPUL u2GQejpY87oyYGTE0TnM2RHpMF2F/0fmxjTujKN3w0J5r6tmzI6y31DPg5Dg4FWNwchNlYKoenqX PXiFBJaY2iYMDhtnF91JywAERBKamIqvWbbqnofxqxOPtc1b4hiz7k8z+aZtfKqWMw01ixDiyqnk e6dXfjg9OhxHOGkgYEGJfYS0q4jKIrTMEjDG0v39nfQemTTts1l7fXXF+4svwpUs33oTTo7c3+3P aHpWVcrw98bZ1meX6fpwE9ZkURGZU0koslhrm4eGOHd5clO3yzsUOT7doVs/etQoYleOXZkuD4Kv QvLeHmxHdOJAkVk6Xt6PDI6ukRcpTPj57LYTblw8vF2624+Q+xFIoCjwPisZWLXQvUyxuIa+uq5y /04NR5TXU2KgBwebxBDCbdDXIKL0ISDT+e1ArzBaTM6/G2ooE7k+fabXmRXsiR/3jCnQSN0Uj4Df FTXOe3z6UBWi8tld6vKVqJ3zY4fwNOWxcJ2a7oYFt629hz0BRk9KHuYcL1VwYAqJmAf6sjjnxOwR +RdFx8604X1+ugeclchJWgMV7ocQ1WnIxFGUjMV8LG7KCeGpMNsQZIcapJ+w+InXhysAm7Pq8PxF UQ/A+TRGKVci02o7ynaVRhopjEgBEbvBVJ/XG+0qKP0LhwXOWK/Le6mjG4UmnLJbB0FKvUEWoL7O 7T+X46WNHWv2U1KCNUfAyjlFTQ3mrAX/0QMDZXWJ67ZfzNGKH6vM4KTa2ebKl61HrbDnpds3uE+p FyGWRf0c6aSRIGZmi209meF+ui7U6h2aWWGPvTRsjXH+NpwKn41X1aXTx86xjZX93XQEl4jHmcPg Ckm1QP/eu89ljcJfhFQm+E8o0hIwnw+R+2Z9zGplcjn1AUfmgNA2CkG6W/8Js8vM/ILgopxKBqse MFueiJFi8z0LqgbBOTsXz1le8/pN0BbamNqBm5At8oOWa6vPREa/rtn+JGPvY318pBtP2gDaXxsA 79iLm0/eSL9OcZv468znrY9iZWrOIxaEYbyi8mdGA2Bo/7ZVkFHBumhpkjTJTzM3QwkqL8eDfOoD s2EFpzEAHcTBOyKIhdwfXeATV6gE0SSq5wKTa440e8ckID0YIwBXsMKjcQ/rXBZo9jaNXPiCgJLY TVa82eU2S/zJ+nLqlgJ2g0VVP1x0V7WsAY4RHGS41d/Z0gIGL1fpf+cpNzifQ+vStDs86Shz9Ui9 17H84+O1+b+ntJmKQdvQxmqk71MTjYOc1k89SWXapxrKq01DirC6LOcRuQTku/xxDVsXEfB3+5AN XR30AImDL1suTldmIrzm/QT4o0AUA5SDsyalYOuHtao8cmRkZseItoYzL4O5vslbUWDn1HdCZCG2 TKQ/7z2KqbMLrpHz60md0nuDC5jgzmRoQ1oB57rke4oxghcRnn0HKx7GQTuppCGNeDovgrK1WpDo ymseWmafRlMb6DaqqsZH43U6L4cW9CzQh+yTZU1MRUbMw1YFIAMCXpk3A17bA57KczqgCOTQWsb/ Yi4EascFL7DuvxLm0Z6xAMWWJ6Jf2ajIoQNCnNK74KdgMmCe7pLymVTj0/vQ0kUDfDVotJLJqz07 NPb6L7w2+4cl//SOsnG4RwU6onXdgbRKPj1WJOIlqCdqSvDNK30ABwiXgCCVp33uyN/Rdf8HtI8k TV0Vx46qnkYnEOEjqTt1D2Os5al3sk/ag66qw0BqyuP4G3y0m4wza9TTyMKrtcrYBzERIr/x6Zc3 9gHHi1SV+/JUWZOeJbECeEc6aHqIgIwC7G/5daJ8aE62yPm9D10wtaw7kUtZh409QKfSYVnrSZ0R i2WrSlE1sj1frQX8ZIwj4Q+DVuUpUlFn3805XwDLA87RkfWNqy2fdHUY03uLF/pgCS22dM819dSw n9BPF2hLmoBjIX/9zWTCU5Gl2eEiLqRyBAK4n9IjzsnfODzImi0I+JYqG63h1bjeBSwCEyJhXPpF VnqMxmw4VDhV2lNUkCpzTQUl4/3DiOnHh3B1wKDGBy+9HHoZSB+GuS35eAdPQU+sGSekjB4zrwC4 70h6SG6jdWr6bwaX3Y7KooyCEFMTrTiIFEGJOXKcyUYH1Oa9Zgsnwdi7mkRZzdKk2A/KEMX70x1F RBxYd6nQKT6VYm7EoJ5DzcjO5c9Yh38DFHAv5Ib0jTOXII+7Nuwp6g8f52c/6lbmvrzEHaGQG0OD YIeBEikklQBaNB9tUB6J/jw5jQ9RVrCwo4y07W17iWvJkGvoBP+rCpylO7MBp20gWo37m62LsbBJ NDsFQ7ozhICjU8f0sli1Dj/g3HHrFMO80cJC9k6O2pjAo+h5OCdDFm1u+/jxZaYtQ6Ugy0oN1f7s /z9Hd7gfB7lJ6EqDsXeL0WgsYolImi3ROypT2AhrzrT0s7fyX9XtdUTl4BzpU+2O8Hs8qZ1f7vYr Ipu/fIA4ZFIvn78n+1lQzi/r0E0yhH3somP12XuDSiZOEzm4wFtbFzb37mQeRMoGTAzU2gNORWtm D5svu1CE3ebSaJ0B4lJbivGSu9vco1CeSejWA3I/2peG/9XBJN4GY3hCYikQlbH5judyyvA2qE/Q hkjeRZxdLwg9cfhjIRAQTpvri8tGhWKJsWL2KLaIDJvlcU6Zw4yRG5k4/jcTWQIIdQ03dBlbPr+Q G1mxHoi3YKbOvsrlvW7LI2Y1Q5f5CxeLWrnHdZradsYgW2djldZIHXoVSTfIRsAgkCDCq67/mTfK mrklq9qVN2+44UJh1J5yQ/7qKN14Naqft3mlbJYiB6FPAOUJBaO79DvvqZrISw+y4bkZN+e2naMK VxBKwzUoEKhWdlHzroDMCmOPvIoGYm7dCpDwE7VC/ekUcm/mZ7dlAhhcPyfyxB6UIOfg0FQqR80Z oj+YXNDs5ouu6dwIBVavfuYLx3qcY3efboXi7c+BHPi6IKULVW1Mw+bia4pZAUdMIdzSTp5nuG9R /pVHtZuvOI0jhkxKqBoT43AcGpoUZBvVwjVsdS5oEoyqgTD9tjyUm+57/10cPH8eP7m2HlKeP9Xj TERVFdylFAxHw/VYqT5rJto4e8wDtBaBK1vHmqMO6BGpYBOWkB14SAN2CA+HbyUnkTHlgI5N/uJ/ y+GYacw0DClVb6QeLiUw49LMPiFAmQ0YKdH4mc4lDN6rguarXW6CwjLcZqZbHz03knr+yQIJrGxy IAIvW7rTImdmYNZPZRQtUQryhIoSMz24sAZMqW/cwTQd44ai0XCtWA/7KCn+3ih8oVjNuLrxM3qX ut8cGWhzmRtYpSguGooG3G3zMf8uVQectf9EZf1r8QwDuaeBHNy8R9lJvpiZyOfSf8DneiSMUWzK U9v6H5BJv/E1JDMiYr9/V/8aXnA7BTpSSrvlyOXMCQW/cOOcvA33i/A75eQRZLDgYpchZ8YAeJLa 3iCJ10X308RKDl/JuOJUY7BE/beRH9J3tWFS5ohALqiW+SRuiovIeEVPwbCBkRKXLt8CQX9wyDzE iX2I3tXCleuY9kffN8XGCOjPlGTRuZCuBeSLZKCUbDt2Vy4mfxbDmgiZlQ3uPCv98bsKTmIpqVzj 35fJAt8fP5s75cTZVW/ppJusZJnF/ZQdx7SI41VTO6sgvGRqU/NZpd7QaRZR5SpmmxPnVTDwIC/C A5n1p1U9/pTe3BPmzy63YjPhgkYVsaM1GQstVc5jEI36QOVny+y/UZFqzHEi9tQK/47rOflBPuyR eUU2iG50vGNN42rUqZk6j5s5rEqOEq+zZX8Xk35v0tC9USlIl+zDlkzbbyZpmQJmsc4a029oja9A s0g9FgRjyf9BA2ZFEVXFDspNji8S/kchsj2LsB1S1o6jPqadLYIEhrrGPig4cV1zrhhtd/LLENGG CRNPgYhlh+OEos0qjbrqJMaRTAVgH0J73bBXYSav5qU1bjKOjPbllOlrle8W553LnsqDG8xHaEWR ba2d6rysofc3YF0kFJE+qmCbl1hjLSjRN1skubnfZuCo3x2DVe33Hb9ZLCgS08L1dHLU+92J/oO8 i7hAmJoYkZBu+XWOLU1ZPjlIT6R3EGuVjJKy725gQCABwwc2Ku+lVBifiTONZsC3W5qq2Md1awTw X0hsmxcLIeFZVLwO+MEjuZivTJz1XI9JJaqmt2K6fG9P4g3L7NkKcr6aA6iLMjtyivXRfvPFn+pt MmChQWpNUQ7ppITsZHwcg55hi2twcJb2C+IwyKH9XJv8OYKSHiVQ0itpvfmaH0luvEufxmPmT9KV Z/9RwH99IKnD38CXc2eeygHTZ/C8GyTI1uKfu9Ow7jwkjV0lzUdU+a28A5GH5inVZ0k+SS259W88 AiLK26jzqyT9F1Ft5WpP+xpl42c9v5ghRwBOQYs6sgvjisJF5MIoX3iv2U3u+xayTTD/FsJoyas8 iDX5YKlMKO22XD+2H3Uju7HeUD3flEJhCtkEe3sbh+9g0d5qSy/OMa4LVfmKlKxmLbam5me5cVcv hcKo2TgBSEuNGrt8g99vnM/VTLyZZ+Sr25ElN3rBINi+4IAd1l0Z0izMFP0U7Fw4A06432UGFV0W PVSnbWGh9MbNCvDNAQj8nH0CFIyYJKBjnMPJeZe386y88k/mx7JRbPPbDp83Jk5cSoQoqwSAj4Qo Q8jIy1Df9y40ndBRFeP2qsw8M2KzMHU5JUfZwUoLzleq0i1ptZ34ndme8RQU2g0ggR+DsVZ2Dj46 4HR0IaplSphk6qNpNA2yBcAAzK/zgo+Eu5/BTizUSJ/BShe0UTDAN+8tEwhFmoZ2dENVuwUkbXTv 9KHGm9P/rB8Fglqeva3n2yKLE5/D/Dyu1U3SGX9Y3372vnthsOrvTtk6BXnWYvmw1+ud4BEVx2d+ cgNWrV6hUs6TsCGKRHmw59dWrVTv6qGtrZGdV3gfz7SfzDV2vudUTTlADsTGOYKBGs8fm+JmkDiw DO9AqKnTcORYdEY1anxO+8NB2EyYmrJHh3DwamUmYZmA8fg1f+AMXZALhAjpD97mE8qzFBypNl9i 0VFKXcKFNfF+Ss9iSQHdWrNcTaevE2rpOJU669OC4Srcc0JtBCSdH0Y603SIISFsOYYKPTbAMRtt xYGkbNvkkmuL8ADcw9Dpc79IoVlRF14wzMhAagnSaEm1WbhDJvzBg8ZsS5foOXehbff1JWf2I7F4 cZj+ze3PegX/Up6mqQTM1lbrDNrtk3UAphj5vUkV9ku6RLRepmgVkrW/i9E2vsCWhoVeWpyEgafB XRpGO7p+q4yeVuEgTdmXEpcBWjotGWa4+gvts2Y6YdtShT7SksOATmqb6876aWDYHIpzyGG9DVbZ dY+/YtcLp1+JEVkovmy9PeTMHxe7Z2h+sfMCndsohrlj+xEWhKzR09zR7lzORipCsC5z48bWp+/U FFx7xyVeUpPN6ibAlWt2m5vYjUV6aAbGZrGZY/Qn0bM4c3WJg/E1ZRXHdjMv5mcLJGr6cBRo4y53 j58k76C5AVtHqcc8nP+ZWRW1NuHP0PchDMU0DLeNHQ1WcWuG7efDuE4b/xfTHoIm+VYa3lSlerlk gr/HV5u5Vbl3xC6pKQIh/rxDaOSZpl7fjrfkZ0Q+2zTIFAEko6hygyPUdxgVaT9mB8n+pd+BS3Wf tvjoLWmyw9dD6nMWBXlCwdqWenqiYg/Mz7HAjb9fA47fil8m/0sNUjSetZSqDpA896gJwaYprvwQ uGP7Ud6H2YAMgqdHCa/eNTAl8lru1+YgEORqxzpCImPc7tBPEBkc4uUvdDQxdXYHtY1F1VHZIqOj jqBOww0Yn4MZkdGc0Bc/MbTACGMZyudTdJMvA0rz+WhxPp2z4XqM58CWthqd21f9ZEWHy23ZERUA Akt1ZDmQFIaLvFbkpyQ0q2m2Uh1t6xIdF62gJlz3d5f5ecz7Cx8ZxW4m5ldj3AS7xxSEkPEKlpfA N7wtJBlEbRgLm/Efp/omXf1WU5mJuu+q6xJ8o9CgGXTCQSHv9JBywPj5ALQ4llaeAsMyKNhU+j0H E4t8lXyArT2sUI1UKgfM2J8tS5ySe4GKPqq03i/AWSl0IsfRN/D9lsjS1jTHuol91LWMe4V/KH0f DttH6rnKrv53fLCbPPULbJ0FsJRX+VCs7GEKA5Cnzs6rZ8mbCMx/bznijKvNO91Qvp6V/YlLsNyf q8qC1JaNOpQfM2v33MszY644GR9iWDt7fBUFLgtmwmcLV56zzm91GGjKhPZ3IRR0tfulPjK1RGaz w87RDO2NVDpEnryt0vAKgx6fJjLvrKjSVTYs59h0J0xbbq859YIhTrf7vbYNP81w+8rFMZhihBVt 1WbzHq6RiUTk3mYc10hW6r44thh2IbApmhyctJ9LxXWKGpjiCerKKRsV9CtUgF2aGUJqTTmfxoSY tfdho3l1MbSUbaQXLLOVte05GdvUozRZEoY1lR4Ba9O6FMgQ/DfpW+G5eWqO2nOdVJThpibnKlor hvsj+5FBPe9mMiUXsLrPgUBAS6kcRLwUvYSE2Q4UTqDsC8ezvUGmpxjgnG2WS00uSC9P6wpzoFuR aDPEKWAzkWw4Tgx58ey98bp/NNM3ALL10OyW6S6CcC9cm1nJ3pd92awRcKUPrJ4iPertI+zOBOjO SL5hBR0gjgxHIevFxojPxwJ6aeOW8Eim/yrsIYNmhQzmXm5PLJKOve0WjUlbEwB3UESyEstQ2Ewt rieAK821KJOwVNpuhywnZ3qMt6uEAl21CooMfPaX1WRaTL0UowfY50HNyK3HF/LfdqEHXp99JVk7 N069iOBluQCmak6BCD98n8syveQHrYCHBL1m3zLvZtavICte5FZBIdRaQsuWAVkTt+3uk2zVoNV3 FSImTjgwT4+qtoXxWs1MjJmPV/LzUxrOlfavNliHOHCdmgu8D/EgxE5KC/1rhzI2gUEU6+06TOGa AGJsVldyth/B28W7qVlOojYt+eoHigaXlTLdvbcxjbRHf0qpHTqw2SSdwOfaYc6WVmK0oLK9w/jt W9o1JIvULgJeZhCg1hPf5F1+CHguqiXg5rhGTvKKpuvvOSKxGSXpaaBbYHwIghCKRL4cJ4BrwGow 2dXhwjZsa79vKCCLy0I8LM5riyhEtOw+XM/bIiCidA8+EY3GR7tHcVM1r8Vy6G/7bng2tEwQJVHr 5guvP83OMFlzrTwMiKSfXfv5KFcu1agVKkcdui7THaDKtSI0tDBvnwbR0DP06Va3ZAzO8nvaJopF JYEQ2VTR3lM1CPY4uwPUuh3bhPLvqmDRDNwFCIIXGGaSA1mvRsGotxXizROYXx9HAeY5iSInEVGy M5GcPtP0EXIPEWKEaPaRAX/Fu3jDe7j+FrES8nOUn3f1vNTZYCi2A1ceIBHaMj0z/SP+gQNlXilB Vlp2rfAWGIvL40as04je29sZSTU9rqSWs5qka7lb5LABta0xhO4y2tQyOt/uPrSWwm/h/6jc5xa8 nLj0RJXdd/mD2FJY+XugcliBq7LLusvrTC+fsQdYNYUOH6XrPiMQlwa+0CLgKTMwiYBP0LVWpHnJ CNoOI47dEsN6JefnEEAsImmwzEF0mPyscE8ziBYjRKApwpmfe9paWWlSMZwm9jhrk1h23exd7g2c wXIVD3wOcP6Jmzft27p+pJJjHEaUt/2eIf7EdoMRRpPKNBe3Si1tMBGI4FDaU0LNvSmJ9YIQERbd ia/tCFc0vbIHkTNa5oLCoUJ3tyF75grWHI11o9bkezYM4ILm17rJMQejA82duYmw/bLE++pB51X0 0gNuFsNIV7cpyEy5+VHhKttPfuHQwjP1ZkZpcfWyXkMRdGMBIHMOw472h6V4Fe4mmWSQxNnDVYH5 bOw20+0tgkvLsgza77Ps9XdkFykRagv6AoU1anM02z+EwcLp8/8huL/M4OiXfS9L+ZOCl4tCN120 K7wmHErom/BxlKKBNjE8dihktjT4Dy0GisSvCfLwHx5C0MqS1RIFA9y8q/TpdghUJ1JPoydHWBL2 oo2/gIWSf1I4W4/T3mQURtPBPj9Z+3yJN1d69po3NDyRpPVHcdli6eYtUcZTctA2l09KJLogUQvg q5t8rSlbZyy2knO3G4lGWQWEvqp3k9UA1g3e3GE1rDrFuN1fyJiUDs/hN4TKXWwbyizuREv0ixN0 gKUafiSZ4szkY0k+URZYUlWllvGhyaZz5fYCMM/gxVfJ4qc6phI7RmKKOX9d7hSk3mb2W5WJQ7zY NS+nhCgBBZ38ahNuYPkBSwYtkgV5wKTMhUodFv4ImCIXzsyS4tbl2RWjwEXwEfIYub3Uaw8V/8SF 0xHnnfztRK3ZqZ4gThwXKztOvwcbu7waGJoN2SEKkinU1IXkQRyHp1gP+F5ywYVfwzU4Ywpuq0G/ tATNG0QsAMFbqs4fzrlnTRJ5eBNzI8LaxPc0HKWmOashfTCjc1Y1bx82V7j/51s2lTy9TZHjP0a0 /09HJVHu2PrUJPAZ9MnxcOEJrTqaxWrcZogkH8H4Sp87g4yYeWMl5bNvL3zjNh0WtOwaPcjyDctH go9M06Agg1uGVsz5cvIDrIZz0Ttyzb5WGOIUVICuUDhDza4r2ExPiDi2iczp8OrvZqzFUWMxz+zv JP1itNlvg0QUoG+7/K28fS93xxLvzCbFj4nQQpAjSktwir7oFOceoqRzJCAM7zsOD7kT5nHU1xWj JnUWSKxPw/ygaur3/xdLLBDl6GYLlTOF22ck4KziMpsZebo5TwwhTThY9/NWOo/6tsNJvMYLYHCb I95URk9F1obpGOnpgbsiP99JOcy2J0lzRXUuFAtiYPR8PDkSVb7Deg8FpYYmERQu08zWIjYOdU75 cEwZ0Q9J+I1wnMe+QNEpFf0X5Ilfe//mnOZ0oGvjxG8Uy2qlul7bHIQlmUIYm8XQxN2x/rCOdHR8 efS3blshyeTbmOf/5BdLpNkWJ49wmOAj9BcceIGZBD2wL6XmUEbafqxucfcizebFjlf2WqiZQx7X CVg39+J4utwyc7FbBtZfYZaZygTDf6Mi4VF3+6r9NJuDwytxuiHbs4u52SJjxWBlKQWIaosZhT1e sKn4EKl11E27hK7rNEyweTblEr6KtIEoQr6WjTAOqBwsaOFNkIjAMLCevaq8vsdHfQ2nLKmNywCa fYDOf0Tew9Do/aWePly/Ht8Sgwv3TNhyWEltDKcOzUg5wByEr5WcmQ6CahRnUAxhA00eiTIQ4dYX Fp0Xj4C3aL0+hbsIGr7gnTgqn9ANi+QmCccNU78MTA7Qz2uVKdOu6SQsFGQ6hpPwaXIkroZof73R s9Ia9kO4pLWLrBQHmykUesnP22tUQPtsHGvcgGZmles2LFEh4hXuaTVqIKeR78A/6RlcavW3tf99 ZjK1QHtXlqsgybEmaZel+BndS+bwm6YXiAhOAiYR5+wUcWmX7aBMCA/vcnWpjRVIAeuj7w3Qi1Zm 7ElMWs7+4ZgGlaQoRHmX5KMwU5uh/+AvL46DbbXp4qJJkYoOQTQ+TEF1TOyhKj4dgMwEerUaKkde 5xaKYpx4ulDkaVKiOSHTqISBl0em1SNCE5+2+/MalAyNnzQaML5DeXLofjvm0puMzy0/TMYTnFd3 LpUki4j9oufIPK2CefkSbIDAyHHk8KB1TNJUHUvqbmG92jA60sHZaz3J3UmLuym0HsPX9iZZ3IAU QxlQdxHn6lPlObZ1P0VsPGSRKVtpgKJqVFaUk46gKLJvRcfl9rTGYW8U3f2B4WT8jn4WJsJQM3hO TYT6uQm23svnh5MxM43W+MKngJcrAdWRWEY+++quoas1r8Pf3U2iUF2eRk2owbkJrZ81clOTAvZE ZDtUajOZ38A5EtLfCWO7wu8i7gazwMDTHMM5K0zDwUQ6JazMdAIhWvl2p5BluP6Xr6lh2pVWm5eo h72WnxvQlozXSKc77PdcIf8bbSPqrkQpyvnLBMkpqZF5xyBxfUKPgg+Wvua61zbpdStMQCYEtoYt bandxk4YJ+/X9a0snMc/zzgGG3Cy/sVEDAdUgD+xipLDHNU4g0xuvtiFenucSV2oX+rWRacj7HIf /eECuUmZhNDIGb5rf8Edqb8N71pL8vm1SQrfbJai7SByzm0MQdqrYdZRUf8Maa/6t6DSIuBfbrnd yGLOG+la/fXoTh9AakBrGdiSvSyFAktjMMUjOcKysU0XB97bs8HiwO6WZYhe1mkRKnQI3MAmTyDN GEHD1VJnjbbca6jEMVorTVO2lmdAW6dOC4VBWDJyNPYJFXT5HCwMiii47MjpV4OQhAZUHgG2JPlr 0dRyG/ICFV+3khyn4hKXKGe1WWW7kTL+WjKh5AtsVMB/qtVj7IyBPnUC4mPX5m+nCZYGeiJyQ2cM lsaXYA7rPQjZNNcASMS2DRE9IOnWCgQXdjNQs/9/acGB+k3B/HV6bm1HBIHUYSi9rf8cLnPHt8YZ k+AUxkK+AHkx0UP30TBPEWJIbo5z1vLHZXJVld3EZjpPEuwmVmGNXgTI8VbKYGZV93LhXLWYMPj2 GR/Xk++Q5oGtNbFRQLAtqHSiy4bujCDl5DCw0LIITMFiVqh/zf7xJSWYqrY4BzH/SpaST0ViL1K3 bRWgTZL4pUEFtQlCw8cKfDOOXFaaDQt1Q206fvpwFnyONPp+OgKRBoPTyQHAgD9zpRxwzH647O+t G8Tk3a9/tMiu/s5S6NMZjJCrwNT+XxupqKNpcYPUqBU06j1lwRuo2wzleQZg2pLzJe1aYtd7qt69 qAppY0pqhIAvxMnQSqjNYLYL+n5i4V48BDGaLre6Y82h551/ddzqLTKACntKorCcXQAeKjXmrdPK UMLBlJjfkv5gmZqQGwuTcDzJL1152CF7Z5aprC3T5xgjbpIUu3ou4J6hDbsButUjgPXZjAz+EpuZ RlipRHdg5BJ/oaWg3EW2GROX8w8hjocFy2arsxGgMhIFdDpqyiyqmaGeiwofhcR84sm/XWVIeBWC WKXVc3fgr6/rGHTKwPRwgJisAwWTa6hshX26M65LYsbIcVgIXjl24vdSPxArWuNQiUdnswU6bLOR wTdgj36b6UHdDO2asJO17yImtDADEx8vZ1MVSmTNkGI0sBcbcUZv89CvPv3D2b7dOVlF+72df5yc BIW4jU9fXXtQbO4PQwPL7sX/gdsq/Nj3H8/Doz81+FbP27rsSvQvEkBQYNPiFcC2LfrywJQWwcSt jigdxgUhL0KtAakAAQQTv9cDpAZvxGi1Xbkygp4Kt99DuQLKLYCP8Cq8dv1Zxbd8nKyWP8Z5bR7D 1svL31RmDrmQJaBqoANcK+CCSHjP7Oh7KFXuknj0UtsAD0Sx5RxIAhy1t3dN8+oWQtbkL53+h48f WFjY7ofAfjC3fP8ciU3tSwdzUDQck71EuLul0WACSgFpfUSOFy7PARY8eNhJHgPFXdETeMYQUfe4 W1VSuxmXLJL+c4/GFcn44NlQqil2OUdVL+ILdxma8m0dWJtGjLfWB3N2oxZhx0/s59YYbpc3ahb+ BLpob/ro7VX4GBy7Xt8Fv9Bbu8I3vKG2ucPVuMiqVTOE1rw4Ofp3nje7vhH3k5b4F64/mz2YWRVn WjbEB88T9krS4zRuJrmnv9Jlh1Bax0MrMF3xe0W6RA3IxsSVy35AQQYJESWsAod7weVyVI5J1k7U 2cv/STN8v6BfkcLYtbRw1vmdsV1iHhV/5LxKFy3ftAarvjLEjwe2NJgL0+VZXLZVDGrlTCcL+GiW TWXi+D8DOFHMCqZ8aI299t1a8iSZYh7wOdYKcj2ADugpJh/dGpGeqiTtkMohguxrNFEHvRpixUwX tfUeIQEAAd5JOk2zQFYQFNj71bmBoXZXl+VH6hvc/K0FRj00dxAB0CU3Lc7R+zV9c7jaSSyzDfMG 01FQi46Q7zZndXQBlh9PMbF6/ajIyC4hM7qOhnFVlcqsLTwCKruscv0JCJ9M8L2gYvckIZI14yRi CeUwByRTRx6IMHkL785oA3WDUH531lwyVuobgS2xecPmLsP0DbpfNJfeC0QXcdEKgvXlrOmqYi8L TJsplBv7GNIG7X4hFev6CNLOCWfP7h6cCM03kU8madF0ud/VVVwzuJEOlLBsgzOLRWh/yl/pfdUW +7VgNAzbItlnpSRgI9fqJtS8doVB+q7zoy+9W5ykwvGZkbsbJD4IQEbmv5ebMoIEA4JpTxNwkHUM LBvZsZB70p24aMSkix8hPjjV6m0bcVH0GL3Wj4jkMai83n3mUMZQDNZNWuUG1yRUwWfM0G83sok1 rojmmAgwQDy7XlZ5qsVGlV5Zizti52rm4jyMWBtMArS5EHjhJfPmlDLSkpSC1RLQBEnYEKwANQrO cyi6XC2L1RszumYABQhjFyQprKy1ytIupaRnhLrxuWT4MACwmChFSVKAF3gminBq1BhN8edZiRxP bv2EykmcApCAqOjgBKbeEsoS97/gCP5x/lJgKYd7CLVzOZesZ6uia9beHsboerpkF0COQJXk/r5z pOYu4liJnVe1J2cnp6H2Qdf8XcJ4j8w9PlgrcPqcaVB2x5GDhbizWqnnvPbJZY9PnkxVDWsUYa40 zaNi8qehYV5dG/h2kwg+ESHvEdJnIPsbFtGXjmYrwSSGNymYYHQR36m0tIsVYOzjz9vNjF2c74pd KOzm8A/q2qRWaZgiWIya4RotOMi7NnyatmSa81tM+dlgwF7kfhscNx3gPmJIWsfU5y4ph/2Uh+es sq+cFXGapS2sA9u4QmeXMBRmOpizfNfrRdxEKBBKJvrFKyIJi+jEvosY3M/D/zqcISwtNlPWAZZ3 ETZ8maNSN/QFI7hdnEOTqTwV0QR/hnMct+TzJR2f8W85eDLqDfbCYEVdFqKmYHoCDkmUrqF7GxXJ +aoKILS+mHxv5XzcqUUcgOMCvWR4yDPcTgIhurDvUGroDlOB1IPQg6obKktDxp4LPVNU0kE0+Lcl zGtZLpQzJQC2K32imArO664aE1AtUDGfmYxvx4wTF/l19KwhN1LAQdxBlBsayusAPETTeiIKZDHa jkdsEjVv2zCQOpxvVXKaHxwS3oN9GlHXJXROWUzl+AAhcuQF9oGulMqDWulBuRnjafZcZT0JiwJM ny7dAh5jh3hGY/icm0ZYDoqPOrs1O+1Kk+p/dw5k2yEWV+RcPA2MVDkyZVHRWa/WdhIIe6KUv1tK Kn8zd1hVXDK3Xb6X6gmJN5IH7x5mM/F5DsiXOXCFkX67SqYlrb8vUgHONWF/7HbgLFs/ORjotMDz XiZwTJBUfiYYXsT3TDuolNBaH9GsTp00L49hdKxXVPM1pclgjvH8rmgmMwDEWp1adIEut7FLdSci TgDZqMK19tV9USdy9WCpcjUMM7LKdOfynHwTjHK2xSzUltU2Q50/MGmyF84V4VSxOwR7+HPq+y7f laMKfwTsv32m9TBhRkDZp3DtujzmnubglnYCeu+r7/+HXmwfmWXQiCWXFG+sYD2myfv7C55GbqIc 6+J56tyQ0jShgQRBHCIRi267lEeuLJ+OCKWkGZvq2tHGeYqk6y3EmeXrggJAbGUw1XbrtiH88YL+ FKhXoPhCR8tjw76bJuuWHMbyfbH1kJziiL/qRd6nZAesEkVj7vw8jmdredOo15wDpTtENC1wcjI2 shoKFn2Isk15ZGMPhnfnZLJnhIoJgePxaGsyqh1I7g5nBI0008LI/L+ORCVlz0f2+O3+R+LQnbQ4 CfUBOZU9UFIx0Ytca+wRySA5qKcZSZ2RyVeZZxO0Io0e7wBU9DWJjYDpGUiybZYTXzbEomqew7sY Rb7girotjefnjJ1ZqKPIYgLsmK8BVvSM72nj8VFJHvBLI1XNeupxuvy4qAe0UTU2T5lEDIxdVy7P plyQ1hG+CtONjhfFrZ0nz8Rz+cYQXRgfLdCm0cPIZmZxrhxO5EuM90cPzfHA0ZSsd87EaRwPBAMa STKorOcGlOWnUCfwLKJ0pLN6EraZbnt9vOwcj4z5aidhMUl7sEBQ3ZAKIxh8/QCR8yOwGU77JQAA i5umxMbVCcXrcxXjh94WFJJ+WEx2wR0cgrxI4M74725HICsiyxmlUWe63+aJLhMszHPJ1XlPRuxt WV6s0UkMulLWoIE8f9KrGqfp3Ar56SFDOLRaOFj/HUNw0Tx2gsPE+x+Tpzmk5ynh21MEyUrtAw2H PLLb01dczuLEG6IMRpTkMIXwH9qJFXpUbmrTSHIJDNRbPKiC058vu927TBx3SfUg1MsTza20z5QA jE31K7KBH/+7CVdVlndzwsEbbnmU5QBEVar+S1zqxGK2t1gBDl0pWv0QNsS2p5X84g/QjxTzJr0O 1/2CWqOKXFKVc4ibxJYfVFoVXP0rE4LXSEEg9TL4mrY+kw8T0QkkJ2Uxi6IZhAx0NjLZTJuQ3YiV TBRCU6Q3B+JkBdz+z7JIl5UhU7ShgvzUJJtJHuLy3nFYgnF01CDhX2x6LHPgZsOKblkFNR9DDCvY 3bAjxdR3IWhL5x1hxJHOaKetcK1tNplFgZXoHJlFudgAjIIELeBg/MusGSHTxycpvMHIRJb5/t7s ssqHd69pynBIq8ZE3ViQP2iQkQxQ0AhGyr1KGlaNo/+XHHGljyMW6a4J/NA95tEgMDk+wZUz6lP3 IKgLKrxSINsPU7++RqoNJW+8+W2SXTAT3RgAgemV1Bpl4QKmvov3+Droy4B4daCgf46CFn5yj0LP ECQmeCRPkvqvV9Hgv2eCObfoWr10WKsnu6AcEVavF9d6O20vmBlLlY962QpQVFUws1pmqXOwQ/xG EyA2wgwAFogw4UQp4xhRYzQa7Wi+zeKTF9ushk57u9TWRRZ/SPDJGJEPVJ+nwvoW1n+rXdRxtpVJ ZSirIaZ2gepo1aTAhOvS2ydhT90hhjefhUND07nWOrjij52eIyY+49CZgj6djm9hNZf5+liNC9M+ TRZIOqD6NmTLqHxrZz4DaMv8Pu/zJPvDu/k4LGH8LKxzOEVbcyqHBuQSRiPF9DQm73n3u9ZRnSt/ pkYAR1rMSMhm580M0d0CTI9IxtvjP5VFSBV2KZgp1BmWHLh2c9KK2MMPHRJ2+P3Dw0h4JlHhZoru 1yIDtWs1EnejP4Umm1B1X2RfXl5vxctDMUWTydTLVHcoo/nIBSLoM1PKEPVjQIYZpixINvjSKAWv SkqpgWcKSuUA54CQZd59uNKoKB7gpJHf+UV+SFasaPMvXDYVZlkNiQeUR4Mh5j9N70zt926vQ9wy akG5gr5DFeDuCKQGEgKBH04thVH6ceOmzKHXrfjc/yo3r8+EUIWXR2b0Zc4G9Yxhh06Dzpj9OeVL bcAnEi0924LokU4KJj7rDGZmAil+VmPQWF25fcGrUDDyAuT9FXqDUxmOAQNfbGovgW84637v0c7d 1P9ue6zzNeQLSIKAeClafhQjn+wF9Wn7343mTd4TYzOp05LLeCjWSXagSo3Y2cH71X0c2dqfwRfj aCeT8ugTNkwZIUsTBugzyDjmbGGjzyCHiE9FbgatvSlmkzrefk3GG2P/VgAHqmn+qk4iPzdYdH2y 6SG4CD9EVS17Oklx/RVxK3yc4JZKVjyXrg2/UJJjtmX3iZK3ifKAvTIjF8I4NRYDvKbrBT1gMqNg Dk+UIG65fMIBvjlFDS0Cc+ayWrigvWIckcDl51D4C44lmV25tXeb155LyGDckzUhjRwzwPBrBeUD Uq3bP0ogdKfcdYHGvhOiY6v9+0bCmySUJWE352pKUyVRjFOA/QLe7JFlrd/mzon/jcpA6oX+ekgN bXZltW5qzSSaWYSfm3c1SsgiGpQxYXN7jV+xfhMWQt15OXqxQK7V7uptmsp7UkAbc9J6GqQNuwHE Lj4VsySfoPEeE6J/zTCJpgr45WOxT/xaMQBnkezyc38tQpzO76CccqV5myevgdIISQAZdG4/VPqt x28ma9qNqBMxY08lhqTjtmoWeXiXFiMrlj64sjB07RCOSU1LQJSFdSs8RUUBa+BW0JZ0uS7R7jGc OgNf7qSJ4iXgNSwQziyhQmklicAkvtKvF8O7Bwpzh25rZLEN1iUnzbkHEFeyw4OL9+8pxetl0qD9 1MvYaF+ofSQDLdteyc2VvyvT1PQiH4aMpyuimvLDhrUbqr77zdCkubo6r7GXRthysVjbqpxJhOdn uUzRWPP9WhkFpKrww3TbixsdivmaC2SKNY5kyBSFjXebbpeHx13XJjdgGcgra31Wec7TgN5escYf itpSckvmcDFgW8C7si8mxr6x2zKIGWU463ss/0KNlVSwpy20RZabSr5LOH1JaveFoAYCKWNmg4yk 3TfjynwPiA5FKRQFS4UlOL2vwZ+HNJ9UrEmfmzP6P8bPNd+AnwVWndPVtmzb2hWOB1bPr20OdXvt o5Ep0+161lF3UhH+GzlCOI+8B0v5BTz0pSliT0xct6U09mE3Hajz3vmIHbZrjrVMw8iuApgPE6WF WjClZQFYKzh4ca89DY9SZaq+t3BctRDawRH4tOCyCGzPCWTZ6HjWc9rKEXXEHMark3WEXQGWTET/ hrNx11qN+4tfT9nsIWolHeUKiJ2yqrZoqNbnnDxJXrzkF/IXB3sO65OIUH32JFNNVotp096mR/NY LSwI5y4ZdmG7dT/XZP/6wrKQDXdT6aq40mh2TqIDXy2r5FHm65n/EDeZL/W0ApTIcmvNVZg9vfRx RW5ARihhOpd3uqjUayPwZSgh2shN8UFx4tWMp6cQrTGhEi8aqaoNxSOWAqayi3h0BmL9wh3MXhxu FP49RfpnRqknsbsjCZKFoaWTGwsWqLKoFH3Zo88arSCQx7uyrYhCcXl555XjZIQSBa0Id8NIo1hT FZNbopqCGuYiWaKfZ5DNh1Tn6qlGzcto71I+swYJuPwh1NpAsUQrYm1kYLXiKXOQTBCZQmwvDP8a aK0cXeVslfYzzmGnwkpEIF3zwiXg0UQwxBvzacfVIDXE3uj7fzLd+qDt4Lq0vggPkESzZDTe5tLA yy8MSmLpFyxOTlKnUSGspTFKegW2NorAD3z+xWqsMhO1jX9rp2bfjFp3hdb8Jt3q+1N2LCsVkNY9 3YbbAPuq7ET0izKcBA6j6lsK5c2KAj0LTHYdE6ea6RY2cR4+iJJ9JKZakKNbTLZkBRNPFYu1KRlw KJNp0WCVFLjbtyqfor5xsCZHivJTXuhHLGj5Cu+6JbSfhu4yn8K92x+E/Noj+bgEjOfkLQAlaD8x 97lL21BlqH7IsZiMd7ujOPHMCbTMq/4UGzPymE1+sNVFY46TnUpC7tU0gxRWhUbm3NQfNkbh8j/P H0O6TyppMyCxi1QpKqWwGH2dl/M94V7NVTKYixcFggQ6GAy+qOpneXNMyUFXRI8My/rNkA4Zo789 DpvzyYSQl/UUy2bMvms8EyCcJ/e+jJ2b6OlWLF4bg2xuvNchk5Fwxai8sxOHwkXo9959g0b7oYbD VlMPObM0F7s65nLd040seishqjTZLHclUpkOmUsgjNoBOi7Tbe/xow7g3A0RgteQ3JD1LrBzdgZy Br7Skxg1XY0gxLGHaVPiLwZrZX2RU5uF2CA3M/QZzX5gQQBNM6gUzAaBdi4mI1hn+Jcxys4thV0T doqwPXakAtj5hdvxwgM1+stEatcKvBxsBdBbj7Ar8n4UGqK3yk1oeehQ6IjIsljh62friWMwyVvE 1zgY+xPXVXb/EW720OMkXE+HZy83/WEy7xUKhEdx6Tjy2MoDgQaNTfj0W2CFqBBVNjswUMuOkKR3 xDVEitlCQXUS7XJL3tVmGzf7i/85aNYSpy//7ReIAzHZpZqDoNY0cqCxyEPOtwukOtvt16TGNs6P KlMvoMkAxrBkJ5B6kfv0u4gF46FuL50MJWk7H9VYGDKOF2x6zVNTs4AktJCNSumpSp8ypvFUiW3e StMvu0Ceka4+rJmsqKlU4pdyXK3gAqAtPZ3XxN8spSWCwH4f4YtTfTmNyHo3opcknuqBo3KWWuGC eLP4T07nOoiZ4DAiJQ7rERl4QJux2Vv+WkQnvlSr0z7f4TeU9eGL9JvG+8oXsi31nbnLu/CLvRAx ZifuimuPow/KgoDpADG8OXiQXAtcdHp20M8epFZiMC4ArUt+SXQpGu3VJ92gFH/bTKiOZ0gg4Cx2 9/Uuo2f9GK+3GFgKeXmJY5Czi8/B+Mq9mTPYTXZ2A9KfbqSGdW0dL8xuvXiuaZlRo7xJ4T3Jmmi6 wV8zDoktuiyjK5v1zy5Zg1U6kzMGOahSe7yQrlYAaLUxICLOZmEaIVBxOWHWn8Pj+IyXtZS3KLgv 6pEvMObxS2OcDHAOG+CgSEFfm3ePoyd2jjhtEIH35qwBk9fGYrQwLTn0SeJvSH4CSp7FyRR7czuu k6sgLq5+S72V05LkFqKTOL4mRbZ8CbAtIF2Ec+SNucU3Cl1op+ELVhIRJP96l71OSpXSyy8JlfFf qkWOr5GoY+bT4DhJJhfPZqKUcKhHhzcVYdbyKI2DRY84+ZQY6WjljS33B9DpY9zmK6D7IsL39FgL 2/dMVCWd8vUtCj6tYu3ltXruxoh4+JwuZjOR6Dn8H2/o1U/0b/g/MDtE6HLa5CQhzEJ3ECwNo+Sc /RT5Am6gx70kfVsWPaO564Va+H6XGJ85pMUzgwx9o1mQQTeFOYmGgK2qYJXfSToyHLLDNM9aUx9o hmW40NAF2K4/JjlrEng4/7PU532yd0aLuvOD9XSKV28JIPPojdjSx0oVpN/+pcr+d7/19ko33Jwe 0fTVaHCnKWT6JpT3QoD5IP84Rzo3rtA1AtWk55DNha10plcHPMQbG9JCmmPAn8EKQtmCol+8y3gS eViIRDZ46pjZwKDoT2P5qZg+zM/J0HClup3QKBT8dmx2QUoMqwwQfk9ag8dWwlv0rKv7/kEnrM7g kycEvYPXmacoVE88TB3yydzbyAii42ly970G3oALP5lqyTm606/KoIel+gEPiGjbeAVHKBY2tzaw z7U8+YDxOEsaRIiKrBoHbYPQuKt/Jb3S/wmAghdz3XtNDw5V8NNGsa6I1m7vZd0eutesIJfyYtIX FnnoAZhKyNBMr3TB7AVoSJJiM4J7EkxsSPvLAigyWx6XAIiGum6t+0QJepR5s89uHWotVvWjA5zE 3lpylOFog+OkI+QGNagcUJr9fsMq5+3K9qTO6vb1RCq+ezjxHGgNQN2BxPWJT6lYGAqVDjfGdXO/ TaQbZnwYigYD2ddgQIql5r+w/avbn+V8KBXZTXBV+Zw/RBQHc/0l/06vUgX3pZtCQ/PlwpESoLii EXKasjWHAiXaizp6oq9MhjaiyoxXSgAMiq4KBFLUguh4PyO+3phKuX5pSuQi2w2TYzq4ct9Bqtat xOV3++AOGN+cyAoc7TKCeza7lMpFwYN7u4QFKpTSk7que3x0GULJFfVQNA4nV3iuwhOIBYFYzUHl HEl1YizUtJscBBRNtoTF8gvi1mLzZZDW6ZDoyOf10klQ5hhBcIyDEUarKe91L2ZnPgY0kDzhmRcg ipzlv7q5C7WMw93CRfZGd34Ds+VpRmb5Jb+Fc7P1u87ahv8v1uJwXT+QxZ5w2VZhSuYbASY0N2s3 U3P/FHl4JFOshQpKP9bd4Y+N8DX7+AXVNcAEFRH3ZV/bDWPyoM9brYFac/503aIDKAZLSlukQFjZ mqFCWtEhP2KdAVYsRT6EfTkM/cIQ/w/C1F2xedyRd8wEV1w5qqXdS5cu5TsFpEjM5wfq62k1LBaX GeRPVsY337gEYrVUvGN/rzxKJz0obmXQMQweajMM+ggoo08oXCJ+jfJZl5K770YN8F91UkRWznq2 5ZChnuR0rApYap/7vmRFOglAbqS0ozt9gOPiyUPqTjElzNjoRBTQ3CBH4eN44zyH4vZfQD3nib9p GrG0iprUlsiPOfq8j9Se4t5wPQaFJKsn6IuP6Ej4GvAOpYaWotGuQsPLlU3zMwwJDlCNuv/yqkfu nCtgUY6Ab4kyhEn9B/pxryK6DjzV0RM1kCMhCKFfNCu+tfOSSg+FrSPDSrniFiZfTiUzne2BvA1R vq0yBXdLl7y5LWdSoIa079GNOxTNFZ2xMDUwtScr9slLuAecFdVCMLi2khYxSt5mVLjZzhtJl2R3 /5AIAI76axBgHVSPGgo/lKAP3HC1ujB28oA5ullUMCRhVXcr1xQJeDPIfgVxSEzmI4gvkGKXWA9s NV0DCflkvurKzzw6iG8/Adwvn6uHPf63ugsuVc3C3NfeZq2enc6S5vA+0Z1B9zduWS/a1LcpphN9 uTzPFLzv9EenGXXrmcwxJH53nIWihLlGEEpwbesC0a7mn7Y19t5+rXLU+qbD4Q9nBqOaUkrYnKn+ gaJNBcGauMomBMPmYaHgYWJMblcx+w/5oRwEgHOeBCZY0ScdoZE9Fykm7+gT8EToUzH1DpvoboiL /76/Do7inMe1cXo/4msjCf6K6NuH5Hc8AZ5ol9ascCmH2AbGZ0eUkiwob1FMon2S9MfCI0jmtXmq 175Fl7ZZMvy0mcbaC+NS0ZaorTLhyWx3elfGXxvaZxXXldWP7WupzqIadIsyRu0I7dY+jUmWceth AMhg0i5tdBYyykG2+9Cm0nSVFCzBE/ZWLT2hkW/Vi653cAmpkNgRVibNLk9CN5Fr/o41rQERt2iU q3R/ceqRg5jbKfrnuvmPn/DzC5HrPg23lV4tJfG3/SG9qeGkYQ+hwIaCdm29ibKEdDGDmVcfWTwu 637ColFmu7cqPxvCBfYxtW+wOh1RACVPn+euZsqn2YAxDX3SottFX4v10Q67YmWjv/KBdnRlF+Jb KmyKWkKxSvxFDt1/BI1JnM1fEpgZDl802aoqj1rpf/1OaiH6tLE6rC9YopJ7PpBdyJz3nnpeU83Z LqzM2HCkT4XF2J3ZuoUafHlk5oQ2qPXl1i+E4mC7ure2DDF/d4n7sgUpDtraSV3JcCiaslwyhT84 A03pCEvrsr15oMbY1V0zxt24gooqoNNvo9d83q9f6umoUeH62iakRfKYA9J00lcdbFBWarzPJ3jr Y9gBoA+dJwdTphNwP4GvZkQhTeYLo3iePS2ht+M50ugNCucKkBnvrw8LMu7VjXYmGqdKYvXwjEyi pruTgWritz+D3Xpw0gbfWR8nuLjUKXsA4zxU7F4Qnak1eDGDGBUjT1THJXHlFFlo0DUPtZvscNsO 7fcdeP3dQyxCeBHHDcjZAg8e1XKdS3nteAu1saRQ3VuPAWI7X8D39FWMOk63rxpyx0xgRyuG/CfF y6DffDOYVqeVdRmckEcoawYjgPNOyB5N5Yzfg+pgLHZPH4k44qSr3A5P0QYxVq+msxuriJstU6JM B+gTKygX01TRICGU7eVAz7ECy1TAMaLlwjZ3Mo0zg+7WySzzkxvSSac4pziYyrG23VhUypYsGPWo l3Mrxh7ZOtI0zxruVL2by5tBSMez4fgYo78girEmeqnCF+NbB2gCVZqUMVB8KTNl1A7YQYUN7z4m B6UJSAtYaZ18VMFcoX8pjcTlfoOUIDBsyFkWkpUajqcf7W2C6xzpGmKGgG0qC3QbIgxUnnRsaSip FMcU1/GOiQTrD96B2Bh7xX3gfTI77jMXr1HQnXSMoPl6QyZ79+fXpe50D9NC9rNqNj87IrslJi02 F4UhAXDXNPQZc3lfZ2ltL6CkxiR/uanxnUl1I6z28HpIcsatQ8gCWNTr/wTrb85u3LVzZZzk0rxm O4uu/RMtSRIqab69mCovLYDGlgpsaKxFaK36G6JWP7ZEicC2gOyJmfa3GE36USxAthIGduXC5AHS 9NMcWGsqSqzddXBiued6b1lui3NueQPkNB1jTShKkbH3EfWvOFlKelntLqDnvdIQRj3L58LjgVnD xvxc7vfjnzMadqpiC/v46OfWUve97WfWu4Wt5ARYfeP25D57fubYEONEZv0xHlJIIx1MW0xG7tLP RWbFHHZbWeymV8maYmhb+YFvCFnSfPS5p9KAlAbZxxuGLr4phgCguADa/BQgTftk1XhKoRe3Eiwu 9F8Stw6iBDFgusqB8Q8ehSPGt/2LzeeWeLAUt35eEskXVfs8/uuSY3yB98Ya1g7Tc3v2m9JIi0vl diEFz5uAHd/xr0kt9qlTZjzRphIRdB3yvic0bqs2R6l5PuzSpGRQVVopfB0NqCuBGKkTKJSR4Asd zHcuSX+UxAwwzBSU8+7IbdXnh1V05EqFohN4O5SZEDHKuHksGXoazudSpxMBvqDk+kLHQ0/9Y5a8 aICBbj19yjUmV+Lh04PwDjkR1KRrylkhqLoUERvD5QwkIoBsjvOxXfFH+7C3BqNRj1FQpkQti+bS 1BXdkyZ2HMZRqH5RS83K4Q+aMFpvO+bNgq/ThskuLUFFjEEGz+hR47iDKUBQA8xnY+LkBl6wwSXI VyGTwOcaxsx6XrHG+gJpbYa0E/dpimevXM4EqKpGHfKaZEZ9ea59hAQr7LU8qE7xIKy46yaMRV68 yJVF1Sfo5QKaRyxQhbwITnTdRAGJpushcVk4upnBUNawuFSsPqfuPwx4JV9Mo25v8M0kasATqXtn BIeDqH+HCo7wEiVE88MsMyRgpcvwqPrS0VrGfJX/QSPRINHDhfTCLxIYtlyxmsnfZnbH3ng3ADdh /JNoZK+yTcXkbKTcR3EEsSuB6fu5RytisTUS0A/DEo3vOON/6SeL6fVluFP/EaX/7vhCWck3GTgJ o7lLrwpg5qNpyvVB9ssN3GUEaf/mrs3anzycfUQCg+QU2w8avtwuy1Zw5eUfxvH2TnAvcpciXpmD +iWkwOkum0LqXaObpKAMv5+ROCeTZDSTAt/pRNmdA9b/bycJkB5OeuiRbAvv52gJFkye9S6uOca7 HGpZ6+DVWHasCKwu7HV778kPg9jkmoCndF1EH5WHo9AJxs/K1hVfEAZ3z/F92bZxezGqj46peikV WxC2PDxyK0p3GwGqRslh48cjiTRAwqgWhx51TnOy8UZoWPVmq47QwCb+dOZY9tTOa1aT4SMTA+Vn jewKR7/DESfMnerADoKqJZ/SF8ZuMI9ES4PgTOOGTCL8QPb9L9KzZvYc6JFxNQbJfIrwXnJHW1QQ 1cMbedMM5gZ+LrgYo3ckdT19AtjRkUGJaAuX5d2a3a58VsfYPAVBe/Jfziqzpbf4AZCWrS7TWRpQ JY5Eyu1nWnrGLzi23ovMvTW93C3/omL3ZAVqc/+8TmYOqndqw0uWcRasSAlClvlxIFmWe4lIrwiS tAulArAi4XZlWEsVr3vWGuUfwCfesDVvI+gcjrRd2+Kt1PBkkk9YSIL2nSuJUJ6dhv+bkk/Z652i JRdHMXIKqWZXYmGiL1rUpsoVvHDMrUxZGYJBeVmTkUycQSpdjs5VrTkWblaezI5VS/EegUxXe5uw mkrUR2B9NnEGIZq/Muz8OGWTAYZZNR3+31z4oTljVUsPP4QpY5J/v6gtDyoBHws1b0zqpiNSyhPu nUMYDjZjkKy+wA6RYy8gb1i8kvZIzxKxHHuG6Hv5YXcBZcWysr5kteyod1AydeO9LA3Sbe2wXRty CmFUmuwYe6sQpV1QVKd/wwuhOMy5l4tidm5Gl/C/Nq9gLYet88H70s8Gn0ZksaJ0HjyAGuNvnY5M 4MJ+8y8eDsx2R1yplMazvgh/Ufxot55yWmh81NlXBvwJ3l2+nX7izRZaubo97SfJei1PIp9N5Ypi LMj61hsmMLUSOOV6dUAZGvOUBjWYGinuvAAvCFHytA86SLc0q/Be3HDC7tvfjkb82VwQqMWpbVFG sPh7OMZmtIUhmTHUHob/Wwdp24i3GU5vWmX16dinJ8KhDSDzhqRKqmn1xR+zmpHbReKTSK9Gix74 6y0mxpyCupJ4w49qaw6mhAIvfsuVOpoGMSsqRmtCUHinCw5B1ER9PwDk08PHHV7VU1SIcyVzyodU 5fyVNmQxdydDS6hd7Ko0ZSDvpe8suEzSRFgzhMt0i2ooh93X98lcHmGgdpI+Ul/O4ji9T72swqqu QNAMkAnICSMamdWTbEqLINWfvnIRdTv69Zzc9IlrT0V/K6/5dhqmM192KvPPoXUW8rkF/REUgypk 4UJsipFhMyaa2y4icxCzBGPg+QqLX1gFLjYqQldCvTr0CUNq9MKGKsMzrRpsumU4FG5m7O5lwcKp jiQahTnyU4QUHGOsGWx65ELMV5tuoTLgTW7M4uIAYw6/a4udwtkLWJhM0+IjE2tAT/YWjwIarmWW rgHn5pMzQjTrrGE6FonD5PTtHCPaiAUAG261QGuSpg0v/SHuIWtAN7vScSTQqLZS5iTgLWRtVWWq zp4NOVnijo4aFj8tI/xot6CXQLXkHLUfAQiIX4J8kSXaHSitKZDKiISaG9hcFTlzi9/tOs1ot9o8 LmtPzMBU6u2r+1iBPaBFdP/Bh7ntZQSbtq80VaDZgGcYQx849Vt0nJMOC9aX52wYdGGGEtZcDqNj Jw2vGFvu/V4ylBsLwOuK7SDrVMlAvTN+UiURhtaSq9l1Invqv2YDLPdpkwPhUxKzF3KusyfgmKuD 1MWxAzR/pJz36pfLktUNeT3lTBCwnbAtar5auhoesWpipvf+OnjbEDnlFiFEkSfvdT0pIF/RL2Hn lFj40+atH/TcF3B3b+1Ln3wQyCrOuXCt8O9lyukutrREhTn8O7NQToNapdl2CvPNgNT2Vph8xdRg ZG2llv+Jj4naO0UQlRqBP1eYMdNaVMsoLbMeLIWMBfiaLdDdDVWUY/61f3Nez0wVwIHvU8JHJQrc 5TEehUoQ+D78S2lCn4uWtkKKJr0BUOJst+wLkGKRV64ymBBObMfISxrCV+nrXEAYooXGOLTqUitT J1FRpGJTet4beg1P2wYSC6PJXx+uw9D2hr/WdnWqq54DUCrtICbTs45GSXG5YLuyOorVevRV+BZK 8+/iwrZTRjLtmqK0TzUgBszJzhgAqGPpVA5Ce5I+md+Y0G7cs2DWNhccCmCWerxP/i4rIiZmVaq/ cYmA5NveC9urJIGNdwyfHSDdxXjUnPJ/UKujuytjACHVDM1Vq7xkumSpyFVjMqjN0SaTH9uagAYF voPjyueALNvEkceN3zdEVJ5MwTxmz5OyoHWaXoggVixMfAZWui7T40zoyR39yfF/ykqc0DZYDaQG XxTIOtmGVK+YhxXnHxcFHUYdnu5QPeByseYod4O4nCsWQtLE/wxy98TMp6wEyLlYaoFjUjPClxPo JJ4e/bn4uj3Csy8FniFqzVDunwU+t+Lbmj7dnjzrHC5sJrEGSFH52cUsktfm+PGRx3MlyHDI8MJk yFkDWfSp6EFlISBIaCw0IUpMWK9bWFSAfgKM8yLqiNnfZwTXZgDoNHHpKh/BMnaoX7suIylW+5+Q DA0AVk1lSor93Wg9XfUgmzt1YyeK2JpJoWAz9dj0awmwVrtcYFQ7GKAAduTXluoQrHkduLRjROs2 8v155E0Jai1NGfuVmHAACYTzpFqs567Zd/NIBVDOKcNnodKaORT0ar0mMz1q3hWfyZ2PVM0iommu 48QG2WG/tz8VwksBVdAjPPrZ/KzWxtZWs6k81rPOHx2/M336RYHTfz2lZtGhZgX/s50ryKAJhMeT 508j1izXRAlGlOGCuAzPWgGi4JMwQEK5tdPrOOhCVnMEV900+J3lx+nbkRoHGxZurTT2vutgLOAc Tziz4qQizO589YU6xUzjdahKp2G76rIVjVDK87TYyX0+8uwlHAGPMBy4Rhksnx99VwHitU8dBEYl aN2TppjUMx0wJFsQ0q6u9pn6FW+c7V/3f/hodUcoPQbt23qODqT979a/3uY5ZizWY8+Wwj9f7W5c 2RoaBA80FzXMiAV/5HMMaP3c7b4bFUEha0iBGp3LIk1WfwXa+BDaoTBfXxO9HTQI6Shc4u4rUAxS S3aeJPhi7tA7/FYp68FspMbQgcWCmTLFcdyp0dXKXPAuldB0GWBC7Zlc6ymFjmueK4BY9cz9Ec97 CNGwZkmcTI9JhhJAFhlZ4OZ3JbWBY5feYUzdaxyAgs8xJzqLD2ID4ywb3tiVHw8iiCdEaM7S7Wwe pxCjv5a98zZ0L/K6/F2Y/WfmZjDbbqaeD+X0DADQurrlPaKo8ebAhmpV7EMG853qQhGh0X7kfoV0 jmUZGKIyU4RfOcvSwvIMyx4Jd98No2zoibCpqKiiThzaqppQ5gZzWqEOeWpicsTe5A7lpckJ+Fgx rYSDmUK81HZhEawgOWswjta/JjUq7Fw0dxrjS2O7b5F3a5FDETiXBIp5r3yW1O9nLOKuXcF3DwBo WZtzwiV3UkEd4sXRNOKDLlBkfGuwxgCxBHnE1PRgrpqGmK44BXOJMuJSyJzvS+dbHasWfwh9DZ9S Uq8bsdz+uQ8drk1lTPuQQZjkhdNgLq91cGE1bjJXxSI6150nXr34DVCR9GCHjmf7aeRU/ceZHTVs FzwKu6Ohom+e7ZMJ3U1Tbu3NOWJRWYGwiBMFbZ0vkPvE6V05I9knLS1SjcOtLi1wIdv5f48sv//y JnP+m6PWdB8DvWOHHhKA16roY+9UwFjymd6m6VlOlEIT9iPqYa9uSrMymaiBASdmcTyfYscFJaPC zb1YvoBLgEDFAOZRlnjbyuJDdLAkAWQNtShCrdpZgLCr3zWZh5Jc49KJzVzfOMLFOHOANJh2R1Lo MhiPs/4FRYzZp59tidvR0R0T0wpd+TGKB6TGj/w/3ITYWY9Jqdyb4Z3Y797YE9NwilhEJ29yQA6T oe9wq9yUsjCUYOi1btURtdDGbB4ewJjIDghy8HzaPDnpd/2VPCpNa7Bnxq+zl1dYSwBKecPuURK9 Kdg60CZO0IP7BNA1OdcJ4xRFgDB9WZMCaEFAcqTUcpXMEyI1wgRQpfJlflqj//sDTfn9zyct/41y 5WuRwho681juHDBEmxF9VldWDKJaQbni/BXEtpKH+4coWf+75drfsJjA30uzoLQEG5v/KFBr0zow bWmWLN7el0a70f/MAb6u9aaO0iEokD3DkRfUsjgGfoK1YE+6u/THJdgRUExKjXfFf4FO8RxnXaw0 vbau+wzH9bV3/JB3ftmeJkb8OiX6Kn73sUaXyiEB101ojGfMJD2ndAsTA705aZTU5BRbbXstzBhZ zkuLdfsxE4kyXFu1wj1LE6dcJlFsWlZbEHDfp0GXDEv2XW/OnjRXW/M9FIN6oT47BZY34JiQ3ajt sHyrKKHXtIUVgP6IVbKr9/nDJkFPmY8BsquVeBUfBasOHCRN+iuMtpDzJh3pPZ0Bqch8Inl+5/jp +DC7bKPciojbNAFLj5OpqdwTimCNQ5MoOH+J0epBYGGKwEfaaHAYTPKUg/59R7Qa7iEQzRiIRl0V OKIwuDNwEFZt2Ly8paHx+tV0pwUtgIbkw6l1bU8s81ggdNFyFDDZn5DH4K3kjLdQL9/JdLJxAp61 HugUoeQqVmD0l95NegjKFKwP1lom7v/jwbTo+gqgIHYAw6654mhADTXFBIXb9sRwNHmKkNxtcx2h 82q/iF+M4N2mZ5aCoORnQZ9PzGtLuYSe7g== `protect end_protected
gpl-2.0
ddcccce2b2f0703c95639eb31d14b91c
0.946515
1.839707
false
false
false
false
fafaldo/ethernet
ethernet4b/new_tx_frame_buffer.vhd
1
9,465
library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VComponents.all; entity new_tx_frame_buffer is port( DOA : out std_logic_vector(3 downto 0); -- Port A 4-bit Data Output DOB : out std_logic_vector(7 downto 0); -- Port B 8-bit Data Output DOPB : out std_logic_vector(0 downto 0); -- Port B 1-bit Parity Output ADDRA : in std_logic_vector(11 downto 0); -- Port A 12-bit Address Input ADDRB : in std_logic_vector(10 downto 0); -- Port B 11-bit Address Input CLKA : in std_logic; -- Port A Clock CLKB : in std_logic; -- Port B Clock DIA : in std_logic_vector(3 downto 0); -- Port A 4-bit Data Input DIB : in std_logic_vector(7 downto 0); -- Port B 8-bit Data Input DIPB : in std_logic_vector(0 downto 0); -- Port-B 1-bit parity Input ENA : in std_logic; -- Port A RAM Enable Input ENB : in std_logic; -- PortB RAM Enable Input SSRA : in std_logic; -- Port A Synchronous Set/Reset Input SSRB : in std_logic; -- Port B Synchronous Set/Reset Input WEA : in std_logic; -- Port A Write Enable Input WEB : in std_logic -- Port B Write Enable Input ); end new_tx_frame_buffer; architecture Behavioral of new_tx_frame_buffer is begin RAMB16_S4_S9_inst : RAMB16_S4_S9 generic map ( INIT_A => X"0", -- Value of output RAM registers on Port A at startup INIT_B => X"000", -- Value of output RAM registers on Port B at startup SRVAL_A => X"0", -- Port A output value upon SSR assertion SRVAL_B => X"000", -- Port B output value upon SSR assertion WRITE_MODE_A => "WRITE_FIRST", -- WRITE_FIRST, READ_FIRST or NO_CHANGE WRITE_MODE_B => "WRITE_FIRST", -- WRITE_FIRST, READ_FIRST or NO_CHANGE SIM_COLLISION_CHECK => "ALL", -- "NONE", "WARNING", "GENERATE_X_ONLY", "ALL" -- The following INIT_xx declarations specify the initial contents of the RAM -- Port A Address 0 to 1023, Port B Address 0 to 511 INIT_00 => X"00084500111111110000e200004040003093fc20e7ff11115555555d55555555", INIT_01 => X"0000edde00000000fddedeeddeed45bf00000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"000000000000000000000000000000000000000000000000da8315e000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port A Address 1024 to 2047, Port B Address 512 to 1023 INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port A Address 2048 to 3071, Port B Address 1024 to 1535 INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port A Address 3072 to 4095, Port B Address 1536 to 2047 INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", -- The next set of INITP_xx are for the parity bits -- Port B Address 0 to 511 INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port B Address 512 to 1023 INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port B Address 1024 to 1535 INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port B Address 1536 to 2047 INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DOA => DOA, -- Port A 4-bit Data Output DOB => DOB, -- Port B 8-bit Data Output DOPB => DOPB, -- Port B 1-bit Parity Output ADDRA => ADDRA, -- Port A 12-bit Address Input ADDRB => ADDRB, -- Port B 11-bit Address Input CLKA => CLKA, -- Port A Clock CLKB => CLKB, -- Port B Clock DIA => DIA, -- Port A 4-bit Data Input DIB => DIB, -- Port B 8-bit Data Input DIPB => DIPB, -- Port-B 1-bit parity Input ENA => ENA, -- Port A RAM Enable Input ENB => ENB, -- PortB RAM Enable Input SSRA => SSRA, -- Port A Synchronous Set/Reset Input SSRB => SSRB, -- Port B Synchronous Set/Reset Input WEA => WEA, -- Port A Write Enable Input WEB => WEB -- Port B Write Enable Input ); end Behavioral;
apache-2.0
45bfb68046055f4f787384f320b28dcf
0.750026
5.90824
false
false
false
false